[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 64. No 3

Citation preview

MARCH 2016

VOLUME 64

NUMBER 3

IETMAB

(ISSN 0018-9480)

MINI-SPECIAL ISSUE ON 2015 INTERNATIONAL WIRELESS SYMPOSIUM (IWS 2015) Guest Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. P. Yue and C. Rowell

673

MINI-SPECIAL ISSUE PAPERS

Wideband Digital Power Amplifiers With Efficiency Improvement Using 40-nm LP CMOS Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. J. Qian, J. O. Liang, and X. Luo Analysis and Design of a Broadband SiGe HBT Image-Reject Mixer Integrating Quadrature Signal Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Peng, L. Zhang, J. Fu, and Y. Wang Comparison of Impulse Radar and Spread-Spectrum Radar in Through-Wall Imaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Zhang, X. Xi, M. Li, and D. Wu

675 688 699

REGULAR PAPERS

EM Theory and Analysis Techniques Convergence of a Single-Frequency FDTD Solution in Numerical Dosimetry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Chakarothai, K. Wake, and S. Watanabe The Electrically Symmetrical -Plane Waveguide Tee Junction at the Dicke and Altman Planes . . . . . . . . . . . . . . J. Helszajn Wideband Equivalent Circuit for Multi-Aperture Multi-Resonant Waveguide Irises . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. A. Leal-Sevillano, J. R. Montejo-Garai, J. A. Ruiz-Cruz, and J. M. Rebollar Plane-Pair PEEC Model for Power Distribution Networks With Sub-Meshing Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Wei, L. Li, K. Shringarpure, A. E. Ruehli, E. Wheeler, J. Fan, B. Archambeault, and J. L. Drewniak Concept for Pulse Compression Device Using Structured Spatial Energy Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. A. Tamma, A. Figotin, and F. Capolino Devices and Modeling Electrical and Physical Analysis of Thermal Degradations of AlGaN/GaN HEMT Under Radar-Type Operating Life . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Temcamani, J.-B. Fonder, O. Latry, and C. Duperrier On-Wafer Single-Pulse Thermal Load–Pull RF Characterization of Trapping Phenomena in AlGaN/GaN HEMTs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Benvegnù, S. Laurent, M. Meneghini, D. Barataud, G. Meneghesso, E. Zanoni, and R. Quere

707 715 724 733 742

756 767

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Passive Circuits Design of Waveguide Short-Slot Two-Plane Couplers for One-Body 2-D Beam-Switching Butler Matrix Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D.-H. Kim, J. Hirokawa, and M. Ando A Class of Quadrature Couplers Based on Transformer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. J. Hou, L. Chiu, and Q. Xue Hybrid and Monolithic RF Integrated Circuits High-Performance CMOS Power Amplifier With Improved Envelope Tracking Supply Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Park, D. Kim, S. Kim, Y. Cho, J. Kim, D. Kang, S. Jin, K. Moon, and B. Kim A WCDMA Multiband Power Amplifier Module With Si-CMOS/GaAs-HBT Hybrid Power-Stage Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Yamamoto, M. Miyashita, S. Maki, Y. Takahashi, K. Fujii, S. Fujiwara, F. Kitabayashi, S. Suzuki, T. Shimura, M. Hieda, and H. Seki An MMIC Low-Noise Amplifier Design Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Varonen, R. Reeves, P. Kangaslahti, L. Samoska, J. W. Kooi, K. Cleary, R. S. Gawande, A. Akgiray, A. Fung, T. Gaier, S. Weinreb, A. C. S. Readhead, C. Lawrence, S. Sarkozy, and R. Lai Prospects and Limitations of Stacked-FET Approaches for Enhanced Output Power in Voltage-Controlled Oscillators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Thome, S. Maroldt, and O. Ambacher A SiGe Fractional- Frequency Synthesizer for mm-Wave Wideband FMCW Radar Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Hasenaecker, M. van Delden, T. Jaeschke, N. Pohl, K. Aufinger, and T. Musch A 79-GHz Adaptive-Gain and Low-Noise UWB Radar Receiver Front-End in 65-nm CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Jang, J. Oh, C.-Y. Kim, and S. Hong Wide Dynamic-Range CMOS RMS Power Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Choi, J. Lee, Y. Xi, S.-S. Myoung, S. Baek, D. H. Kwon, Q.-D. Bui, J. Lee, D. Oh, and T. B. Cho A 6-bit Segmented DAC Architecture With up to 56-GHz Sampling Clock and 6-V Differential Swing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Balteanu, P. Schvan, and S. P. Voinigescu A 4–32-GHz Chipset for a Highly Integrated Heterodyne Two-Port Vector Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Nehring, M. Dietz, K. Aufinger, G. Fischer, R. Weigel, and D. Kissinger A Low-Power 136-GHz SiGe Total Power Radiometer With NETD of 0.25 K . . . . . . . . . . . . . . . . T. Kanar and G. M. Rebeiz Instrumentation and Measurement Techniques Open-Ended Coaxial Dielectric Probe Effective Penetration Depth Determination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. M. Meaney, A. P. Gregory, J. Seppälä, and T. Lahtinen Gap-Corrected Thin-Film Permittivity and Permeability Measurement With a Broadband Coaxial Line Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Wang, I. Hooper, E. Edwards, and P. S. Grant Broadband Thin-Film Transmission-Line Characterization for Accurate High-Frequency Measurements of On-Wafer Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Kim, J. Kim, and Y. Eo Six-Port Reflectometer Providing Enhanced Power Distribution . . . . . . . . . . . . . K. Staszek, S. Gruszczynski, and K. Wincza Validation of EVM Method for Filter Test Using Butterworth and Chebyshev Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W. Sahyoun, J.-M. Duchamp, and P. Benech RF Systems and Applications High-Precision Motion Detection Using Low-Complexity Doppler Radar With Digital Post-Distortion Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Gu, Z. Peng, and C. Li Electromagnetic Field Analysis of a Dipole Coil Element With Surface Impedance Characterized Shielding Plate for 7-T MRI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Chen, K. Solbach, D. Erni, and A. Rennings Label-Free and Antibody-Free Wideband Microwave Biosensor for Identifying the Cancer Cells . . . . . . . . . . . . . . H.-W. Wu Multi-Frequency DEP Cytometer Employing a Microwave Sensor for Dielectric Analysis of Single Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Afshar, E. Salimi, K. Braasch, M. Butler, D. J. Thomson, and G. E. Bridges Spectral Signatures for Identifying Explosives With Wideband Millimeter-Wave Illumination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. C. Weatherall, J. Barber, and B. T. Smith Radiation Power Out-Coupling Optimization of a Free Electron Laser Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. S. Marks, A. Gover, D. Borodin, A. Damti, M. Kanter, Y. Lasser, M. Einat, Y. Vashdi, Y. Lurie, and A. Friedman

776 785

798 810 826 836 847 859 868 881 892 906

915 924 931 939 952

961 972 982 991 999 1006

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $28.00 per year for electronic media only or $50.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. WU, President

D. WILLIAMS, President Elect

T. BRAZIL R. GUPTA

A. ABUNJAILEH S. BARBIN

R. HENDERSON W. HONG

A. JACOB S. KOUL

M. BOZZI, Secretary

J. LASKAR G. LYONS

M. MADIHIAN S. PACHECO

Honorary Life Members T. ITOH R. SPARKS

A. ABUNJAILEH, Treasurer

G. PONCHAK S. RAMAN

J. RAUTIO J. E. RAYAS-SANCHEZ

S. REISING M. SALAZAR-PALMA

A. SANADA D. SCHREURS

Distinguished Lecturers

P. STAECKER

C. CAMPBELL R. H. CAVERLY G. CHATTOPADHYAY J.-C. CHIAO

T.-W. HUANG M. JARRAHI J. J. KOMIAK S. KOUL

A. MORTAZAWI T. NAGATSUMA J. C. PEDRO L. PIERANTONI

M. STEER

Past Presidents P. ROBLIN A. STELZER D. SCHREURS J. WOOD N. SHINOHARA H. ZIRATH

T. LEE (2015) R. WEIGEL (2014) M. GUPTA (2013)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. HENZE Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: I. AHMAD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: S. MALYSHEV Benelux: G. VANDENBOSCH Boston: C. GALBRAITH Bombay/India: M. V. PITKE Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: C. SEABURY Buffalo: M. R. GILLETTE Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: C. G. XIE Central & South Italy: L. TARRICONE Central No. Carolina: Z. XIE Central Texas: J. PRUITT Centro-Norte Brasil: M. V. ALVES NUNES Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: A. O’BRIEN Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. VOVES Dallas: R. SANTHAKUMAR Dayton: A. TERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: V. VIIKARI Florida West Coast: J. WANG Foothills: M. CHERUBIN France: D. BAJON Germany: G. BOECK Greece: R. MAKRI Gujarat/India: S. CHAKRABARTY Harbin: Q. WU Hawaii: K. MIYASHIRO Hong Kong: H. WONG Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: H. SCHANTZ Hyderabad/India: S. R. NOOKALA India: D. BHATNAGER India/Kolkata: S. SANKARALINGAM Indonesia: E. T. RAHARDJO Israel: S. AUSTER Japan: N. SUEMATSU Kansai: T. ISHIZAKI Kingston: S. PODILCHAK Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: B. LEVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO

Macau: C. C. PONG Madras/India: S. SALIVAHANAN Malaysia: M. K. M. SALLEH Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Mexican Council: R. M. RODRIGUEZ-DAGNINO Milwaukee: S. G. JOSHI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: J. BAE Nanjing: W. HONG Nanjing, Hangzhou: L. SUN New Hampshire: E. H. SCHENK New Jersey Coast: J. SINSKY New South Wales: Y. RANGA New Zealand: A. WILLIAMSON North Italy: G. OLIVERI North Jersey: A. K. PODDAR Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. RAWAT Norway: M. UBOSTAD Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: K. KARNATI Ottawa: Q. ZENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

DOMINIQUE SCHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants MARCIA HENSLEY USA ENAS KANDIL Belgium

Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: D. MCPHERSON Taegu: Y.-H. JEONG Tainan: H.-H. CHEN Taipei: C. MENG Thailand: C. PHONGCHAROENPANICH Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: C. FULLER UK/RI: A. REZAZADEH Ukraine, East: N. K. SAKHNENKO Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO United Arab Emirates: N. K. MALLAT Uttar Pradesh/India: M. J. AKHTAR Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: T. IVANOV Western Saudi Arabia: A. SHAMIM Winnipeg: P. MOJABI Xian: X. SHI

Associate Editors

Editors-In-Chief JENSHAN LIN Univ. of Florida Gainesville, FL 32611-6130 USA

Pikes Peak: K. HU Poland: W. J. KRZYSZTOFIK Portugal: J. CALDINHAS VAZ Princeton/Central Jersey: W. CURTICE Queensland: K. BIALKOWSKI Rio de Janeiro: J. R. BERGMANN Rochester: M. SIDLEY Romania: T. PETRESCU Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. PROKHOROV Russia, Saint Petersburg: S. P. ZUBKO Russia, Siberia: V. V. SUHOTIN Russia, Tomsk: D. ZYKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: S. EBADI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIĆ Shanghai: J. MAO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. KAUFMANN South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: A. U. A. W. GUNAWARDENA St. Louis: D. BARBOUR

NUNO BORGES CARVALHO Universidade de Aveiro Aveiro, Portugal OLGA BORIC-LUBECKE Univ. of Hawaii at Manoa Manoa, HI USA JAMES F. BUCKWALTER Univ. of California at Santa Barbara Santa Barbara, CA USA SHENG-FUH R. CHANG Nat. Chung Cheng Univ. Chiayi County, Taiwan

X. CHEN Nat. Univ. Singapore Singapore J.-C. CHIAO Univ. of Texas at Arlington Arlington, TX USA ALESSANDRA COSTANZO Univ. Bologna Bologna, Italy GILLES DAMBRINE Univ. of Lille Lille, France

A. RIDDLE, Editor-in-Chief, IEEE Microwave Magazine N. S. BARKER, Editor-in-Chief, IEEE Microwave and Wireless Component Letters BARRY L. SHOOP, President KAREN BARTLESON, President-Elect PARVIZ FAMOURI, Secretary JERRY L. HUDGINS, Treasurer HOWARD E. MICHEL, Past President

KAMRAN GHORBANI RMIT Univ. Melbourne, Vic., Australia ROBERTO GOMEZ-GARCIA Univ. Alcala Madrid, Spain JIASHENG HONG Heriot-Watt Univ. Edinburgh, UK T.-W. HUANG Nat. Taiwan Univ. Taipei, Taiwan

JON MARTENS Anritsu Morgan Hill, CA USA FRANCISCO MESA Universidad de Sevilla Seville, Spain LUCA PERREGRINI Univ. of Pavia Pavia, Italy CARLOS SAAVEDRA Queen’s Univ. Kingston, ON, Canada

J. STAKE, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. MIYAMOTO, Web Master

IEEE Officers

S. K. RAMESH, Vice President, Educational Activities SHEILA S. HEMAMI, Vice President, Publication Services and Products WAI-CHOONG WONG, Vice President, Member and Geographic Activities BRUCE P. KRAEMER, President, Standards Association JOSE M. F. MOURA, Vice President, Technical Activities PETER ALAN ECKSTEIN, President, IEEE-USA WILLIAM W. MOSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer CHERIF AMIRAT, Information Technology THOMAS SIEGERT, Business Administration JULIE EVE COZIN, Corporate Governance PATRICK D. MAHONEY, Marketing DONNA HOURICAN, Corporate Strategy CECELIA JANKOWSKI, Member and Geographic Activities JAMIE MOESCH, Educational Activities MICHAEL FORSTER, Publications EILEEN M. LACH, General Counsel & Chief Compliance Officer KONSTANTINOS KARACHALIOS, Standards Association SHANNON JOHNSTON, Human Resources MARY WARD-CALLAN, Technical Activities CHRIS BRANTLEY, IEEE-USA

IEEE Periodicals Transactions/Journals Department

Senior Director, Publishing Operations: FRAN ZAPPULLA Director, Editorial Services: DAWN MELLEY Director, Production Services: PETER M. TUOHY Associate Director, Editorial Services: WILLIAM A. COLACCHIO Associate Director, Information Conversion and Editorial Support: KEVIN LISANKIE Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $167.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2016 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2016.2536382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

673

Guest Editorial

T

HIS month we are featuring the Mini-Special Issue associated with the IEEE International Wireless Symposium (IWS), which was held in Shenzhen, China, from March 30th to April 1, 2015. This marks the third year for IWS after it was held in Beijing, China, and Xi'an, China, respectively, in the previous two years. In 2016, IWS will move to Shanghai, China, as it continues the mission of becoming the premiere microwave technology conference and exhibition in China. The IWS2015 was chaired by Prof. C. Patrick Yue (Hong Kong University of Science and Technology) and Prof. Wei Hong (Southeast University) alongside Technical Program Committee (TPC) co-chairs Prof. Corbett Rowell (Nazarbayev University), Prof. Patrick Chiang (Fudan University) and Dr. Morgan Chan (Huawei). The theme of IWS2015 is Convergence of Optical and Wireless for 5G and Beyond. A total of 221 papers from over 10 countries were submitted to the conference. After a thorough review process, 128 papers were accepted along with 10 invited papers to form 28 technical sessions. All accepted papers presented at the conference were invited to submit an expanded version to this TRANSACTIONS’ Mini-Special Issue. A total of 26 papers were submitted and after a rigorous review process, three papers were accepted. We would like to thank all authors who submitted their research findings to the IWS2015 and to the authors who submitted the expanded version of their articles to this TRANSACTIONS’ Mini-Special Issue. We would also like to thank all the reviewers from the Editorial Board of this TRANSACTIONS for their effort and useful comments. Finally, we would like to thank the Editors-in-Chief, Prof. Dominique Schreurs and Prof. Jenshan Lin, for their help and support for this TRANSACTIONS’ Mini-Special Issue—the first one for IWS.

Digital Object Identifier 10.1109/TMTT.2016.2524778

The first paper entitled “Wideband Digital Power Amplifiers With Efficiency Improvement Using 40-nm LP CMOS Technology” by Qian et al. (University of Electronic Science and Technology of China) reports two fully integrated digital power amplifiers for polar transmitters employing several innovative circuit techniques to achieve ultra-wideband with record fractional bandwidths of around 100% and high peak drain efficiency of over 46%, simultaneously. This paper received the Best Paper Award of IWS2015. The second paper, “Analysis and Design of a Broadband SiGe HBT Image-Reject Mixer Integrating Quadrature Signal Generator” by Peng et al. (Institute of Microelectronics, Chinese Academy of Sciences) presents the design of a broadband up-conversion image-reject mixer integrating a polyphase networks to generate quadrature local oscillator (LO) signals. The third paper entitled “Comparison of Impulse Radar and Spread-Spectrum Radar in Through-Wall Imaging” by Zhang et al. (Xi’an Jiaotong University) describes the advantages of spread-spectrum radar over a conventional impulse radar for through-wall imaging applications in terms of targets recognition, engineering realization, and immunity from interference.

C. PATRICK YUE, Guest Editor Department of Electronic and Computer Engineering Hong Kong University of Science and Technology (HKUST) Kowloon, Hong Kong

CORBETT ROWELL, Guest Editor Department of Electronic and Computer Engineering Hong Kong University of Science and Technology (HKUST) Kowloon, Hong Kong

C. Patrick Yue (S’93–M’98–SM’05–F’15) received the B.S. degree (with highest honor) from The University of Texas at Austin, Austin, TX, USA, in 1992, and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, USA, in 1994 and 1998, respectively. He is currently a Professor of electronic and computer engineering and has served as the Associate Provost for Knowledge Transfer with the Hong Kong University of Science and Technology (HKUST), Kowloon, Hong Kong. In 2016, he is on leave from HKUST to serve as a Chair Professor with the Institute of Microelectronics, Tsinghua University. He is the Founding Director of the HKUST–Qualcomm Joint Innovation and Research Laboratory and the Center of Industry Engagement and Internship in the HKUST School of Engineering. In 1998, he cofounded Atheros Communications (now Qualcomm-Atheros). While working in Silicon Valley, he served as a Consulting Assistant Professor with Stanford University. In 2003, he joined Carnegie Mellon University, as an Assistant Professor. In 2006, he joined the University of California Santa Barbara, and became a Professor in 2010. He has contributed to more than 110 peer-reviewed papers, 2 book chapters, and holds 14 U.S. patents. His current research interests include high-speed optical communication and millimeter-wave integrated circuit (IC) design, visible light communication, and wireless power transfer for wearable devices. 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Prof. Yue is a Member of Tau Beta Pi. He is an Editor for IEEE ELECTRON DEVICE LETTERS and the IEEE Solid-State Circuit Society Magazine. He is an elected Administrative Committee (AdCom) Member and the Membership Chair of the IEEE SolidState Circuit Society. He was a corecipient of the 2003 International Solid-State Circuits Conference (ISSCC) Best Student Paper Award. He has served on the committees of the IEEE Symposium on VLSI Circuits, European Solid-State Circuits Conference, International Wireless Symposium, RFIC Symposium, and Asian Solid-State Circuits Conference, among others.

Corbett Rowell (S’95–A’96–M’03–SM’13) is currenlty an Adjunct Faculty Member of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Kowloon, Hong Kong. In March 2016, he will be joining Rodhe & Schwarz. Prior to joining academia, he was one of the top Research Directors with China Mobile, where he was responsible for the development of massive multiple-input multiple-output (MIMO) systems for fifth generation (5G), a Research Director with a Hong Kong government research institute, a wireless expert in venture capital/investment banking on Wall Street for JP Morgan, and an entrepreneur with two successful startups. He holds over 35 U.S. patents and 5 Chinese patents. He has over 1800 citations. His research interests are 5G RAN, massive MIMO, hybrid beam forming, phased arrays, and miniature antenna design. Prof. Rowell has served as the Technical Program Co-Chair for the IEEE International Wireless Symposium (IWS) in China.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Wideband Digital Power Amplifiers With Efficiency Improvement Using 40-nm LP CMOS Technology Huizhen Jenny Qian, Student Member, IEEE, Jian Orion Liang, and Xun Luo, Senior Member, IEEE

Abstract—In this paper, two fully integrated digital power amplifiers (DPAs) intended for polar transmitters are proposed using a 40-nm CMOS technology, which achieve ultra wideband and high efficiency simultaneously. Firstly, the wideband Class-E power amplifier with nonideal characteristics is analyzed. Secondly, the design procedure of converting the nonideal Class-E model to a compact matching network is introduced for the on-chip implementation. Thirdly, the stacked-stepped-impedance transformer is utilized for the wideband output-matching network to improve the DPA power efficiency while tracking optimum load impedance. Finally, to enhance the saturated and back-off efficiency within a wideband, a novel feed-forward DPA architecture for a digital polar transmitter with multi-mode dynamic-matching (DM) network is firstly introduced and demonstrated. To verify the mechanism mentioned above, two DPAs are implemented and fabricated, which are the only reported wideband DPAs operating above 3 GHz with record fractional bandwidths, i.e., 92% and 100.8%, respectively. The proposed DPAs (i.e., DPA-I and DPA-II) exhibit peak output power of 22.2 and 22.3 dBm and peak drain efficiency of 46.2% and 47.4%, respectively, with a 1.2-V supply. In DM modes, the saturated and 6-dB back-off drain efficiency of DPA-II achieve maximum improvements of 7.5% and 5.7%, respectively. The core chip-sizes of the proposed DPAs (i.e., DPA-I and DPA-II) are 0.22 mm and 0.24 mm , respectively. Index Terms—Class-E, digital power amplifier (DPA), dynamicmatching (DM), feed-forward, high efficiency, polar transmitter, stacked-stepped-impedance (SSI) transformer, wideband.

I. INTRODUCTION

C

OMPACT on-chip power amplifiers (PAs) with high efficiency and large instantaneous bandwidth are dramatically demanded due to the ever-increasing requirements for high-performance and wideband operation of modern wireless communication systems. To achieve the wideband response, distributed and balanced PAs are conventional methods [1]. Manuscript received June 11, 2015; revised September 14, 2015 and November 03, 2015; accepted November 13, 2015. This paper is an expanded version from the IEEE MTT-S International Wireless Symposium, Shenzhen, China, March 30–April 1, 2015. H. J. Qian was with the Department of Microelectronics, Delft University of Technology, Delft 2628CD, The Netherlands. She is now with the Center for Integrated Circuits, School of Microelectronics and Solid-State Electronics, University of Electronic Science and Technology of China (UESTC), Chengdu 610054, China (e-mail: [email protected]). J. O. Liang is with the Huawei Technologies Company Ltd., Shenzhen 518129, China. X. Luo is with the Center for Integrated Circuits, School of Microelectronics and Solid-State Electronics, University of Electronic Science and Technology of China (UESTC), Chengdu 610054, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2502239

However, the low power-added efficiency (PAE) of the distributed PA [2] and complex power combining network of the balanced PA [3] decrease the merits for modern on-chip PA implementation with wideband operation. Recently, to overcome these drawbacks, the transformer-based high-order output-matching PA [4], [5] and tunable PA [6] are developed. Nevertheless, multiple inductors for the inter-stage/output-stage matching and tunable matching network with a low quality factor are in sacrifice of power efficiency for such PAs, respectively. The practical PAs should achieve high efficiency at both saturated and back-off power, especially for the large peak-to-average ratio (PAR) signals. Recently, the on-chip polar transmitter [7] is a common solution to provide high efficiency while keeping relatively good linearity, as depicted in Fig. 1(a). The digital baseband in-phase/quadrature-phase (I/Q) signal is converted into two separate paths: digital amplitude (A) signal and phase signal. The supply node of the PA stage could track the envelope signal through a close-loop control circuit, which is typically implemented by a low-dropout (LDO) regulator. However, the system bandwidth of such an analog polar system is limited by the supply modulator. To further develop and improve polar transmitters, digital power amplifiers (DPAs) [8]–[13] have recently drawn much attention. A typical digitally assisted polar transmitter is shown in Fig. 1(b). The PA stage is realized by the array of unit PA cells, which are controlled by the amplitude modulation signal. This PA array performs the function of an RF digital-to-analog converter (RF-DAC), and thus the DAC in the analog polar transmitter could be eliminated. Meanwhile, this architecture exhibits other remarkable advantages, especially in scaled CMOS technologies, such as the high efficiency with linear output [9], full compatibility with digital baseband, and seamless on-chip digital calibration. However, wideband % DPAs operating above 3 GHz with high efficiency and compact size remain great challenges. In our prior research [14], a 3.5–9.5-GHz DPA with high efficiency is reported for the first time. In this paper, the wideband Class-E PA model with nonideal characteristics and the design procedure of converting the nonideal Class-E model to a compact matching network are investigated based on [14]. Meanwhile, to further improve the saturated and back-off power efficiency simultaneously, a novel architecture of the digital polar transmitter using the dynamic-matching (DM) network with multi-mode feed-forward operation is proposed. As shown in Fig. 1(c), there are three signal paths embedded in the structure: the amplitude signal path (blue line), phase signal path (red line), and an additional controlled DM signal path (green line). Based on the digitally assisted architectures

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Model of single-end Class-E PA with nonzero switch-on resistance , finite dc-feed inductance , finite -factor for dc-feed inductor and series inductor.

PAs is exhibited in Section V. Finally, a conclusion is given in Section VI. II. WIDEBAND CLASS-E DPA DESIGN A. Analysis of Class-E PA With Nonideal Characteristics

Fig. 1. (a) Analog polar transmitter. (b) Digital assisted polar transmitter. (c) New architecture of digital polar transmitter with feed-forward controlled multi-modes DM network.

in Fig. 1(b) and (c), two wideband DPAs with high performance intended for polar transmitters are implemented using a conventional 40-nm CMOS technology. These two fully integrated DPAs (i.e., DPA-I and DPA-II) operating at 3.5–9.5 and 3.1–9.4 GHz show good measurement results, including fractional bandwidth (FBW) of 92.3% and 100.8%, peak output power of 22.2 and 22.3 dBm, and peak drain efficiency of 46.2% and 47.4% with a 1.2-V supply, respectively. Note that, based on the feed-forward architecture with a multi-mode DM network, the peak and 6-dB back-off drain efficiency of DPA-II exhibits maximum 7.5% and 5.7% improvement in a wideband operation, respectively. This paper is organized as follows. In Section II, the practical design principle of a wideband Class-E DPA are investigated. The nonideal characteristics of a Class-E PA is analyzed in Section II-A. The design procedure of a compact wideband Class-E PA is derived in Section II-B. In Section II-C, a novel stacked-stepped-impedance (SSI) transformer is proposed for the output-matching network with a wideband operation, which leads to an improved power efficiency while tracking the optimum load impedance. In Section III, the configuration and measurement of DPA-I is introduced and exhibited. The configuration and measurement of DPA-II with the DM network is discussed and shown in Section IV. Comparison of state-of-the-art

The Class-E PA with ideal operation [15] could achieve 100% drain efficiency, once both conditions of zero voltage switching (ZVS) and zero voltage derivate switching (ZVDS) are simultaneously fulfilled. However, in practical PA design, some nonideal conditions exist and then degrade the performance, such as the nonzero switch-on resistance, the resonant tank with finite -factor, finite dc-feed inductance, lossy dc-feed inductor, and so on. Therefore, these nonideal characteristics should be investigated to optimize the circuit design [16], [17]. Fig. 2 is the model of a practical single-end Class-E PA. Some nonideal characteristics are considered, including the switch-on resistance , finite dc-feed inductance , output capacitance of the switch, and external parallel capacitance . Note that is the parasitic resistor of , and is the parasitic resistor of equivalent series inductance (suppose is the equivalent inductance derived from , , ). These elements can be determined as (1) (2) where and are quality factors of and , respectively. Based on the analysis of the Class-E PA model with the finite dc-feed inductance and nonzero switch-on resistance, the value of some circuit components can be represented by the following design parameters: [18]. Considering the dc voltage drop on , the actual dc supply voltage is calculated as (3) is the voltage on the parallel capacitance where determined by (4)], while the switch is on, i.e.,

[i.e., , (4)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. QIAN et al.: WIDEBAND DPAs WITH EFFICIENCY IMPROVEMENT USING 40-nm LP CMOS TECHNOLOGY

3

relates to the specific technology characteristics and device dimension. It can be derived as (5) where and are process parameters, and are the device width and length, is the gate–source voltage of the switch, and is the threshold voltage, respectively. The PA equivalent load resister is determined as (6) According to the equivalent load, the dc feed could then be adjusted to fulfill the Class-E requirement. Thus, the finite dc-feed inductance can be derived as (7)

Fig. 3. Effects of the device width on the PA output power.

where (8) (9) Similarly, the following elements can be determined as (10) (11) (12) Thus, the output power on the effective load as

can be calculated (13) Fig. 4. Effects of device width on the PA drain efficiency.

The PA drain efficiency can then be derived as

B. Design Procedure of Compact Wideband Class-E PA (14)

where is the drain efficiency for the Class-E model with finite dc feed and nonzero , and can be derived as (15)

Based on the nonideal Class-E PA model shown in Fig. 2, an ideal transformer is introduced to perform the impedance conversion from terminal load to required load , as depicted in Fig. 5(a). Note that the inductance ratio of the ideal transformer is determined as (16)

Based on the analysis mentioned above, the variation of the output power and drain efficiency versus the device width at various frequencies could be depicted in Figs. 3 and 4, respectively, considering the nonideality of the switch and matching network. As shown in Fig. 3, the output power becomes to saturation as the device width increases. Since wider device width can not only introduce lower , but also lead to higher parasitic capacitor . Therefore, can be optimized to achieve required output power. According to (5), the device length is set to be the smallest dimension based on the process limit to minimize . The peak drain efficiency decreases at higher frequency, as shown in Fig. 4, which is mainly limited by the on/off response speed of the switch and .

, ) As shown in Fig. 5(a), two resonant tanks (i.e., could provide wider bandwidth compared to the Class-E circuit with only one resonant tank (i.e., infinite dc feed). The fundamental resonance and second harmonic of the resonant tanks could introduce a significant effect on the efficiency and output power. Meanwhile, to suppress the even-mode harmonics of resonant tanks, the differential topology is chosen. The Class-E PA operation bandwidth is then possibly extended to nearly three octaves. However, the circuit in Fig. 5(a) consists of multiple passive components including the dc-feed , series inductor , and transformer, which lead to the relatively larger circuit size and higher passive loss once implemented on-chip.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Design procedure of converting the single-end nonideal Class-E circuit with impedance-conversion network to a compact differential class-E PA circuit. (a) Original single-end nonideal Class-E circuit. Circuit conversion: (b) step 1, (c) step 2, (d) step 3, (e) step 4, (f) step 5. (g) Final differential circuit with a nonideal transformer.

Therefore, the solution to reduce the number of passive components with miniaturized layout is dramatically demanded. In our study, the design procedure of converting the single-end nonideal Class-E circuit with an impedance-conversion network to a compact differential Class-E PA circuit is as follows. Step 1) As shown in Fig. 5(b), the series circuits ( , , and ) are rearranged to the resonant tank . Meanwhile, the parasitic resistors ( and ) are absorbed into inductors with finite -factor ( ) for simplicity. Step 2) The parallel resonant tank is converted to the series resonant tank, and the series resonant tank is transferred to the parallel resonant tank, as depicted in Fig. 5(c). Step 3) In Fig. 5(d), the inductor is split into two series inductors and . Step 4) The series resonant tank is transferred to parallel resonant tank in Fig. 5(e). Step 5) In Fig. 5(f), the Norton transform [19] is performed on the shunt-series inductors (i.e., and ), Besides, is converted to shunt capacitor at the secondary side of the transformer. The components value are determined as (17) (18) (19)

(20) and are then merged The parallel inductors to , which operates as the magnetic inductance in the nonideal transformer model [20], as shown in Fig. 5(g). Meanwhile, is the leakage inductance of the transformer. Finally, the differential PA topology consists of the nonideal transformer, parallel capacitor and at primary and secondary coils of the transformer, and terminal load . The coupling factor , the primary inductance , and secondary inductance of the nonideal transformer are determined as (21) (22) (23) and

are calculated as (24) (25)

Therefore, based on the circuit in Fig. 5(g), the Class-E PA could be implemented using a few components, which is suitable for the on-chip integration.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. QIAN et al.: WIDEBAND DPAs WITH EFFICIENCY IMPROVEMENT USING 40-nm LP CMOS TECHNOLOGY

5

Fig. 6. Proposed wideband Class-E matching network with SSI transformer for DPA design.

Fig. 7. Output power and PAE contour at the drain node of the cascode device for DPA at 3.5 and 9.5 GHz, respectively.

C. Compact Wideband Matching Network Design Using SSI Transformer

length . The secondary winding is composed of two parallel windings: 1) the main winding consisted of , , , is formed on the metal-layer M6, which is stacked under the primary winding and 2) the parallel winding with and at metal-layer M7 is implemented inside the primary winding. Note that the magnetic coupling between the primary and secondary windings exists in both horizontal and vertical directions. This structure improves the coupling factor between two windings to promote wideband operation. Besides, the total parasitic resistance of the secondary winding is reduced due to the extra parallel winding for improving the -factor. Note that, two coils of the secondary main winding are stacked under the edge of primary winding, which decrease the parasitic capacitance between the primary and secondary windings. Based on full-wave electromagnetic (EM) simulations, Fig. 8 compares characteristics of the SSI transformer, stacked transformer, and planar transformer. Note that the uniform stacked and planar transformers are shown in Fig. 8(c) at the right and left side. Fig. 8(a) proves that the SSI transformer exhibits a higher coupling factor than the other two transformer types. Meanwhile, the compact SSI transformer also has the merit of higher inductance ratio, as depicted in Fig. 8(b), which achieves the demanded inductance ratio about 3.8 from 3 to 10 GHz. Passive efficiencies of the matching network with three different transformer types are compared, as shown in Fig. 8(c). Note that the transformer, and , are included in the matching networks for comparison. The proposed matching network with an SSI transformer exhibits a wideband response from 3 to 11 GHz. It is found that the highest peak passive efficiency (i.e., 77%) is achieved, while the efficiency exceeds 60% from 4.5 to 11 GHz. Meanwhile, for the proposed differential DPA structure, even-mode harmonics are suppressed inherently. Note that third harmonics of the fundamental frequencies lower than 4.5 GHz are still inside the passband of the matching network, which deteriorate the

Based on the design procedure mentioned above, compact wideband Class-E PA matching networks could be implemented using the SSI transformer to meet the wideband and high-efficiency requirements. As depicted in Fig. 6, only a few fixed passive components (i.e., , , and SSI transformer) are demanded in the proposed matching network. Two resonant tanks (i.e., , ) in Fig. 2 are absorbed into the proposed network, which allows the current of the fundamental frequency to pass while terminating the high-order harmonics. The transformer performs the impedance conversion from the optimum load of active circuits to the 50- antenna load, while combining all the DPA cells current and acting as part of the bandpass matching network. The dimension of the DPA core is determined by the analysis in Section II-A. As shown in Fig. 6, the DPA utilizes differential arrays of cascode PA cells. The optimized load impedances at the drain node of the DPA array at 3.5 and 9.5 GHz are and , respectively, based on the fundamental frequency load–pull simulation prediction in Fig. 7. The load impedance of about is chosen to achieve the wideband matching. A good agreement between the Class-E theoretical analysis prediction and simulation can then be achieved. Thus far, the transformer’s inductance ratio , about 3.8, is calculated using (16) and (23). To improve the transformer’s -factor and achieve a high inductance ratio in a wideband simultaneously, novel stepped-impedance inductors (SIIs) are introduced to implement the primary and secondary windings, as shown in Fig. 6. The detail SII characteristics are investigated in Appendix A. It can not only introduce an adjustable inductance, but also achieve the enhanced -factor by choosing proper with a relatively smaller circuit size. The primary winding is implemented on the ultra-thick-metal (UTM) M7 layer with different characteristic impedance and electrical

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Simulated MSB cascode device drain voltage and current waveforms at 5.5 and 8 GHz.

III. IMPLENENTATION AND MEAUSREMENT OF DPA-I A. Configuration of Wideband DPA-I

Fig. 8. Comparisons of the SSI transformer, stacked transformer, and planar transformer. (a) Coupling factor . (b) Inductance ratio. (c) Passive power efficiency.

Class-E operation. Besides, the passive power efficiency is less than 55% for the operation-band below 4.5 GHz. Therefore, the performance of the DPA could be degraded in the operation band below 4.5 GHz. As shown in Fig. 9, the simulated drain voltage and current waveforms of the MSB cascode device operating at 5.5 and 8 GHz is exhibited, respectively, which demonstrate the feasibility of the Class-E operation in a wideband using the proposed SSI transformer.

Fig. 10 depicts the architecture with all the on-chip blocks of the proposed DPA-I intended for a digital polar transmitter. As shown in Fig. 6, the cascode topology is employed to implement the DPA-unit cells, which limits the single transistor drain to source ac voltage waveform within twice of for enhancing the PA reliability. The 8-bit sub-PA array is arranged by two segments (i.e., 5-bit MSB and 3-bit LSB). The dimensions of the cascode devices for MSB and LSB are 100 m/40 nm and 12.5 m/40 nm, respectively. Besides, the signal of the digital amplitude control word (ACW) is injected to the binary-to-thermometer decoder, which controls the DPA-unit cells through the serial peripheral interface (SPI). Note that, two identical decoders, which share the same SPI input signals, are introduced for the symmetrical routing of chip layout. Meanwhile, the RF signal through the on-chip 1:1 balun introduces a differential RF signal. Digital AND gates combine the RF signal and digital envelope signal to form square-wave vectors, which feed the DPA drivers. The drivers consist of inverter chains with different sizes for optimized driving capability of DPA MSB and LSB unit cells, respectively, which feed the Class-E DPA output stage (i.e., array of PA cells) without any inter-stage matching. Note that it is critical for the efficiency optimization of the total DPA in a wideband since the drivers’ power consumption increases significantly at higher RF frequency. Thus, the driver size for the MSB PA cell is optimized and chosen as 3.5 times of the LSB PA-cell driver. B. Fabrication and Measurement Based on the principle and mechanism mentioned above, the DPA-I with the architecture in Fig. 10 is fabricated using

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. QIAN et al.: WIDEBAND DPAs WITH EFFICIENCY IMPROVEMENT USING 40-nm LP CMOS TECHNOLOGY

7

Fig. 12. Measurement setup of DPA-I. Fig. 10. Entire architecture of the proposed DPA-I chip.

Fig. 13. Measured output power, drain efficiency, PAE versus frequency (at maximum ACW) of the proposed DPA-I.

Fig. 11. Chip microphotograph of DPA-I.

a conventional 40-nm CMOS 1p7m LP process and mounted on a printed circuit board (PCB), as shown in Fig. 11. The core chip size is only 0.66 mm 0.34 mm. The input and output RF signals are feed and measured with ground–signal–ground (GSG) probes, respectively. The measurement setup is depicted in Fig. 12. The ACW signals are generated by the MATLAB program on a PC platform, and sent to the chip through a USB-SPI adaptor. The measured output power, drain efficiency, and PAE versus frequency for the DPA-I are depicted in Fig. 13. It exhibits the peak output power of 22.2 dBm at 5.2 GHz, drain efficiency of 46.2%, and peak PAE of 39.3% at 5.1 GHz. Note that the total power consumption including all the blocks (i.e., SPI decoder, drivers, PA array, etc.) is used for PAE calculation. Meanwhile, a wideband response from 3.5 to 9.5 GHz with the power 19.2 dBm and % is achieved. It is notable that the measured PAE is above 30% from 4 to 6.5 GHz. Both drain and PAE efficiency drop as the frequency increases since the square waves from driver output are distorted, which are limited by the speed of drivers with a relatively higher power consumption at higher frequency. Fig. 14 depicts the measured DPA-I AM–AM/AM–PM distortion, output power versus ACW, and back-off efficiency

Fig. 14. Measured results of DPA-I at 5.2 and 8 GHz, respectively. (a) AM–AM distortion. (b) AM–PM distortions. (c) Output power versus digital ACW. (d) Back-off efficiency versus output power.

versus output power characteristics at 5.2 and 8 GHz, respectively. The output voltage begins to compress as the ACW increases due to the decreasing real part of the device’s output impedance. The code-dependent impedance also causes the phase shift within 30 . The 6-dB back-off drain efficiency and PAE are about 26% (16%) and 24% (13.5%) at 5.2 GHz (8 GHz) without any efficiency enhancement technique for power back-off. The measured static noise floor is 161 dBc/Hz at 10-MHz offset. The proposed DPA achieves a dynamic range more than 75 dB and carrier leakage power of about 58 dBm,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 15. Measured spectrums of the DPA-I output power at 5.2 GHz with all ACW off (blue line) and all ACW on (red line) with resolution bandwidth (RBW) of 10 kHz.

Fig. 17. Output power and PAE contours with respect to ACWs at 5 GHz for DPA-II.

Fig. 16. Configuration of the proposed wideband DPA-II with multi-mode feed-forward controlled DM network.

as shown in Fig. 15. Due to the low clock frequency of the SPI interface for AM signals in the DPA chip, it could not support high-speed amplitude modulation. Therefore, to demonstrate the performance with wideband modulation and AM/PM delay mismatch for practical applications, the system simulation is performed and investigated in Appendix B. IV. IMPLENENTATION AND MEAUSREMENT OF DPA-II A. Configuration of Wideband DPA-II Based on DM Network To further improve the saturated and back-off efficiency of the DPA, a novel architecture using a multi-mode DM network with feed-forward operation is introduced, as shown in Fig. 16. The optimum impedance at back-off power level (with ) is larger than the optimum impedance at saturated power (with ), as shown in Fig. 17. Meanwhile, the optimum load impedance at 3.5 GHz is higher than the optimum impedance at 9.5 GHz, as depicted in the load–pull simulation results in Fig. 7. For the matching network without DM in DPA-I, we have to choose one optimum impedance, which could degrade the PA’s performance at some frequencies. Therefore, the DM network could be used to improve the DPA’s efficiency in a wideband. The operation flowchart is shown in Fig. 18. The feed-forward control modes are trained first to obtain the optimized lookup table of operation mode. The DPA output is then collected from various combinations of the ACW, RF signal, and matching modes. Hence, the control

Fig. 18. Operation flowchart of the DPA-II.

signal of the optimized operation mode for the DM network can be chosen according to the lookup table, real-time ACW, and RF signal. Compared to the DPA-I shown in Fig. 10, the ACW resolution is increased to 10 bits (i.e., 6-bit MSB and 4-bit LSB) in DPA-II. MSB is thermometer code and LSB is binary code. The dimension of the cascode devices for MSB is 50 m/40 nm. The sizes of the cascode devices for the LSB0, LSB1, LSB2, and LSB3 are 3.125, 6.25, 12.5, and 25 m/40 nm, respectively. The proposed DM network could be reconfigured by switched capacitors. Four switched loaded capacitors (i.e., controlled by digital bits and ) are tapped and embedded on the secondary winding of the SSI transformer. Other switched parallel capacitors are located at primary and secondary windings ports of the SSI transformer (i.e., controlled by digital bits , , , and , respectively). Note that , , and are binary codes, which could achieve a wide capacitance tuning range. By controlling the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. QIAN et al.: WIDEBAND DPAs WITH EFFICIENCY IMPROVEMENT USING 40-nm LP CMOS TECHNOLOGY

Fig. 19.

9

of the DM network under various modes for DPA-II.

TABLE I TUNABLE MATCHING NETWORK AT DIFFERENT OPERATION MODES

switched loaded capacitors , the current distribution on the transformer windings is varied, which affects the mutual inductance and coupling factor of the SSI transformer [21], [22]. Therefore, compared to the conventional loaded transformers [23], the equivalent inductance and capacitance of the proposed transformer could be both finely tuned. Meanwhile, the arrangement of parallel capacitors and have a significant influence on the frequency response of the total matching network. Fig. 19 depicts typically simulated insertion loss of the DM network under cases of optimized frequency responses at 3, 4, 5, and 6–10 GHz, respectively. The values of the components for each operation mode are shown in Table I. It is notable that the input impedance of the DM network is tuned towards the optimum impedance by different matching configurations, as shown in Fig. 20. Therefore, the DM network could operate as the DPA-II’s dynamic load impedance to improve the output power and back-off efficiency.

Fig. 20. Simulation results of the normalized input impedance for the DM network in DPA-II from 3 to 10 GHz.

Fig. 21. Chip microphotograph of DPA-II.

B. Fabrication and Measurement The chip (i.e., DPA-II) based on the DPA achitecture in Fig. 16 is fabricated using a 40-nm CMOS 1p7m LP technology, as shown in Fig. 21, where the core circuit size is about 0.36 mm 0.67 mm. The measurement setup is similar as the DPA-I. The ACW and DM codes generated by MATLAB are injected into the chip through the SPI interface. The DM modes are determined following the operation flowchart in Fig. 17. As depicted in Fig. 22, under various matching modes (i.e., A, B, and C), the peak drain efficiency is improved from 3.1 to 6 GHz significantly, compared with the performance without DM (i.e., matching C with all DM control bits ). At 3.6 GHz, the DPA achieves 7.5% drain-efficiency improvement. It exhibits the peak output power of 22.3 dBm, drain efficiency of 47.4%, and peak PAE of 39.8% at 5.3 GHz with DM. The operation band of the DPA-II is from 3.1 to 9.4 GHz

Fig. 22. Measured frequency responses of the output power, drain efficiency, and PAE of the proposed DPA-II under various matching modes (i.e., A, B, and C). (Drain efficiency: DE.)

with an FBW of 100.08%. Meanwhile, the measured 6-dB back-off drain efficiency performance is shown in Fig. 23.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 23. Measured drain efficiency at 6-dB power back-off of the proposed DPA-II under various matching modes (i.e., A, B, and C). TABLE II CONFIGURATION OF THE OPERATION MODES FOR VARIOUS MATCHING OF BACK-OFF STATUS Fig. 24. Measured results of DPA-II under various matching modes ( kHz). (a) AM–AM distortion, (b) AM–PM distortions. (c) Output power versus ACW. (d) Drain efficiency versus back-off power level.

VI. CONCLUSION

From 3.1 to 5.2 GHz, the back-off drain efficiency is improved significantly under various operation modes (i.e., maximum 5.7% at 3.8 GHz). The detail conditions for the operation modes (i.e., A, B, and C) at saturated and 6-dB back-off power levels are listed in Table II. Fig. 24 depicts the measured results of the AM–AM/AM–PM distortion, output power versus ACW, and back-off efficiency versus output power of DPA-II under various matching modes. The ACW is swept from 0 to 1023 to measure the AM–AM/AM–PM distortion and the output power. The distortion performance in different matching modes is similar, which can be improved significantly by the digital pre-distortion (DPD) with a lookup table to achieve good linearity. The drain efficiency can be improved at all the back-off power-levels with DM at 4 and 5.2 GHz, respectively, as shown in Fig. 24(d). V. COMPARISON OF STATE-OF-THE-ART PAs The performance comparison of both proposed DPAs and published researches are shown in Table III. It can be found that DPAs in our study are the only reported DPAs operating above 3 GHz with FBW %. The DPA-I and II exhibit the record high FBW of 92.3% and 100.08% respectively, compared to other DPA designs with competitive performances. Note that the power efficiency of the proposed two DPAs are almost doubled with three times reduction in area compared to a relevant conventional wideband PA [4]. The DPA-I shows comparable peak PAE of 39.3% at 5.1 GHz, which is about twice the operating frequency of previous DPAs [8]–[10] working below 3 GHz. Both DPAs exhibit peak output power of 22.2 and 22.3 dBm, and peak drain efficiency of 46.2% and 47.4%, respectively. In DM modes, the drain and 6-dB back-off efficiency of the proposed DPA-II achieves maximum 7.5% and 5.7% improvement, respectively.

In this paper, two on-chip wideband DPAs intended for polar transmitter design with efficiency improvement technology have been presented. The design procedure for the wideband Class-E DPA with a compact matching network based on an SSI transformer has been investigated. The proposed wideband matching network has the merits of a high impedance-conversion ratio, strong passive efficiency, and miniaturized size. To further improve the wideband saturated and back-off power efficiency of the DPA, the feed-forward mechanism has then been introduced using the DM network. To verify the principle of the wideband DPA, two DPAs with and without feed-forward control have been implemented and fabricated in a 40-nm LP CMOS technology. The measured DPAs have shown the record FBWs, i.e., 92% and 100.8%, respectively. Meanwhile, the DPAs has exhibited peak output power of 22.2 and 22.3 dBm, and peak drain efficiency of 46.2% and 47.4% respectively. In DM modes, the drain and 6-dB back-off efficiency of the proposed DPA-II has achieved maximum 7.5% and 5.7% improvements, respectively. With good performance, these wideband DPAs open up opportunities to fulfill the wideband wireless communication. APPENDIX A The following tree important issues are of concern for on-chip inductor design [24]: 1) inductor’s -factor; 2) parasitic capacitance intrinsically introduced by the inductor; and 3) circuit size. To alleviate these issues, the SII with a model of transmission line is introduced, as depicted in Fig. 25(a), which consists of four parts: , , , and (i.e., means characteristic impedance and means electrical length). Since the proposed inductor has a symmetrical topology, the odd- and even-mode analysis can be adopted to characterize it. For the odd-mode excitation, there is a voltage null along the symmetrical plane – of the scheme, which leads to the approximate equivalent circuit shown in Fig. 25(b). The resulting input impedance of odd-mode can then be expressed as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. QIAN et al.: WIDEBAND DPAs WITH EFFICIENCY IMPROVEMENT USING 40-nm LP CMOS TECHNOLOGY

11

TABLE III PERFORMANCE SUMMARY AND COMPARISON TABLE WITH RELEVANT STATE-OF-THE-ART PAs

Fig. 25. Configuration of the center-tapped SII with open-stubs. (a) Transmission-line model. (b) Odd mode. (c) Even mode.

(26)

Fig. 26. -factor and inductance adjustment comparisons of the SIIs and conventional inductor with similar size.

where

(32) (33)

(27) (28)

The -parameter (i.e., pressed as follows:

and

(34)

(29) For the even-mode excitation, there is no current flow through the symmetrical plane – . Thus, the input impedance for even-mode , shown in Fig. 25(c), is derived as (30) where

) of the SII can then be ex-

(35) Thus, the -factor and inductance can be derived as follows:

of the center-tapped SII (36) (37)

(31)

where is the operation frequency. From the equations, two functions can be concluded, which are: 1) the -factor of the SII can be finely adjusted over a relatively wide range, which

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 27. System simulation of the digital polar transmitter with WLAN 802. 11a: close-in spectrum and constellation diagram.

simulator. The normalized output spectrum and constellation diagram are depicted in Fig. 27, which are under the standard of IEEE wireless local area network (WLAN) 802.11a 54-Mb/s with 20-MHz bandwidth at a center frequency of 5.2 GHz. The close-in spectrum meets the mask with a large margin, which verifies that the proposed digital polar transmitter support 64-QAM (blue) and BPSK (red) signals simultaneously. Meanwhile, Fig. 28 exhibits the simulated error vector magnitude (EVM) versus delay mismatch of AM and PM signals. It can be seen that the delay mismatch introduces strong degradation on EVM. The EVM is below 26 dB with lower than 5-ns delay mismatch, which is acceptable in conventional 40-nm CMOS technology. Besides, the EVM performance under different wideband modulation signals is shown in Fig. 29. It is notable that as the modulation bandwidth increasing from 20 to 160 MHz, the EVM degrades significantly from 60.8 to 28.1 dB. Therefore, the wideband modulation up to 160 MHz could be potentially supported by the proposed architecture. REFERENCES

Fig. 28. Simulated EVM versus delay mismatch between AM and PM signals.

Fig. 29. Simulated EVM versus modulation bandwidth.

will maximize at the desired inductance value and 2) size miniaturization with required inductance can be achieved by proper adjustment of strip width (i.e., ) and length (i.e., ), as shown in Fig. 26. This is in contrast with the conventional center-tap uniform inductor, where such freedom is limited. APPENDIX B To demonstrate the performance of the proposed digital polar transmitter, system simulations are carried out in an ADS

[1] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA, USA: Artech House, 2006. [2] M.-C. Chuang, P.-S. Wu, M.-F. Lei, and H. Wang, “A miniature 15–50-GHz medium power amplifier,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2006, pp. 471–474. [3] K. W. Hamed, A. P. Freundorfer, and Y. M. M. Antar, “A new broadband monolithic passive differential coupler for K/Ka-band applications,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2527–2533, Jun. 2006. [4] H. Wang, C. Sideris, and A. Hajimiri, “A CMOS broadband power amplifier with a transformer-based high-order output matching network,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2709–2722, Dec. 2010. [5] P. C. Huang, Z. M. Tsai, K. Y. Lin, and H. Wang, “A 17–35 GHz broadband, high efficiency PHEMT power amplifier using synthesized transformer matching technique,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 1, pp. 112–119, Dec. 2012. [6] K. Han and X. Luo, “A 9.5–18.5 GHz power amplifier for multi-band microwave point-to-point backhaul communication,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2014, pp. 339–342. [7] P. Reyneart and M. S. J. Steyaert, “A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2598–2608, Dec. 2005. [8] D. Chowdhury, L. Ye, E. Alon, and A. M. Niknejad, “An efficient mixed-signal 2.4-GHz polar power amplifier in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 46, no. 8, pp. 1796–1809, Aug. 2011. [9] S.-M. Yoo et al., “A class-G switched-capacitor RF power amplifier,” IEEE J. Solid-State Circuits, vol. 48, no. 5, pp. 1212–1224, May 2013. [10] S. Zheng and H. C. Luong, “A CMOS WCDMA/WLAN digital polar transmitter with AM replica feedback linearization,” IEEE J. SolidState Circuits, vol. 48, no. 7, pp. 1701–1709, Jul. 2013. [11] L. Ye, J. Chen, L. Kong, E. Alon, and A. M. Niknejad, “Design considerations for a direct digitally modulated WLAN transmitter with integrated phase path and dynamic impedance modulation,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 3160–3177, Dec. 2013. [12] S.-M. Yoo, J. Walling, E.-C. Woo, B. Jann, and D. Allstot, “A switchedcapacitor RF power amplifier,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 2977–2987, Dec. 2011. [13] A. Kavousian, D. Su, M. Hekmat, A. Shirvani, and B. Wooley, “A digitally modulated polar CMOS power amplifier with a 20-MHz channel bandwidth,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2251–2258, Oct. 2008. [14] H. Qian, J. O. Liang, and X. Luo, “A 3.5–9.5 GHz compact digital power amplifier with 39.3% peak PAE in 40 nm CMOS technology,” in IEEE MTT-S Int. Wireless Symp., Shenzhen, China, Apr. 2015, pp. 1–4. [15] N. O. Sokal and A. D. Sokal, “Class E—A new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SSC-10, no. 6, pp. 168–176, Jun. 1975.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. QIAN et al.: WIDEBAND DPAs WITH EFFICIENCY IMPROVEMENT USING 40-nm LP CMOS TECHNOLOGY

[16] M. Acar, A. J. Annema, and B. Nauta, “Analytical design equations for class-E power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 12, pp. 2706–2717, Dec. 2007. [17] D. K. Choi and S. I. Long, “Finite DC feed inductor in class E power amplifier—A simplified approach,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 1643–1646. [18] M. Acar, A. J. Annema, and B. Nauta, “Analytical design equations for class-E power amplifiers with finite DC-feed inductance and switch on-resistance,” in IEEE ISCAS Symp., May 2007, pp. 2818–2821. [19] L. Besser and R. Gilmore, Practical RF Circuits for Modern Wireless Systems, vol. 1 Passive Circuits and Systems. Norwood, MA, USA: Artech House, 2003. [20] J. R. Long, “Monolithic transformer for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [21] X. Luo et al., “Tunable bandpass filter with two adjustable transmission poles and compensable coupling,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2003–2013, Sep. 2014. [22] S. M. Bowers, K. Sengupta, K. Dasgupta, B. D. Parker, and A. Hajimiri, “Integrated self-healing for mm-wave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1301–1315, Mar. 2013. [23] W. Fei, H. Yu, H. Fu, J. Ren, and K. S. Yeo, “Design and analysis of wide frequency-tuning-range CMOS 60 GHz VCO by switching inductor loaded transformer,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 3, pp. 699–711, Mar. 2014. [24] L. Jin and X. Luo, “Ultra-low phase-noise VCO for microwave/mmwave point-to-point backhaul communication,” in IEEE MTT-S Int. Wireless Symp., Shenzhen, China, Apr. 2015, pp. 1–4.

Huizhen Jenny Qian (S’13) received the B.E. degree and Master’s degree (both with highest honors) in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2008 and 2011, respectively. From 2011 to 2013, she was a Senior Engineer with Monolithic Power Systems, where she was involved with power electronics research and development. From September 2013 to October 2015, she was a Research Associate with Delft University of Technology, where she was involved with the wideband digital polar transmitter and array system. Since November 2015, she has been with the Center for Integrated Circuits, UESTC. Her research interests include digital-assisted RF/microwave/millimeter-wave transceiver design. Miss Qian was the recipient of the 2015 International Wireless Symposium Best Paper Award (1st Place) and Advanced Micro Devices (AMD) Scholarship Award in 2010.

13

Jian Orion Liang was born in Sichuan, China. He received the Ph.D. degree in electronic engineering from Southeast University, Nanjing, China, in 2000. In 2000, he joined Maxim Integrated Products, as Senior and Principle System Engineer involved with wireless transceiver integrated circuit (IC) design. Since 2008, he has been with the Huawei Technologies Company Ltd., Shenzhen, China, where he is currently the Principle Engineer and leader of the Transceiver IC System Engineering Group. His research interests include wireless transceiver IC architecture and microwave and millimeter communication. He has filed/holds over ten patents in wireless communication fields.

Xun Luo (S’08–M’11–SM’12) was born in Sichuan, China. He received the B.E. degree (with highest honors) and Ph.D. degree (with highest honors) in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2005 and 2011, respectively. From 2002 to 2005 and 2006 to 2008, he was with Chengdu Sine Science and Technology Ltd., as the Deputy Team-Leader of microwave wideband front-end R&D projects. From 2005 to 2006, he was with the 802nd Research Institute, Shanghai Academy of Spaceflight Technology (SAST), where he was involved with a project involving microwave receivers for space communication. In 2007, he was with Philips Research East-Asia, Shanghai, China, where he developed radio front-end of multi-mode/multi-card mobile systems. From 2010 to 2013, he was with the Huawei Technologies Company Ltd., where he was the Senior Researcher and then General Project Manager (PI) leading the research and development of multi-bands P2P microwave/millimeter-wave system-on-chip (SoC), system, and standard for backhaul communication. In July 2013, he joined the Electronics Research Laboratory, Delft University of Technology, Delft, The Netherlands, as an Assistant Professor. Since June 2015, he has been with UESTC as a Full Professor and Huawei Endowed Chair of the Center for Integrated Circuits, UESTC. He has authored or coauthored more than 40 journal and conference papers. He has filed/holds 30 patents in communication fields. His research interests include terabits-wireless transmission, multi-bands backhaul systems, digital-assisted microwave/millimeter-wave/terahertz transceivers, and system-in-package (SIP) research and development. Dr. Luo serves as a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Editorial Review Board. He was the recipient of the 2008 Huawei Scholarship, the 2009 Intel Fellowship, the 2009 Rohde & Schwarz Scholarship, and the 2010 Mediatek Scholarship. While with the Huawei Technologies Company Ltd., he was the recipient of the 2011 Huawei Transmission Network Reseach and Development Advanced Technology Achievement Award (1st Place), the Huawei Distinguished Young Engineer Award in 2011 and 2012, and the Huawei President Award in 2013. He was the recipient of the 2013 Sichuan Province Outstanding Doctoral Dissertations Award. He was bestowed, by China, as the recipient of The Recruitment Program of Global Experts in 2015. He was a corecipient of the 2015 International Wireless Symposium Best Paper Award (1st Place) and the 2015 Huawei Cooperation Contribution Award.

688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Analysis and Design of a Broadband SiGe HBT Image-Reject Mixer Integrating Quadrature Signal Generator Yatao Peng, Lijun Zhang, Member, IEEE, Jun Fu, and Yudong Wang

Abstract—In this paper, a broadband upconversion image-reject mixer integrating a quadrature signal generator is presented. The polyphase networks (PPNs ) are used to convert the singleended local-oscillator input signal to quadrature signals. A pair of inductors is added to traditional PPN to enhance the return loss performance, while optimizing the image-reject ratio. A commonemitter tranconductance using the feedforward technique is applied to a quadrature active Gilbert mixer to obtain relative high linearity, and the optical solution for high-frequency applications is given and analyzed. An active differential to single totem-pole balun is applied as the output stage, which is well matched to load impedance and can provide medium output power. Measured results show excellent performance in the designed frequency from 400 MHz to 6 GHz. Index Terms—Feedforward, image reject, quadrature mixer, RC polyphase network.

I. INTRODUCTION

A

MIXER is one of the essential components for wireless transceivers to complement the frequency translation. To adapt broadband communication applications, the broadband and multimode mixer attracts extensive research [1]–[6]. The image- reject mixer can eliminate the signal in image frequency, thus stringent requirements for the image-reject filter can be released for wireless communication systems [7]. There are mainly two methods to design an image-reject mixer. One way is to integrate a notch filter in the mixer to suppress the image frequencies [8], [9], which is often narrowband for limitation of broadband notch filter implementation. Another method is the quadrature mixer (QM), which mixes the in-phase (I) and quadrature (Q) input radio-frequency signals (downconversion mixer) or baseband signals (upconversion mixer) with in-phase and quadrature phase local signals [10]–[12]. The QM is inherently a single-band mixer, and image frequency can be cancelled out totally in theory. The key point to realize Manuscript received June 11, 2015; revised September 19, 2015; accepted November 13, 2015. Date of publication December 18, 2015; date of current version March 03, 2016. This paper is an expanded version from the IEEE MTT-S International Wireless Symposium, Shenzhen, China, March 30–April 1, 2015. Y. Peng and L. Zhang are with the Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China (e-mail: [email protected]). J. Fu and Y. Wang are with the Institute of Microelectronics, Tsinghua University, Beijing 100084, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2503754

a broadband QM is the generation of broadband quadrature local-oscillator signals. Several broadband QMs have been reported in [13]–[15]. All of these QMs only cover a frequency of about 1 to 3.6 GHz, and the linearity is not high enough. In this paper, we present an upconversion broadband quadrature active mixer covering a frequency from 400 MHz to 6 GHz, which is an expansion of our research [16]. To reduce the use of extra chip components, a broadband quatrature signal generator is integrated in the chip. The mixer is linearized by a feedforward technique, where an auxiliary transconductance ( ) cell is added to eliminate the third-order intermodulated distortion current of the main cell. In addition, differential output signals of the Gilbert mixer are converted to a single-ended signal by a totem-pole output stage, which meanwhile serves as a prepower amplifier (PPA) to provide medium output power. An inductor is added for broadband matching output impedance to load impedance (50 ). The mixer is designed and fabricated using the IBM 0.13- m SiGe BiCMOS technology. Measured results show that this design has superior sideband suppression and linearity for broadband operation. II. CIRCUIT ANALYSIS Fig. 1 shows the block diagram of the designed quadrature upconversion mixer. It mainly contains four parts, namely: 1) the quadrature signal generator; 2) the quadrature Gilbert mixer cell; 3) the output differential to single-ended balun; and 4) the bandgap reference. The quadrature signal generator is applied to transform single-ended LO signal to quadrature signals, that is, , , , and . The quadrature mixer core is used to achieve frequencies translation with image reject, which means that a pair of double-balanced Gilbert mixers operating in quadrature mode are used. The differentialto single-ended active balun is also integrated in the chip to realize single-ended output and provide medium output power, which is convenient to connect the power amplifier without using extra off chip balun components and preamplifiers. The bandgap is used to provide stable-biased currents for different circuit parts in the chip. In the following parts, we will show and analyze each circuit module, respectively. A. Quadrature Signal Generator The PPNs are widely applied to generate quadrature signals since they have excellent tolerance performance for process variation and can handle broadband signals when multistage networks connect in series [17], [18]. But every

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

PENG et al.: ANALYSIS AND DESIGN OF BROADBAND SiGe HBT IMAGE-REJECT MIXER

689

Fig. 1. Quadrature mixer block diagram.

Fig. 2. Modified three-stage PPNs with a pair of inductors.

stage PPN introduces 3-dB insertion loss; thus, gain compensate amplifiers (GCAs), as shown in Fig. 1, should be added to keep signals , , , and large enough to drive current commuting switches of the Gilbert active mixers. These amplifiers will degrade quadrature performance for process variation and bring extra power dissipation. The input port of the first three-stage of PPN connects with an extra LO signal source directly; thus, it should match source impedance (50 ) in the design frequency. To enhance matching performance but without influencing quadraturity, a pair of inductors is added to the conventional three stages PPN (i.e., Type II PPNs defined in [18]), as shown in Fig. 2. , , and ( 1,2,3) are resistors, capacitors, and equivalent load impedances of the th PPN stage, and is the inductance value of the added inductors. This threestage PPN can be compatible with differential- and singleended LO input signals. For traditional three-stage Type II PPNs as shown in Fig. 3(a), the input impedance can be deduced as (1), shown at the bottom of the next page, for and . Based on , the return loss is plotted in Fig. 3(b). It can be seen that the return loss of the traditional three-stage PPNs is enhanced with increasing, but still hardly satisfies the application requirement although large is applied. When the inductors are added as shown in Fig. 2, then the input impedance can be calculated as (2), shown at the bottom of the next page. Fig. 4 shows that the return loss varies with . It

Fig. 3. (a) Traditional Type II three-stage PPN. (b) Return loss varied for traditional three-stage PPNs. with

Fig. 4. Return loss of the modified three-stage PPN at different with 100 and 4 pF, 1 pF, 0.5 pF.

can be found that the introduced inductors improve matching performance apparently. For a single-stage traditional PPN, the transmission matrix can be given as [18],

(6) and are differential voltages of I and where Q output signals, respectively. For single-stage PPN with added

690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

inductors when the input signal is single ended, that is, , the output signals can be deduced as (7) (8) The second and third stages of the modified three-stage PPN are traditional PPN. Based on (6), (7), and (8), the voltage ratio between output Q and I branch of the three-stage PPN with inductors in Fig. 2 can be deduced as (9) where (10) (11) (12) For the traditional three-stage Type II PPN with differentialended input signals, the I and Q output signal ratio is given as [17] (13) where

Fig. 5. IRR of the modified PPN at different 0.5 pF.

with

4 pF,

1 pF,

IRR of three-stage PPN with different and traditional differential input three-stage PPN without inductors. It can be seen that with increasing, IRR increases in the design frequency. But when is large enough that results in nearly open for radio frequency, the modified PPN degenerated to Type I PPN defined in [18] with a single-end input signal. Then, nodes and are virtual ground. In this condition, the IRR is the same as the traditional Type II PPN. Therefore, the matching inductors cannot only enhance the return loss but also improve the quadrature performance to a certain extent. B. Mixer Core

(14) (15) The quadrature performance of output I/Q signals can be judged by the image-reject ratio (IRR) [18], which is expressed as (16) where and is the amplitude ratio of I and Q output voltage and phase deviation from an ideal between the I and Q branches, respectively. Based on (9) and (13), Fig. 5 shows an

The configuration of the quadrature mixer is depicted in Fig. 6. Both the in-phase branch and quadrature branch are a double-balanced Gilbert mixer with a collector cross-coupling linearity enhanced cell. The output currents of the I and Q branches are superposed and share the same loaded components . Load inductors and are applied to improve conversion gain at high frequency, which will expand the operating bandwidth to some extent. The feedforward cell is constructed with a pair of differential common emitter (CE) amplifiers with degenerated resistors [19]. One is the main transconductance amplifier (MTA) used to convert the input baseband voltage signal to the current

(1) (2) where (3) (4) (5)

PENG et al.: ANALYSIS AND DESIGN OF BROADBAND SiGe HBT IMAGE-REJECT MIXER

691

Fig. 6. Configuration of the quadrature mixer cell.

signal, and another is an auxiliary transconductance amplifier (ATA) used to eliminate the third-order intermodulated distortion current of MTA, while decreasing the base frequency current slightly. For a CE transconductance amplifier with a degenerated resistor and biased at , the small-signal output current can be expressed as [20], [21] (17) And (18) (19) (20) where the subscript “ ” represents “ ” for MTA and represents “ ” for the ATA, respectively, and is the thermal voltage. In general, MTA operates at a large biased current ( is equal to several mA) with a small degenerated resistor to ensure enough gain, but ATA works at low biased current and a large degenerated resistor to provide the same magnitude of third distortion current as MTA. Suppose and to achieve third-order distortion current elimination, the third-order coefficient should satisfy

Fig. 7. Current simulated results for an example of the linearized and (b) at . at

cell (a)

(21) Then, the degenerated resistors of MTA and ATA can be chosen to satisfy the following relationship:

(22) The output collector currents of MTA and ATA subtract from each other by way of cross connect, then the 3rd distortion

current of the cell can be eliminated totally once (22) is satisfied. Excited with two tones ( 4.5 MHz, 3.5 MHz), Fig. 7 provides the simulated results of baseband current and third-order inter-modulated current of MTA, ATA, and the entire cell. This example circuit is operating at 2.3 mA, 100 A, 25 and . It can be found, with ATA, that decreases slightly and while decreases from 1 A to about 0.15 A, it will result in an improvement of linearity apparently.

692

Fig. 8. Modified CE transconductance with a degenerated high-frequency applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

resonator for

For high-frequency applications, such as the downconversion mixer, the current phase of MTA and APA can be hardly kept the same, which will deteriorate the intermodulated distortion current cancelation. This is because of a different frequency response between MTA and APA for their different operating states and device parasitic parameters. In order to extend the distortion cancellation to a higher frequency, the degenerated resistors are replaced by parallel networks ( and ) in ATA as mentioned in [22]. The theories are given in the Appendix. C. Output Differential- To Single-Ended Balun The output balun circuit achieves differential- to single-ended transformation and provides medium output power. Its circuit structure is shown in Fig. 9(a), which consists of an emitter follower and a common emitter amplifier [23]. Resistor and inductor are used for broadband matching. and with the same emitter area are biased at the same static current. The biased current is supplied by the integrated bandgap reference circuit. The small-signal equivalent circuits are illustrated in Fig. 9(b). The voltage gain can be deduced as

Fig. 9. Output stage of (a) the structure of the output balun stage and (b) the small-signal equivalent circuit of the output stage.

(23) (24) (25) (26) (27) where is the source impedance (output impedance of prestage and the base parasitic resistance ), is the base-emitter resistance and is the base-emitter capacitance, and is the transconductance of and . Based on (23), the output stage voltage gain is plotted with a frequency under different values of in Fig. 10. It can be seen that the matching inductor can expand bandwidth and increase gain to some extent. Meanwhile, the gain of the push-pull output stage [24] is also plotted in Fig. 10, and the gain of the used totem-pole output stage provides about 3 dB higher gain than the push-pull stage. The static current of and is set relatively large to ensure enough output power and linearity. Thus emitter area is

Fig. 10. Voltage gain varies with frequency under different balun stage.

of the output

chosen large to satisfy current density of the process requirement, which results in the fact that the influence of base-collector capacitance should be considered in the equivalent circuits. The output impedance of the equivalent circuit can be deduced as (28)

(29)

PENG et al.: ANALYSIS AND DESIGN OF BROADBAND SiGe HBT IMAGE-REJECT MIXER

693

where is the base-collector capacitance of and ; is the emitter output impedance of with ; and is the collector output impedance of the . Thus, the output impedance of the entire output balun circuit is calculated as (30) At the low-frequency range, and , then . When is chosen about 50 considering , output impedance can be well matched to 50- load impedance at low frequency. With the frequency increasing, condition cannot be ensured anymore for the impact of , then inductor is added to provide high-frequency matching. To illustrate the effect of , Fig. 11 shows that the calculated imaginary and real parts of vary with frequency based on (30). The graph is plotted under the parameter of 45 , 0.9 S, 4.1 pF, 0.62 pF, 30 k , 12 k . It can be seen that without , the imaginary part of decreases with the frequency rising, but with the help of , the imaginary part of is kept near zero, which will enhance output port matching performance apparently.

Fig. 11. Influence of

on the output impedance of the output stage.

III. MIXER DESIGN Based on the analysis in Section II, the upconversion mixer is designed using the IBM 0.13- m SiGe BiCMOS process, which endows higher output power and cutoff frequency in the same technology node when compared with the RF-complementary metal–oxide semiconductor (CMOS) process. The process provides two kinds of heterojunction bipolar transistors (HBT) transistors, namely, the high breakdown HBT with base-open collector-emitter breakdown voltage 3.5 V, transition frequency 57 GHz, and high HBT with 1.8 V, 200 GHz. In this design, the high breakdown transistors are adopted for consideration of enough conversion gain and medium output power. The quadrature signal generator circuit is designed first. As shown in Fig. 1, two three-stage PPNs (A and B) and two gaincompensated amplifiers are used. The PPN A covers a frequency band of about 0.4 to 2.5 GHz, and the PPN B covers a frequency from about 2.5 to 6 GHz. In three-stage PPN, the resistor value of each stage is the same ( ) and the capacitor’s value decreases ( ) to change resonant frequencies. PPNA circuit parameters values are chosen as 100 , 3.1 pF, 1.81 pF, 0.92 pF, 3 nH. The PPN B circuit parameters values are set as: 50 , 1.6 pF, 0.98 pF, and 0.62 pF. The first gain compensate amplifiers (GCA-I-A and GCAQ-A) are designed using the cross-coupled cascoded amplifier structure to eliminate the Miller capacitance and reduce the amplifiers' input capacitance [25]. The second gain compensate amplifier (GCA-I-B and GCA-Q-B) consists of the degenerated resistor’s amplifier to extend the operating bandwidth and a magnitude-limiting amplifier to prevent quadrature signals applied to the Gilbert mixer that is too large (not exceed 300 mV in this design) to deteriorate linearity [26]. The entire voltage gain is about 32 dB and fluctuation is no more than 2 dB. Fig. 12

Fig. 12. Postsimulated results of the I and Q quadrature performance of the LO part.

TABLE I COMPONENT VALUES AND TRANSISTOR DIMENSIONS OF FIG. 6

shows the postsimulated magnitude ratio and phase difference between I and Q output signals of the quadrature signals’ generator. The phase difference between the I branch and Q branch is less than 0.6 compared to 90 0, while the magnitude ratio extremely approaches 1. Thus, LO process circuits show excellent quadrature performance in the designed frequency band. In this design, the cell is operating at baseband; thus, the phase-compensated capacitors at ATA are unnecessary. The MTA and ATA are biased at 6.5 mA and 300 A, respectively, which are all supplied by the integrated bandgap reference. The emitter-degenerated resistors are chosen based on (22) to ensure the elimination of third-order distortion current. The emitter current density of current-commuting switches transistors is set to guarantee that they work at their max transition frequency. The load resistors and inductors are designed for comprehensive consideration of headroom and gain. The component value and the transistor’s device in Fig. 6 are listed in Table I.

694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 13. Die picture of the fabricated quadrature mixer.

The totem-pole output stage is biased at 25 mA with a current mirror with beta-helper [24], and the emitter area is about 72 . The emitter-degenerated resistor is chosen as 30 ( 30 ) for compromise consideration of linearity and gain. 35 and 0.9 nH are set to obtain acceptance return loss ( 10 dB) covering 400 MHz to 6 GHz.

Fig. 14. Measured and simulated -parameter of the LO port and RF output port.

IV. MEASURED RESULTS The photograph of the fabricated die is illustrated in Fig. 13, where the A, B, C, and D area represent the LO circuit, mixer core circuit, output balun, and integrated bandgap reference, respectively. The layout follows symmetry principles strictly in all differential and quadrature signals process parts. The die is about 1400 m 1800 m in area including ESD-protected pads. The die was bonded to the printed-circuit board for testing. The dielectric substrate used for the design is Roger-4350B with dielectric constant 3.66, thickness 0.76 mm, and loss tangent 0.004. The LO signal can be single ended or differential which depends on practical applications. When the single-ended signal is used, another port should couple to ground by a capacitor as shown in Fig. 1. The chip is biased at 5 V, and the measured total static current is about 175 mA. Fig. 14 shows the small-signal simulated and measured results of the LO input port and output port of the balun. As can be seen, the LO input port shows 8.5 dB between 0.5 GHz~6 GHz, which is acceptable for application. The output port shows excellent return loss over the entire designed band. Assume the LO frequency is and baseband frequency 1 MHz . Fig. 15 shows the measured output power 1-dB compression point (P1dB) and power gain versus output frequency . P1dB decreases with frequency increasing, and is better than 4.7 dBm at 6 GHz. The conversion power gain is about 10 dB at low frequency and reduces to about 6 dB at high frequency. Sideband suppression ( ) and LO feedforward (LOF) are shown in Fig. 16. It can be seen the sideband suppression (i.e., IRR) is better than 38 dBc and the LO leakage is about 30 dBm at the high-frequency band, while it is no more than 40 dBm at the low frequency band ( 2.5 GHz). The second harmonics ( ) and third harmonics ( ) performance is shown in Fig. 17. The measured results show the chip-endowed excellent third harmonic suppression for using the feedforward technique, but the second harmonics suppression worsens with frequency dramatically. The linearity-measured results are illustrated in Fig. 18, where two separated tones (i.e., 3.5 MHz and 4.5 MHz) are used as baseband input ports excitations. The measured output third-order intercept point (OIP3) is better than 14 dBm in

Fig. 15. Measured results: (a) power gain and (b) P1dB against frequency.

the entire operating band. The output second-order intercept point (OIP2) exceeds 70 dBm at the low-frequency band, but degenerates to about 50 dBm at 6 GHz. All aforementioned single-tone and two-tone test results are measured under LO input power that is equal to 0 dBm. The discrepancy between simulated and measured results of 2nd harmonics suppression and OIP2 is relatively large. This is because these performances are closely related to symmetries of differential circuit layouts and board-level test systems. The asymmetries may be caused by process variation and the connected cables of the test system, which are not taken into account in simulation. It is worth mentioning that baseband frequency is often no more than 100 MHz in the direct upconversion mixer. This low frequency for baseband input ports influences output performance slightly since degenerated resistors (i.e., ) expand the bandwidth of the cell.

PENG et al.: ANALYSIS AND DESIGN OF BROADBAND SiGe HBT IMAGE-REJECT MIXER

695

Fig. 16. Sideband suppression and LO feedforward versus frequency: (a) IRR. (b) LOF. Fig. 18. Linearity performance against frequency: (a) OIP3. (b ) OIP2.

Fig. 17. Harmonics suppression performances: (a) 2nd harmonics and (b) 3rd harmonics.

In order to weigh LO signal magnitude impacts on circuit performance, the LO input signal power sweep measurements operate at a fixed frequency of 3 GHz. The one-tone measured results and the two-tone measured results are depicted in Fig. 19, where typical output power (Pout) is tested with an input baseband signal power of 10 dBm. It can be found that all performance index variations are no more than 5 dB when LO power increases from 9 to 9 dBm. Thus, the mixer has relatively high robustness for an LO signal power change. Table II shows a performance comparison with other broadband quadrature mixers. It can be found in the comparison that the designed QM-integrated LO quadrature signal generator shows superior performance in linearity and sideband suppression in broader operating bandwidth. V. CONCLUSION A 400-MHz to 6-GHz upconversion quadrature mixer is analyzed, designed, fabricated, and measured in this study. The mixer integrates a broadband LO quadrature process circuit for

Fig. 19. Measured against different input LO power at 3.5 GHz. IRR: imagereject ratio, LOF: LO feedforwared, SHS: second harmonic suppression, THS: third harmonic suppression.

transforming a single-ended input LO signal to quadrature signals. A pair of inductors is added to traditional RC PPN to

696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

TABLE II PERFORMANCE COMPARISON OF THE DESIGNED MIXER WITH OTHER LITERATURE AND PRODUCTS

enhance IRR and input-matching performance. A feedforward technique is applied to improve the linearity of the mixer, and the theory is given. The output balun serves as the output stage to convert the mixer's differential output signal to a single-ended signal, and an inductor and a resistor are added for broadband output match. The mixer is fabricated by the BM 0.13- m SiGe BiCMOS process, and the measured results show high performance in sideband suppression and linearity in the design band. The mixer has broad applications in wireless communication systems, such as wideband code-division multiple access, wireless local-area network, and Global System for Mobile Communications. APPENDIX FREQUENCY ANALYSIS OF FEEDFORWARD

CELL

To evaluate the MTA and ATA distortion cancellation performance at high frequency, the transmission function at the intermodulated frequencies should be obtained. For a common emitter transconductance degenerated with a parallel RC resonant network [Fig. 20(a)], based on its small-signal nonlinear equivalent circuit as shown in Fig. 20(b), the output current can be expressed as [28]

Fig. 20. Diagram for frequency analysis of the feedforward cell: (a) emitter-degenerated RC network transconductance and (b) nonlinear equivalent circuit.

Assuming the input two tones are and , , the Volterra operator at as

,

, . Then, can be calculated

(37) where

(38) and

(31) where is the biased current of the common emitter transconductance, as shown in (32)–(36) at the bottom of the page.

contains phase and magnitude information at of the output current. Assuming , , . The phase of the third-order distortion current can be deduced as (39), shown at the top of the next page. Based on (39), the phase difference between MTA and ATA with degenerated resistors and RC networks varies with frequency

(32)

(33) (34) (35) (36)

PENG et al.: ANALYSIS AND DESIGN OF BROADBAND SiGe HBT IMAGE-REJECT MIXER

697

(39) where (40) (41)

Fig. 21. (a) Third intermodulated distortion current phase difference between MTA and ATA varies with the frequency under different emitter-degenerated cells. types. (b) Simulated IIP3 versus frequency for various

as illustrated in Fig. 21(a). It can be seen without the added capacitors that the phase between the MTA and ATA increases from 180 to 215 when the frequency increases from dc to 6 GHz, which will limit the linearity enhancement at high frequency when using this feedforward circuit. The decreases phase mismatch; thus, the emitter degenerated with RC networks of ATA ensures that the feedforward circuit is suitable for high-frequency linearity improvement applications, as the simulated input third-order intercept point (IIP3) shown in Fig. 21(b). REFERENCES [1] Y. S. Lin, C. L. Lu, and Y. H. Wang, “A 5 to 45 GHz distributed mixer with cascoded complementary switching pairs,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 495–497, Sep. 2013.

[2] T. Yang, K. Tripurari, H. Krishnaswamy, and P. R. Kinget, “A 0.5 GHz–1.5 GHz order scalable harmonic rejection mixer,” in Proc. IEEE Radio Frequency Integr. Circuits Symp., Jun. 2013, pp. 411–414. [3] J. Kang et al., “Ultra-wideband, high-dynamic range, low loss GaN HEMT mixer,” Electron. Lett., vol. 4, no. 12, pp. 295–297, Feb. 2014. [4] I. Nasr, B. Laemmle, K. Aufinger, G. Fischer, R. Weigel, and D. Kissinger, “A 70–90 GHz high-linearity multi-band quadrature reSiGe technology,” IEEE Trans. Microw. Theory ceiver in 0.35 Techn., vol. 61, no. 12, pp. 4600–4612, Dec. 2013. [5] F. Zhu et al., “A broadband low-power millimeter wave CMOS downconversion mixer with improved linearity,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, no. 3, pp. 138–142, Mar. 2014. [6] J. Zhang, M. Q. Bao, D. Kuylenstierna, S. Lai, and H. Zirath, “Trans-boosted trans-conformer-based broadband high-linearity HBT ductance mixers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 92–99, Jan. 2014. [7] J. Greenberg et al., “A 40 MHz to 1 GHz fully integrated multistandard silicon tuner in 80-nm CMOS,” IEEE J. Solid-State Circuits, vol. 48, no. 11, pp. 1–17, Nov. 2013. [8] C. P. Liang, P. Z. Rao, T.-J. Huang, and S. J. Chung, “A 2.45/5.2 GHz image rejection mixer with new dual-band active notch filter,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 716–718, Nov. 2009. [9] A. T. Phan et al., “A low noise image rejection down CMOS mixer circuits and systems,” in Proc. 47th IEEE Midwest Symp. Circuits Syst., Jul. 2004, pp. 327–330. [10] U. Karthaus, N. Alomari, G. Bergmann, and H. Schuhmacher, “High dynamic range, high output power I/Q modulator in 50 GHz fT SiGe technology,” in Proc. IEEE RFIC Symp. Dig., Jun. 2004, pp. 539–541. [11] A. Eroglu, “Non-invasive quadrature modulator balancing method to optimize image band rejection,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 2, pp. 425–434, Feb. 2014. [12] Y. Zhou, N. M. Filiol, and F. Yuan, “A quadrature charge-domain sampling mixer with embedded FIR, IIR, and -path filters,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 5, pp. 1431–1440, May 2015. [13] T. Tsukahara, “A 2 V 2 GHz Si-bipolar direct-conversion quadrature modulator,” IEEE J. Solid-State Circuits, vol. 31, no. 2, pp. 263–267, Feb. 1996. [14] K. Mori et al., “0.8–5.2 GHz band SiGe MMIC quadrature mixer for SDR direct conversion receivers,” in Proc. IEEE Int. Workshop RadioFreq. Integr. Technol.: Integr. Circuits Wideband Commun. Wireless Sens. Netw., Dec. 2005, pp. 42–45. [15] E. Tiiliharju and K. Halonen, “A 0.75–3.6 GHz SiGe direct conversion quadrature-modulator,” in Proc. 29th Eur. Solid-State Circuits Conf., Sep. 2003, pp. 565–568. [16] Y. Peng, L. Zhang, Y. Shen, J. Fu, and Y. Wang, “A 400 MHz to 4 GHz image-reject up convert mixer integrated a broadband quadrature signal generator,” in Proc. IEEE Int. Wireless Symp., Apr. 2013, pp. 1–4. [17] S. H. Galal, H. F. Ragaie, and M. S. Tawfik, “RC sequence asymmetric polyphase networks for RF integrated transceivers,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 47, no. 1, pp. 18–27, Jan. 2000. [18] J. Kaukovuori, K. Stadius, J. Ryynanen, and K. Halonen, “Analysis and design of passive polyphase filters,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 10, pp. 3023–3037, Nov. 2008. IIP3 [19] S. Otaka, M. Ashida, M. Ishii, and T. Itakura, “A SiGemixer with IM3 cancellation technique,” in Proc. IEEE ISSC Tech. Dig., Feb. 2004, pp. 398–399. [20] P. Wambacq and W. Sansen, Distortion Analysis of Analog Integrated Circuits. Norwell, MA, USA: Kluwer, 1998.

698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[21] H. Zhang and E. Sanchez-Sinencio, “Linearization techniques for CMOS low noise amplifiers: A tutorial,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 1, pp. 22–36, Jan. 2011. [22] I. Chen, B. Walker, R. Blanchard, and E. Balboni, “A 100–3000 MHz up/down-convert active mixer with integrated fractional- PLL and VCO,” in Proc. IEEE RFIC Symp. Dig., Jun. 2010, pp. 1–4. [23] B. Sam and P. Halford, “High-performance quadrature modulators for broadband wireless communication,” in Proc. IEEE Radio Frequency Integr. Circuits Symp. Dig., 2001, pp. 17–20. [24] P. Gray, P. Hurst, S. Lewis, and R. Meyer, Analysis and Design of Analog Integrated Circuits. Hoboken, NJ, USA: Wiley, 2009. [25] T. Ngo, C. Brannon, and J. Shier, “A low-power 3 V–5.5 V read/write preamplifier for rigid-disk drives,” in Proc. IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 1994, pp. 286–287. [26] J. Rogers and C. Plett, Radio Frequency Integrated Circuit Design. Boston, MA, USA: Artech House, 2003. [27] 0.35 GHz to 4 GHz quadrature modulators. [Online]. Available: http:/ /www.ti.com/lit/ds/symlink/trf370333.pdf [28] K. L. Fong and R. Meyer, “High-frequency nonlinearity analysis of common-emitter and differential-pair transconductance stages,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 548–555, Apr. 1998. Yatao Peng received the B.Eng. degree in information engineering from Tianjin Normal University, Tianjin, China, in 2010 and the Ph.D degree in electronics engineering from The University of Chinese Academy of Sciences (IMECAS), Beijing, China. Currently, he is an Associate Professor in the Institute of Microelectronics of IMECAS. His research interests include microwave passive component design and microwave/RF integrated-circuit design.

Lijun Zhang (M'02) received the B.Sc. degree from the Hefei University of Technology, Anhui, China, in 1987. From 1987 to 2008, he was a Research Project Leader with the China Academy of Electronics and Information Technology (CAEIT), China Electronics Technology Group Corporation (CETC), Anhui. Since 2008, as Professor and Deputy Director, he has been with the Department of Electronics System Technology, Institute of Microelectronics, Chinese Academy of Sciences (IMECAS), Beijing, China, where he is involved with the development of ultra-high-frequency and high-efficiency compounds for integrated-circuit components and integration technologies. His research interests include microwave high-power solid-state devices and solid-state transmitting systems.

Jun Fu, photograph and biography not available at the time of publication.

Yudong Wang, photograph and biography not available at the time of publication.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Comparison of Impulse Radar and Spread-Spectrum Radar in Through-Wall Imaging Xuehui Zhang, Xiaoli Xi, Member, IEEE, Minchao Li, and Daocheng Wu

Abstract—Based on the impulse radar and the spread-spectrum (SS) radar, the through-wall imaging with three stationary targets or a moving target in a room are obtained, respectively, and compared in this paper. We then analyze the influences of different analog-to-digital converters (ADCs) and noise levels on imaging quality. Results show that the SS radar has a great advantage over the impulse radar in some ways, such as covert waveform, engineering realization, excellent anti-noise performance, and accurate tracking. Furthermore, the target localization accuracy is much easier to be affected by sampling frequencies of ADC. Besides, the stationary targets can still be recognized accurately in the image, even when one target is very close to the wall, and the receiving signals of the SS radar are added with 0-dB noise and sampled by a 4-bit 3-GHz ADC. Index Terms—Analog-to-digital converter (ADC), impulse radar, noise, spread-spectrum (SS) radar, through-wall imaging (TWI).

I. INTRODUCTION

R

ADAR-BASED through-wall imaging (TWI) is an emerging technology in counter-terrorism, disaster relief, and fire succor, and a novel approach in building layout determination and medical monitoring [1], [2]. Due to the different transmitting waveforms, the radars for TWI can be roughly categorized into six main types: impulse radar; stepped-frequency continuous-wave radar; frequency-modulated continuous-wave radar; noise radar; pseudorandom noise radar; and passive radar [3]. At present, the impulse radar is the most popular one because of its high angle measurement accuracy, real-time operation, high-range resolution, and simple signal-processing characteristics [4]–[6]. However, some desired features like low probability of intercept (LPI), accurate time of arrival (TOA) estimation, easy implementation, and strong anti-noise

Manuscript received June 08, 2015; revised September 14, 2015 and October 25, 2015; accepted December 07, 2015. This work was supported by the National Basic Research Program 973 of China under Grant 2010CB732603 and Grant 2011CB707903. This paper is an expanded version from the IEEE MTT-S International Wireless Symposium, Shenzhen, China, March 30–April 1, 2015. (Corresponding author: Daocheng Wu.) X. Zhang and D. Wu are with the Key Laboratory of Biomedical Information Engineering, Ministry of Education, School of Life Science and Technology, Xi’an Jiaotong University, Xi’an 710049, China (e-mail: zhangxuehuixjtu@qq. com; [email protected]). X. Xi and M. Li are with the Electronic Engineering Department, Xi’an University of Technology, Xi’an 710048, China (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2508791

performance cannot be satisfied by the impulse radar, while the spread-spectrum (SS) radar can satisfy them. The SS radar for TWI is a kind of pseudorandom-code continuous-wave radar, also called “pseudo-random noise radar.” Its TOA is obtained from the correlation domain after demodulation and correlation processing [7]. Currently, the most popular one of SS radars is the M-sequence radar manufactured by MEODAT GmbH [8], [9]. This radar just uses binary sequences (M-sequences) to stimulate the scenario. We adopted another one of SS radars and compared it with the impulse radar in [10], but the model we used was too simple, and the comparative analysis was only based on the TOA signals. In [11], we used a complex model and compared SS radar with impulse radar preliminarily about the imaging of three stationary targets in a room. However, the imaging result by impulse radar was not satisfactory because of the inaccurate TOA estimation. This problem will be solved in this paper. For stationary targets behind a wall, there is no comparative research of the two radars in TWI now, while for a moving target, in [12], an impulse ultra-wideband (UWB) radar was compared with an M-sequence UWB radar by using one real transmitting antenna and two real receiving antennas. It has been proved that the M-sequence UWB radar achieved better results than the impulse UWB radar. However, this result was obtained by two real radar systems, and then some further research about a few variable parameters would be costly and time consuming. The target localization accuracy can be influenced by some variable parameters such as the radar range resolution, deployment of the radar antennas, the accuracy of ranges estimated between the transmitting antenna-target-receiving antenna [13], analog-to-digital converter (ADC), noise level, etc. If we use those real radar systems to analyze some of those influence factors, some hardware units must change as the influence factors change. Thus, building a signal simulation system is very useful due to its inherent flexibility. In [14], an M-sequence UWB radar simulation system was built under ideal conditions to analyze the target localization accuracy. Under these ideal assumptions and approximations, the results cannot represent the results in the real complex electromagnetic (EM) environment. In order to make the simulated signal as close as possible to the real signals, we had adopted a full-wave simulation technique, i.e., the finite-difference timedomain (FDTD) method [15], to simulate the signal [10]. The FDTD method has been proven to be a very useful and accurate approach for numerous applications in electromagnetics. It is formulated by discretizing Maxwell’s curl equations over a finite volume and approximating the derivatives with centered difference approximations [16].

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Signal simulation systems. (a) Signal simulation system of the impulse radar. (b) Signal simulation system of the SS radar.

Fig. 2. Received signals of two radars. (a) Received signal of impulse radar, (b) part of the received signal of SS radar, (c) received signal of impulse radar after Fourier transform, (d) received signal of SS radar after Fourier transform, (e) received signal of impulse radar after Hilbert transform, and (f) received signal of SS radar after demodulation and correlation processing.

In this paper, for the TWI of a stationary or moving target, the imaging results by the SS radar and impulse radar are compared under the same measuring conditions. Furthermore, the effects on target localization accuracy by ADC and noise level are analyzed here. This investigation could serve as a very good reference and theoretical basis for both radars hardware design. This paper is arranged as follows. Section II describes the simulation of two radar systems. Section III contains the synthetic aperture radar (SAR) imaging of stationary targets. Section IV includes the tracking imaging of the moving target. A conclusion is given in Section V. II. SIMULATION OF TWO RADAR SYSTEMS The source waveform of the impulse radar is described as follows: (1) where is the pulse width, is the time corresponding to the peak of the Gaussian pulse, and is the center frequency of carrier wave. The source waveform of the SS radar is expressed as (2)

Fig. 3. Stationary targets model.

where is the M-sequence (a kind of pseudorandom code) and is the same center frequency. In order to save money and time for analyzing the influence factors of TWI, the signal simulation systems of the above radars are designed and shown in Fig. 1. As shown in Fig. 1(a), the received signal of impulse radar is simulated using the FDTD method. Afterward, noise is added in, and matched filtering is adopted here to make TOA precise, whose impulse response is the complex conjugate of . The signal is then sampled and quantified with an ADC module. In order to meet the requirements in image quality, the Hilbert transform is used to obtain the signal and then this signal is used for TWI. Fig. 1(b) shows the signal simulation system of the SS radar. The received signal is simulated using the same FDTD model. Afterward, the same noise is added in and the bandpass filtering is adopted, and then the signal is sampled and quantified with the same ADC module. In order to reduce the time of correlation processing, the time-domain parallel fast Fourier transform (FFT) techniques are adopted in the block of demodulation and correlation processing [7]. Finally, the correlation-domain signal is used for TWI. The source signal of the impulse radar is a modulated Gaussian pulse with a centered frequency of 1 GHz and bandwidth of 1 GHz, and the source signal of the SS radar is an SS signal, which has 1023 chips with the same centered frequency and bandwidth. Fig. 2(a) and (b) shows the signals of two

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: COMPARISON OF IMPULSE RADAR AND SS RADAR IN TWI

3

Fig. 4. Comparison of SAR imaging between impulse radar and SS radar. (a) SAR image of impulse radar with an ADC (6 GHz, 16 bit), (b) SAR image of SS radar with an ADC (6 GHz, 16 bit), (c) SAR image of impulse radar with an ADC (6 GHz, 6 bit), (d) SAR image of SS radar with an ADC (3 GHz, 4 bit), (e) SAR image of impulse radar with an ADC (6 GHz, 16 bit) and 35-dB noise, and (f) SAR image of SS radar with an ADC (3 GHz, 4 bit) and 0-dB noise.

radars received from the same observation point in the air, respectively. It is obvious that the SS signal is more covert than the impulse signal so the SS radar is more appropriate for counter-terrorism. After Fourier transform, the frequency-domain signals of the received signals above can be seen in Fig. 2(c) and (d). The received signals of two radars almost have the same bandwidth. After applying Hilbert transform, the received signal of impulse radar turns into a pulse, and then the pulse is extracted for the visual comparison with the pulse from the SS radar, as shown in Fig. 2(e). After demodulation

and correlation processing, the received signal of SS radar also becomes a pulse in the correlation domain. The unit of correlation domain has a linear relation with the period of carrier wave so the pulse in the correlation domain can be extracted and shown with the time unit for the visual comparison, as shown in Fig. 2(f). The two pulses above almost have the same pulse width and waveform. Thus, if they are used for TWI, the resolution of imaging will be the same, and the comparison of TWI between the SS radar and impulse radar will be more impartial than that in [11].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

III. RESULTS ANALYSIS OF STATIONARY TARGET IMAGING Stationary targets imaging by impulse radar and SS radar has been compared in [11], but the image quality of TWI by impulse radar was very poor because of the inaccurate TOA estimation. In this section, the matched filter is used to solve this problem, as shown in Fig. 1(a). The good imaging result by impulse radar will then be compared with that by SS radar. A. FDTD Model We consider the scenario shown in Fig. 3. A 2-D imaging scene of interest is located behind a wall, and an SS radar or impulse radar system is placed at the other side. The SAR technique is used here. An antenna transmits the signal at position . Another antenna receives the signal at position . Both antennas then move to the next positions along the axis. Behind the wall, there are three targets in the imaging region. The target information is often buried in the wall’s reflections, especially when the target is located close to the wall. Thus, target 1 is placed close to the wall to test the anti-clutter performance of radar. While target 2 and target 3 are placed close to each other to test the imaging resolution. Based on the scenario shown in Fig. 3, a 2-D FDTD throughwall model is developed with a spatial size of cm and time step of ps. The imaging region is a 4 m 4 m square. The thickness, conductivity, and permittivity of the wall are 20 cm, 0.03, and 4.5, respectively. The transmitter is placed at (0 m, 1 m), and the receiver is placed at (0.3 m, 1 m) initially. They then move for 80 steps with a 5-cm interval until they arrive at position and . The targets are metal squares with the side length of 10 cm. The distance between target 1 and the back side of the wall is 0.5 m, and the distance between target 2 and target 3 is 1 m. B. Comparative Analysis of SAR Imaging Now we move on to investigate the comparison of SAR imaging between impulse radar and SS radar for TWI, as shown in Fig. 4, where the left column shows SAR images of the impulse radar with different ADCs and noise levels, and the right column shows SAR images of the SS radar with different ADCs and noise levels. In the following example, 80 observation points are included in the FDTD simulation. All the received signals of impulse radar or SS radar are processed according to the procedure in Fig. 1. Those s and s are then used to image the scene, based on the back projection (BP) method [17]. Through this method, the region of interest is divided into a finite number of pixels. The time-delays between every pixel and radars are then computed accurately by the approach proposed in [18], which can compensate the wall effect on the traveling time of the wave easily. Afterward, the image value of every pixel is obtained by coherent superposition in those s or s. Finally, the SAR images can be formed by the BP method. The same ADC (6 GHz, 16 bit) is adopted before the SAR imaging of the two radars, as shown in Fig. 4(a) and (b). Although target 1 is very close to the wall, it is clear in the two figures so SAR imaging can help to lower the impacts by the wall clutters. Besides, target 2 and target 3 can be distinguished in the two figures. Furthermore, it is obvious that the three target

Fig. 5. Moving-target tracking model.

images using SS radar are all more clear than that using impulse radar, though the image quality using impulse radar has been improved significantly compared with that in [11]. As can be seen in Fig. 4(c) and (e), in order to obtain good SAR images, the bit of the ADC used by impulse radar cannot be less than 6 bits and the impulse radar cannot bear stronger noise than 35-dB noise. While the SS radar can still bear 0-dB noise with a much cheaper ADC, as shown in Fig. 4(d) and (f). Given above, low-cost ADC and strong anti-noise performance bring great advantages of the SS radar over impulse radar in terms of engineering realization. IV. RESULTS ANALYSIS OF MOVING-TARGET TRACKING Tracking the moving target behind a wall attracts most of the researchers who are applying the SS radar or impulse radar in the TWI field [19]–[21]. A. Tracking Model We consider the scenario shown in Fig. 5. A rectangular imaging region of interest is located behind a wall, and an SS or impulse radar system with one transmitter and two receivers is placed at the other side. In the imaging region, one target moves from point to point , then moves to point , and finally, moves to point . In order to simulate the real EM environment, based on the scenario shown in Fig. 5, a 2-D FDTD through-wall tracking model is developed. Its spatial size, time step, and wall parameters are the same with Fig. 3. The imaging region is a 5.5 m 6.5 m rectangle. The transmitter is placed at (2.75 m, 1 m), and those two receivers are placed at (1.75 m, 1 m) and (3.75 m, 1 m), respectively. The target is a 5 cm 5 cm metal square. It moves from (1.32 m, 2.22 m)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: COMPARISON OF IMPULSE RADAR AND SS RADAR IN TWI

5

Fig. 6. Data of impulse radar and SS radar. (a) Color map of raw radar data by impulse radar at receiver R1, (b) color map of the correlation-domain signals received by R1 using SS radar, (c) data in (a) after exponential averaging subtraction, (d) data in (b) after exponential averaging subtraction, (e) time-delays of target with impulse radar, and (f) time-delays of target with SS radar.

to (1.32 m, 5.1 m), and then moves to (4.21 m, 2.21 m), finally, moves to (4.21 m, 5.09 m). We assume that the speed of the moving target is 1 m/s. After computing the frequency shift introduced by the moving target, the maximum of the frequency shift is about 6.6 Hz. Compared with the centered frequency of the transmitting signal, the frequency shift will be

very small. Thus, the frequency shift introduced by the moving target is ignored here for preliminary research. In our further research, this problem will be considered. The time window of sampling is 10 s. In the time window, the target is stationary within the FDTD simulation. In the next time window, the target moves to the next position, and then the FDTD model is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Location errors of the target by two radars.

Fig. 7. Tracking result. (a) By impulse radar and (b) by SS radar.

updated before beginning the FDTD simulation. This process will be repeated until the target arrives at the final destination. The time interval between the time window and its next time window is 0.24 s. Thus, we will obtain the data of 42 time windows after the target arrives at the point . B. Comparative Analysis of Tracking Imaging Between SS Radar and Impulse Radar In this section, we investigate the tracking imaging of a moving target by SS radar or impulse radar. Fig. 6(a) shows the color map of raw radar data by impulse radar at receiver . Fig. 6(b) shows the color map of the correlation-domain signals received by using SS radar. It is seen that the direct wave and clutters due to the wall are very strong, and the target information is invisible. It will be very hard to obtain the TOAs accurately due to the strong clutters. After exponential averaging subtraction, those data are shown in Fig. 6(c) and (d). The target information of both radars is clear. Through the target detector, the time-delays of the target can then be seen in Fig. 6(e) and (f). The red star line represents the true time-delays of the target, and the data of SS radar is

Fig. 9. Mean location errors of moving-target tracking using SS radar or impulse radar with different ADCs. (a) Different number of bits of ADC GHz and (b) different sampling frequencies of ADC (16 bit).

closer to the true data. After obtaining TOAs of and , they will determine two ellipses whose focal points determine the place of the target in the imaging region [22]. Fig. 7 shows the tracking image of a moving target by the two radars. The red blocks are true locations of the target and the yellow areas are the locations of tracking imaging. The exponential averaging acts on not only the clutter fields, but also the target fields. Hence, the TOA obtained will not be precise enough so that the target localization accuracies of both radars are not very high. In order to analyze the differences of two radars tracking imaging in more detail, the error at every location is plotted in Fig. 8. The max location error of impulse radar is 34.13 cm, while that of SS radar is 24.19 cm. The mean location error of impulse radar is 16.24 cm, while that of SS radar is 10.78 cm. Thus, adopting SS radar can obtain much higher localization accuracies of a moving target than using impulse radar.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: COMPARISON OF IMPULSE RADAR AND SS RADAR IN TWI

7

Fig. 10. Comparative analysis of anti-jamming performance between impulse radar and SS radar for moving-target tracking. (a) Tracking image of impulse radar dB, (b) tracking image of SS radar at ADC (6 GHz, 16 bit) and dB, (c) tracking image of SS radar at ADC at ADC (6 GHz, 16 bit) and dB, and (d) tracking image of SS radar at ADC (3 GHz, 6 bit) and dB. (6 GHz, 16 bit) and

C. Analysis of Engineering Realization In this section, we focus on the effects on the location accuracy of the moving-target tracking by different ADCs. The mean location error is adopted here to reflect the location accuracy. Fig. 9 shows the influence on the mean location error by a different number of bits and sampling frequencies. As the number of bits decrease, the mean location errors of SS radar increase very slowly at all the time, while that of impulse radar rise sharply after a bit lower than 12, as shown in Fig. 9(a). This figure reveals that the bit of ADC adopted by impulse radar must be very high to obtain accurate tracking imaging. As can be seen in Fig. 9(b), all the mean location errors of SS radar are much lower than that of impulse radar. As the sampling frequencies decrease, the mean location errors of both radars increase fast. Thus, the target localization accuracy is much easier to be influenced by the sampling frequency than the number of bits of ADCs. Besides, the requirement of SS radar on ADC is still lower than impulse radars in tracking imaging of the moving target.

D. Analysis of Anti-Jamming Performance We move on to study the anti-jamming performance of two radars for moving-target tracking. Noises with different SNRs are added into the FDTD simulation results. The noised signals are then sampled and quantized by different ADCs. The results are shown in Fig. 10. First we introduce a noise level at dB with an ADC (6 GHz and 16 bit). As can be seen in Fig. 10(a) and (b), the motion trajectory of the target obtained by impulse radar is very messy and inaccurate, while that of SS radar is very orderly. When the noise level increases to dB, the target can still be tracked, as shown in Fig. 10(c). If a cheaper ADC (3 GHz and 6 bit) is adopted, the tracking imaging with SS radar can still bear 53-dB noise, as shown in Fig. 10(d). Thus, the anti-jamming performance of SS radar for tracking imaging is much more superior than impulse radar’s. V. CONCLUSION The SS radar is compared with impulse radar for SAR imaging of three stationary targets in a room and tracking

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

imaging of a moving target in a room. The real EM environment is simulated by the FDTD method. Results show that the SS radar performs much better than the conventional impulse radar in engineering realization and immunity from noise. Furthermore, the requirement of tracking imaging on ADC is much higher than SAR imagings, and its anti-noise performance is much weaker than SAR imagings. The SS radar’s very low requirement on ADC hardware and good anti-noise performance have made it an ideal candidate for engineering implementation.

[19] D. Kocur, M. Svecova, and J. Rovnakova, “Through-the-wall localization of a moving target by two independent ultra wideband (UWB) radar systems,” Sensors, vol. 13, no. 9, pp. 11969–11997, Sep. 2013. [20] D. Urdzık, R. Zetık, D. Kocur, and J. Rovnáková, “Shadowing effect analysis at multiple moving persons tracking by UWB radar,” in Progr. Electromagn. Res. Symp., 2012, pp. 864–867. [21] J. Rovnakova and D. Kocur, “Application of estimated wall parameters for through-wall target tracking,” in Proc. Int. Radioelektron. Conf., 2012, pp. 1–4. [22] R. Zetik, S. Crabbe, J. Krajnak, P. Peyerl, J. Sachs, and R. Thomä, “Detection and localization of persons behind obstacles using M-sequence through-the-wall radar,” in Proc. SPIE–Int. Soc. Opt. Eng., 2006, pp. 62010I-1–62010I-12.

REFERENCES [1] Y. Jia, G. Cui, L. Kong, and X. Ynag, “Multichannel and multiview imaging approach to building layout determination of through-wall radar,” IEEE Geosci. Remote Sens. Lett., vol. 11, no. 5, pp. 970–974, May 2014. [2] Y. Jia, L. Kong, X. Yang, and K. Wang, “Through-wall-radar localization for stationary human based on life-sign detection,” in IEEE Radar Conf., Ottawa, ON, Canada, 2013, pp. 1–4. [3] F. Francesco, “Through-the-wall detection using ultra wide band frequency modulated interrupted continuous wave signals,” Ph.D. dissertation, School Eng. Comput. Sci., Durham Univ., Durham, U.K., 2013. [4] D. Huang and Q. Zhang, “Impulse radio ultra-wide-band through wall imaging radar based on multiple-input multiple-output antenna arrays,” Inform. Technol. J., vol. 9, no. 4, pp. 782–789, 2010. [5] B. R. Crowgey, E. J. Rothwell, L. C. Kempel, and E. L. Mokole, “Comparison of UWB short-pulse and stepped-frequency radar systems for imaging through barriers,” Progr. Electromagn. Res., vol. 110, pp. 403–419, Nov. 2010. [6] C. Lei and S. Ouyang, “Through-wall surveillance using ultra-wideband short pulse radar: Numerical simulation,” in 2nd IEEE Ind. Electron. Appl. Conf., Harbin, China, 2007, pp. 1551–1554. [7] D. J. R. van Nee and A. J. R. M. Coenen, “New fast GPS code-acquisition technique using FFT,” Electron. Lett., vol. 27, no. 2, pp. 158–160, Jan. 1991. [8] J. Sachs, M. Kmec, R. Zetik, P. Peyerl, and P. Rauschenbach, “Ultra wideband radar assembly kit,” in IEEE Int. Geosci. Remote Sens. Symp., Seoul, Korea, 2005, pp. 372–375. [9] A. Nezirovic, A. G. Yarovoy, and L. P. Ligthart, “Signal processing for improved detection of trapped victims using UWB radar,” IEEE Trans. Geosci. Remote Sens., vol. 48, no. 4, pp. 2005–2014, Apr. 2010. [10] X. Zhang, X. Xi, Z. Song, S. Wang, M. Wan, and D. Wu, “Performance analysis of spread spectrum through wall radar,” IEEE Trans. Magn., vol. 50, no. 11, pp. 1–4, Nov. 2014. [11] X. Zhang, X. Xi, M. Li, and D. Wu, “Comparison of spread spectrum radar and impulse radar for through-wall imaging based on FDTD,” in IEEE Wireless Symp., Shenzhen, China, 2015, pp. 1–4. [12] J. Rovňáková and D. Kocur, “Experimental comparison of two UWB radar systems for through-wall tracking application,” Acta Electrotechn. Infor., vol. 12, no. 2, pp. 59–66, 2012. [13] J. Rovňáková, D. Kocur, and P. Kažimír, “Investigation of localization accuracy for UWB radar operating in complex environment,” Acta Polytech. Hungarica, vol. 10, no. 5, pp. 203–219, 2013. [14] M. Aftanas, J. Rovnakova, M. Riskova, D. Kocur, and M. Drutarovsky, “An analysis of 2D target positioning accuracy for M-sequence UWB radar system under ideal conditions,” in 17th Int. Radioelek. Conf., Brno, 2007, pp. 1–6. [15] Y. Kane, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–307, Mar. 1966. [16] D. M. Sheen, S. M. Ali, M. D. Abouzahra, and J. A. Kong, “Application of the three-dimensional finite-difference time-domain method to the analysis of planar microstrip circuits,” IEEE Trans. Microw. Theory Techn., vol. 38, no. 7, pp. 849–857, Jul. 1990. [17] L. M. H. Ulander, H. Hellsten, and G. Stenstrom, “Synthetic-aperture radar processing using fast factorized back-projection,” IEEE Trans. Aerosp. Electron. Syst., vol. 39, no. 3, pp. 760–776, Jul. 2003. [18] M. Aftanas, J. Rovnakova, M. Drutarovsky, and D. Kocur, “Efficient method of TOA estimation for through wall imaging by UWB radar,” in IEEE Int. UWB Conf., 2008, pp. 101–104.

Xuehui Zhang received the B.S. degree in biomedical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 2010, the M.S. degree in biomedical engineering from Xi’an Jiaotong University, Xi’an, China, in 2012, and is currently working toward the Ph.D. degree in biomedical engineering at Xi’an Jiaotong University. Her recent research interests include through-wall radar imaging, signal processing, compressed sensing, and clutter suppression.

Xiaoli Xi (M’10) received the B.S. degree in applied physics from the University of Defense Technology, Changsha, China, in 1990, the M.S. degree in biomedical engineering from the Fourth Military Medical University, Xi’an, China, in 1998, and the Ph.D. degree in electrical engineering from Xi’an Jiaotong University, Xi’an, China, in 2004. She is currently a Professor with the Electronic Engineering Department, Xi’an University of Technology, Xi’an, China. Her recent research interests include wave propagation, antenna design, and communication signal processing.

Minchao Li was born in Baoji, Shaanxi, China, in 1989. He received the B.E. degree in automation and M.S. degree in circuits and systems from the Xi’an University of Technology, Xi’an, China. He is currently a Doctor of electronic science and technology with the Xi’an University of Technology. His research interests are in the area of adaptive filtering, algorithms and applications, and applications of signal processing in anti-jamming of satellite navigation receivers.

Daocheng Wu was born in Zhenjiang, China, in 1962. He received the M.S. degree in applied chemistry from the Changsha Institute of Technology, Changsha, China, in 1989, and the Ph.D. degree in biomedical engineering from Xi’an Jiaotong University, Xi’an, China, in 2003. Since 2004, he has been a Professor with the School of Life Science and Technology, Xi’an Jiaotong University. He is also currently an Associate Dean with the School of Life Science and Technology, Xi’an Jiaotong University. His current research interests include bioimaging, nanotechnogy, simulation of electromagnetic fields, and through-the-wall surveillance technology based on bioradar and their biological applications.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Convergence of a Single-Frequency FDTD Solution in Numerical Dosimetry Jerdvisanop Chakarothai, Member, IEEE, Kanako Wake, Member, IEEE, and Soichi Watanabe, Member, IEEE

Abstract—In this paper, we propose a new approach for determining convergence in finite-difference time-domain (FDTD) method for numerical dosimetry at a single frequency. Both amplitude and phase of the FDTD calculation are simultaneously determined and traced in the time domain in order to evaluate the convergence of the solution and terminate the FDTD computation. Validity of our approach has been demonstrated via dosimetry of a homogeneous dielectric sphere in comparison with Mie’s analytical results at frequencies from 100 kHz to 100 MHz. Induced SARs calculated by the proposed approach are in good agreement with Mie’s results, having differences in the whole-body average SAR for less than 9%. It is also found that convergence of the FDTD solutions is related only to permittivity, conductivity, and time-step interval. Finally, the proposed approach has been applied to analysis of an inhomogeneous realistic human body of a Japanese adult exposed to an electromagnetic (EM) plane wave and to localized EM fields radiated from a wireless device at intermediate frequencies around 10 MHz. Index Terms—Exposure assessment, finite-difference time-domain (FDTD) method, scattering analysis, steady-state analysis.

I. INTRODUCTION

T

HE finite-difference time-domain (FDTD) method firstly proposed by Yee [1], [2] has seen much potential as a numerical tool for solving various kinds of electromagnetic (EM) problems; e.g., antenna design, biological EM effects, medical diagnosis and treatment, microwave circuits, etc. It has also been used for EM dosimetry or a calculation of induced fields in a human model exposed to an EM plane wave [3], [4], EM pulse [5], near-fields from wireless devices [6], [7], and so on. The FDTD method has become the most widely accepted means for SAR calculation since it enables us to apply a millimeterresolution human model. The Yee cells in the FDTD method also correspond completely to the fine blocks or voxels in biological models, which are actually developed by using medical diagnostic data, i.e., magnetic resonance imaging (MRI). Although frequency-domain methods, e.g., the method of moments (MoM) or the finite-element method (FEM), are also applicable to numerical dosimetry as in [8]. They requires solving

Manuscript received April 21, 2015; revised August 07, 2015 and January 02, 2016; accepted January 06, 2016. This work was supported by the Committee to Promote Research on the Potential Biological Effects of Electromagnetic Fields, Ministry of Internal Affairs and Communications, Japan. The authors are with the National Institute of Information and Communications Technology, Tokyo 184-8795, Japan (e-mail: [email protected]; kana@nict. go.jp; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518661

a large dense or sparse matrix for the MoM and FEM, respectively, which is computationally expensive in terms of memory usage and calculation time. For example, memory requirement for the MoM is proportional to the square of the number of unknowns while calculation time is about for direct inverse method and proportional to by using an iterative solver. In applying the FDTD method to numerical dosimetry, the permittivity and conductivity are assigned to each voxel. Since the permittivity and conductivity of biological tissues are frequency dependent, actually represented in a form of 4-Cole–Cole expression [9], we need to perform a convolution calculation in order to find a solution by the FDTD method, which is much computationally expensive [10]. Hence, it is considered more effective to perform numerical dosimetry by applying an excitation at a single frequency so that we can apply constant permittivity and conductivity values, as done in [11] and [12]. For the conventional FDTD method, a single-frequency solution could be found by applying incident fields in a sinusoidal waveform and perform the calculation until electric and magnetic fields for each of the cells reach a steady state in time course. Magnitude of the steady-state solution is found by searching the peak of the time-domain solutions or by performing the Fourier transform [13]. Calculation time required in order to obtain steady-state solutions typically takes several periods of oscillation for biological bodies. For a low-frequency to IF problem, however, calculation for a period of time could take a vast number of time steps; e.g., an analysis of an adult human model exposed to an EM plane wave using 2-mm spatial resolutions at 1 MHz, requires more than 200 000 time steps for a period of calculation, and it takes more than a week using a 24-core 3.5-GHz workstation for finding a steady-state solution. Another remedy for this problem is to use algorithms applying quasi-static approximation such as the impedance method [14], quasi-static FDTD method (QS-FDTD) [15], [16], and scalarpotential finite-difference (SPFD) method [17]. However, the quasi-static approaches cannot be applied to the problem at intermediate frequencies around 10 MHz [18]. Here, we propose a new approach for tracing the convergence of a single-frequency calculation in order to minimize the number of calculation time steps in the FDTD method. In the proposed method, by analyzing the two identical problem spaces and applying incident fields with a constant phase difference, the amplitude and phase of the solution can be found and traced at every time step. Advantages of the proposed method are that, first, we can find magnitude and phase of EM fields at any instant during the calculation, making it easy to trace the convergence of the solution. Second, the proposed approach can

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

be adopted without much effort to the conventional FDTD code. Finally, since the computation can be terminated as soon as the convergence is reached, the number of time steps is kept as less as possible, resulting in less computation, in comparison with the conventional approach in which at least half of a period after the EM field reaches a steady state is required in order to find the solution. This paper is organized as follows. Methodology of our proposed approach is described in Section II, numerical validation and efficiency of our proposed method are demonstrated and discussed in Section III. Dosimetry results of a human body exposed to an EM plane wave and to localized EM fields from a wireless power transfer (WPT) device are shown in Section IV, and finally, a conclusion is drawn in the Section V.

Fig. 1. Two identical analysis domain with incident fields having the same amplitude, but different phase.

II. METHODOLOGY EM problems involving Maxwell’s equations can be formulated as a linear system equation as follows: (1) where , , and are linear operator, unknown fields, and sources or excitations, respectively. Given an excitation at a single frequency, which is represented in the phasor form as , where and denote an angular frequency and time, respectively, the unknown fields is then determined as

(6) Rearranging the above equation, we then obtain the following equation: (7) Therefore, the magnitude and phase of the EM fields at each position can be determined from (2) and (4) as follows:

(2) The unknown fields for the same excitation, but with a phase difference , is found from the linearity property of the operator as

(8) (9) For

or

, we simply obtain

(3)

(10)

Therefore, if we assume that the incident EM fields for two identical problem domains have a constant phase difference, but their magnitudes are the same as illustrated in Fig. 1, the phase difference of the scattered fields at a steady state is also constant as well. Hence, EM fields at a steady state in both problem domains and are represented as

(11)

for

(4)

for

(5)

where and denote magnitude and angular frequency of the electric fields, and represent phases of EM fields at the same location in and , respectively. and are the FDTD-calculated quantities; i.e., electric or magnetic field, at the same coordinate in the problem domains and , respectively. The phase difference of the EM fields is denoted as a constant phase difference of . For an instantaneous time , where is a time-step index and is a time-step interval, by substituting into (5), it is found that

As a result, we can determine both amplitude and phase of the solution at any time step using FDTD-calculated field values. It should be noted that we can set the phase difference to any value, except for , where . For convenience, we prefer phase difference of 90 or since it gives a simple formulation as expressed by (10) and (11). In our approach, we sequentially decide whether or not the convergence is reached from the variation in magnitude and phase of the solution in the time domain. If variation of magnitude and phase in time course becomes sufficiently small, then convergence of the calculation has been reached and calculation is terminated. It should be noted that memory usage for our proposed approach is doubled in comparison with that used in the conventional FDTD method. However, since the convergence of the calculation is traced in time course, the number of time steps required to find the solution can be minimized, resulting in a decrease of the total computation time compared to the conventional Fourier transform or peak searching methods in which at

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: CONVERGENCE OF A SINGLE-FREQUENCY FDTD SOLUTION IN NUMERICAL DOSIMETRY

3

Fig. 2. Analysis models of a dielectric sphere with a radius of 20 cm exposed to and an EM plane wave. A–D shows the locations of the observation points. , represent the amplitude and phase of the incident fields in the problem space.

TABLE I PERMITTIVITY, CONDUCTIVITY, AND LOSS TANGENT OF TWO-THIRDS OF AVERAGE MUSCLE AT EACH ANALYSIS FREQUENCY

Fig. 3. Time courses of the magnitude of the electric field at observation points A–D. Total electric fields are shown for B and D, while the scattered electric fields are plotted for A and C, respectively.

least half of the periods of the oscillations is required after the steady-state solution is reached in the calculations. III. NUMERICAL RESULTS A. Validity of the Proposed Method In order to demonstrate the validity of our proposed approach, we calculate the scattering from a dielectric sphere as illustrated in Fig. 2. Here we use a scattered-field FDTD formulation [2] in which the incident fields are applied at the whole analysis space. The analysis models consist of a homogeneous dielectric sphere having dielectric constants of two-thirds of those of the average muscle [9]. Table I lists permittivity, conductivity, and loss tangent of the dielectric sphere at each analysis frequency. The radius of the sphere is 20 cm. An EM plane wave having an -field component parallel to the -axis was impinged on the dielectric sphere from the direction, as shown in Fig. 2. Analysis frequencies are 100 MHz, 10 MHz, 1 MHz, and 100 kHz. The incident electric field strength is fixed to 1 V/m. The spatial resolution and time step for the FDTD calculations are 5 mm and 9.63 ps, respectively. The dimension of the analysis space is 141 141 141 cells. Phase difference of the incident fields is fixed to 90 . The perfectly matched layer (PML) is applied at the border of the computation space in order to absorb the outgoing EM wave. The amplitude and phase of electric and magnetic fields in each cell are calculated at every time step. Fig. 3 shows the time response of the electric field strength (polarized component) at observation points A–D at 100 MHz. The scattered electric fields are shown at observation points A and C, which are located in the region outside the dielectric sphere, while the total electric fields are plotted for B and D inside the dielectric sphere. As can be seen from Fig. 3, the magnitude of the scattered electric fields at observation points

Fig. 4. Temporal series of the WBA-SAR of the dielectric sphere at 100 MHz, 10 MHz, 1 MHz, and 100 kHz.

A and C increases from the initial value (zero) and reach a constant value at around 7 ns or approximately 0.7 period. The internal electric fields at observation points B and D is equal to unity at the initial state, corresponding to the magnitude of the incident electric field, and gradually decays to the converged solution after 10 ns, or one period of calculation. Fig. 3 also shows the time course of the whole-body average specific absorption rate (WBA-SAR) of the sphere at 100 MHz. It was also indicated that the WBA-SAR becomes constant at approximately 10 ns. The WBA-SAR at the steady state was determined as 2.3515 10 W kg, which almost agreed with Mie’s analytical result of 2.3451 10 W kg [19]. The difference between the numerical and theoretical WBA-SARs was less than 0.3%, proving the validity of our proposed approach. Fig. 4 indicates the WBA-SAR of the dielectric sphere at 100 MHz, 10 MHz, 1 MHz, and 100 kHz as functions of the number of the periods of the sinusoidal oscillation. Analytical WBA-SAR results obtained from the Mie theory are also shown in Fig. 4 [19]. It is seen that, at 100 MHz, the convergence is reached after one period of the calculation. At a frequency lower than 10 MHz, it is obvious that the solutions converged before the 0.3 period so that we can terminate instantly the FDTD analysis after the calculation for the 0.3 period and, thus, reduce the computational time since we do not have to perform the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II COMPARISON OF THE WBA-SARs CALCULATED THE FDTD METHOD AND MIE’S THEORY

BY

TABLE III CALCULATION TIME BY USING THE CONVENTIONAL PEAK SEARCHING METHOD AND PROPOSED APPROACH. THE NUMBER WITH AN ASTERISK REPRESENTS AN ESTIMATED VALUE

Fig. 6. Convergence of WBA-SAR of the dielectric sphere at 100 MHz, 10 MHz, 1 MHz, and 100 kHz.

of time steps is larger than those calculated by using our proposed approach. At 100 kHz, we can reduce the number of time steps for the calculation with a factor of 3.7. This means that the calculation time for the proposed method will reduce to approximately 54% of the conventional method, demonstrating the efficiency of the proposed method. Fig. 5. SAR distribution in the cut plane of the dielectric sphere at 100 MHz, 10 MHz, 1 MHz, and 100 kHz. The incident electric field strength is 1 V/m.

redundant calculation after the convergence has been reached. The relative errors of the FDTD-calculated WBA-SAR compared with the Mie’s solutions are also shown in Table II. The steady-state SAR distributions inside the sphere at each analysis frequency were determined and illustrated in Fig. 5. It was shown that the FDTD results are in good agreement with Mie’s results, demonstrating the validity of the proposed approach. The maximum difference in the WBA-SAR from the analytic solution was about 8.25% at 100 kHz. This error may be attributed to staircase approximation in the FDTD model. As the frequency decreases, the electric charge tends to accumulate more at the discontinuous part of the analysis model, resulting in a strong electric field at the boundary. This problem can be alleviated by increasing the spatial resolution of the model or reducing size of the FDTD grid, but it increases required time steps to obtain the converged solution. The number of time steps needed in order to achieve converged solutions in the conventional peak searching method and in the proposed approach are shown in Table III. Since at least a half of period after the EM fields have approached the converged values is needed in order to find the solution in the peak searching method, the number

B. Convergence of FDTD Method at Low Frequencies The convergence of the FDTD solution for numerical dosimetry is determined by the ratio of the absorbed total power into biological bodies or the SAR determined at the present and previous time step; i.e., decay rate of the SAR expressed as (12) The rate of change is traced in the time domain and the calculation is terminated after the following criteria has been satisfied for sequential ten time steps in order to make sure that the convergence of the calculation is reached: (13) of the FDTD-calculated Fig. 6 shows the change rate WBA-SAR of the dielectric sphere exposed by the EM plane wave at 100 MHz, 10 MHz, 1 MHz, and 100 kHz. We have observed that the change rate of the WBA-SAR is constant at the initial state and gradually increases to a unity where the WBA-SAR eventually maintains a constant value and the computation is then terminated. The rate of the change in the FDTD solution can be found from the FDTD update equations for total electric fields as follows: by using a central difference for

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: CONVERGENCE OF A SINGLE-FREQUENCY FDTD SOLUTION IN NUMERICAL DOSIMETRY

5

temporal derivatives, the update equation of the total electric field inside biological tissues is expressed as

(14) are the permittivity, the conductivity and the where , , and time-step interval, respectively. At a relatively low frequency, e.g., for a plane-wave exposure below 10 MHz, strength of the magnetic field inside dielectrics does not vary much with respect to the location and biological tissues are purely dielectrics having a permeability of unity. In consequence, the second term on the right side of (14) can be ignored when analysis frequency is relatively low. From (14), (15)

Fig. 7. Normalized WBA-SAR of the dielectric sphere calculated by the FDTD method and (15).

when . Since the SAR inside dielectrics is proportional to the square of the electric field strength, the rate of the change in the SAR per a time step, along with the WBA-SAR, is represented as (16) The above equation indicates that the SAR will monotonically decrease with a constant rate per a time step. The decay rate depends only on the permittivity, conductivity, and time-step interval, which is restricted by the Courant condition. Since the permittivity and conductivity of biological tissues are frequency dependent, consequently, the convergence rate of the WBA-SAR also depends on the frequency. The normalized WBA-SAR at the th time-step index can be expressed in terms of the rate of the change in WBA-SAR as follows:

(17) Fig. 7 shows the normalized WBA-SAR of the dielectric sphere calculated by the FDTD method and (17). It is obvious that the WBA-SAR decays at the same rate as (16) at the initial state. The change rate gradually increases as the WBA-SAR decreases because magnitude of the term including the rotation of the magnetic fields in (14) gradually becomes comparable to the first term. We can also estimate roughly the number of time steps required to obtain the solution since it is well known that, in a low-frequency region, the electric field strength is linearly proportional to the frequency. For example, if analysis frequency is ten times lower, the electric fields would be also reduced to 1/10 and then the WBA-SAR reduces to approximately 1/100. As shown in Fig. 7, when the frequency is changed from 10 to 1 MHz, the WBA-SAR also decreases approximately 20 dB (100 times) and the number of time steps should be set to be more than 0.3 period or 31 155 time steps in order to achieve convergence at 1 MHz. The number of time steps actually required for the solution at 1 MHz was 31 780, which was close to our estimated number of time steps.

Fig. 8. Realistic human model used in our analyses. (left) Figure shows the surface model. (right) Figure illustrates skeleton model showing internal organs inside the human model).

IV. APPLICATION TO NUMERICAL DOSIMETRY A. Dosimetry of Human Body Illuminated by EM Plane Wave In Section III, we have demonstrated that convergence of the low-frequency FDTD calculation is restricted only by dielectric constants and time-step interval as given by (16). Here, in order to demonstrate the performance of our developed approach, we perform numerical dosimetry using an inhomogeneous Japanese male model “TARO” developed by the National Institute of Information and Communications Technology, Tokyo, Japan, shown in Fig. 8 [20]. It was developed from high-resolution MRI data. The model is composed of 51 different tissues and organs with a resolution of 2 mm and has a height and a weight of 173 cm and 64 kg, respectively. The permittivity and conductivity of the tissues extracted from the Gabriel’s data [9] were applied in the simulations. Analysis frequencies were

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. SAR distribution in human body exposed to EM plane wave at: (left) 10 MHz and (right) 1 MHz. Fig. 9. Convergence of SAR in biological tissues at 10 MHz.

chosen to be 10 and 1 MHz. The propagation direction of the incident plane wave was along the -axis and its polarization was aligned vertically or along the height of the human model (the -axis). The amplitude of the electric field strength of the incident plane wave was 87 V/m, which is the reference level of electric field strength for the general public recommended in the ICNIRP guidelines [21], [22]. Perfectly matched boundary (PML) conditions of eight layers were applied in order to truncate the analysis region. The computations were continued until convergence of the calculation is reached or the total power absorption becomes constant, as defined in (13). In order to accelerate the computation, we have implemented our FDTD code to use multiple graphic processing units (GPUs). EM field data are decomposed along the -direction. Numerical simulations have been performed on a workstation (AMD Opteron Processor 6174, 2.2 GHz, 512-GB memory) with 4 GPUs (Nvidia Tesla M2090). With use of 4 GPUs, the computation time for 20 000 steps of the calculation takes about 8220 s (2 h 17 min), while it took around 28 722 s (7 h 58 min) by using a workstation equipped with a 24-core CPU of Intel Xeon E5-2643 v2 (3.5 GHz), 96-GB main memory, with OpenMP acceleration (24 parallel threads). Fig. 9 shows the normalized WBA-SAR at 10 MHz calculated using the proposed method. The SARs of all biological tissues using (16) are also plotted in the same figure. It was indicated that “brain” shows the fastest convergence and “cerebellum” gives the slowest convergence among all tissues used in the computation at the analysis frequency. The convergence speed of “muscle” falls in between those two. From the result, we have observed that the SAR of human model reduced with the same rate with that of “muscle” at the start of the computation due to the fact that the human model is mostly comprised of muscle. The steady-state condition was reached at approximately 0.5 period or 12 980 steps for 10 MHz and 0.245 period or 63 610 steps for 1 MHz, while the number of time steps required in the conventional peak-searching method were 25 960 and 193 420 steps for 10 and 1 MHz, respectively. The solution can be obtained with the calculation time lesser than one period by using the proposed method. Fig. 10 illustrates the SAR

Fig. 11. Three exposure situations: human body is aligned in: (a) axial, (b) coronal, and (c) sagittal positions, respectively.

distribution inside the human model at 10 and 1 MHz, respectively. The whole-body average SARs of the human model for the plane-wave exposure were 10.2 and 2.16 mW/kg at 10 and 1 MHz, respectively, which are the same as those calculated by the conventional FDTD method. B. Dosimetry of the Human Body Close to a WPT System Next, we apply our proposed approach to numerical dosimetries in order to show efficiency of the proposed method in dosimetry of human model exposed to localized EM fields radiated from a WPT device. The numerical exposure assessment consists of two step of calculation; the first step is to obtain the incident EM near-fields from the WPT system. The first step was done by using the MoM. The second is an exposure assessment using the FDTD method. This two-step method is applicable if EM coupling between the human body and the sources is negligible or small. Our designed WPT system and analysis models for exposure situations are illustrated in Fig. 11. The WPT system consists of one-turn driving and load loops, and 6.1-turn transmitting and receiving solenoidal coils having a height of 20 cm. Both loops and solenoidal coils were modeled as a copper wire having a diameter of 1.6 mm. The radii of the loops and coils are 25 and 30 cm, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: CONVERGENCE OF A SINGLE-FREQUENCY FDTD SOLUTION IN NUMERICAL DOSIMETRY

7

Fig. 13. SAR distribution in human body aligned in: (a) axial, (b) coronal, and (c) sagittal position and exposed to EM fields from WPT system at 10.3 MHz. Fig. 12. Convergence of WBA-SAR of human body exposed to EM near-field radiated from WPT system.

The power transfer efficiency of our designed WPT systems having spacing of 20 cm between the transmitting and receiving coils is approximately 92% and 89% at resonant frequencies of 9.2 and 10.3 MHz, respectively. The input power of the WPT system was 1 W. Also shown in Fig. 11 were three analysis configurations used in our simulations: the inhomogeneous Japanese male model “TARO” was aligned in: (a) axial, (b) coronal, and (c) sagittal positions. The distance from the edge of the WPT system to the human model was cm in all cases in this study. Analysis frequency was fixed to 10.3 MHz in all cases. It has been demonstrated in [23] that, for cm, the mutual coupling between a human body and the WPT system is relatively small by using a hybrid MoM/FDTD method [24], [25]. Difference of the whole-body average SAR ( ) and the peak 10 g-average SAR from the results obtained by the two-step method and the MoM/FDTD method was approximately 0.5% and 1.8%, respectively, for the axial case in Fig. 11. The mutual coupling in the coronal and sagittal cases is considered to be smaller than the axial case since the electric field strength in the vicinity of the WPT system is smaller. Therefore, the two-step method is applicable to these three cases when the distance is equal to or larger than 12 cm. Fig. 12 shows convergence of of the human model exposed to the EM near-field from the WPT system with 1-W input power. It is obvious that the monotonically decays with respect to the calculation time and converged in less than 0.5 period or about 12 500 time steps. The WBA-SARs for the axial, coronal, and sagittal positions were 0.233, 0.261, and 0.154 mW/kg, and the 10-g-average SARs were 4.95, 6.31, and 3.58 mW/kg, respectively. Fig. 13 illustrated normalized SAR distributions in the human model at the: (a) axial, (b) coronal, and (c) sagittal positions. It has been found that the highest exposure level was when the human body is positioned in the coronal position where the magnetic field is dominant. The number of time steps required to obtain the solutions in the axial, coronal, and sagittal cases were 12 236, 7810, and 9476 steps, corresponding to approximately a 0.49, 0.31, and 0.38 period of the oscillations, respectively.

V. CONCLUSION In this paper, we have proposed a new FDTD approach for determining convergence in a dosimetric analysis at a single frequency. The validity of the proposed approach has been demonstrated in comparison with the analytical Mie’s solution. It has also been shown that the decay rate of EM fields, as well as the absorbed power into biological bodies, is proportional to the exponential of the ratio between the conductivity and relative permittivity at analysis frequencies. We have demonstrated the availability and efficiency of the proposed approach in finding the SAR distribution in a human body exposed to an EM plane wave at 10 and 1 MHz and also localized EM fields radiated from a WPT system at 10.3 MHz. The calculation time by the proposed approach is reduced in comparison with the conventional peak search or Fourier method since the computational redundancy is improved. ACKNOWLEDGMENT The authors would like to acknowledge Emeritus Prof. O. Fujiwara, Nagoya Institute of Technology, Nagoya, Japan, for his invaluable comments. REFERENCES [1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 4, pp. 302–307, Apr. 1966. [2] K. S. Kunz and R. J. Luebbers, The Finite Difference Time Domain Method for Electromagnetics. Boca Raton, FL, USA: CRC Press, 1993. [3] D. M. Sullivan, D. T. Borup, and O. P. Gandhi, “Use of the finitedifference time-domain method in calculating EM absorption in human tissues,” IEEE Trans. Biomed. Eng., vol. BME-34, no. 2, pp. 148–157, Feb. 1987. [4] R. J. Spiegel, M. B. A. Fatmi, S. S. Stuchly, and M. A. Stuchly, “Comparison of finite-difference time-domain SAR calculations with measurements in a heterogeneous model of a man,” IEEE Trans. Biomed. Eng., vol. 36, no. 8, pp. 849–855, Aug. 1989. [5] J.-Y. Chen and O. P. Gandhi, “Currents induced in an anatomically based model of a human for exposure to vertically polarized electromagnetic pulses,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 1, pp. 31–39, Jan. 1991. [6] J. Wang and O. Fujiwara, “FDTD computation of temperature rise in the human head for portable telephones,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 8, pp. 1528–1534, Aug. 1999.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[7] A. Bahr, S.-G. Pan, T. Beck, R. Kastle, T. Schmid, and N. Kuster, “Comparison between numerical and experimental near-field evaluation of a DCS1800 mobile phone,” Radio Sci., vol. 33, no. 6, pp. 1553–1563, Nov.–Dec. 1998. [8] J. Chakarothai, J. Wang, O. Fujiwara, K. Wake, and S. Watanabe, “Dosimetry of a reverberation chamber for whole-body exposure of small animals,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3435–3445, Sep. 2013. [9] C. Gabriel, “Compilation of the dielectric properties of body tissues at RF and microwave frequencies,” Brooks AFB, San Antonio, TX, USA, Brooks Air Force Tech. Rep. AL/OE-TR-1996-0037, 1996. [10] R. J. Luebbers, F. P. Hunsberger, K. S. Kunz, R. B. Standler, and M. Schneider, “A frequency-dependent finite-difference time-domain formulation for dispersive materials,” IEEE Trans. Electromagn. Compat., vol. 32, no. 8, pp. 222–227, Aug. 1990. [11] P. J. Dimbylow, “Fine resolution calculations of SAR in the human body for frequency up to 3 GHz,” Phys. Med. Biol., vol. 47, no. 16, pp. 2835–2846, Jul. 2002. [12] J. Wang, M. Fujita, O. Fujiwara, K. Wake, and S. Watanabe, “FDTD calculation of whole-body average SAR in adult and child models for frequencies from 30 MHz to 3 GHz,” Phys. Med. Biol., vol. 51, pp. 4119–4127, 2006. [13] A. Taflove, “Application of the finite-difference time-domain method to sinusoidal steady-state electromagnetic-penetration problems,” IEEE Trans. Electromagn. Compat., vol. EMC-22, no. 3, pp. 191–202, Aug. 1980. [14] O. P. Gandhi, J. F. DeFord, and H. Kanai, “Impedance method for calculation of power deposition patterns in magnetically induced hyperthermia,” IEEE Trans. Biomed. Eng., vol. BME-31, no. 10, pp. 644–651, Oct. 1984. [15] J. De Moerloose, T. W. Dawson, and M. A. Stuchly, “Application of the finite difference time domain algorithm to quasi-static field analysis,” Radio Sci., vol. 32, no. 2, pp. 329–341, Mar.–Apr. 1997. [16] A. Hirata, Y. Takano, and T. Nagai, “Quasi-static FDTD method for dosimetry in human due to contact current,” IEICE Trans. Electron., vol. E93-C, no. 1, pp. 60–65, Jan. 2010. [17] T. W. Dawson, J. De Moerloose, and M. A. Stuchly, “Comparison of magnetically induced ELF fields in humans computed by FDTD and scalar potential FD codes,” Appl. Computat. Electromagn. Soc. J., vol. 11, no. 3, pp. 63–71, Nov. 1996. [18] S. W. park, K. Wake, and S. Watanabe, “Calculation errors of the electric field induced in a human body under quasi-static approximation conditions,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2153–2160, May 2013. [19] J. A. Stratton, Electromagnetic Theory. New York, NY, USA: McGraw-Hill, 1941. [20] T. Nagaoka, S. Watanabe, K. Sakurai, E. Kunieda, M. Taki, and Y. Yamanaka, “Development of realistic high-resolution whole-body voxel models of Japanese adult males and females of average height and weight, and application of models to radio-frequency electromagnetic field dosimetry,” Phys. Med. Biol., vol. 49, pp. 1–15, 2004. [21] ICNIRP, “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, pp. 494–522, 1998. [22] ICNIRP, “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (1 Hz to 100 kHz),” Health Phys., vol. 99, pp. 818–836, 2010. [23] J. Chakarothai, K. Wake, and S. Watanabe, “SAR assessment of a human body exposed to electromagnetic fields from a wireless power transfer system in 10 MHz band,” in 2014 XXXIth URSI Gen. Assemb. Sci. Symp., Aug. 2014, pp. 1–4. [24] J. Chakarothai, J. Wang, O. Fujiwara, K. Wake, and S. Watanabe, “A hybrid MoM/FDTD method for dosimetry of small animals in reverberation chamber,” IEEE Trans. Electromagn. Compat., vol. 56, no. 3, pp. 549–558, Jun. 2014.

[25] J. Chakarothai, J. Wang, O. Fujiwara, K. Wake, and S. Watanabe, “Numerical techniques for SAR assessment of small animals in reverberation chamber,” IEEE Electromagn. Compat. Mag., vol. 4, no. 1, pp. 57–66, Apr. 2015.

Jerdvisanop Chakarothai (S’06–M’10) received the B.E. degree in electrical and electronic engineering from Akita University, Akita, Japan, in 2003, and the M.E. and D.E. degrees from Tohoku University, Sendai, Japan, in 2005 and 2010, respectively, both in electrical and communication engineering. In 2010, he was a Research Associate with Tohoku University, prior to joining the Nagoya Institute of Technology, Nagoya, Japan, in 2011. In 2013, he was a Research Associate with Tokyo Metropolitan University. He is currently with the National Institute of Information and Communications Technology, Tokyo, Japan. His research interests include computational electromagnetics (CEM) for biomedical communications and electromagnetic compatibility. Dr. Chakarothai is a Member of the Institute of Electronics, Information and Communication Engineers. He was the recipient of the 2014 Young Scientist Award of the International Scientific Radio Union.

Kanako Wake (M’05) received the B.E., M.E., and D.E. degrees in electrical engineering from Tokyo Metropolitan University, Tokyo, Japan, in 1995, 1997, and 2000, respectively. She is currently with the National Institute of Information and Communications Technology, Tokyo, Japan, where she is involved in research on biomedical electromagnetic compatibility. Dr. Wake is a Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Electrical Engineers, Japan, and the Bioelectromagnetics Society. She was the recipient of the 1999 International Scientific Radio Union Young Scientist Award.

Soichi Watanabe (S’93–M’96) received the B.E., M.E., and D.E. degrees in electrical engineering from Tokyo Metropolitan University, Tokyo, Japan, in 1991, 1993, and 1996, respectively. He is currently with the National Institute of Information and Communications Technology, Tokyo, Japan. His main research interest includes biomedical electromagnetic compatibility. Dr. Watanabe is a Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Electrical Engineers, Japan, and the Bioelectromagnetics Society. From 2004 to 2012, he was a Member of the Standing Committee III on Physics and Engineering of International Commission on Non-Ionizing Radiation Protection (ICNIRP). Since 2012, he has been a Member of the Main Commission, ICNIRP. He was the recipient of the 1996 Young Scientist Award of the International Scientific Radio Union, the 1997 Best Paper Award of the IEICE, and the 2004 Best Paper Award (The Roberts Prize) of Physics in Medicine and Biology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

715

The Electrically Symmetrical -Plane Waveguide Tee Junction at the Dicke and Altman Planes Joseph Helszajn, Fellow, IEEE

Abstract—The eigenvalue diagram at the Dicke planes of the -plane tee junction is not unlike that of the -plane geometry available in the literature. The purpose of this paper is to remedy the situation. It includes an investigation of such a junction loaded with both inductive and capacitive vanes at its symmetry plane. This paper also introduces an alternate definition of the Dicke planes in terms of the location of a piston in the main waveguide, which produces a bandstop response between the other two and that of a similar piston in the side waveguide, which establishes a bandpass between the other two. The electrical symmetrical cases at the Altman and Dicke ports for which the magnitudes of the scattering parameters are those of a symmetrical wye junction are separately realized. Each solution has a distinct eigenvalue diagram, which is symmetric about the real axis. This paper includes the realization and fabrication of one device and is in excellent agreement with the theory. The work is undertaken in WR75 waveguide at a frequency of 13.25 GHz.

Fig. 1. Dicke planes of

-plane tee junction.

Index Terms—Eigenvalues, waveguide junctions.

I. INTRODUCTION

C

OMMON junctions met in the design of filters and other passive components are the - and -plane tee junctions [1]–[7]. The complication in the characterization of such tee junctions arises because the reference planes along the main and side waveguides are not equidistant from the openings of the junction. A unique eigenvalue solution of the -plane waveguide tee junction has been outlined by Montgomery et al. in [8] and realized in [9]. An electrically symmetric solution, together with a suitable vane at the symmetry plane of the junction, has been proposed by Altman in [10]. It too has been implemented in [9]. It is satisfied at the characteristic planes of the junction [11]–[13]. It has separately been shown that the Dicke planes also support a solution in conjunction with an inductive vane for which the magnitudes of the scattering parameters are those of a symmetrical wye junction [9]. The purpose of this paper is to deal with the eigenvalue problem of the -plane arrangement shown in Fig. 1. It differs from its -plane counterpart in that its scattering matrix contains negative elements [8], [10]. The development of the Dicke eigenvalue diagram of any tee junction involves two steps. A significant conclusion of the Manuscript received March 13, 2015; revised August 10, 2015, November 29, 2015, and December 22, 2015; accepted December 26, 2015. Date of publication February 15, 2016; date of current version March 03, 2016. The author is with the Department of Electrical and Electronic Engineering, Heriot Watt University, Edinburgh EH14 4AS, U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2521651

work is that the first step may be replaced by fixing the planes along the main waveguide of the junction at its characteristic planes and that at its side one at a position of a piston, which will produce a passband between the other two. The second step is here redundant. The characteristic planes coincide with the locations of a short-circuit piston at one port that will decouple the other from an input port [11]. A knowledge of the eigenvalue diagram of any junction is mandatory for its complete description. This paper includes separately the development and fabrication of the Altman -plane tee junction. A property of this junction together with a suitable vane at its symmetry plane is that it displays both the magnitude and phase angles of a wye geometry at its characteristic planes. It is also a two-step procedure. The Dicke eigenvalue diagram of the arrangement, with its side port shifted to the position of a piston producing a bandpass between the other two, is symmetrical about the real axis. II. DICKE EIGENVALUE PROBLEM The adjustment of the Dicke planes involves a two-step procedure. The first requires that the reflection coefficient at port 3 with arbitrary, but equidistant, planes at ports 1 and 2 is 90 out of phase compared to the transmission one between ports 1 and 3. The second step provides a universal eigenvalue diagram presentation, but is not mandatory. The eigenvalues on which this paper rests may be deduced either by forming the secular equation or by constructing suitable linear combinations between (A7a)–(A7d). The general result is either way

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

(1a) (1b) (1c)

716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

The eigenvalues and have unit amplitude provided and are 90 out of phase and provided . These two conditions correspond to the first of the two Dicke calibration steps [8]. Introducing the phase condition in the description of the eigenvalues gives [8] (2a)

characteristic planes entering into the development of the Dicke planes have been introduced in [11] and examined separately in [12] and [13]. It will be separately seen that the characteristic planes of the junction coincide with those of the electrically symmetric tee junction proposed by Altman [10]. A property of such planes is that the reflection coefficients at the characteristic planes of the main waveguide and at the side one are [12] (8a)

(2b) (2c) Introducing the second condition gives the desired result (3a) (3b) (3c) The eigenvalues tary condition

and

obtained in this way satisfy the uni(4)

The correctness of the Dicke eigenvectors in the Appendix with is readily verified. The scattering parameters at the Dicke terminals with are now given by having recourse to the Appendix, (5a) (5b) (5c) (5d) This family of relationships differ from those met in connection with the -plane junction in the sign of in (5d). Equation (5) separately satisfies the following condition: (6) The Dicke planes under consideration are defined in Fig. 1. The second Dicke step is obtained by moving each reference plane in order to reduce the angle of to zero, (7) This paper indicates that the two Dicke steps may be replaced by a new equivalent necessary and sufficient conditions. The solution adopted places the Dicke ports along the main waveguide at its characteristic planes and that at its side ports at a position of a piston producing a passband between the other two. It is compatible with the first Dicke step, but disregards the second one as fundamentally superfluous. This choice of boundary conditions is compatible with the development of the related Altman problem in Section III. The properties of the

and (8b) and differ from the corresponding quantities met in connection with the -plane tee junction in the sign between the above linear combinations. The eigenvalue has unit amplitude and an angle 180 at the characteristic planes of ports 1 and 2. This choice of terminal planes places both the Dicke and characteristic planes at the same terminals along the main waveguide. It thereafter only remains to locate the position of the port in the side waveguide. III. ALTMAN ELECTRICALLY SYMMETRICAL WAVEGUIDE TEE JUNCTION

-PLANE

An -plane tee junction in conjunction with an inductive vane at its symmetry plane with the magnitudes of the scattering parameters of a symmetrical wye junction has been introduced in [9] and [10]. The purpose here is to deal with the dual -plane geometry. One possible boundary condition is here given by (9a) (9b) (9c) (9d) This boundary condition does not satisfy Dicke’s phase condition since and are not 90 out of phase. Its solution has also been outlined by Altman, but again has not been investigated so far. An important property of this boundary condition shown here is that the reflection coefficients at the characteristic planes are equal, (10) The magnitudes and angles of the scattering matrix of this junction have, at its characteristic planes, the values of a symmetric -plane wye geometry at the same planes. The eigenvalues in (1) are here given by (11a) (11b) (11c) The unknown factor “ ” is again that which produces a triplet of reflection eigenvalues with unit magnitudes. The value here

HELSZAJN: ELECTRICALLY SYMMETRICAL

-PLANE WAVEGUIDE TEE JUNCTION AT DICKE AND ALTMAN PLANES

717

that at port 3 in the side waveguide at a plane 90 away from its characteristic plane. The characteristic planes along the main and side waveguides are rad rad

Fig. 2. Eigenvalue diagram at characteristic planes of -plane tee junction with the magnitudes of the scattering parameters of a wye junction.

and are the locations of the characteristic planes measured from the openings of the box framed by the three waveguides. The trial location of the Dicke plane at the side port of the junction is that of a piston that will produce a passband between the ports of the main waveguide. The scattering parameters between these planes are

is instead of 1.0 met in connection with the Dicke boundary condition. Introducing this result into the preceding eigenvalues completes the development of this solution,

The third eigenvalue satisfies the equality between the traces of the scattering and eigenvalue matrices,

The above parameters satisfy the relationship between and at the characteristic planes in (8a), but do not satisfy the Dicke boundary condition between and . The phase condition between and is now met by resetting the plane at port 3 by an angle with those at ports 1 and 2 unchanged. This is again done by having recourse to the following condition [9]:

(13)

(14)

(12a) (12b)

The solution established here together with the factor equal to is compatible with the bilinear relationships between the coefficients of the scattering matrix and its eigenvalues in Appendix A. The eigenvalue diagram there is illustrated in Fig. 2. It may be realized together with a thick capacitive vane along the symmetry plane of the junction. The degenerate reflection eigenvalues and of the Altman solution and the reflection coefficients at the characteristic planes of the junction under the same condition are identical. The first characteristic plane is, in this instance, 90 away from the symmetry plane. IV. DICKE EIGENVALUE DIAGRAM OF THE UNLOADED -PLANE TEE JUNCTION The Dicke eigenvalue diagram of the regular waveguide -plane tee junction in Fig. 1 is developed in this section. The simulations are obtained using the COMSOL Multiphysics finite-element simulation tool. This is done in standard WR75 waveguide at a reference frequency of 13.25 GHz. A shortcoming of the Dicke process is that the initial choice of the ports along the main waveguide is arbitrary and remains so after the second step. The initial trial reference planes adopted here are similar to those introduced in connection with the description of the -plane tee junction in [9] and the Altman solution in Section III. It amounts to placing the reference planes at ports 1 and 2 of the main waveguide at its characteristic planes and

The angle

is here given by

The scattering parameters after the first calibration step are identical to the above parameters, except that and are now 90 out of phase

The eigenvalues are now obtained by evaluating (1) with and with the side ports at the characteristic planes of the junction,

The eigenvalue diagram obtained in this way is depicted in Fig. 3(a). It completes the development of the first Dicke step. This result clearly shows that the Dicke angle between and is satisfied with the reference planes along the main waveguide at the characteristic planes of the junction and that at the side at a position 90 away from a typical characteristic plane or what is the same thing, at a position of a piston producing a passband between the ports of the main waveguide.

718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 3. Eigenvalue diagram of second step.

-plane junction: (a) after first step and (b) after

The eigenvalue diagram after the second Dicke’s step is obtained by rotating all three eigenvalues by the angle of , Fig. 4. Eigenvalue diagram of -plane tee junction with the magnitudes of the scattering parameters of a wye junction.

The corresponding eigenvalue diagram is shown in Fig. 3(b). This step is here only included for historic purposes and is hereafter disregarded. The two Dicke steps developed here may be combined into a single necessary and sufficient condition. It is obtained by placing a typical plane along the main waveguide at the position of a piston producing a passband between the other two and fixing that at the side one at a characteristic plane. V. ALTMAN–DICKE ELECTRICALLY SYMMETRICAL -PLANE TEE JUNCTION The -plane waveguide tee junction also displays a symmetric Dicke solution with a suitable vane at its symmetry plane an electrically symmetric solution [8], (15a) (15b) (15c) (15d) The above parameters satisfy the identity in (8), Dicke’s phase condition, the unitary one, and places the ports of the main waveguide at the characteristic planes of the junction and that of the side port at the Dicke plane. The eigenvalues are there given by (16a)

Fig. 5. Schematic diagram of vane loaded

-plane junction.

VI. THIN CAPACITIVE VANE LOADED

-PLANE TEE JUNCTION

The - and -plane waveguide tee junctions have each a single symmetry plane about the axis of the side waveguide. It is therefore possible to introduce a vane across the wide dimension of the -plane geometry and a similar vane across the narrow dimension of the -plane one. The purpose of this section is to compile some calculations on the eigenvalue diagrams of the -plane arrangement using a thin capacitive vane. The geometry under consideration here is depicted in Fig. 5 using a thin capacitive vane. Table I summarizes the scattering parameters at the characteristic planes of a tee junction in a WR75 waveguide for four different vane inserts [12]. The eigenvalues at the characteristic planes in Table I are given, with , by

(16b) (16c) The eigenvalue diagram obtained in this way, shown Fig. 4, is symmetrical about the -axis. Whereas the second Dicke condition is retained in Fig. 3(b), it is disregarded here.

Fig. 6 is a plot of the characteristic planes in the main and side waveguides of the junction versus .

HELSZAJN: ELECTRICALLY SYMMETRICAL

-PLANE WAVEGUIDE TEE JUNCTION AT DICKE AND ALTMAN PLANES

TABLE I CHARACTERISTIC PLANES AND SCATTERING PARAMETERS OF WAVEGUIDE TEE JUNCTION (VANE THICKNESS:

719

-PLANE WR75 )

Fig. 7. Eigenvalue diagrams of vane loaded . bration step vane thickness

-plane junction after first cali-

Fig. 8. Schematic diagrams of capacitive inserts in

Fig. 6. Characteristic planes of capacitively vane loaded tee junction.

The eigenvector does not involve a generator setting at port 3. Its eigenvalue is therefore independent of the port location there and its magnitude is unity by definition. The departure from unity of this quantity in Table I is attributed to experimental rounding off errors and is disregarded in the construction of the eigenvalue diagrams. The results at the Dicke planes are

The eigenvalue diagrams after the first calibration step are shown in Fig. 7 for and . A scrutiny of the latter illustration indicates that none has the symmetry of the scattering parameters of a symmetric wye

-plane tee junction.

junction. The eigenvalue diagram after Dicke’s second calibration step contains not particular information and is omitted for brevity. The scattering matrices at the characteristic planes of the vane loaded -plane junction are summarized in Table I and also do not display the required intersection between the magnitudes of and and between and over the range of investigated in this table. VII. THICK CAPACITIVELY LOADED

-PLANE TEE JUNCTION

The -plane tee junction loaded by a thin capacitive vane does not display the magnitudes of the scattering parameters of a symmetrical wye junction. Another possibility is to introduce a thick vane or step, as shown in Fig. 8. The equalities between and , and also between and obtained with different combinations of and are shown in Fig. 9. This geometry obviously contains the electrical solution of the symmetrical wye junction defined by dB dB

720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 9. Intersections of . of

and

and

and

versus

for parametric values

Fig. 11. Experimental results.

Fig. 12. Experimental hardware.

The experimental hardware is depicted in Fig. 12. Fig. 13 illustrates the WR 75 test bench utilized in this work. IX. PASSBAND AND STOPBAND OF ALTMAN GEOMETRY Fig. 10. Frequency response of , , , and . tee junction

in capacitively loaded

-plane

This condition is compatible with

Fig. 10 depicts the result around detail.

equal to 1.30 in more

The first and higher order characteristic planes in the main and side waveguides have been measured and illustrated in Figs. 14(a) and 14(b). The illustration also includes the first and higher order passbands between the ports along the main waveguide produced by a piston in the side one. The experimental results in Fig. 14(a) and (b) have been verified numerically by simulating a typical bandpass response at port 3. The agreement between the two processes is excellent. A scrutiny of these two illustrations indicates that the positions of the pistons at the side waveguide of the junction producing passbands and stopbands are essentially separated by rad.

VIII. FREQUENCY RESPONSE

X. ALTMAN PHASE ANGLES

The robustness of the optimization of the Altman -plane tee junction may be verified by either fabrication or by numerically forming its frequency response. The solution in Fig. 10 has been fabricated and tested. Fig. 11 shows the experimental response of the junction under consideration.

The -plane tee junction has, together with a thick capacitive vane, a solution for which the magnitudes of the scattering parameters are those of a symmetrical wye junction. One such solution has been established in Section IX. The purpose of this one is to deduce its phase angles. The latter are in also keeping

HELSZAJN: ELECTRICALLY SYMMETRICAL

-PLANE WAVEGUIDE TEE JUNCTION AT DICKE AND ALTMAN PLANES

721

Fig. 13. WR 75 test bench (courtesy M. Caplin, Apollo Microwave).

with the Altman boundary conditions. Tables II and III summarize calculations on both the locations of the characteristic planes and the magnitudes and angles of the scattering parameters between the same terminals. The reference plane at a typical port of the main waveguide is here taken with respect to the capacitive insert of the junction. These angles are compatible with the Altman boundary conditions in (9). The reflection coefficients at the characteristic planes are here given by (17a) and

separately meet the following identity: (17b)

The spacing between the characteristic planes in an -plane tee junction is 180 . It is 360 in the case of an -plane one. XI. ALTMAN–DICKE SYMMETRICAL SOLUTION The Dicke condition is separately constructed by resetting the reference planes of the Altman solution at port 3 of the junction in order to meet the phase condition between the reflection coefficient, , and the transmission coefficient, . An inspection of Table III indicates that this condition is satisfied provided the reference plane is shifted by 90 away from the characteristic plane at that port. It is here instead taken as that of a piston along the side port of the junction that will produce a passband between the other two. This is done by having recourse to the

Fig. 14. Passband and stopband planes of -plane tee junction at: (a) Ports 1 and 2 and (b) port 3 ( simulation; , measurement).

TABLE II MAGNITUDES OF THE SCATTERING PARAMETERS AT THE CHARACTERISTIC PLANES OF -PLANE WR75 WAVEGUIDE TEE JUNCTION ( , , rad, rad)

722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

TABLE III PHASE ANGLES OF THE SCATTERING PARAMETERS AT THE CHARACTERISTIC PLANES OF -PLANE WR75 WAVEGUIDE TEE JUNCTION ( , , rad, rad)

notwithstanding having different eigenvectors, the same eigenvalue diagram. The eigenvector of the wye junction are given as follows[14]: (18) where

TABLE IV PHASE ANGLES OF THE SCATTERING PARAMETERS OF WAVEGUIDE TEE JUNCTION AT DICKE PLANES ( , rad,

-PLANE WR75 , rad)

The eigenvector imposes a short circuit boundary condition on the axis of the junction and an open boundary at its input terminals. Its reflection eigenvalue is therefore the same as that of in Fig. 2. Likewise, the degenerate eigenvectors and produce an open circuit at the origin of the junction and a short circuit at its input terminals. The reflection eigenvalues are again identical to the degenerate ones. This result clearly shows a one-to-one equivalence between the Altman phase angles of the electrically symmetric -plane tee junction and a wye junction only exists at the characteristic ports of the two geometries. XIII. CONCLUSIONS

Fig. 15. Symmetrical

-plane waveguide wye junction.

data in Fig. 14(b). One possibility is the adoption of the first passband away from the side waveguide. Table IV indicates that whereas the trial position of the Dicke plane at the side port, in the absence of a knowledge of its passband condition, may be taken in the first instance as 90 away from a typical characteristic plane. Its exact location is that of a piston producing a passband between the characteristic planes of the other ports. This observation is one of the main conclusions of this work. An alternative solution is to initially fix all three ports at the position of pistons producing passbands instead of stopbands and therefore reset the side port to a neighboring characteristic plane. This choice of terminals actually meets both Dicke steps. XII. SCATTERING PARAMETERS AT THE CHARACTERISTIC PLANES OF THE -PLANE WAVEGUIDE WYE JUNCTION The correspondence between the angles of the scattering matrices of the Altman solution and those of a regular wye junction in Fig. 15 is established in this section. It is necessary, in order to reconcile the two, to first tune the wye junction to the frequency of the work. This may be done in the case of an -plane junction by introducing a thin capacitive pin on the axis of the junction, or, by a capacitive iris 90 away in the case under consideration here. This operation is, however, outside the remit of this work. The equivalence between the two arrangements may, however, be readily established by demonstrating that each has,

This paper has realized the eigenvalue diagram of the waveguide -plane tee junction at the Dicke planes with and without a capacitive vane along its symmetry plane. It has separately revealed a symmetric eigenvalue diagram for which the magnitudes of the scattering parameters are those of a symmetric wye junction and numerically developed and constructed one such solution. This paper includes the development and realization of the tee junction with the magnitudes and phase angles of a symmetric wye junction proposed by Altman. It has excellent agreement between theory and practice. This solution exists at, and only at, the characteristic planes of the junction. The Dicke and Altman solutions differ in the position of the reference plane at port 3. It also includes a new definition of the Dicke planes in terms of the locations of pistons at one typical port that will produce passbands and stopbands between the other two. APPENDIX MATRIX FORMULATION OF -PLANE TEE JUNCTION The development of the scattering matrix of the -plane tee junction available in (2) starts with the similarity transformation (A1) where (A2)

(A3) (A4) is the scattering matrix of the junction. It differs from that of the -plane junction in that it contains negative elements. is a diagonal matrix containing the eigenvalues of the secular equation, is a square transformation matrix whose columns

HELSZAJN: ELECTRICALLY SYMMETRICAL

-PLANE WAVEGUIDE TEE JUNCTION AT DICKE AND ALTMAN PLANES

coincide with the eigenvectors of the geometry. The eigenvectors, , appearing in the description of the transformation matrix are specific to the geometry under consideration and may be deduced by having recourse to appropriate symmetry operators. One possible triplet of orthonormal eigenvectors, which satisfies the symmetry operators, is [8], [10]

(A5a)

(A5b)

(A5c) The unknown of the problem is the parameter, , which has been discussed by Montgomery et al. [8] and expanded by Altman [10]. It fixes the position of the reference plane at the side port of the junction with those along the main waveguide equal, but at arbitrary, distances from it. The solution of interest is that for which each eigenvalue of the junction is unity. The square transformation matrix is not, except when , Hermitian in that its transpose is not equal to the original matrix. The inverse of is here given by (A6) The relationship between the scattering parameters and eigenvalues of the -plane are different from those of the -plane geometry and are here given by [8], [10] (A7a)

(A7b)

(A7c)

(A7d)

The difference in the scattering parameters between the - and -plane junctions is in the sign of . The equality between the traces of the square scattering and diagonal eigenvalue matrices is satisfied by the above relationships without ado, (A8) The ordering of the eigenvectors in the construction of the square transformation matrix is that for which the latter is symmetric about its main diagonal when .

723

ACKNOWLEDGMENT The author would like to thank M. Caplin, Apollo Microwave, Montreal, QC, Canada, for both fabrication and test. The author would also like to thank Prof. J. Sharp, Edinburgh Napier University, Edinburgh, U.K., for encouragement and support. REFERENCES [1] A. G. Franco and A. A. Oliner, “Symmetric strip transmission line tee junction,” IRE Trans. Microw. Theory Techn., vol. MTT-10, no. 2, pp. 118–124, Feb. 1962. [2] R. R. Mansour and J. Dude, “Analysis of microstrip T-junction and its application to the design of transfer switches,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 889–892. [3] H.-W. Yao, A. E. Abdelmonem, J. F. Liang, K. A. Zaki, and A. Martin, “Wide-band waveguide T-junctions for diplexer applications,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 12, pp. 2166–2173, Dec. 1993. [4] G. R. Branner, B. P. Kumar, and D. G. Thomas, Jr., “Design of microstrip T junction power divider circuits for enhanced performance,” in IEEE Proc. 38th Midwest Circuits Syst. Symp., 1995, vol. 2, pp. 1213–1215. [5] E. Saenz, A. Cantora, I. Ederra, R. Gonzalo, and P. de Maagt, “A metamaterial T-junction power divider,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 172–174, Mar. 2007. [6] F. F. He, K. Wu, W. Hong, H Liang, and X. Chen, “A planar magic-T structure using substrate integrated circuits concept and its mixer application,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 386–388, Jun. 2008. [7] Y. Rong, H. Yao, K. A. Zaki, and T. G. Dolan, “Millimeter-wave Ka-band -plane diplexers and multiplexers,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 12, pp. 2325–2330, Dec. 1999. [8] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits, ser. MIT Radiat. Lab.. New York, NY, USA: McGraw-Hill, 1948, vol. VIII. [9] J. Helszajn, “Electrically symmetric solution of the 3-port H-plane waveguide tee junction at the Dicke ports,” in Proc. IET Microw. Ant. Propag., Apr. 2015, vol. 9, no. 6, pp. 561–568. [10] J. L. Altman, Microwave Circuits, ser. Electron. Commun.. New York, NY, USA: Van Nostrand, 1964. [11] J. T. Allanson, R. Cooper, and T. G. Cowling, “The theory and experimental behaviour of right-angled junctions in rectangular-section wave guides,” in Proc. IEE Radio Commun. Eng., 1946, vol. 93, no. 23, pp. 177–187. [12] J. Helszajn, M. Caplin, J. Frenna, and B. Tsounis, “Characteristic planes and scattering matrices of E and H-plane waveguide tee junctions,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 4, pp. 209–211, Apr. 2014. [13] A. Casanueva, A. Leon, A. Mediavilla, and J. Helszajn, “Characteristic planes of microstrip and unilateral finline tee-junctions,” in Proc. Progr. Electromagn. Res. Symp., Stockholm, Sweden, Aug. 12–15, 2013, pp. 173–179. [14] B. A. Auld, “The synthesis of symmetrical waveguide circulators,” IRE Trans. Microw. Theory Techn., vol. MTT-7, no. 4, pp. 238–246, Apr. 1959. Joseph Helszajn (M’64–SM’87–F’92) has been an Emeritus Professor of Microwave Engineering at Heriot Watt University, Edinburgh, U.K., since 1999. He acquired his early industrial experience in the East and West coasts of USA. He has authored 12 major engineering text books, which have unified the important nonreciprocal branch of microwave engineering. Prof. Helszajn is a Fellow of the City and Guilds Institute (FGCI), the Royal Society of Edinburgh (FRSE), and the Royal Academy of Engineering (FREng). He was the recipient of the 1995 IEE J. J. Thomson Medal. He was appointed an Officer of the Order of the British Empire (OBE) in the 1997 Queen’s Birthday Honours List. He was the recipient of an honorary Doctor of Engineering degree (HonDEng) from Napier University (2004) and an honorary Doctor of the University (HonDUniv) degree from Heriot Watt University (2007).

724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Wideband Equivalent Circuit for Multi-Aperture Multi-Resonant Waveguide Irises Carlos A. Leal-Sevillano, Member, IEEE, José R. Montejo-Garai, Jorge A. Ruiz-Cruz, Senior Member, IEEE, and Jesús M. Rebollar, Member, IEEE

Abstract—In this paper it is shown that the traditional shunt admittance represented by a rational function yields an accurate wideband equivalent circuit for multi-aperture waveguide irises. The electromagnetic analysis of the structures reveals two different types of resonant phenomena. The first type of resonance is associated with the cutoff frequency of the mode. The second type or antiresonace corresponds to short-circuit TEM modes. These antiresonances are generated when the asymmetry of the iris allows the excitation of electric fields with opposite phases at contiguous apertures. The proposed equivalent circuit is a shunt admittance represented by a rational function. This rational function is analytically obtained from the singular points (resonances and antiresonances) identified in the full-wave analysis. It is straightforward to obtain the circuit elements from the rational function by following the classical one-port synthesis leading to Foster’s or Cauers’s canonical realizations. One advantage of the proposed equivalent circuit is that it represents the electromagnetic behavior of complicated structures with a minimum number of circuit elements or degrees of freedom. Several examples, with different number of apertures and symmetry, are presented to illustrate the proposed general equivalent circuit. Finally, the proposed procedure is extended to the case of arbitrary shaped irises, such as those used in frequency-selective surfaces. Index Terms—Cauer, equivalent circuit, Foster, frequency-selective surface (FSS), normal incidence, resonance, synthesis, waveguide, waveguide iris, wideband.

I. INTRODUCTION

T

ODAY, most of waveguide discontinuities and volumes can be efficiently analyzed using full-wave methods (either numerical or quasi-analytical) with acceptable computation times. In the past, classical equivalent circuits of some of these waveguide structures were used for design proposes [1], [2]. Moreover, accurate equivalent circuits provide physical insight about the electromagnetic behavior of the structures. This infor-

Manuscript received March 25, 2015; revised June 28, 2015 and August 18, 2015; accepted January 16, 2016. Date of publication February 08, 2016; date of current version March 03, 2016. This work was supported by the Spanish Government under Grant TEC2013-47106-C3-1/2-R, by the SPADERADARCM program S2013/ICE-3000, and by the Universidad Politécnica de Madrid under a Ph.D. grant. C. A. Leal-Sevillano was with ETSI Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain. He is now with RYMSA Espacio, Arganda del Rey, 28500 Madrid, Spain (e-mail: [email protected]). J. R. Montejo-Garai and J. M. Rebollar are with ETSI Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain. J. A. Ruiz-Cruz is with the Escuela Politécnica Superior, Universidad Autónoma de Madrid, 28049 Madrid, Spain. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2520462

mation might be used for the synthesis and design of new highperformance waveguide components. In addition, new equivalent circuits and models can be derived by means of full-wave simulations [3], [4]. These novel equivalent circuits can be used as building blocks for the design of advanced components with the advantage of representing complicated electromagnetic phenomena with simple and intuitive models. One simple geometry found in many passive components is a rectangular waveguide iris [5]. This is commonly used in filters and multiplexers to couple resonant cavities and in directional couplers as matching elements. This geometry is also used in waveguide polarizers as phase-shifter elements and in orthomode transducers to extract one polarization and isolate the orthogonal one. The shape and dimensions of the irises are adjusted to properly work in a particular component. Hence, they are fundamental building blocks used in widespread waveguide devices. For this reason the equivalent circuit of waveguide irises has been long studied in the literature. In particular, inductive and capacitive irises have been deeply analyzed in [6] and [7]. Full-wave methods for irises with multiple apertures have also been presented in [8] and experimental equivalent circuits have been proposed for particular geometries [9]. The particular case of double aperture irises has been analyzed in [10] pointing out the iris natural resonances. However, a general circuit approach for an arbitrary number of apertures has not been presented so far. The type of irises that will be addressed in this paper both numerically and experimentally are stacked slot rectangular apertures, whose detailed characterization can be very useful in the microwave devices described above, especially in advanced filter synthesis. One common well-known fact is the existence of iris resonances [11]. The most simple case is a single aperture iris. In this case the resonance frequency is the cutoff frequency of the iris rectangular waveguide. However, sophisticated iris structures present additional resonances. The equivalent circuit analysis proposed here is based on the study of multiple iris resonances. In this paper, the equivalent circuit of multi-aperture waveguide irises is studied. The presented analysis is restricted, in principle, to multi-aperture irises with vertical symmetry and with single mode propagation at the input/output rectangular waveguides, as shown in Fig. 1. The equivalent circuit of multiaperture irises (with an arbitrary number of apertures) is based on an electromagnetic analysis of the possible resonances of these structures. Two different kinds of resonances are possible, either implementing a reflection zero (resonance) or a transmission zero (antiresonance). The number of resonances is determined from the number of apertures and the symmetry of the

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

LEAL-SEVILLANO et al.: WIDEBAND EQUIVALENT CIRCUIT FOR MULTI-APERTURE MULTI-RESONANT WAVEGUIDE IRISES

725

Fig. 1. Generic geometry of a multi-aperture waveguide iris.

iris before any full-wave simulation. The equivalent circuit is a shunt admittance with a circuit topology given by any of the classical one-port LC realizations [12]. The values of the circuit elements are entirely determined by the singular points (resonances and antiresonances). The exact values of the singular points for a particular geometry are obtained from a full-wave simulation. Afterwards, the equivalent circuit for arbitrary irises in waveguide is introduced and discussed. Although, for arbitrary shaped irises the physical interpretation is not evident unlike for multi-aperture irises, the same procedure can be used leading to an efficient and simple model. This paper is organized as follows. Section II presents the general electromagnetic analysis of multi-aperture irises, Fig. 1, and discusses the two kinds of possible resonances, as well as their effects in the scattering parameters. Moreover, the number of possible resonances is obtained. In Section III, the general equivalent circuit and its rational function representation is presented. The key frequency points necessary to accurately represent the behavior of multi-aperture irises in a wideband and the way to derive canonical circuits is pointed out. Several examples are illustrated and discussed in Section IV. The extension of the presented theory to the case of arbitrary shaped irises in waveguides, as well as the equivalent circuit for normal incidence on frequency-selective surfaces (FSSs) is presented in Section V. Finally, some general conclusions are stated in Section VI. II. MULTI-APERTURE IRISES RESONANCES The first step towards an equivalent circuit is the electromagnetic analysis of the structure. In the present case, the full-wave analysis focuses on determining the different kinds of possible resonances in the monomode bandwidth of the input rectangular waveguide. In order to make a general classification, first the electromagnetic field distribution at the resonant frequencies is studied and secondly the scattering matrix of the problem in Fig. 1 is calculated. It is important to note that the scattering matrix will show the character of the resonances. In other words, at the resonant frequencies there will be total transmission or total reflection. In this way, the nomenclature of resonances and antiresonances is used for total transmission and total reflection, respectively. A detailed inspection of the electromagnetic field revealed two kinds of resonances. The first kind, designated as resonances, in analogy with the resonance of a single aperture iris. The electromagnetic field of one of such resonances is shown in Fig. 2(a). For the case of multiple apertures, several of these resonant fields are possible, each one corresponding to a different aperture of the iris. In a first approach, the frequency resonances can be estimated as the cutoff frequencies of the rectangular waveguides apertures. To determine the number of resonances, two different scenarios in multi-aperture irises with apertures

Fig. 2. Electric field of different kinds of multi-aperture iris resonances. and (b) TEM resonances. (a)

Fig. 3. Multi-conductor line approach to explain the resonances of the iris. (a) Multi-conductor line, (b) iris made of a multi-conductor line with short circuit, and (c) iris inserted in a rectangular waveguide.

are considered: with and without symmetry at the horizontal plane (both cases symmetric in the vertical plane, as illustrated in Fig. 1). In the first scenario, multi-aperture irises without symmetry in the horizontal plane, the number of is equal to . For the second scenario, with horizontal symmetry, the solutions can be obtained with one-half of the structure and the number of resonances is equal to ceiling . The second kind of resonances are the so-called TEM resonances, with a field distribution illustrated in Fig. 2(b). The TEM name is adopted because these resonances are originating from a TEM mode of a strip with a short circuit in the longitudinal plane of the rectangular waveguide ( -plane in Fig. 3). In this case, certain asymmetry properties are required to excite these resonances with the fundamental mode of the rectangular waveguide. The asymmetry of the iris should allow electric fields with opposite phases in contiguous apertures, which is equivalent to a current flowing in the -direction through the conductor in between apertures. In this way, the short-circuit TEM mode around the strip in between contiguous apertures resonates. For the case of two apertures, with one strip in between apertures, an estimation of the resonant frequency is obtained as the strip current with short circuits at its ends, therefore at one-half of

726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

TABLE I NUMBER AND TYPE OF RESONANCES IN AN IRIS WITH

the free-space wavelength. As discussed above, this resonance does not occur if the apertures are symmetrically placed with respect to the -plane. When the number of apertures increases, there is a strong interaction between strips and there is no simple analytical expression of the resonant frequencies. As in the previous case, it is possible to distinguish between irises with and without horizontal symmetry, leading to ceiling and TEM resonances, respectively. A different way to explain the iris resonant behavior is the analysis of a planar short-circuit multi-conductor line introduced transversely in a rectangular waveguide. To understand this approach, it is preferred to look at the multi-aperture iris from a longitudinal plane cut, as in Fig. 3. In this way, the resonances arise from the short circuit of a multi-conductor line supporting one or more TEM modes. In regard to the scattering parameters of the geometry in Fig. 1, the two different kinds of resonances have a completely different behavior. resonances are responsible of a total signal transmission through the iris, i.e., a reflection zero. On the other hand, TEM resonances introduce a transmission zero. The case of the transmission zero in the signal path can be qualitatively explained based on the field configuration of the TEM resonances, Fig. 2(b). There is a double path signal transmission through contiguous apertures, but with opposite phases. At the output waveguide, the transverse field components coming from both apertures with opposite phases cancel each other implementing a transmission zero. It is worth noting that the equivalent circuits for a and a TEM resonance should be topologically duals. Hence, the equivalent circuit of the multi-aperture iris should be able to simultaneously represent both behaviors, reflection zeros, and transmission zeros. A summary of the different kinds of resonances in a multi-aperture iris is presented in Table I. This table is of great importance since it provides in advance the maximum number and kind of resonances of a particular iris with stacked apertures. The exact values of these resonances will be obtained for each particular geometry through fast full-wave simulations. Even more, it will be proven that these frequency points are the only required ones to obtain an equivalent circuit representation. Further properties of the multi-aperture irises will be deduced from the equivalent circuit in Section III. It should be mentioned that the number of resonances in Table I are the maximum number of resonances in the monomode bandwidth. For certain geometries (very wide or short apertures) some of the resonances might be outside the monomode band. However, for many applications (for instance, filter synthesis), the main interest is to model the monomode band, and the resonances provided by the iris will be inside this band to be fully exploited in the response of the device.

STACKED APERTURES

Fig. 4. Equivalent circuit of multi-aperture waveguide irises and canonical LC realizations. (a) Thin iris equivalent circuit. (b) Second Foster realization. (c) First Foster realization. (d) First Cauer realization. (e) Second Cauer realization.

III. MULTI-APERTURE IRIS EQUIVALENT CIRCUIT Once the possible resonances are known the circuit topology of a multi-aperture waveguide iris is determined. The derivation of the equivalent circuit is first based on general consideration and then linked with the previous electromagnetic analysis for the particular case of multi-aperture irises. A. Thin Irises Equivalent Circuit It can be proven [13] that the equivalent circuit of an infinitely thin iris can be expressed as a single shunt admittance , Fig. 4(a). The different elements constituting the shunt admittance should be chosen carefully to accurately model the electromagnetic behavior. Moreover, the minimum possible number of circuit elements is desired, i.e., canonical circuit. For the lossless ideal case the shunt admittance is a susceptance, . Assuming normalized impedance at the input/output ports, the scattering parameters of the reciprocal and symmetric circuit in Fig. 4(a) can be written as (1) It is worth noting in (1) that the reflection zeros are the zeros of and the transmission zeros are the poles of . On the other hand, according to the well-known

LEAL-SEVILLANO et al.: WIDEBAND EQUIVALENT CIRCUIT FOR MULTI-APERTURE MULTI-RESONANT WAVEGUIDE IRISES

theory of one-port LC inmittances realization, a rational function

727

is expressed as

or (2) where is a real positive constant and and are the poles and zeros of , respectively. In addition, the rational function has very important properties derived in [12] and [14]. These properties or realizability conditions are repeated here for clearness and can be summed up as follows. (a) is a positive real function and the degrees of the numerator and denominator differ by one. (b) At and at there is either a zero or a pole. (c) has only simple poles and zeros, all of them interlaced in the axis. (d) The residues of are real and positive. In this way, the equivalent circuit is fully determined by the singular points, zeros, and poles, which, according to (1), are also the reflection and transmission zeros or resonances and antiresonances of the iris. In addition, zeros and poles are interlaced in the frequency axis [property (c)]. This is a very interesting property that first allows to obtain all the singular points from standard full-wave simulations and secondly determines a peculiar characteristic of the physical resonances of the iris; resonances and antiresonances of the iris are interlaced (as stated by Foster’s theorem). The circuit topology that implements the function of (2) is obtained by means of the well-known one-port LC circuit’s synthesis. Among the different circuit topologies, the canonical forms, with the minimum possible number of elements, are of special interest. These canonical forms are the first and second Foster realizations and first and second Cauer realizations [12]. When these realizations are inserted in the circuit of Fig. 4(a), the different two-port circuits of Fig. 4(b)–(e) are obtained. All these equivalent circuits are canonical, i.e., with the minimum possible number of elements. The Foster’s second form is used hereafter for its straightforward representation of poles and zeros. From (2), the equivalent circuit is completely determined by the singular points, zeros, and poles, plus an additional point to fix the real and positive [property (d)] constant . These singular points are often represented in a zero pole diagram, as shown in Fig. 5. It should also be mentioned that poles at zero and at infinite frequency are introduced in (2), as shown in the zeropole diagrams of Fig. 5. This is required to fulfill the realizability conditions (a)–(c). In the case of multi-aperture irises these singular points are the resonances and antiresonances discussed in the previous section. The zeros (reflection zeros) and the poles (transmission zeros) of are the and TEM iris resonances, respectively. Moreover, based on property (c), and TEM resonances should be interlaced in frequency. This property might be applied to the design of certain multiband components. The

Fig. 5. Examples of multi-aperture irises and their equivalent circuit (second Foster realization). (a) One-pole (transmission zero) circuits. (b) Two-poles (transmission zeros) circuits. (c) Three-poles circuit. (d) Four-poles circuit. Only positive frequencies are represented in the zero-pole diagrams. Circles: reflection zeros (zeros of ); crosses: transmission zeros (poles of ).

number of resonances (singular points of ) in multi-aperture irises can be determined according to Table I. Hence, the equivalent circuit topology of any multi-aperture iris with an arbitrary number of apertures can be determined a priori. Some examples are presented in Fig. 5. Some irises examples are discussed in detail. Starting from the simplest case, a single-aperture resonant iris, Fig. 5(a). The equivalent circuit of this structure is a shunt resonator, which corresponds to all of the canonical forms of Fig. 4. The single aperture iris presents a resonance. The resonant frequency is the cutoff frequency of the fundamental mode of the rectangular waveguide aperture, around (GHz), where is the width of the aperture in millimeters. Above and below the resonant frequency the equivalent circuit can be approximated in a narrow band, by a capacitance and an inductance, respectively. This is the well-know inductive and capacitive irises commonly used in microwave filters [15]. This equivalent circuit topology is also valid for the case of the two apertures irises with horizontal symmetry, Fig. 5(a). The symmetry avoids the excitation of a TEM resonance, as discussed in the previous section.

728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

In the case of two apertures, without horizontal symmetry a TEM resonance is generated, introducing a transmission zero in the frequency response. In addition, two resonances, one per aperture, are possible. In that way, the equivalent susceptance presents one zero and two poles, leading to the equivalent circuit of Fig. 5(b). The same circuit topology is valid for three aperture irises with horizontal symmetry. These irises, with two and three apertures, might be of special interest in the design of waveguide components since both are able to introduce a transmission zero of which position is determined by the iris dimensions. The same discussion can be extended to any number of apertures, some examples are represented in Fig. 5. B. Thick Irises Equivalent Circuit The previous derivation is based on the initial assumption of an infinitely thin iris. The effect of a thick iris can be easily included in the circuit model [1]. For the case of a thick iris, the procedure described in the last section can be still used, but with a small correction to match the phase response. This is due to all resonances that are localized in a negligible electrical length, this is, the two reference planes are in the same physical position. When the thickness of the iris is increased, the reference planes positions should be adjusted. The effect of the thick iris is included in the equivalent circuit by adding a transmission-line section at each end of the shunt admittance in Fig. 4(a). This procedure has been used before in different equivalent circuits [16]. It should be mentioned that this correction can be used as long as cavity resonances in the longitudinal dimension due to the iris thickness are far from the band of interest. Irises with thickness up to 2 mm at 15 GHz have been explored without detecting cavity resonances. IV. EQUIVALENT CIRCUIT RESULTS A. Examples Some iris examples responses are presented in order to illustrate the proposed equivalent circuit. The topology for a particular iris is obtained as described previously. The values of the circuit elements for a given iris geometry are obtained by means of full-wave simulations. The equivalent circuit is obtained with the following steps. Step 1) The number of resonances and antiresonances is calculated based on the number of apertures and symmetry of the iris. Step 2) The scattering parameters of the two-port’s iris is obtained by means of a full-wave simulation in the complete monomode bandwidth. Multi-aperture irises are efficiently analyzed using the mode-matching technique. Step 3) The resonant frequencies (singular points) are extracted from the full-wave simulation. These are the zeros and poles of the shunt admittance. An additional value at a regular frequency point is required to calculate the constant in (2). Step 4) The equivalent circuit is obtained straightforward from (2) following the standard one-port LC in-

Fig. 6. Comparison of full-wave simulations (mode matching) and equivalent circuit of a three apertures iris with twofold symmetry. (a) Magnitude and (b) phase (full-wave and circuit responses are almost superimposed one over the other). Dimensions are: WR-75 waveguide; central aperture 13 1 mm; upper and lower apertures 11.5 0.8 mm and 1.5-mm offset from the center of the rectangular waveguide. Circuit parameters are in Table II, example I.

mitances synthesis [12]. The circuit values for the canonical forms, Fig. 4, are determined. The rational functions obtained for three examples are summarized in Table II. The first example is a twofold symmetry iris with three apertures shown in the inset of Fig. 6(a). As discussed before, the equivalent circuit topology is shown in Fig. 5(b) (second Foster form). In Fig. 6, the comparison between full-wave simulations and the equivalent circuit is shown. A very good agreement can be observed with minor deviations at the ends of the analyzed frequency band. The second example is also a three-aperture iris, but the horizontal symmetry has not been maintained, as shown in the inset of Fig. 7. In this case, there are three reflection zeros and two transmission zeros. The equivalent circuit is shown in Fig. 5(c). The comparison in magnitude and phase between full-wave simulations and the equivalent circuit is shown in Fig. 7. One advantage of the proposed equivalent circuit is that it accurately represents the electromagnetic response in a wide bandwidth and using the minimum number of circuit elements.

LEAL-SEVILLANO et al.: WIDEBAND EQUIVALENT CIRCUIT FOR MULTI-APERTURE MULTI-RESONANT WAVEGUIDE IRISES

729

TABLE II RATIONAL FUNCTION OF THE DIFFERENT EXAMPLES AS IN 2 . EXAMPLE 1: IRIS FIG. 6. EXAMPLE 2: IRIS FIG. 7. EXAMPLE 3: IRIS FIG. 11.

Fig. 8. Dimensions in millimeters of the fabricated waveguide iris with five apertures, with the details and dimensions of the flange for the construction.

Fig. 9. (a) Photograph of the waveguide iris with five apertures. (b) Iris with the waveguide-to-coaxial transitions. (c) Iris in the measurement test set.

Fig. 7. Comparison full-wave simulations (mode matching) and equivalent circuit of a three apertures iris with onefold symmetry. (a) Magnitude and 1 mm (b) phase. Dimensions are: WR-75 waveguide; upper aperture 9.5 and 3-mm offset; central aperture 13 1.8 mm and 0.8-mm offset and lower aperture 11 0.4 mm and 3-mm offset. Circuit parameters are in Table II, example II.

B. Experimental Results The next example is a five-aperture iris, Fig. 11(a). In order to experimentally verify the equivalent circuit, a prototype at WR-75 has been fabricated and measured. The iris was fabricated with wire electric discharge machining (EDM) and using brass as metal conductor. The measurements were carried

out with a vector network analyzer (VNA) and thru-reflect-line (TRL) calibration. The dimensions and a photograph of the fabricated iris are shown in Figs. 8 and 9, respectively. The iris with five apertures has five and four TEM resonances, leading to the equivalent circuit in Fig. 10. The singular points to represent the rational function are summarized in Table II, example 3. The measurements and the equivalent circuit response are compared in Fig. 11. The good agreement between the equivalent circuit and measurements is worth noting, even in this case with a large number of apertures. The main discrepancies observed in Fig. 11 arise from the measured insertion-loss level. This is not considered in the equivalent circuit that is based on the ideal lossless case. However, the insertion loss can be included by moving the zeros and poles of (2) from the axis to the left-hand side of the complex plane. Afterwards, an equivalent circuit can be obtained following the Otto Brune synthesis for RCLM circuits [12], [14].

730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 10. Geometry, equivalent circuit, and zero-pole diagram of the iris with five apertures. Circuit parameters are in Table II, example III.

C. On the Spectral Resolution One point to be considered is the spectral resolution of the equivalent circuit, i.e., the frequency range where the equivalent circuit accurately represents the electromagnetic response. This is related with the number of singular points included in (2). In the previous examples, all the singular points in the monomode rectangular bandwidth were used to obtain the equivalent circuit. The results were a wideband equivalent circuit for the complete monomode bandwidth. However, for a given frequency range, narrowband equivalent circuits can also be obtained by including in (2) only the singular points in the desired bandwidth. This fact is illustrated in Fig. 12, where the last example, the iris with five apertures, is adjusted using some, but not all, of the singular points. A good match between measurements and the circuit model can be seen in the vicinity of the adjusted singular points, but also that there is a divergent behavior away from them.

Fig. 11. Comparison measurements and equivalent circuit of the iris with five apertures. (a) Magnitude and (b) unwrapped phase.

V. EQUIVALENT CIRCUIT OF ARBITRARY SHAPED IRISES AND FSS It is worth noting that the equivalent circuit derivation leading to (2) is of general validity for thin irises. This is, the circuit topologies of Fig. 4 can be used for all kinds of irises geometries. Moreover, the procedure followed in Section IV to obtain the circuit values can also be used. The difference is that the number and type of resonances (zeros and poles) of an arbitrary shaped iris are not known in advance unlike for the multi-aperture iris case studied in Section II. Another possible application of the discussed equivalent circuit is for the accurate modeling of the normal incidence of a plane wave on a periodic structure, such as the advanced geometries used for the design of FSSs [17].

Fig. 12. Spectral resolution of the equivalent circuit. Equivalent circuit with: (a) first four, (b) fifth, sixth and seventh, and (c) last five singular frequency points.

LEAL-SEVILLANO et al.: WIDEBAND EQUIVALENT CIRCUIT FOR MULTI-APERTURE MULTI-RESONANT WAVEGUIDE IRISES

Fig. 13. Unit cell of a FSS for normal incidence (vertical polarization). Perfect magnetic wall (PMW), perfect electric wall (PEW).

731

previous examples and the comparison with full-wave simulations is presented in Fig. 14. A significant difference with the previous examples is that, in this case, the fundamental mode is a TEM mode with zero cutoff frequency. Thus, the equivalent circuit can represent the behavior of the structure in an even wider band, in the presented example from 0 to 37.5 GHz. Above 37.5 GHz, higher order modes of the parallel-plate waveguide are generated and the equivalent circuit is out of the previous discussed validity range. These results proof that irises with more evolved shapes, typically proposed for FSS applications can be represented with the same procedure. However, the physical interpretation of the resonant phenomena originating in the structure are not evident as in the multi-aperture iris case studied in Section II. In spite of the lack of a rigorous full-wave study of the resonances in such complicated structures, the derived equivalent circuit can be used as an efficient and simple model for the analysis and design of RF components. VI. CONCLUSIONS

Fig. 14. Equivalent circuit of the FSS of Fig. 13 for normal incidence: (a) magnitude and (b) unwrapped phase. Rational function of , GHz, and the circuit: GHz.

In this scenario, the infinite structure analysis can be reduced to the characterization of a periodic cell. For the normal incidence case, the periodic cell is equivalent to an iris in a parallel-plate waveguide [18]. This is illustrated with the last example shown in Fig. 13. This last example is presented in order to illustrate the applicability of the proposed equivalent circuit for an arbitrary shaped iris, as well as for FSS structures. In this case, the arbitrary shaped iris illustrated in Fig. 13 has been used. This geometry is called the Jerusalem cross and is used often for FSS applications [19], [20]. The equivalent circuit is obtained as in

The well-known representation of shunt impedances as rational functions has been used to obtain a wideband equivalent circuit for the multi-aperture waveguide iris. The number and type of resonances of multi-aperture waveguide irises have been discussed. To the best of the authors’ knowledge, it is the first time that the quantification and classification of the possible resonances of multi-aperture irises with an arbitrary number of stacked apertures has been presented. The equivalent circuit is based on the relation between two kinds of resonances, identified in the electromagnetic analysis, with the zeros and poles of a rational function. The rational function can then be expanded in its canonical forms, with minimum number of elements, by following the well-known one-port synthesis. Different numerical examples and the comparison with measurements of an iris with five apertures have been presented and discussed. The proposed equivalent circuit has numerous potential applications in the design of passive waveguide components, as well as for diagnosis of unexpected responses, such as spike resonances. In particular, this type of iris is commonly used in filters and multiplexers to couple resonant cavities, adding the possibility, after the results obtained in this work, for implementing sophisticated responses with deep attenuation bands or even multiple bands. In addition, the extension of the presented theory to the case of arbitrary shaped irises and normal incidence over an infinite periodic perforated metal screen is straightforward. ACKNOWLEDGMENT The authors wish to thank Inmepre S.A., Vitoria-Gasteiz, Spain, for the prototype manufacturing. REFERENCES [1] N. Marcuvitz, Waveguide Handbook. New York, NY, USA: McGraw-Hill, 1951. [2] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits. London, U.K.: IEE, 1987, vol. 25. [3] R. Levy, “Derivation of equivalent circuits of microwave structures using numerical techniques,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1688–1695, Sep. 1999.

732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[4] R. Rodriguez-Berral, F. Medina, F. Mesa, and M. Garcia-Vigueras, “Quasi-analytical modeling of transmission/reflection in strip/slit gratings loaded with dielectric slabs,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 405–418, Mar. 2012. [5] J. Uher, J. Bornemann, and U. Rosenberg, Waveguide Components for Antenna Feed Systems: Theory and CAD. Norwood, MA, USA: Artech House, 1993. [6] T. E. Rozzi and W. F. G. Mecklenbrauker, “Wide-band network modeling of interacting inductive irises and steps,” IEEE Trans. Microw. Theory Techn., vol. MTT-23, no. 2, pp. 235–245, Feb. 1975. [7] T. Rozzi, A. Morini, F. Ragusini, and M. Mongiardo, “Analytical solution and frequency extraction of iris problems in waveguide by separation of variables,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 2, pp. 253–259, Feb. 1997. [8] R. Yang and A. S. Omar, “Investigation of multiple rectangular aperture irises in rectangular waveguide using TEmnx-modes,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 8, pp. 1369–1374, Aug. 1993. [9] N. Paterson and I. Anderson, “Bandstop iris for rectangular waveguide,” Electron. Lett., vol. 12, no. 22, pp. 592–594, Oct. 1976. [10] A. A. Kirilenko and L. P. Mospan, “Reflection resonances and natural oscillations of two-aperture iris in rectangular waveguide,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 8, pp. 1419–1421, Aug. 2000. [11] I. Reingold, J. L. Carter, and K. Garoff, “Single- and multi-iris resonant structures,” Proc. IRE, vol. 40, no. 7, pp. 861–865, Jul. 1952. [12] G. C. Temes and J. W. Lapatra, Introduction to Circuit Synthesis and Design. New York, NY, USA: McGraw-Hill, 1977, vol. 15. [13] K. Kurokawa, An Introduction to the Theory of Microwave Circuits. Norwood, MA, USA: Academic, 1969. [14] M. E. V. Valkenburg, Introduction to Modern Network Synthesis. New York, NY, USA: Wiley, 1962. [15] G. L. Matthaei, L. Young, and E. Jones, Microwave Filters, ImpedanceMatching Networks, and Coupling Structures. Dedham, MA, USA: Artech House, 1980, vol. 1964. [16] J.-F. Liang, X.-P. Liang, K. A. Zaki, and A. E. Atia, “Dual-mode dielectric or air-filled rectangular waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 7, pp. 1330–1336, Jul. 1994. [17] M. Ohira, H. Deguchi, M. Tsuji, and H. Shigesawa, “Multiband singlelayer frequency selective surface designed by combination of genetic algorithm and geometry-refinement technique,” IEEE Trans. Antennas Propag., vol. 52, no. 11, pp. 2925–2931, Nov. 2004. [18] F. Medina, F. Mesa, and R. Marques, “Extraordinary transmission through arrays of electrically small holes from a circuit theory perspective,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3108–3120, Dec. 2008. [19] C.-H. Tsao and R. Mittra, “Spectral-domain analysis of frequency selective surfaces comprised of periodic arrays of cross dipoles and Jerusalem crosses,” IEEE Trans. Antennas Propag., vol. AP-32, no. 5, pp. 478–486, May 1984. [20] R. Dickie, R. Cahill, V. Fusco, H. Gamble, and N. Mitchell, “THz frequency selective surface filters for Earth observation remote sensing instruments,” IEEE Trans. Terahertz Sci. Technol., vol. 1, no. 2, pp. 450–461, Nov. 2011. Carlos A. Leal-Sevillano (S’00–M’14) was born in Madrid, Spain, in 1986. He received the Telecommunications Engineer degree, Masters degree in communications technologies and systems, and Ph.D. from the Universidad Politécnica de Madrid, Madrid, Spain, in 2009, 2010, and 2014, respectively. From 2008 to 2015, he collaborated with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid. During 2011, he was a Visiting Ph.D. Student with the School of Electronic, Electrical and Computer Engineering, University of Birmingham, Edgbaston, Birmingham, U.K. During 2012, he was a Visiting

Ph.D. Student with the NASA Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, CA, USA. From 2013 to 2014, he was Part-Time Lecturer with the Universidad Autónoma de Madrid. From 2014 to 2015, he was Research Staff Member and Post-Doctoral Research Fellow with the Universidad Politécnica de Madrid. He is currently an Electrical Engineer with RYMSA Espacio, Arganda del Rey, Madrid, Spain, where he is involved with the development of high-performance RF components and antennas for space applications. His research interests include electromagnetic wave propagation in waveguide structures, analytic and numeric electromagnetic methods, satellite communications antennas, and passive devices in the microwave, millimeter-wave, and terahertz bands. Dr. Leal-Sevillano was the recipient of the Best Student Paper Award of EuCAP 2014. He was a finalist of the 25th Anniversary Hispasat Award in 2015 and the recipient of the Best Ph.D. Award of the Universidad Politécnica de Madrid in 2016.

José R. Montejo-Garai was born in Vitoria-Gasteiz, Spain, in 1965. He received the Ingeniero de Telecomunicación degree and Ph.D. degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1990 and 1994, respectively. Since 1989, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid, as an Assistant Professor, until 1996, when he became an Associate Professor. His research interests include the analysis and characterization of waveguide structures, advanced synthesis theory, and computer-aided design (CAD) for microwave and millimeter-wave passive devices: filters, multiplexers, orthomode transducers, beam-forming networks, etc. He has designed several passive microwave devices for communication satellites.

Jorge A. Ruiz-Cruz (A’11–M’11–SM’11) received the Ingeniero de Telecomunicación degree and Ph.D. degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 1999 and 2005, respectively. Since 2006, he has been with the Universidad Autónoma de Madrid, Madrid, Spain, where, in 2009, he became an Associate Professor. His current research interests are the computer-aided design of microwave passive devices and circuits (filters, multiplexers, ortho-modes, etc.).

Jesús M. Rebollar (M’15) was born in Beasain (Gipuzkoa), Spain, in 1953. He received the Ingeniero de Telecomunicación degree and Doctor degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1975 and 1980, respectively. Since 1976, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid, as an Assistant Professor, until 1982, and an Associate Professor until 1988, after which he became a Professor of Teoría Electromagnética. His research interests include electromagnetic wave propagation in waveguide structures, surface plasmon propagation, interactions of electromagnetic fields with biological tissues, and particularly computer-aided design (CAD) for microwave and millimeter-wave passive devices: filters, multiplexers, polarizers, orthomode transducers, beam-forming networks, horns, feeders, etc. He has designed many of the above components for communication systems on board satellites.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Plane-Pair PEEC Model for Power Distribution Networks With Sub-Meshing Techniques Leihao Wei, Student Member, IEEE, Liang Li, Ketan Shringarpure, Member, IEEE, Albert E. Ruehli, Life Fellow, IEEE, Edward Wheeler, Senior Member, IEEE, Jun Fan, Fellow, IEEE, Bruce Archambeault, Fellow, IEEE, and James L. Drewniak, Fellow, IEEE

Abstract—We present an improved plane-pair partial element equivalent circuit (PEEC) model for power distribution network modeling based on the PEEC formulation. The model can include via connections, decoupling capacitor macro-models, and discontinuities such as holes in the plane-pairs. An efficient approximate inductance sub-meshing model is described for large printed circuit plane-pairs with complex geometries and numerous vias. The modified nodal analysis (MNA) used leads to a flexible circuit solution where we can compute inductances, resistances, impedances, or other circuit models, including dc solutions. The MNA equations include effective optimizations such as the placement of capacitors. Today, a large class of methods are available based on numerous formulations including finite-difference time-domain, finite-element method, integral equation model, and cavity models. Each of the approaches has its own type of problems for which it is most suitable. Index Terms—Complex printed circuit boards (PCBs), efficiency, impedance computations, inductance, partial element equivalent circuits (PEECs), partial inductance, sub-meshing, voltage regulator module (VRM).

I. INTRODUCTION

P

RINTED circuit board (PCB) power distribution systems must be designed to limit the variation of voltage at all integrated circuit (IC) chips. This represents a challenging task as switching speeds as well as the current supply requirements increase with new designs. Currently, clock frequencies can be several gigahertz with currents exceeding tens of amperes for high-end designs. The IC, the on-chip power distribution, must provide a low impedance at the highest frequencies. The previous modeling methods of PDN can be found in, for example, [1]–[3].

Manuscript received May 22, 2015; revised September 13, 2015 and December 11, 2015; accepted December 19, 2015. This work was supported in part by the National Science Foundation (NSF) under Grant IIP-1440110. L. Wei is with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90025 USA (e-mail: [email protected]. edu). L. Li is with Cisco Systems, San Jose, CA 95134 USA. K. Shringarpure is with Apple Inc., San Jose, CA 95014 USA. A. E. Ruehli, J. Fan, B. Archambeault, and J. L. Drewniak are with the Electromagnetic Compatibility (EMC) Laboratory, Missouri University of Science and Technology, Rolla, MO 65401 USA. E. Wheeler is with the Department of Electrical and Computer Engineering, Rose-Hulman Institute of Technology, Terre Haute, IN 47803 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518164

Fig. 1. Plane-pair geometry example from [4].

As illustrated in Fig. 1, the IC power pins are connected through vias that connect to the PCB’s power and reference plane-pair. The voltage between these planes is established by the voltage regulator module (VRM). Strategically placed low-impedance decoupling capacitors are connected between the power/reference planes. The aim of multiple capacitors connected between the power/reference planes is to provide a low impedance even at high frequencies for PCB power integrity [2], [5]. An important aspect of the design of IC systems is the ability to model the power distribution network (PDN) in all its complexity. In this paper we consider modeling the PCB plane part of the PDN. The efficiency of the plane-pair partial element equivalent circuit (PPP) approach is based on modeling planepairs, as was done in [6]. Here, we concentrate on the plane-pair, with one plane typically the reference or ground plan and the other the power or voltage plane. Different approaches are used for plane-pair modeling. One type of model is based on microwave cavity modeling [7]. The original cavity model has evolved into several different application specific approaches, e.g., [8]. The long list of different techniques for plane-pair modeling also includes lumped 2-D DE circuits or finite-difference time-domain (FDTD) models [9] and the transmission-line matrix (TLM) approach [10], [11]. The conventional plane-pair partial element equivalent circuit (PEEC) approach without acceleration techniques is time and storage intensive due to couplings between all elements [12]. This is a significant obstacle in full-wave analysis when dealing with large PCBs. Fortunately, an improved differential coupling model [13] can be used to sparsify coupling. It has been noted that the inductive far coupling is stronger in conventional PEEC models than the capacitive coupling [14].

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

A solution technique is based on the observation that the far coupling is weaker for the inverse of the partial inductance matrix . Approximations of the inverse were used to partially avoid costly run times. An example for improvement of the approach is given in [15]. However, the approach presented in this paper is much more efficient for parallel planes since the difference inductive coupling can be exploited for plane-pair models [16]. This approach is fundamental for the speed improvement, which we call the PPP approach. We point out the advantages of the PPP technique in comparison to the widely use conventional differential equation (DE) models that have been used by many researchers, e.g., [17]. While the DE approach is fast, its accuracy suffers at discontinuities and boundaries and very narrow plane-pairs, which is an issue similar to short-length transmission-line models. Hence, the PPP method better accounts for plane boundaries and holes in planes, etc. We use parallel-plate-type models for the inclusion of capacitances. This will be validated in the results section. Corrections to the capacitance models can be made by the inclusion of fringe capacitance approaches. Speed-up techniques in the PPP approach are based on rectangular Manhattan meshing such that partial inductance sub-matrices for the - and -directions are decoupled. As done in previous work [16], [18], an approximation of the current distribution is used to accomplish a mesh reduction without the introduction of – couplings between the and matrices. For this reason, we devised the PPP approach to model the system with fewer unknowns. A key advancement made in this work is multi-level meshing. The opposite plane-pair currents and the difference-nodes included in the approach implemented in modified nodal analysis (MNA) [19] matrices are suitable for the multi-level mesh reduction. The significant gain in the solution speed realized by using sub-meshing will be presented in the results section. This approach enables its practical use for large and complex PCB problems. The equivalent circuit for the PDN decoupling capacitors can be changed depending on the application. Two models can be used for an inductance-only model. In one model type, the capacitors are replaced by shorts, which essentially results in the capacitor impedance only due to the inductance of the via connection. Another inductance-only model can also include the inductance part of the capacitor impedance (ESL). For both cases, the solution vector is real, which results in a fast computing time. If we also add resistance of the PEEC cells and capacitors to the solution, we solve the R–L problem, which leads to a complex solution vector. Adding the capacitances with or without the (ESC) capacitance of the decoupling capacitors results in a complex impedance model. Both Joule and dielectric losses can be readily added to the model with the result that the approach presented in this work is both flexible and powerful. In Sections II and III, the PPP model and its PPP implementation are detailed. Section II-B introduces the modeling of the vertical interconnects or vias, capacitances, and loss models. Acceleration with an approximate multi-level submeshing is presented in Section IV. Finally, validation of simulations using this approach are given in Section V.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Plane subdivision to PEEC circuit (with inductances only), a hole is modeled by deleting a node.

Fig. 3. Smallest example problem for two planes.

II. OUTLINE OF PPP MODEL IN FREQUENCY DOMAIN A. Inductance Model for PPP Partial inductance has been discussed in [20], allowing the inductance to be split into constituent partial inductances. In addition, the orthogonality of the currents in the vias and the planes allows the modeling of vertical elements (vias) without partial mutual coupling inductances in the horizontal direction (plane). Plane-pairs are subdivided using the orthogonal PEEC [12] meshing shown in Fig. 2. A partial inductance is assigned to each rectangular mesh cell indicated by dashed lines, in either the or direction [16], where the partial inductances are connected to the nodes. The grey -directed cells and half-cells are pointing down in Fig. 2, while the orthogonal -cells and half cells at the boundaries are pointing to the right. Both planes require the same congruent meshing. Holes in the plane-pairs can easily be made by eliminating the appropriate partial inductances in the models, as shown in Fig. 2. The orthogonality between the currents prevents mutual couplings between and cells, which further increases matrix sparsity. Fig. 3 represents the simplest possible example for a PEEC two-plane model to explain the basic formulation where the cells are represented by lines [16]. Four nodes are used per plane to represent the meshing with one power via and one reference via. A current is injected at nodes 4 and 4 in order to find the inductance between the planes at port 4. Also, the load, which is connected between the planes at nodes 1 and 1 , is simply represented by a short-circuit connection, the simplest model for a decoupling capacitor valid due to the large capacitance values. The plane-pair inductance—the inductance between the power and reference planes—is therefore separated from via inductances by the plane inductance. In this example, the inductance at N4 is given by . The fundamental structure of the MNA matrix, shown in (20) at the bottom of page 6, is the same for practical problems with . In order to guarantee a good conditioning of the MNA matrix we use mA, V, GHz, ns as normalized units and k , pF,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WEI et al.: PPP MODEL FOR PDNs WITH SUB-MESHING TECHNIQUES

3

TABLE I COMPARISON OF SECTION-TO-SECTION COUPLING INDUCTANCE DECAY

Fig. 4. Coupling between two cell pairs.

Fig. 6. Section-to-section approximation by one- and two-filament method.

Fig. 5. PEEC equivalent circuit of coupling between cell pairs.

and H for the circuit. Note that circuit element stamps for the shorting and decoupling capacitors are added at the end of the unknown vector so that changes can be made without touching the rest of the matrix. This formulation was presented in [16] and [18]. In the difference inductance model [16], [21], the cell pairs and shown in Fig. 4, represented by the equivalent circuit shown in Fig. 5 represent two sections in the plane, each containing a power and a ground cell component. The voltage drop on the left cell pair induced by current on the right cell pair is given by (1). The mutual partial inductance between sections is given in (2). The mutual partial inductance and can be found by the formula for the mutual inductance of rectangular sheets [22]. Of course, this formula can also be used to find the self partial inductance of a section ( ) in (3),

the mutual coupling between cells can be entirely neglected at larger distances while still maintaining accuracy to the solution with the inclusion of all mutual terms. More importantly, by setting long-distance coupling to zero, it leads to a sparse matrix, (nH)

(4)

The wider cells partial inductances can be approximated by using a two-filament representation for each conductor. For two filaments in each surface we have and representing conductor , and and representing conductor . For a normalized cell width of 1, filaments are placed at and by Gaussian quadrature with a weight of 0.5 each. We then have for the difference cell section to cell section coupling. Generally, for -filaments approximations (5)

(1) (2)

For the difference section pairs,

(3) ) is observed in the mutual couDipole-like cancellation ( pling between cells due to the opposite currents of each element. Therefore, mutual coupling reduces rapidly. These difference segment couplings decay much faster than the single-layer partial mutual inductances. Fast approximations is applied at middle distances and the couplings are neglected for large distance compared to the plane separation . For the purpose of accelerating MNA matrix element calculation, the mutually inductance (4) between cells is accurately approximated with a one-filament model, where and are section side lengths and [21]. On the other hand,

(6) The comparison is given in Table I. In this example, the section length is 1 mm 1 mm and vertical cell spacing is 0.2 mm. In Fig. 6, we compare their convergences to zero thickness rectangular sheets model versus the distance of two sections. The

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

result shows that the two-filament approach of a partial inductance is better than the one-filament approach since it provides faster convergence to the zero thickness rectangular sheet model (solid line). Essentially, we use the two filament model to replace the zero thickness model at middle distances in this paper. In this work we use a multilevel sub-meshing strategy to accurately capture the effects of current crowding in the vicinity of the vias. This permits smaller mesh sizes to be used in the vicinity of vias, where currents and their spatial variation are both significant. At the same time, using larger meshing away from via regions thereby maintains accuracy while dramatically reducing run times in comparison to solutions without submeshing.

Fig. 7. Partial mutual inductance for two filament wires.

B. Approximate Models for the Via Connections The required complexity of the via models depends on two factors, one being the highest frequency for which the model is to remain valid and the other the maximum tolerable compute time. Fortunately, due to the mid frequency range in our applications, one filament model suffices to represent a via [4]. Rectangular approximations for vias are used in several papers [16], [21]. One can also approximate the number of contacts to the via to represent a round via [4]. The round via has a radius and length . We assume that is larger than the diameter . With a high-frequency skin-effect approximation, the partial self inductance of a round wire is approximated using the partial self inductance of a zero thickness cylindrical tube in (7), where [23]. Since this formula is inaccurate if we also use the approximate round wire formula (8) [24]. A square model approximation is used in this work and the via connects to the plane with the via diameter equal to side length,

Fig. 8. Top view of partial capacitances, dashed lines enclose current cells.

and

C. Adding Capacitances to PPP Model

(7)

(8) The coupling between vias is accurately represented by two parallel filaments [13], [24], shown in Fig. 7. This approximation holds provided that the via diameter is small compared to via spacing. The analytical form of the partial inductance is given for this case by (9),

(9) with

The plane capacitances lead to additional resonances at higher frequencies. Very high-frequency PDN models require the inclusion of capacitances as well as inductances. It has already been shown that inductive coupling is relatively local for plane-pairs. Since it is well known that capacitive coupling for plane-pairs is more local than inductive, it is sufficient to add a conventional DE model to the PPP capacitance model. Further, this enhances the overall simplicity of the model. High-frequency solutions can be obtained without including retardation since in the difference models the couplings are very local. Using the parallel-plate capacitor model, the partial capacitance is , where is the cell area, as shown in Fig. 8, and is the plane separation. The capacitances are stamped into the main diagonal of the upper left part of the MNA matrix (20). Four cases for capacitance are shown in Fig. 8 and a capacitance plate is shown by the gray area where the capacitance is proportional to with different ratios [4]. D. Plane Skin-Effect Model and Losses for Dielectric Losses can be included in the PEEC circuit models at different levels guided by the usual accuracy and complexity tradeoffs. Most of the PPP models are applied such that fast solutions

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WEI et al.: PPP MODEL FOR PDNs WITH SUB-MESHING TECHNIQUES

5

result. The most efficient model uses zero thickness partial inductances, and we assume that the frequency is sufficiently high so that the conductor thickness is larger than several skin-depths , where is the conductor thickness and is the conductivity of the planes,

TABLE II EXAMPLE OF MNA MATRIX STAMP FOR VIAS

(10) We can use several different models for the plane resistance for a cell. The resistance of the planes can easily be included in the MNA equations as is done in (20) with added to the cell coupling self inductance. The usual 1-D skin-effect model could be used for finite thickness conductors, or (11) where the factor of 2 accommodates the two plane resistance and . To satisfy causality, the model must be Hilbert consistent, and we also need to take the impact of the inductive skin-effect part into account [25]. Including dielectric loss is not of key importance for midfrequencies in the lower GHz range. Debye models with few elements can easily be added to the capacitor model to account for dielectric loss where again the added accuracy will result in increased compute time [26].

TABLE III NUMERICAL INTEGRATION METHODS

Similarly, at time , branch , node to , the Kirchoff's current law (KCL) equation can be written as (15),

(15)

III. TIME-DOMAIN PPP We have shown the overall PPP MNA formulation in the frequency domain. However, it is worth mentioning that this formulation in the frequency domain can be easily converted to a time-domain solution. Consider two standard DEs for a capacitor and inductor in (12), which describes time characteristics for a capacitor from node to and an inductor at branch . An example for the MNA inductance stamp for via inductances is given in Table II. First- or second-order numerical integration techniques in (13) with coefficients listed in Table III, can be used for time-domain PPP, where presents the th time step. For the purpose of stability and accuracy, we use the second-order backward difference (BD2) integration method in this work, which is given by the last row in Table III, (12) (13) At time , node , the Kirchoff’s voltage law (KVL) equation can be written as (14) with appropriate derivative approximation,

Thus, the PPP MNA matrix in the time domain takes the following form in (16):

(16) Note that if we take uniform time steps, , the time-domain MNA matrix will not change, which leads to a fast solution. IV. ACCELERATION THROUGH THE USE MULTILEVEL SUB-MESHING A. Sub-Dividing Mesh Cells and Weighted KCL Here, an example of three levels of sub-meshing is given in Fig. 9 to illustrate the transitioning of meshing. Each sub-mesh level halves the size of the mesh dimensions. To achieve a continuous transitioning of current across the boundary, weighted KCL equations can be used to make transition as continuous as possible, where the current in each partial inductance is assumed to be uniformly distributed on cells. Based on the ratio of the corresponding boundary of two submeshing cross-section lengths, one can obtain weighted KCL coefficients as discussed in [4]. Type I

Type II (14)

OF

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

in [16], [18]. Here, we extend the approach to the multilevel sub-meshing presented in Section IV-A. The rapid decrease in the mutual inductance between cells versus distance between cells is an important task addressed in this section. First, consider the criterion used to switch from the cell-pair to cell-pair inductance to the two-filament pair to two-filament pair inductance. The relative error of calculating mutual inductance by closed-form expressions compared to that from two-filament approximations is given by (18) with 3% error used here as sufficient accuracy for most purposes, (18)

Fig. 9. Transitioning region of sub-meshing, contains Type I–V nodes from [4]. Shading highlights the inductive cells, and dash lines are boundaries of current cells.

Type III Type IV: G8 Type V: S1

B. Approximation of Mutual Inductance Coupling Using Multi-Level Sub-Meshing Thus far, we considered two speed-up techniques used, the symmetry of the two planes, and the multi-level meshing. In this section, we consider two other approaches. In the first approach, we use approximations for inductive coupling, while in the second one we entirely neglect these small inductive couplings. Both approaches are possible due to the rapid decay of the difference inductive coupling. This results in a sparse matrix whose solution is fast to compute. The decay of the difference coupling and the approximate coefficients for transmission-line-type problems was observed in [13]. For plane-pair modeling a similar approach was applied

An example is provided here of coupling between 0.125 mm 0.125 mm section size to 0.25 mm 0.25 mm section size with 0.2-mm plane separation. The partial mutual inductance is calculated by closed-form formula and the approximation formula. From our study it is clear that when the section distance is larger than approximately 0.74 mm, the 3% approximation rule is met. With this method, the family of curves given in Fig. 10 can be used to find required section distance. The required section distance is normalized to the larger value of section sizes and plane separation. The index indicates the difference in level between two sections, e.g., means, two commensurate sections. As shown in Fig. 10, less required normalized section distance is necessary when the initial section size is large. Second, we consider the criterion used to neglect coupling entirely according to (4), and one may define the normalized inductance as the mutual partial inductance divided by the self partial inductance in (19) [21]. An example of fast decay of mutual normalized partial inductance is plotted in Fig. 11. At a distance of 1.35 mm, the mutual partial term is already less than 10 of the self partial term. Hence, these mutual terms may be neglected entirely for a sufficiently large section distance. Fig. 12 shows a family of curve of required normalized section distance versus section size, (19)

(20)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WEI et al.: PPP MODEL FOR PDNs WITH SUB-MESHING TECHNIQUES

7

Fig. 14. Simplified model. Fig. 10. Required normalized section distance for the approximation formula.

Fig. 11. Normalized inductance for zero estimation.

Fig. 15. Impedance frequency spectrum. TABLE IV RUN-TIME COMPARISON

Fig. 12. Required normalized section distance for zero estimation.

4) ; ; S m. The frequency range is from 10 MHz to 1 GHz. Three levels of sub-meshing are used with four contacts on each side of the via, total unknowns on the object are 4708, resulting in a calculation time of 272 s. The current code is implemented in MATLAB script, although a C code would speed up calculation by a factor of 2 to 10. A CST model with 139 365 meshcells takes 212-s simulation time. The result shows close agreement with CST simulations, as shown in Fig. 15. B. Run-Time Reduction

Fig. 13. Original model.

V. VALIDATION OF SIMULATIONS A. Impedance Sweep Validation The part of the real PCB structure in Fig. 13 was simplified as shown in Fig. 14. The test parameters are as follows. 1) Plane separation mm (10 mil). 2) Via diameter mm. 3) Short is at (100 mm, 60 mm); source is at (25.2 mm, 2.8 mm).

The multi-level sub-meshing method reduces the number of unknowns, leading to significant reductions in the solve time, allowing larger systems to be modeled than those possible prior to implementing the sub-meshing strategy. We use a larger plane-pair with 500 mm 250 mm plane size and 0.2-mm plane spacing as the test geometry. The center of the short is located at (250 mm, 62.5 mm), and the source center is located at (250 mm, 187.5 mm). The via size for both short and source is 0.25 mm 0.25 mm. We use two, three, and five contacts of voltage nodes on each side of a via for different configurations. Comparison for the time reduction is shown in Table IV. We are approximating the via with a more realistic round-shape approximation in five contacts configuration. Further, it is interesting to consider a resistance-only solution. Its run-time results

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

are also given for comparison. The MNA matrix is very sparse for this case since resistance models are not mutually coupled. We did not provide uniform mesh information because there are too many unknowns to be incompatible with the modest computer resources available to us. VI. CONCLUSIONS The PPP method with the use of multi-level sub-meshing requires significantly shorter run times than uniform meshing while maintaining high accuracy compared to the traditional PPP approach. The approach results in refined meshing near the vias and other connections, hence capturing the rapid spatial variation of current. Regions far from the via and other connections are represented by more sparse meshes, which resulted in a significant reduction in the number of unknowns. Run time is further reduced through the rapid decay of the inductive couplings, which leads to further spasification. The PPP method shows its promise and flexibility in modeling a plane-pair in a PDN. Although we recognize that modeling a plane-pair is only part of a PDN model, it is feasible to cascade layer pairs, as is conventionally done. REFERENCES [1] W. D. Becker et al., “Modeling, simulation and measurement of midfrequency simultaneous switching noise in computer systems,” IEEE Trans. Adv. Packag., vol. 21, no. 2, pp. 157–163, May 1998. [2] L. Smith, R. Anderson, D. Forehand, T. Pelc, and T. Roy, “Power distribution system design methodology and capacitor selection for modern CMOS technology,” IEEE Trans. Adv. Packag., vol. 22, no. 3, pp. 284–291, Aug. 1999. [3] M. Swaminathan, K. Joungho, J. Novak, and J. P. Libous, “Power distribution networks for system-on-package: Status and challenge,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 286–300, May 2004. [4] L. Wei, K. Shringarpure, A. Ruehli, E. Wheeler, and J. Drewniak, “Plane-pair PEEC models for PDN using sub-meshing,” in IEEE 23rd Electr. Perform. Electron. Packag. Syst. Conf., Oct. 2014, pp. 159–162. [5] M. Popovich and E. Friedman, “Decoupling capacitors for multi-voltage power distribution systems,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 3, pp. 217–228, Mar. 2006. [6] K. Jingook, K. Shringarpure, F. Jun, K. Joungho, and J. L. Drewniak, “Equivalent circuit models for power bus design in multi-layer PCBs with via arrays,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 62–64, Feb. 2011. [7] T. Okoshi and T. Miyoshi, “The planar circuit-an approach to microwave integrated circuitry,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 4, pp. 245–252, Apr. 1972. [8] J. Kim, J. Fan, A. E. Ruehli, J. Kim, and J. L. Dewniak, “Inductance calculations for plane-pair area fills with vias in a power distribution network using a cavity model and partial inductances,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 1909–1924, Aug. 2011. [9] H. H. Wu, J. W. Meyer, K. Lee, and A. Barber, “Accurate power supply and ground plane models,” IEEE Trans. Antennas Propag., vol. 22, no. 3, pp. 259–266, Mar. 1999. [10] P. B. Johns and R. L. Beurle, “Numerical solution of 2-dimensional scattering problems using a transmission-line matrix,” Proc. IEEE, vol. 59, no. 9, pp. 1203–1208, Sep. 1971. [11] S. Roy and A. Dounavis, “Macromodeling of multilayered power distribution network based on mulitconductor transmission line approach,” IEEE Trans. Compon., Packag,. Manuf. Technol. B, vol. 3, p. 1047, Jun. 2013. [12] A. E. Ruehli, G. Antonini, J. Esch, J. Ekman, A. Mayo, and A. Orlandi, “Non-orthoganal PEEC formulation for time and frequency domain EM and circuit modeling,” in Proc. IEEE Int. Electromagn. Compat. Symp., May 2003, vol. 45, pp. 167–176.

[13] A. E. Ruehli, C. Paul, and J. Garrett, “Inductance calculations using partial inductances and macromodels,” in Proc. IEEE Int. Electromagn. Compat. Symp., Aug. 1995, pp. 23–28. [14] A. Devgan, H. Ji, and W. Dai, “How to efficiently capture on-chip inductance effects: Introducing a new circuit element ,” in Proc. Int. Comput.-Aided Design Conf., San Jose, CA, Nov. 2000, pp. 150–155. [15] D. Daroui and J. Ekman, “Efficient PEEC-based simulation using reluctance method for power electronics applications,” Appl. Comput. Electromagn. Soc. J., vol. 27, no. 10, pp. 830–841, Oct. 2012. [16] F. Zhou, A. E. Ruehli, and J. Fan, “Efficient mid-frequency plane inductance computations,” in Proc. IEEE Int. Electromagn. Compat. Symp., Fort Lauderdale, FL, USA, Aug. 2010, pp. 831–836. [17] M. Swaminathan and A. E. Engin, Power Integrity Modeling and Design for Semiconductors and Systems. Upper Saddle River, NJ: Prentice-Hall, 2007. [18] E.-P. Li, Electrical Modeling and Design for 3D System Integration. Hoboken, NJ, USA: Wiley, 2012. [19] C. Ho, A. Ruehli, and P. Brennan, “Interactive circuit analysis and design using APL,” in Proc. IEEE Int. Circuits Syst. Symp., May 1975, pp. 504–509. [20] A. E. Ruehli, “Inductance calculations in a complex integrated circuit environment,” IBM J. Res. Develop., vol. 16, no. 5, pp. 470–481, Sep. 1972. [21] L. Li, A. E. Ruehli, and J. Fan, “Accurate and efficient computation of power plane pair inductance,” in Proc. IEEE Int. Electromagn. Compat. Symp., Philadelphia, PA, USA, Aug. 2012, pp. 167–170. [22] P. K. Wolff and A. E. Ruehli, “Inductance computations for complex three dimensional geometries,” in Proc. IEEE Int. Circuits Syst. Symp., 1981, pp. 16–19. [23] A. E. Ruehli, G. Antonini, and L. Jiang, “Skin-effect model for round wires in PEEC,” in IEEE EMC Int. EMC Symp., Rome, Italy, Sep. 2012, pp. 1–6. [24] F. W. Grover, Inductance Calculations: Working Formulas and Tables. New York, NY, USA: Dover, 1962. [25] A. E. Ruehli, G. Antonini, and L. Jiang, “Skin effect loss models for time and frequency domain PEEC solver,” Proc. IEEE, vol. 101, no. 2, pp. 451–472, Feb. 2013. [26] G. Antonini, A. E. Ruehli, and A. Haridass, “Including dispersive dielectrics in PEEC models,” in Electr. Perform. Electron. Packag. Dig., Princeton, NJ, USA, Oct. 2003, pp. 349–352. Leihao Wei (S’12) received the B.S. and M.S. degrees in electrical engineering from the Rose-Hulman Institute of Technology, Terre Haute, IN, USA, in 2014 and 2015, respectively, and is currently working toward the Ph.D. degree in electrical engineering (with an emphasis on physical and wave electronics) at the University of California at Los Angeles, Los Angeles, CA, USA. He is also a Member of the Terahertz Electronics Laboratory, University of California at Los Angeles.

Liang Li, photograph and biography not available at the time of publication.

Ketan Shringarpure (GSM’10–M’13), photograph and biography not available at the time of publication.

Albert E. Ruehli (M’65–SM’74–F’84–LF’03) received the Ph.D. degree in electrical engineering from the University of Vermont, Burlington, VT, USA, in 1972, and an Honorary doctorate from Luleå University, Luleå, Sweden, in 2007. He has been a member of various projects with IBM, including mathematical analysis, semiconductor circuits and devices modeling, and as Manager of a very large scale integration (VLSI) design and computer-aided design (CAD) group. Since 1972, he has been with the IBM T. J. Watson

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WEI et al.: PPP MODEL FOR PDNs WITH SUB-MESHING TECHNIQUES

Research Center, Yorktown Heights, New York, USA, where he was a Research Staff Member with the Electromagnetic Analysis Group. He is currently an Emeritus of IBM Research and an Adjunct Professor in the electromagnetic compatibility (EMC) area with the Missouri University of Science and Technology. He has authored or coauthored over 200 technical papers. He edited Circuit Analysis, Simulation and Design (North Holland, 1986 and 1987). Dr. Ruehli has served in numerous capacities for the IEEE. In 1984 and 1985, he was the Technical and General Chairman, respectively, of the ICCD International Conference. He has been a Member of the IEEE Administrative Committee (AdCom) for the Circuit and System Society and an Associate Editor for the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS. He has given talks at universities including keynote addresses and tutorials at conferences, and has organized many sessions. He is a Member of SIAM. He was the recipient of the IBM Research Division or IBM Outstanding Contribution Award (1975, 1978, 1982, 1995, and 2000). He was the recipient of the Guillemin–Cauer Prize Award for his work on waveform relaxation (1982) and a Golden Jubilee Medal (1999), both from the IEEE Circuits and Systems (CAS) Society. In 2001, he was the recipient of a Certificate of Achievement from the IEEE EMC Society for inductance concepts and the partial element equivalent circuit (PEEC) method. He was the recipient of the 2005 Richard R Stoddart Award, and the 2007 Honorary Life Member Award of the IEEE Electromagnetic Compatibility Society for outstanding technical performance. In 2010, he was the recipient of a Best Paper Award of the EPEPS Conference for his work on optimized waveform relaxation.

Edward Wheeler (S’94–M’95–SM’97) was born in Vincennes, IN, USA, in 1959. He received the Ph.D. degree from the University of Missouri–Rolla, Rolla, MO, USA, in 1996. He holds the Lawrence J. Giacoletto Endowed Chair with the Rose-Hulman Institute of Technology, Terre Haute, IN, USA, where he is currently a Professor with the Electrical and Computer Engineering Department. He is a member of the Electromagnetics and High-Speed Design Group, Rose-Hulman Institute of Technology. Dr. Wheeler was the recipient of the IEEE Third Millennium Medal in 2001.

Jun Fan (S’97–M’00–SM’06–F’16) received the B.S. and M.S. degrees in electrical engineering from Tsinghua University, Beijing, China, in 1994 and 1997, respectively, and the Ph.D. degree in electrical engineering from the University of Missouri–Rolla, Rolla, MO, USA, in 2000. From 2000 to 2007, he was with the NCR Corporation, San Diego, CA, USA, as a Consultant Engineer. In July 2007, he joined the Missouri University of Science and Technology (formerly the University of Missouri–Rolla), where he is currently an Associate

9

Professor. He is the Director of the Missouri S&T Electromagnetic Compatibility (EMC) Laboratory and the Director of the National Science Foundation Industry/University Cooperative Research Center for EMC. His research interests include signal integrity and electromagnetic interference (EMI) designs in high-speed digital systems, dc power-bus modeling, intra-system EMI and RF interference, printed circuit board (PCB) noise reduction, differential signaling, and cable/connector designs. Dr. Fan served as the Chair of the IEEE EMC Society TC-9 Computational Electromagnetics Committee (2006–2008). He was a Distinguished Lecturer of the IEEE EMC Society (2007 and 2008). He currently serves as the Chair of the Technical Advisory Committee, IEEE EMC Society, and is an Associate Editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY and the IEEE Electromagnetic Compatibility Magazine. He was the recipient of an IEEE EMC Society Technical Achievement Award in 2009.

Bruce Archambeault (M’87–SM’02–F’06) received the B.S.E.E degree from the University of New Hampshire, Durham, NH, USA, in 1977, the M.S.E.E degree from Northeastern University, Boston, MA, USA, in 1981, and the Ph.D. degree from the University of New Hampshire, in 1997. His doctoral research was in the area of computational electromagnetics applied to real-world electromagnetic compatibility (EMC) problems. He is an IBM Distinguished Engineer Emeritus and an Adjunct Professor with the Missouri University of Science and Technology, Rolla, MO, USA. He has taught numerous seminars on EMC and signal integrity across the USA and the world, including the past 12 years with Oxford University. He has authored or coauthored a number of papers in computational electromagnetics, mostly applied to real-world EMC applications. He authored PCB Design for Real-World EMI Control and lead authored the EMI/EMC Computational Modeling Handbook. Dr. Archambeault is a Member of the Board of Directors, IEEE EMC Society, and a past Board of Directors Member for the Applied Computational Electromagnetics Society (ACES). He currently serves as the Vice President for Conferences of the EMC Society. He has served as a past IEEE/EMCS Distinguished Lecturer, EMCS TAC Chair, and Associate Editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY.

James L. Drewniak (S’85–M’90–SM’01–F’07) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana–Champaign, Urbana, IL, USA. He is currently with the Electromagnetic Compatibility Laboratory, Department of Electrical and Computer Engineering, Missouri University of Science and Technology, Rolla, MO, USA. His research is in electromagnetic compatibility, signal and power integrity, and electronic packaging.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Concept for Pulse Compression Device Using Structured Spatial Energy Distribution Venkata Ananth Tamma, Alexander Figotin, and Filippo Capolino, Senior Member, IEEE

Abstract—We explore a novel concept for pulse compression scheme applicable at RF, microwave, and possibly up to optical frequencies based on structured energy distribution in cavities supporting degenerate band-edge (DBE) modes. We show the high spatial concentration of energy due to DBE modes and proper choice of boundary conditions in coupled transmission lines (TLs) provide the basis for superior performance of the structured cavity when compared to a conventional cavity. We investigate the novel cavity features: larger loaded quality factor of the cavity and stored energy compared to conventional designs, robustness to variations of cavity loading, energy feeding and extraction at the cavity center, and substantial reduction of the cavity size by use of equivalent lumped circuits for low-energy sections of the cavity. Structured energy also allows for controlled pulse shaping via engineered extraction techniques. The presented concepts are general, in terms of equivalent coupled TLs, and can be applied to a variety of realistic guiding structures. Potential applications include microwave pulse compression devices, on-chip millimeter-wave pulse generation, and pulsed laser generation. Index Terms—Cavity resonators, high-power microwave generation, optical pulse compression, photonic-bandgap structures, pulse compression methods, -factor.

I. INTRODUCTION

R

ESONANT cavities whose quality factor can be modulated externally can be used to generate narrow pulses with high peak power. Some typical applications in the microwave frequency regime include radar systems, linear accelerators, and electronic counter-measure systems [1], [2]. Typically, microwave pulse compression (MPC) devices accumulate energy in a cavity with large over an extended period of time . After the accumulation of pre-determined amount of energy in the cavity, an external switching mechanism alters certain structural parameters of the cavity. This significantly reduces the causing rapid release of the accumulated energy within a dump time [1]–[3], thus defining a pulse in time. Manuscript received April 22, 2015; revised August 18, 2015 and December 01, 2015; accepted December 12, 2015. This work was supported by the Air Force Office of Scientific Research (AFOSR) under Multiuniversity Research Initiative (MURI) Grant FA9550-12-1-0489 administered through the University of New Mexico. The work of F. Capolino was supported by the AFOSR under Grant FA9550-15-1-0280. V. A. Tamma and F. Capolino are with the Department of Electrical Engineering and Computer Science, University of California at Irvine, Irvine, CA 92697 USA (e-mail: [email protected], [email protected]). A. Figotin is with the Department of Mathematics, University of California at Irvine, Irvine, CA 92697 USA (e-mail: afi[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518160

The peak power of the outgoing pulse could be dramatically increased when compared to the power of the feeding source if [1]–[3]. Previously, MPC devices based on the above operating principle, also known as active MPC devices [4], have been demonstrated [5]–[15]. Typically, a coupling mechanism, such as an inductive iris, has been used to couple energy into a resonant cavity and energy coupled out of such MPC devices by use of an externally activated switch [3], [5]–[15]. A common aspect among the previous implementations is the use of a resonant cavity, typically a conventional cavity resonator, formed by a transmission line (TL) or a waveguide. This working principle of active MPC devices could also be used, for example, for on-chip generation of pulses at millimeter waves based on CMOS integrated circuits. In addition, this technique could be extended with addition of gain media to produce pulsed lasers, including on-chip pulsed laser systems. This is the main reason why the presented formulation has a very general approach. Since MPC devices use cavities to store energy for long time durations, the quality factor of the cavity plays an important role. The cavity is limited by the loss of the stored energy via coupling to input/output and/or as heat due to skin-effect (ohmic loss) in the metallic cavity walls [3]. Various techniques are known to impedance match a generator with a resonant cavity (see [16, Ch. 6] and [17, Ch. 4]). However, in general, it must be kept in mind that the unloaded of the cavity is always reduced by the loading by the source impedance, thus limiting the capability to store energy. Hence, it is important to identify cavity structures with large unloaded , which does not drastically change upon loading by generator impedance. Recently, it was shown that the stored energy in a conventional cavity with the length equal to a multiple of half-wavelength was essentially independent of the cavity length while the extractable instantaneous power was inversely proportional to the cavity length [8], [9]. This presents an important design tradeoff between pulse amplitude and pulse length in resonant cavity based tunable MPC devices [8], [9]. The tradeoff is due to the constant distribution of stored time-averaged energy inside a conventional cavity resonator shown schematically in Fig. 1(a) in which we plot the spatial distribution of the sum of stored time average electric and magnetic energy density (units of J/m) in a conventional cavity resonator of length (numerical values are discussed in Section IV). Therefore, for a given amount of stored energy in the cavity, active MPC devices using such conventional cavities have a tradeoff between the output pulse width and output pulse power [8], [9]. One can overcome this tradeoff constraint by designing devices in which energy is accumulated within a fraction of the cavity volume

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

Fig. 1. Plots of spatial distribution of total time-average energy units of (J/m) versus position in: (a) conventional cavity of length and (b) structured cavity of same length . In (b), about 60% of the total stored energy is cavity. stored in just 25% of the total cavity length. (a) Conventional (b) Structured cavity (DBE modes).

allowing for extraction of maximum amount of energy in the minimal possible time. An example of such a structured energy distribution, shown schematically in Fig. 1(b), plots the spatial distribution of the sum of stored time average electric and magnetic energy density (units of J/m) for a novel structured cavity having the same length as the conventional cavity resonator. In contrast to the constant energy distribution in the conventional cavity shown in Fig. 1(a), we find that most of the energy in Fig. 1(b) is stored with a small region around the cavity center. This allows releasing the stored energy faster due to the reduction of the effective cavity length and this concept is the basis for the work presented in this paper. Such concentrated energy distribution is also helpful to control losses in structured cavity thereby improving the cavity . It is well known that the skin-effect loss is frequency dependent (see [16, Ch. 1] and [17, Ch. 2]) and at lower frequencies, typically below 1 GHz, the skin-effect loss could be neglected (see [16, Ch. 1] and [17, Ch. 2]). It is possible to use cavities whose walls are coated with a thin layer of low-loss metals like gold or silver to reduce skin-effect losses. Since the energy in a conventional cavity resonator is uniformly distributed, to control losses the entire cavity has to be coated with gold or silver. However, a structured energy distribution allows for engineering the losses in only a small region of the cavity thereby further increasing the cavity due to further reduction in losses using better materials only in those unit cells where most of the energy is stored. We propose in this paper a concept for a novel structured cavity (i.e., with structured energy distribution) with applications to MPC devices. The cavity is composed of a cascade of unit cells, properly designed, each supporting two distinct electromagnetic modes (four, if distinguishing between forward and backward modes), thereby leading to distributed storage of energy in the cavity. The cavity is very large and importantly it is insensitive to loading by source impedances. Such a cavity presents a novel feature compared to a standard cavity whose is dramatically reduced when loaded by source impedance. The structured energy distribution also helps in efficient feeding and evacuation of accumulated energy from the center of the cavity. It also permits for substantial reduction in cavity size by

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

allowing for lumped circuit implementation of those unit cells with lower stored energy. Another unique feature of the structured cavity is the preservation of spatial energy distribution around the cavity center with increasing number of unit cells . The novel features of the structured cavity are partly due to degenerate band-edge (DBE) modes [18]–[20]. DBE modes are unique band-edge phenomenon supported by periodic structures. At the DBE frequency, the photonic dispersion curve follows a degenerate fourth power dependence of the radian frequency on the Bloch wave vector , instead of second power dependence associated with typical band-edge resonances [18]–[20]. This leads, in particular, to vanishing of the group velocity and consequent dramatic increase in the field intensity inside a finite stack exhibiting the DBE mode [18]–[20]. The concept of DBE [18]–[20] was first proposed in a simple structure composed of stacked anisotropic dielectric layers [18]–[20], where the importance of symmetry breaking in achieving the DBE was emphasized. Planar circuits supporting DBE modes were developed in analogy to propagation of light in the stacked anisotropic dielectric layers [22], [23]. Recently it has been shown that the DBE can be obtained in all-metallic circular waveguides with periodic loading [24]. The proposed pulse compression concepts can be applied to all structures summarized in Appendix A. Previously, it has been shown that field intensity enhancement varied as the fourth power of the number of unit cells within the stack [18]–[20] and was found to be true only for large [23]. The finite stack supporting DBE modes is ideally suited for highly frequency selective applications such as resonant cavities due to the narrow line shapes associated with the transmission band-edge resonance as evident from [18]–[20]. Although the enhancement of field intensity in finite stacks have been discussed [18]–[20], the application of DBE modes to cavities with known boundary termination and feeding method has not been explored. In this paper, we study novel periodic structured cavities, which not only make use of the energy concentration due to DBE modes, but also use proper choice of boundary and feeding conditions to achieve superior loaded factor compared to standard cavities. While various different cavity configurations are conceivable, in this paper we study a particular cavity configuration in which we feed and extract energy from the cavity center. The basic configuration of the structure consists of a finite periodic stack of unit cells, as was done in [18]–[20], but terminated here in short circuits with energy feeding and extraction from the center of the cavity. In line with the definition of active MPC devices [1]–[3], [9] we show that the of this novel structured cavity can be dramatically altered by simple modifications to the structure, thereby enhancing its appeal for use in MPC applications. This paper is organized as follows. In Section II, we describe the unit cell design, which is made up of periodic coupled TLs made of two cascading TLs, with periodic coupling. It is understood that the equivalent TL model can be an exact field representation of complex realistic waveguiding systems [25]–[27]. Therefore, a periodic multi transmission line (MTL) is representative of a periodic cascade of multimode waveguide sections shown in Appendix A. To develop

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAMMA et al.: CONCEPT FOR PULSE COMPRESSION DEVICE USING STRUCTURED SPATIAL ENERGY DISTRIBUTION

3

a DBE, two coupled modes in each direction are necessary, and a variety of structures may support such conditions, including closed metallic waveguides for high-power applications. In this work, structured cavities based on the DBE condition are modeled using cascaded sections of MTLs. In Section III, we describe the structured cavity made up of a finite number of unit cells and discuss key attributes of the cavity such as quality factor and density of stored energy. In Section IV, we present an illustrative implementation of the unit cell of Section II and describe two states of the structure with dramatic differences in the quality factors. In addition, we discuss applications of the structured cavity to MPC devices. Although the concept of structured cavity is being introduced with MPC applications, we expect that it can be applied to printed or integrated RF circuits and optical devices. II. UNIT CELL DESIGN AND FORMALISM The design of the unit cell is fundamental to the operation of the structured cavity. A unit cell can be formed by a few possible constituents and by several TLs, though in the remainder of this paper we focus on having only two TLs. The unit cell consisting of three MTL sections, for example, shown schematically in Fig. 12(d) and [24], was designed in analogy to the anisotropic dielectric layer unit cell in [18]–[20]. The unit cell consisting of two MTL sections was designed starting from the unit cell with three MTL sections and then compacting the equations. A similar design was used in [22] and [23]. For example, the unit cell of the waveguide structure in Fig. 12(d) is made up of three MTL segments. This suggests a high degree of flexibility in the implementation of unit cells supporting DBE modes. The unit cells in the paper consist of two MTL segments of lengths and shown schematically in Fig. 2(a). Each MTL segment here consists of two TLs. These are chosen here to be uncoupled in segment and coupled by distributed coupling capacitance in segment , though many other configurations are possible and would lead to analogous results. A few possible implementation configurations of the unit cell supporting the DBE condition are detailed in Appendix A. The theoretical formulation for MTLs is well known and we follow the notation presented in [28]–[30]. We denote

Fig. 2. (a) Schematic of a unit cell with two coupled TLs capable of sup- dispersion diagram for a periodic porting a DBE mode. (b) Plot of the MTL cascading unit cells using the parameter values detailed in Appendix B. (a) Schematic of unit cell.

as the inductance matrices (with per unit length entries) for segments and , respectively, and

(5)

as the resistance matrices (with per unit length entries) for the segments and , respectively. We also define

as the capacitance matrices (with per unit length entries) for are the segments and , respectively, where, the line inductance and capacitance, respectively, of the th TL ( ) in the th segment, is the distributed coupling capacitance in segment and is the radian frequency of operation. Here, we choose the two TLs in section , and , to be dissimilar and the two TLs in section , and , to be identical. Absence or presence of coupling breaks the symmetry between segments and . All TLs in sections and are assumed to have some losses represented by line resistances series, which model the ohmic loss on the surface of metals in real waveguides. We also assume lossless coupling between the two guided fields, represented by the two coupled TLs. It is convenient to define the state-vector of the form , where and are the voltages and currents at a point on the upper and lower sets of TLs in the cavity. The first-order differential equations for the MTL in terms of the impedance and admittance matrix is written as

(4)

(6)

(1) and (2) to be the series impedance and shunt admittance matrices, per unit length, of segments and . Here, we define (3)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where

(7) where and are the impedance and admittance matrices describing the per unit parameters of the MTL. Denoting as the initial TL values at , assuming that does not change with (i.e., for a uniform TL), we recognize (6) as the well-known Cauchy problem [18]–[20] with a unique solution , where we define the matrix , which uniquely relates the state vector between two known points and as . Extending this concept to cascaded segments of MTL structures as in Fig. 2(a), in the remainder of this paper it is convenient to resort to the definition of the transfer matrix (see [16, Ch. 4] and [17]), commonly used in microwave engineering, and used here as generalized to multiple ports [28], [31]. We define the matrix for each section, and , of the four-port circuits in Fig. 2(a) as and , where (8) and is a zero matrix of order 2. It is customary to implicitly assume in microwave engineering (see [16, Ch. 4] and [17]), and therefore the argument is dropped in the remainder of this paper. We can express the 4 4 -like transfer matrix of the unit cell shown in Fig. 2(a), as the product of two 4 4 matrices describing the transfer matrices of the individual sections of the unit cell (9) For an infinitely long stack of TL unit cells, a periodic solution for the state vector exists in the Bloch form (10) where is the Bloch wavenumber, which assumes complex values when losses are present and when describing the bandgap. To determine the Bloch wavenumber, we can write the following eigenvalue equation: (11) such that the four eigenvalues , , of the operator are obtained as solutions of the characteristic equation (12) where we define to be the identity matrix of order 4. The - dispersion diagram, of the unit cell structure of Fig. 2(a) is plotted in Fig. 2(b) for the TL values given below. The structure exhibits the DBE mode at 4.887 GHz at the edge of the Brillouin zone. In this paper, a constant distributed loss series line resistance (m m), in the order of magnitude of the metal surface resistivity of copper in the

Fig. 3. Schematic of structured cavity formed by cascading coupled TLs.

unit cells of two

hundreds of the MHz to GHz regime, is used in all numerical calculations. The distributed shunt conductance is always set to zero. All results are obtained for the structured cavity fed by one ideal voltage source (V) and . All unit cells in this work have a nominal length (cm). We note at the DBE resonance frequency of 4.887 GHz, the free-space wavelength (cm). The following are the parameters of the MTLs for the unit cell in Fig. 2(a): (segments and are mentioned in subscripts) (cm), (cm), ( H m), ( H m), (nF/m), (nF/m), (nF/m), and (pF/m). We find that the above parameter values are of the same order of magnitude as the equivalent MTL parameters for the structures in Fig. 12(d) and [24]. This suggests that structured cavities supporting DBE modes can be implemented using practical waveguiding structures. These values are also repeated in Appendix B for convenience. For the losses considered, the plot in Fig. 2(b) is similar to the one for the case without losses since small losses do not significantly perturb the DBE condition. Note that in each TL segment we assume there is only one modal wavenumber (for both modes in opposite directions). Therefore the periodic MTL supports four Bloch modes (with symmetry due to reciprocity), the solution of (11). The degeneracy condition associated to the DBE is among these four Bloch modes. The -like transfer matrix of the unit cell derived in this section is used in the formulation in Appendix C to calculate the -like transfer matrix of finite cascade of unit cells, as shown in Section III. III. STRUCTURED ENERGY DISTRIBUTION IN A CAVITY A schematic of the structured cavity of length , consisting of cascaded unit cells each of length , is shown in Fig. 3, in terms of equivalent TLs, where we denote the unit cell as with . We note the lines at are terminated in short circuits, though other load terminations would not alter the properties discussed here. We choose for convenience an even number of unit cells with the cavity centered and fed at , although we expect similar behavior for an odd number of unit cells. We define and to be the source voltages and series source impedances feeding the upper and lower sets of TLs in the cavity and located at , though other source locations would be possible, even at the extremities of the cavity . As described in Section II, we assume a statevector of the form .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAMMA et al.: CONCEPT FOR PULSE COMPRESSION DEVICE USING STRUCTURED SPATIAL ENERGY DISTRIBUTION

Fig. 4. Plots of unit cells. (b)

versus position

in a cavity with: (a)

5

and

Throughout this paper, all TLs are assumed to have losses represented by series line resistances only, (m m), which model the loss on the surface of metals in real waveguides. Similar to conventional cavities, the resonance frequency of the structured cavity can be computed by applying the transverse resonance method to the cavity at and the method used is briefly discussed in Appendix C. For example, the resonant frequencies for structured cavities with unit cell defined in Fig. 2(a) and with and are GHz, GHz, and GHz, respectively, and were calculated using the numerical parameters detailed in Appendix B. We first characterize the structured cavity by studying the spatial distributions of voltage, stored energy, and energy loss per unit second along using the methods to compute them detailed in Appendices C and D. The results are obtained for the structured cavity fed by one ideal voltage source (V) and (V). At any point , we define as the total absolute squared voltage in the cavity and denote the maximum value of as . as a function of In Fig. 4(a) and (b), we plot in the structured cavity with and unit cells, respectively, and realize that plots of are analogous to the field intensity ( or squared amplitude) plots in [18]–[20]. Note that in [18]–[20], only the envelope of the field intensity was plotted. We choose each unit cell to have nominal length (cm). We note at the DBE resonance frequency of 4.887 GHz, the free-space wavelength (cm). It should be noted that the large enhancement in experienced in these cavities is not attributed to the use of shortcircuit terminations since other loads would also prevent outflow of energy from the ends of the cavity. In other words, the resonance behavior discussed here would be preserved with a large variety of load terminations.

Fig. 5. Plots of spatial distribution of energy loss per unit second versus posiand (b) unit cells. tion in a cavity in units of [W/m] with: (a) Plots of spatial distribution of time-average energy versus position in a cavity and (d) unit cells. In (c) and (d), in units of [J/m] with: (c) about 58% of the total stored energy is stored in just 25% of the total cavity length.

In Fig. 5(a) and (b), we plot the spatial distribution of the energy loss per unit second (power loss density) with units of (W/m) in the cavity with and unit cells, respectively, using the formalism in Appendix D, while in Fig. 5(c) and (d), we plot the stored time-averaged energy (per unit length) with units of (J/m) in the cavity with and unit cells, respectively. We observe that the spatial profiles of the energy loss per unit second and stored energy distribution in the cavity follow the same spatial profile as . We note that the spatial profiles of squared voltage and total stored time-averaged energy are not located at the spatial center of the structured cavity ( ) and is attributed to the asymmetry in the unit cell and, in general, possible asymmetries in impedance terminations.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

In both cavities with and unit cells, preserves the same spatial trend and the percentage of stored energy in sections of equal lengths of and centered on the geometric center of the cavity in both cavities is approximately equal. The cavity with unit cells and total length of stores about 58.2% of the total stored energy within a finite section of length centered around the geometric center of the cavity while the cavity with unit cells and total length of stores about 58.3% of the total stored energy within a finite section of length centered on the geometric center of the cavity. The of the structured cavity (called ) is evaluated by use of the fundamental definition , where is the time-averaged stored energy, is the time-averaged energy loss per second, is the radian frequency of resonance (see [16, Ch. 6] and [17, Ch. 7]), and is the total energy loss per unit second in the cavity. At their fundamental resonance frequencies, and assuming , the unloaded of the cavity with and unit cells are and . Since the structured cavity is made up of TLs, we compare the of the structured cavity with that of a standard short-circuited TL resonator formed by a TL of length (cm) corresponding to at a resonance frequency of 4.941 GHz, which is very close to the resonant frequency of the structured cavity with unit cells, GHz. We use 0.1 ( H m) and 0.1 (nF/m) as the distributed line inductance and capacitance, respectively, of the standard short-circuited TL resonator. Note that these values are very close to the TL parameters chosen for the structured cavity implementation (numerical values in Appendix B). In addition to that, these values are of the same order of magnitude as the equivalent MTL parameters for the structures in Fig. 12(d) and [24]. Note that at the resonance frequency of 4.9 GHz [or free-space wavelength (cm)], the cavity length (cm) corresponds to . Previously, standard short-circuited TL resonators have been explored in [3] and [5]–[15] for MPC device applications and typically have lengths of a few wavelengths. In [3] and [5]–[15], the TL resonators are typically fed using an inductive iris on a metal wall located on the extremity of the resonator. In this work, for simplicity the source impedance is considered purely resistive. We also account for losses by use of series line resistance (m m) and assume zero shunt conductance. At the resonance frequency, the unloaded of the short-circuited TL resonator (called ) is calculated to be using well-known formulas (see [16, Ch. 6] and [17, Ch. 7]). Therefore, we observe then that for the same distributed series line resistance the unloaded of the structured cavity is of the same order of magnitude as the unloaded of the short-circuited TL resonator. It is important, however, to consider the effect of generator impedance loading on the in both cases of the structured and standard cavities. Fig. 6(a) shows the variation in as a function of the purely resistive source impedance when the cavity is fed by only and . Importantly, the quality factor

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Plots of variations in of: (a) structured cavities of lengths and and (b) standard TL resonator of same length and resonant GHz, as a function of purely resistive source impedance. at

of the structured cavity is insensitive to different source impedances suggesting the robust nature of the cavity supporting DBE modes. In Fig. 6(b), we plot the loaded of the standard TL resonator (called ) as a function of a purely resistive source impedance located at one of the extremities of the cavity. The loaded is seen to dramatically decrease, as expected, with increasing source impedance restricting the use of such resonators. Indeed, we find when loaded by purely resistive source impedance ( ). The choice of feeding the TL resonator at one of the extremities was guided by the practical location of the inductive iris in the short-circuited TL resonator used in [3] and [5]–[15], [32]. However, a similar trend in loaded is expected when the resonator is loaded by the source impedance at its center. In contrast, is very stable when source impedance is varied with and when loaded by purely resistive source impedance ( ), very close to their unloaded ( ) values and located at the center of the cavity. Therefore, considering loading by source impedance is many orders of magnitude larger than the loaded of the standard TL resonator, thereby enabling the structured cavity to store significantly more energy than conventional designs. A similar trend for is observed when the cavity is loaded at its extreme ends. This is observed from the plot in Fig. 7(a) where four identical purely resistive termination loads were used instead of short circuits. It is important to notice the flatness of the curve for very large variation of load values. To further understand

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAMMA et al.: CONCEPT FOR PULSE COMPRESSION DEVICE USING STRUCTURED SPATIAL ENERGY DISTRIBUTION

7

Fig. 7. Plot of variations in as function of: (a) purely resistive termiand and nation impedances (at the four ports) for cavities of lengths is for a very (b) number of unit cells . Notice how flat the value of large variation of load terminations.

the behavior of with number of unit cells , we plot the variation in varying the number of unit cells in Fig. 7(b). Clearly, is found to increase for small and saturate for larger . This is because the quality factor cannot increase indefinitely due to the internal losses in the TLs. IV. ILLUSTRATIVE IMPLEMENTATION FOR STORAGE AND RELEASE OF ENERGY We present here an illustrative implementation of the unit cell in Fig. 2(a) using TLs and lumped capacitive coupling elements, which readily demonstrates the possibility of switching by breaking the DBE mode and thus strongly modifying the energy distribution and the cavity . Such TLs are representative of propagation in coupled waveguides shown in Appendix A. The unit cell needs to be specifically designed so that the cavity supports the DBE mode with a large value when in the “On” state; whereas in the “Off” state the DBE mode is destroyed by suitably designed structural modifications. We modify the unit cell in Fig. 2(a) by replacing the distributed capacitance in segment by a lossless lumped capacitor network, as shown in Fig. 8(a). Multiple switches are used within a unit cell as to switch the structure from the “On” state to “Off” state. We assume ideal lossless switches with infinite off-state resistance, zero on-state resistance, and zero switching time. However, practical implementations could utilize plasma-based switches that could handle high peak power and fast switching speed in the order of nanosecond to picoseconds [1], [9], [15]. The circuit of the modified unit cell in Fig. 8(a) requires only lumped coupling between uncoupled TLs to create DBE mode

Fig. 8. Schematic of unit cell capable of supporting DBE mode in: (a) “On” state and (b) “Off” state. (a) Schematic of unit cell in “On” state. (b) Schematic of unit cell in “Off” state.

and, hence, can easily be implemented using TEM-like waveguides coupled by lumped capacitors or by real waveguides with stubs. The circuit in Fig. 8(a) is in the “On” state with the switch closed causing the TL segments and to be coupled, and with switches and open, thereby decreasing the capacitive load of the circuit. The circuit in Fig. 8(b) is in the “Off” state with the switch open causing the TL sections and to be uncoupled from each other and with switches and closed, thereby increasing the capacitive load of the two uncoupled and periodic upper and lower TL segments. These modifications provide for control over the wavenumber-frequency dispersion characteristic of the TL segments. The transfer matrix of the unit cell in Fig. 8(a), which uniquely relates the state vector between two known points and , with , along the axes such that , can be expressed as the product of three matrices describing the transfer matrices of the individual sections of the unit cell (13) where we define as the unit matrix of order 2, matrix of order 2, and the admittance matrix

as a zero

(14)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Plot of versus position for a cavity with unit cells obtained using two methods: (a) formalism in Appendix C based on transfer matrix method and (b) standard circuit simulator. Results are in agreement. Fig. 9. - dispersion diagram of the unit cell structures. (a) “On” state in Fig. 8(a) and (d) and “Off” state in Fig. 8(b) using the parameter values detailed in Appendix B.

In addition, we define for the th section, where following matrices:

, the

(15) (16) (17) where and are the complex propagation constant and complex impedance for the th section and whose values can be calculated from the physical parameters for the relevant segment [16], [17]. The transfer matrix of the unit cell derived in this section is used in the formulation in Appendix C to calculate the -like transfer matrix of finite cascade of unit cells. The - dispersion diagram of the unit cell in the “On” state shown in Fig. 8(a) is plotted in Fig. 9(a) and is seen to exhibit the DBE mode at 3.310 GHz. However, in the “Off” state, on uncoupling the circuit, we obtain two independent dispersion diagrams plotted in Fig. 9(b) corresponding to the two uncoupled and periodic upper and lower TL segments. The difference between the - dispersion diagrams corresponding to the “On” and “Off” states is clearly visible, showing in particular that the DBE dispersion phenomenon in Fig. 9(a) has disappeared. We would like to stress that while we chose a simple uncoupling strategy, many other approaches can achieve the DBE disruption depending on the topology implementation of the structured resonant cavity.

As an illustrative example, a structured cavity was formed by cascading unit cells, with length 16 (cm), in the “On” state, the unit cell shown in Fig. 8(a), with short-circuit terminations at the four ports located at two extremities of the cavity. The cavity is fed at the spatial center by only one source (V) with and . We neglect since, as shown in Section III, it is not found to significantly affect the cavity performance. At the computed resonance frequency, GHz, we plot as a function of in Fig. 10(a) and (b) using the formalism in Appendix C and also using the commercial circuit simulator AWR Microwave Office, respectively. The plots of obtained from circuit simulations are seen to be in excellent agreement with those obtained using the formalism in Appendix C. The spatial energy distribution within the cavity with unit cells in the “On” state [unit cell shown in Fig. 8(a)], is plotted in Fig. 11 at GHz using the formalism in Appendix C. In particular, in Fig. 11, the stored time-averaged energy in the TLs, which is a continuous black curve, is plotted separately from the stored time-average energy in the capacitive coupling network, which is discrete, in red. Of the total time-averaged energy stored in the cavity with unit cells, more than 99.9% is stored in the TLs while the remaining 0.1 is stored in the lumped capacitor network. From the schematic of the unit cell in “Off” state, we observe that the energy stored in the lumped capacitor network cannot be extracted from the unit cell as the capacitor is disconnected from the network by the switch in open state. However, about 99.9% of the total energy stored in the upper and lower cascaded segments of TLs and the loading capacitors can be extracted from the circuit by employing matched waveguide ports depending on the chosen implementation method. We note that in the “Off” state the upper and lower cascaded TL

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAMMA et al.: CONCEPT FOR PULSE COMPRESSION DEVICE USING STRUCTURED SPATIAL ENERGY DISTRIBUTION

Fig. 11. Plot of the time-averaged stored energy distribution in the cavity with unit cells of two coupled TLs showing that about 60% of the total energy is stored in just 25% of the total cavity length. Also shown is a schematic of the energy extraction scheme with four unit cells in the “Off” state.

sections are uncoupled and have nominal line impedances and, therefore, standard circuits matched to the TL line impedances can be used to extract the stored energy. The exact matching circuit used to extract energy from the upper and lower MTLs in the “Off” state depends on the choice of implementation. However, in the “On” state, when the DBE condition occurs, the values of the source or load impedance do not make a difference in practical terms as the resonance behavior of the structured cavity would be preserved with a large variety of source and load terminations. See, for example, the plot in Fig. 6(a), where the quality factor remains approximately constant for a very large variety of source impedances and the plot in Fig. 7(a), where the quality factor remains approximately constant for a very large variety of termination impedances. Therefore, for a possible implementation it is recommended that loads are to match those of the “Off” state since they would not affect the quality factor of the “On” state. Importantly, we find that a large fraction of the energy is stored in a small section of the cavity close to its center, whereas sections of the cavity close to the extremities of the cavity store very little energy. Indeed, about 60% of the total stored steady-state energy is contained within just 25% (or 4 (cm) or , where (cm) is the free space wavelength corresponding to the operating frequency of 3.308 GHz) corresponding to the total length, or from and corresponding to four unit cells from to , close to the spatial center of the stack. Such a distribution of energy is beneficial to both feed the cavity and extract energy from the cavity from its center and can lead to many advantages, especially for applications in MPC devices. Fig. 11 also shows one possible energy extraction scheme in the form of a TL schematic, which takes advantage of the spatial distribution of energy in the structured cavity. In particular, this scheme could make the structured cavity suited for MPC applications since it would be advantageous for MPC devices to produce large amplitude, yet have very narrow pulse width, therefore delivering higher pulsed power. Since 60% of the total

9

energy is stored within four unit cells from to , we choose to place switches such that the unit cells – are isolated from the rest of the circuit, as shown in Fig. 11. These switches, which are normally closed, are open at the very same instant the unit cells are switched from the “On” to “Off” state disrupting the DBE mode in the circuit and leading to isolated upper and lower TL segments, as shown in Fig. 11. Indeed, it can be recognized that only units cells – need to be switched from the “On” to “Off” state, thereby reducing the number of switches required. In Fig. 11, we assume that the sources are automatically disconnected from the circuit using switches. In Fig. 11, we observe that energy can be extracted from the isolated upper and lower TL sections from multiple available open ports. Astute choices regarding the number of open ports from which energy can be extracted simultaneously can be made, thereby generating pulses with very short pulse widths. Since the dispersion of the upper and lower TL segments can be effectively controlled by loading the circuit using capacitances and , it could be possible to combine the energy simultaneously extracted from multiple ports with different phases, thereby leading to efficient control over the pulse shape and width by use of engineered extraction techniques. Since most of the energy is stored in units cells – , can be improved substantially by reducing losses in only these four unit cells. Indeed, for the structured cavity in Fig. 11 in the “On” state, reducing the series line resistance from 1 (m m) to 0.1 (m m) in just the four units cells – improves by almost 100% from 3.45 10 to 6.65 10 showing significant performance improvement can be achieved by further engineering of the structured cavity. An important advantage of the structured energy distribution, which is the basis for the proposed energy extraction scheme in Fig. 11, is the extraction of energy from the structured cavity with much narrower pulse widths than conventional in resonant cavities. For example, we consider a resonant TL cavity having the same length as a structured cavity with unit cells, (cm). For the case in which both the structured cavity and cavity have a port at the cavity center for energy feeding and extraction, the output pulse width is proportional to , where is the group velocity and is the length of the cavity from which energy is extracted. Let be the group velocity in the upper TL segments in Fig. 11 and be the group velocity in the cavity. Since the dispersion of the upper TL segments can be controlled by capacitive loading, for simplicity, we assume energy extraction from only the upper TL array and . Assuming the of both the cavity and the structured cavity is switched after accumulation of the same amount of time-averaged stored energy in both cavities and denoting and to be the output pulse widths from the ( ) and structured cavity, respectively, we obtain or the output pulse width from a structured cavity is four times smaller than the pulse width from a cavity, assuming that energy is extracted from four unit cells of the structured cavity. Another advantage of the structured energy distribution is the possibility to substantially reduce the cavity size by use of equivalent lumped circuits in sections of the cavity with lower stored energy. We observe from Fig. 11 the net energy stored

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

in regions of the cavity from and is only about 7% of . Therefore, it could be beneficial to implement the TLs of unit cells in these regions by lumped iterative structures. For example, the TLs of unit cells – could be real waveguide segments while TLs in unit cells – and – could be implemented as lumped iterative structures consisting of cascade of many lumped inductors and capacitors since they only need to host a fraction of the whole structured cavity energy. Such a scheme could result in a physically smaller and lighter cavity with capability to store more energy when compared to conventional designs and can have many implications for MPC devices since the physical size and weight of cavities restrict mobile applications of MPC devices. Using the definition of gain, typically applied to conventional MPC devices, given in [32], Gain , since in this example, we find that for the cavity structure using unit cell in Fig. 8(a) and for the peak value of the standing wave at roughly the center of the cavity, the gain is 106.5 dB. Finally, we studied the structured cavity for many different values of series distributed loss resistance and, as expected, found to be inversely proportional to it. We find that the structured cavity preserves all the observed spatial properties for several values of . Due to the periodic arrangement of unit cells integral to its design, the structured cavity is scalable to any frequency with the losses are only dependent on the implementation in that frequency. We anticipate that an all dielectric implementation could significantly reduce losses and improve the total stored energy and . Such implementations could potentially be useful also at optical frequencies and we expect that the concept of structured cavity could be applied to printed or integrated RF circuits and optical devices. V. CONCLUSIONS We proposed a novel cavity exhibiting structured energy distribution by properly cascading unit cells. We found the cavity to be very large and insensitive to loading by source impedances. This novel result is in contrast to the of a standard TL cavity, which is dramatically reduced when loaded by source impedance. We showed that the structured cavity could be further increased by reducing the losses only in those unit cells where most of the energy is stored. It was also found that a large percentage of energy is distributed within a small region of the cavity around the spatial center of the cavity. These features allow for efficient feeding and faster evacuation of accumulated energy. Several key aspects of the cavity relevant to MPC applications were discussed. An illustrative implementation of the unit cell using TLs and lumped capacitor coupling network was presented. A proposal to switch the of the structured cavity was discussed and a possible energy extraction scheme allowing for narrow pulse-width generation was considered. In addition, efficient pulse-shaping and pulse-width control can be achieved by engineering extraction schemes. The size of the structured cavity can be substantially reduced by lumped circuit implementation of those unit cells with lower stored energy. We anticipate many applications for the structured cavity in MPC devices, integrated RF circuits, and optoelectronic devices.

Fig. 12. Illustrative schematics showing some possible implementations of coupled and uncoupled waveguiding sections based on: (a) aperture coupled microstrip lines, (b) side coupled microstrip lines, (c) aperture coupled rectangular waveguide sections, and (d) coupled circular waveguides with elliptical cross sections. Inset in each plot shows the implementation of coupled and uncoupled waveguiding sections. Periodic guiding structures supporting a DBE are possible based on these configurations. Each section can be characterized by an equivalent multi TL.

APPENDIX A POSSIBLE IMPLEMENTATIONS OF STRUCTURES SUPPORTING THE DBE CONDITION Fig. 12 shows some illustrative schematics which identify possible implementation geometries for the unit cell made up of coupled and uncoupled waveguiding sections shown in Fig. 2(a). The implementations presented in Fig. 12(a) and (b) and (c) and (d) represent unbounded (microstrip lines) and bounded (closed metallic waveguide) waveguide configurations, respectively. The inset in all figures identifies the coupled and uncoupled waveguide sections. Coupled printed microstrip configurations, example geometry plotted in Fig. 12(b), have previously been proposed to implement DBE modes [22], [23]. In this case, the two microstrip lines are electromagnetically coupled due to physical proximity. In Fig. 12(a), we propose an alternate method to implement DBE modes using aperture coupled microstrip lines to be investigated in future publications. In Fig. 12(c), we present another possible implementation using aperture

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAMMA et al.: CONCEPT FOR PULSE COMPRESSION DEVICE USING STRUCTURED SPATIAL ENERGY DISTRIBUTION

11

Fig. 13. (a) Generalized schematic of cavity with load impedances. (b) Simplified representation of Fig. 13(a).

coupled rectangular metallic waveguides [16], [17]. In both the structures presented in Fig. 12(a) and (c), the two waveguides can be coupled using single or multiple apertures, while the structures in Fig. 12(a)–(c) couple the two waveguide sections using proximity effects and apertures. As described also in [24], the waveguide in Fig. 12(d) by use of three circular metallic waveguides sections is able to support the DBE condition. As shown in Fig. 12, each unit is made up of three cascaded circular waveguide sections with different elliptical cross sections analogous to the cascaded anisotropic dielectric layers presented in [18]–[20]. Coupling between waveguide modes, which occurs only at the interfaces, gives rise to the DBE mode supported by the structure. We note that the theory presented in this work could be used to model both the microstrip and closed metallic waveguide implementations using the equivalent TL model [25]–[27]. Indeed, under well-studied conditions [16], [17], [25]–[27] the transverse electric and magnetic fields, and , in each homogeneous segment of a guiding structure, can be expressed as a sum of equivalent TL voltage and current, and , as and , where and are eigenvectors and is an index (in general, a double index). We also note that the implementation using coupled rectangular and circular waveguides presented in Fig. 12(c) and (d) could have direct applications for use in high-power microwave devices and the performance of the entire structure could be well modeled using the presented theory. APPENDIX B PARAMETER VALUES USED FOR NUMERICAL CALCULATIONS AND CIRCUIT SIMULATIONS The following are the parameters of the MTLs for the unit cell in Fig. 2(a): (segments A and B are mentioned in subscripts) (cm), (cm), ( H m), ( H m), (nF/m), (nF/m), (nF/m), (pF/m), and (m m).

The following are the parameters of the TLs for the unit cell in Fig. 8(a): (cm), (cm), ( H m), ( H m), (nF/m), (nF/m), (nF/m), and (m m). The following are parameters of the lumped capacitors: (pF), (pF), and (pF). APPENDIX C TRANSVERSE RESONANCE METHOD FOR CAVITY The procedure to obtain the resonance frequencies of the resonant cavity supporting DBE modes is briefly outlined. In this work, for simplicity, we consider feeding the cavity using only one ideal voltage source at a time, either of or . In this section, we use the -like transfer matrix of the unit cells in Figs. 2(a) and 8(a) derived in Sections II and IV, respectively, to calculate the -like transfer matrix of finite cascade of unit cells. We begin with a general cavity structure with unit cells terminated in impedances , on the left and , on the right side, respectively, as shown in Fig. 13(a). For simplicity, we assume to be even and the cavity fed at . We combine the transfer matrices to the left and right of corresponding to the chain of unit cells to the left and right of and define the matrices that provide

and and

. Such a simplified representation of the cavity in Fig. 13(a) is given by Fig. 13(b). Compared to Fig. 3, we note that, in Fig. 13(a), the terminations are general load impedances rather than short circuits, which is a special case treated in Section III. After decomposing into 2 2 matrices such that (18)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

we write (19) (20) ,

where ,

, and .

At

, we note that

, where (21)

and, hence, (19) and (20) are simplified to a two-port representation at , (22) where (23) represents the impedance finite length of the impedance terminated chain observed from the input terminals, which are connected to the sources. Similarly, at we obtain (24) where (25) and we decompose

into 2

2 matrices such that

With these state vectors, combined with the definition of transfer matrices and the use of boundary conditions, the state vector at an arbitrary point , in the cavity can be computed. We compute the input impedance seen by the source for a particular case. If we assume and , the input impedance seen by the voltage generator , with , is or . Using (22), (24), and (28), the input impedance seen by the voltage generator is , where and is the entry of the matrix . Similarly, if we assume and , the input impedance seen by the voltage generator , with , is or . Using (22), (24), and (28), the input impedance is , where is the entry of matrix . The resonance frequencies of the cavity can be obtained either graphically or numerically by solving or for . APPENDIX D ENERGY AND POWER-LOSS DISTRIBUTIONS The formulation to calculate the stored energy and power loss is first developed for a cavity composed of the unit cell in Fig. 2(a) and the modification of the formulation to the cavity composed of the unit cell in Fig. 8(a) will be discussed subsequently. We follow the notations in Sections III and IV and the notations for MTLs in [28]–[30]. The numbering of the unit cells begin from and starts from ending with . Knowing the voltage distribution and current distribution at any point in the cavity using formalism in Appendix C, the spatial distribution of stored time-average energy (per unit length) is given by (29)

(26)

where (30)

Denoting (27)

is the spatial distribution of stored time-average electric energy (per unit length) and

we apply Kirchhoff’s voltage law around both the source loops at to obtain

(31)

(28) can be inwhere we assume that verted. Equations (19), (20) and (22), (24), and (28) allow for evaluation of the state vectors

and

is the spatial distribution of stored time-average magnetic energy (per unit length), respectively, at any point in the cavity. Here, is the capacitance matrix (per unit length) that is either or , depending on whether is in segment or , respectively, and is the inductance matrix (per unit length) that is either or , depending upon whether is in segment or , respectively. Furthermore, the time-average power lost per unit length is defined as , where is either or , depending upon whether is in segment or , respectively. The spatial distributions of stored time-average energy (per unit length) plotted in Fig. 5(c) and (d) and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TAMMA et al.: CONCEPT FOR PULSE COMPRESSION DEVICE USING STRUCTURED SPATIAL ENERGY DISTRIBUTION

the spatial distributions of power loss (per unit length) in Fig. 5(a) and (b) were plotted using the formulation in (29)–(31). The total stored time-average energy in the entire cavity formed using the unit cell in Fig. 2(a) is obtained from (32) and the total power loss in the entire cavity is calculated using (33) The formulation describing the stored energies and power loss for the cavity composed of the unit cell in Fig. 8(a) can be obtained by modifications to the formulation presented in the above paragraph. In particular, note that when assuming a lossless capacitive network, only the expression for the stored timeaverage electric energy needs to be modified, while the expressions for the stored time-average magnetic energy and power loss remain the same. Therefore, the formulation in (29)–(31) is still applicable, but the additional stored time-average electric energy in the lumped capacitor network is accounted for by

(34) where is the location of the lumped capacitor network in the th unit cell with . In Fig. 11, the stored timeaverage energy (per unit length) in the TLs, which is plotted using a solid black curve, was computed using formulation in (29)–(31), while the stored time-average electric energy in the lumped capacitor networks, which is plotted at discrete locations denoted via the color red, was computed using (34). The total stored time-average energy in the entire cavity formed using the unit cell in Fig. 8(a) is (35) while (33) is still applicable to calculate the total power loss in the entire cavity. ACKNOWLEDGMENT The authors are grateful to Prof. E. Schamiloglu, Department of Electrical and Computer Engineering, University of New Mexico, Dr. M. A. Shapiro, Plasma Science and Fusion Center, Massachusetts Institute of Technology (MIT), Dr. G. Reyes, Department of Mathematics, University of California at Irvine, and M. Othman and D. Oshmarin, both with the Department of Electrical Engineering and Computer Science, University of California at Irvine, for helpful discussions. REFERENCES [1] J. Benford, J. A. Swegle, and E. Schamiloglu, “Enabling technologies,” in High Power Microwaves, 2nd ed. Boca Raton, FL, USA: CRC, 2007. [2] S. H. Gold and G. S. Nusinovich, “Review of high-power microwave source research,” Rev. Sci. Instrum., vol. 68, pp. 3945–3974, Aug. 1997.

13

[3] A. L. Vikharev et al., “Active compression of RF pulses,” in QuasiOptical Control of Intense Microwave Transmission, NATO Science Series II: Mathematics, Physics and Chemistry, J. l. Hirschfield and M. I. Petelin, Eds. Dordrechet, The Netherlands: Springer, 2005, vol. 203. [4] R. A. Alvarez, “Some properties of microwave resonant cavities relevant to pulse-compression power amplification,” Rev. Sci. Instrum., vol. 57, pp. 2481–2488, Jun. 1986. [5] R. A. Alvarez, D. P. Byrne, and R. M. Johnson, “Prepulse suppression in microwave pulse-compression cavities,” Rev. Sci. Instrum., vol. 57, pp. 2475–2480, Jun. 1986. [6] V. A. Avgustinovich, S. N. Artemenko, V. L. Kaminsky, S. N. Novikov, and Y. G. Yushkov, “Note: Resonant microwave compressor with two output ports for synchronous energy extraction,” Rev. Sci. Instrum., vol. 82, Apr. 2011, Art. ID 046104. [7] A. Shlapakovski, S. Artemenko, P. Chumerin, and Y. Yushkov, “Controlling output pulse and prepulse in a resonant microwave pulse compressor,” J. Appl. Phys., vol. 113, Feb. 2013, Art. ID 054503. [8] A. D. Andreev, E. G. Farr, and E. Schamiloglu, “A simplified theory of microwave pulse compression,” in Circuit and Electromagnetic System Design Notes, Albuquerque, NM, USA, 2008. [Online]. Available: http://ece-research.unm.edu/summa/notes/. [9] E. G. Farr, L. H. Bowen, W. D. Prather, and C. E. Baum, “Microwave pulse compression experiments at low and high power,” in Circuit and Electromagnetic System Design Notes, Note 63, 2010, Albuquerque, NM, USA. [10] S. G. Tantawi, R. D. Ruth, and A. E. Vlieks, “Active radio frequency pulse compression using switched resonant delay lines,” Nucl. Instrum. Methods Phys. Res. A, vol. 370, pp. 297–302, Feb. 1996. [11] J. Guo and S. Tantawi, “Active RF pulse compression using an electrically controlled semiconductor switch,” New J. Phys., vol. 8, pp. 293–310, Jun. 2006. [12] O. A. Ivanov et al., “Active quasioptical Ka-band RF pulse compressor switched by a diffraction grating,” Phys. Rev. ST Accel. Beams, vol. 12, Sep. 2009, Art. ID 093501. [13] P. Paulus, L. Stoll, and D. Jager, “Optoelectronic pulse compression of microwave signals,” IEEE Trans. Microw. Theory Techn., vol. MTT-35, no. 11, pp. 1014–1018, Nov. 1987. [14] V. A. Avgustinovich, S. N. Artemenko, and A. S. Shlapakovski, “Resonant frequency-tunable microwave compressors,” J. Commun. Technol. Electron., vol. 54, no. 6, pp. 721–732, Jun. 2009. [15] A. Vikharev et al., “High power active X-band pulse compressor using plasma switches,” Phys. Rev. ST Accel. Beams, vol. 12, p. 062003, Jun. 2009. [16] D. M. Pozar, Microwave Engineering, 2nd ed. New York, NY, USA: Wiley, 1998. [17] R. E. Collins, Foundations for Microwave Engineering, 2nd ed. New York, NY, USA: Wiley, 2001. [18] A. Figotin and I. Vitebsky, “Gigantic transmission band edge resonance in periodic stacks of anisotropic layers,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 72, Sep. 2005, Art. ID 036619. [19] A. Figotin and I. Vitebsky, “Frozen light in photonic crystals with degenerate band edge,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 74, Dec. 2006, Art. ID 066613. [20] A. Figotin and I. Vitebsky, “Slow wave phenomena in photonic crystals,” Laser Photon Rev., vol. 5, no. 2, pp. 201–213, Mar. 2006. [21] K. Y. Jung and F. L. Teixeira, “Photonic crystals with a degenerate band edge: Field enhancement effects and sensitivity analysis,” Phys. Rev. B, Condens. Matter, vol. 77, Mar. 2008, Art. ID 125108. [22] C. Locker, K. Sertel, and J. L. Volakis, “Emulation of propagation in layered anisotropic media with equivalent coupled microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 642–644, Dec. 2006. [23] G. Mumcu, K. Sertel, and J. L. Volakis, “Lumped circuit models for degenerate band edge and magnetic photonic crystals,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 4–6, Jan. 2010. [24] M. Othman and F. Capolino, “Demonstration of a degenerate band edge in periodically-loaded circular waveguides,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 11, pp. 700–702, Nov. 2015. [25] N. Marcuvitz, Waveguide Handbook, ser. Radiat. Lab.. New York, NY, USA: McGraw-Hill, 1950, vol. 10. [26] N. Marcuvitz and J. Schwinger, “On the representation of the electric and magnetic fields produced by currents and discontinuities in wave guides. I,” J. Appl. Phys., vol. 22, pp. 806–819, Jun. 1951. [27] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Piscataway, NJ, USA: IEEE Press, 1994.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[28] C. R. Paul, Analysis of Multiconductor Transmission Lines, 2nd ed. Hoboken, NJ, USA: Wiley, 2008. [29] C. R. Paul, “Decoupling the multiconductor transmission line equations,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 8, pp. 1429–1440, Aug. 1996. [30] C. R. Paul, “A brief history of work in transmission lines for EMC applications,” IEEE Trans. Electromagn. Compat., vol. 49, no. 2, pp. 237–252, May 2007. -port [31] L. B. Felsen and W. K. Kahn, “Transfer characteristics of networks,” in Proceedings of the Symposium on Millimeter Waves, New York—1959, J. Fox, Ed. Brooklyn, NY, USA: Polytech. Press, 1959. [32] S. P. Savaidis, Z. C. Ioannidis, S. A. Mitilineos, and N. A. Stathopoulos, “Design of waveguide microwave pulse compressors using equivalent circuits,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 1, pp. 125–134, Jan. 2015. Venkata Ananth Tamma received the M.S. and Ph.D. degrees in electrical engineering from the University of Colorado, Boulder, CO, USA, in 2009 and 2012, respectively. Since 2013, he has been with the Center for Chemistry at the Space-Time Limit (CaSTL), Department of Chemistry, University of California at Irvine, Irvine, CA, USA, where he is currently an Associate Research Specialist. He was a Postdoctoral Fellow with the Department of Electrical Engineering and Computer Science, University of California. His research interests include RF, microwave and optical metamaterials and their applications, optical sensors for biomedical applications, photo-voltaics, plasmonics and their applications, near-field optics, tip-enhanced nonlinear optical phenomenon, and reconfigurable electronics.

Alexander Figotin was born in Kharkov, U.S.S.R., in 1954. He received the Ph.D. degree in mathematics from Tashkent State University, Tashkent, U.S.S.R., in 1980. He is currently a Professor with the Department of Mathematics, University of California at Irvine, Irvine, CA, USA. He has authored or coauthored more than 90 papers and one monograph. His area of expertise includes fundamentals of the electromagnetic theory, wave propagation in periodic and random media, the theory of linear and nonlinear

photonic crystals, and the spectral theory of dispersive and dissipative dielectric and other media.

Filippo Capolino (S’94–M’97–SM’04) received the Laurea (cum laude) and Ph.D. degrees in electrical engineering from the University of Florence, Florence, Italy, in 1993 and 1997, respectively. He is currently an Associate Professor with the Department of Electrical Engineering and Computer Science, University of California at Irvine, Irvine, CA, USA. He was an Assistant Professor with the Department of Information Engineering, University of Siena, Siena, Italy. From 1997 to 1999, he was a Fulbright Scholar and Postdoctoral Fellow with the Department of Aerospace and Mechanical Engineering, Boston University, Boston, MA, USA. From 2000 to 2001, a portion of 2005, and in 2006, he was a Research Assistant Visiting Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX, USA. He was a short-term Visiting Professor with the Fresnel Institute, Marseille, France (2003) and with the Centre de Recherche Paul Pascal, Bordeaux, France (2010). From 2004 to 2009, he was the European Union (EU) Coordinator of the EU Doctoral Programs on Metamaterials. His research interests include antennas, propagation, metamaterials and their applications, traveling-wave tubes, sensors in both microwave and optical ranges, wireless systems, chip-integrated antennas, and applied electromagnetics in general. Dr. Capolino was an Associate Editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION (2002–2008). He was the Editor of the Metamaterials Handbook (CRC, 2009). He was the recipient of the R. W. P. King Prize Paper Award of the IEEE Antennas and Propagation Society for the Best Paper of the Year in 2000 by an author under the age of 36.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Electrical and Physical Analysis of Thermal Degradations of AlGaN/GaN HEMT Under Radar-Type Operating Life Farid Temcamani, Member, IEEE, Jean-Baptiste Fonder, Olivier Latry, and Cédric Duperrier

Abstract—Failure mechanisms in AlGaN/GaN HEMT RF power amplifiers implemented on silicon substrate and envisaging radar operating conditions are investigated in this paper. Several power amplifier prototypes have been designed, fabricated, characterized, and tested. Ageing tests were performed in conditions as close as possible to real applications. Ageing under increased drain voltage allowed studying both thermal and electrical aspects. Characterization performed before and after ageing tests proved that ageing process is mainly due to thermal stress for the irreversible part and to trapping effects for the reversible one, respectively. This was confirmed by additional physical analysis, the results revealing strong changes of the Schottky contact. Photon emission microscopy associated with X radiography gives spatial correlation between gate degradation and evolution of the brazing interface between the transistor chip and its packaging. It also illustrates the appearance of overheating points and acceleration of the Schottky contact degradation. Index Terms—Ageing bench, channel temperature, electrical analysis, failure, GaN, HEMT, physical analysis, power amplifier, radar, reliability.

I. INTRODUCTION

A

lGaN/GaN HEMT technology presents a high mobility thanks to the heterostructure and wide bandgap material. It proves good performances, making it suitable for power applications, higher frequencies, and temperature operation [1], [2]. Many studies have been published since the early 1990s [3]–[9] while commercial power transistors were issued on the market more than ten years ago. In spite of their impressive performances, their reliability still remains unknown. Manuscript received December 10, 2014; revised March 28, 2015, August 18, 2015, January 02, 2016, and January 07, 2016; accepted January 11, 2016. This work was supported by the MOVE’O cluster (France) under the AUDACE project. F. Temcamani is with the Quartz Laboratory, Ecole Nationale Supérieur de l’Electronique et de ses Applications (ENSEA), 95014 Cergy-Pontoise, France (e-mail: [email protected]). J.-B. Fonder was with the Equipes Traitement de l’Information et Systèmes (ETIS) Laboratory, Ecole Nationale Supérieur de l’Electronique et de ses Applications (ENSEA), 95014 Cergy-Pontoise, France. He is now with INSA, 69100 Lyon, France(e-mail: [email protected]). O. Latry is with the Groupe de Physique des Matériaux (GPM) Laboratory–CNRS, University of Rouen, 76000 Rouen, France (e-mail: [email protected]). C. Duperrier is with the Equipes Traitement de l’Information et Systèmes (ETIS) Laboratory, Ecole Nationale Supérieur de l’Electronique et de ses Applications (ENSEA), 95014 Cergy-Pontoise, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519342

The AlGaN/GaN heterostructure has to deal with defects coming from the material properties and fabrication process. As a result, much research has been conducted to evaluate and enhance the device reliability in working conditions as close as possible to the final application [10]–[16]. This paper identifies the main parameters affecting the reliability and irreversible degradations (reversible effects are investigated in [10]) of an RF power amplifier implemented in this technology. According to our experiments, the critical parameters affecting device reliability are the electrical constraints related to high voltage and current operation and thermal constraints related to transistor self-heating effects. Ageing tests, electrical characterization, and failure physical analysis were performed to rank these parameters. In this regard, the global study methodology is described in Section II, while ageing tests results are detailed in Section III. Electrical characterization is reviewed in Section IV, while the physical origin of degradations is studied and discussed in Section V. Our study is completed by physical and structural analyses, as described in Section VI. Finally, conclusions are drawn in Section VII. II. METHODOLOGY AND MEASUREMENTS A. Device-Under-Test For designing amplifiers, we choose commercial 50-W GaN HEMTs (reference NPTB00050 from Nitronex, Fig. 1) with the following characteristics: • 80 gate fingers; • 0.5- m gate length; • 16.8-mm total gate width; • breakdown voltage higher than 110 V for gate voltage between 2 and 0 V. The die’s package is an AC360B type ceramic frame. Connection to leads is performed with eight gold bond wires for both gate and drain. The source terminal is via-grounded to the frame. The chip thickness is 150 m. The HEMTs have been used for designing 50-W class-B amplifiers, operating at 3-GHz frequency and 28-V drain bias voltage. Load–pull impedances were simulated with a “blackbox” manufacturer model and ADS Agilent Technologies’ software. The objective was to obtain the maximum output power with the highest reachable power-added efficiency (PAE). Microstrip matching circuits were then designed on a Rogers Duroid RT6010.2 Cu-clad substrate ( ,

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Transistor under test: (a) chip and (b) packaging.

mm). Classical techniques such as microstrip impedance transformers and low-frequency stabilization (by a 100- resistor in series with gate) were used. Under pulsed-RF measurements, we reached 50 W of saturated output power in class-B, which corresponds to 3 W/mm of power density. PAE reaches a maximum of 53%. B. Methodology Our methodology is based on several steps, from amplifier design to microstructural analysis of the transistor’s possible failure zones, including ageing tests and electrical characterization. The first step is device characterization to understand with maximum accuracy its structural, thermal, and electrical properties. This step should offer sufficient information for designing, fabrication, and measurement of prototype amplifiers. In the second phase, the amplifiers are built and measured. Furthermore, the prototypes are tested on the ageing bench. Finally, to explain the ageing evolution, and possibly the device failure, physical analyses are performed. Analysis tools go from simple visual inspection to transmission electronic microscopy (MET), including radiography and photon EMission MIcroscopy (EMMI). They should allow confirmation or invalidation of assumptions formulated after electrical characterization and ageing tests. C. Stress Test Bench and Electrical Characterization The test bench [16], shown in Fig. 2, is based on a central unit that can test several devices simultaneously. It consists of a computer driven control and data-recording peripherals (power supplies, triggers, memories). Power modules, made up of thermally regulated Cu base plates on which test amplifiers are fixed and thermally coupled, are driven by this central unit. The RF part consists of a signal source, providing RF pulses triggered by the central unit, a power amplifier delivering sufficient input power level to each tested device, a power divider, couplers, and circulators to measure input power and return loss. The output of each device-under-test (DUT) is connected to a 40-dB attenuator, connected further to a power sensor. During measurements, the RF power, current, voltage, and temperature are monitored and stored. Ageing can be performed both in L-band (1–2 GHz), as in [17], and S-band (2–4 GHz). Electrical characterization consists of conducting several electrical measurements before and after ageing tests, such as the following.

Fig. 2. Ageing bench.

• Transistor dc output characteristics: . In this regard, two particular parameters give information on physical degradation: the pinch-off voltage and the on-state drain to source resistance . • DC characteristic of the gate contact , which allows barrier height and ideality factor extraction. • RF characteristic, to estimate the maximum output power, power gain, and PAE. D. Physical Analysis The physical analysis includes analyses from macroscopic to nanoscopic scale. Analysis tools could be summarized as follows. • Visual inspection simply consists of opening the device packaging. In this case, a first inspection is performed with an optical microscope, while a second one is with a scanning electron microscope (SEM). • Photon EMMI is a nondestructive method commonly used to reveal structural surface defects in semiconductors [18], [19]. The photon intensity is proportional to current density. Typical application of such a technique is to compare the current distribution across the gate fingers in a transistor. The system consists of a Phemos (Hamamatsu) microscope equipped with a Si-CCD ultra-sensitive camera cooled down to very low temperature ( 70 C). Wavelengths detected are from 400 to 1100 nm. Images are acquired with the same exposition time and color scale under dc-bias conditions. Optical signature of emitted photons is compared between stressed and unstressed devices. Spatial resolution of the EMMI pictures is approximately 2 m pixel (2600 m 2600 m per image).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TEMCAMANI et al.: ELECTRICAL AND PHYSICAL ANALYSIS OF THERMAL DEGRADATIONS OF ALGAN/GAN HEMT UNDER RADAR-TYPE OPERATING LIFE

• X radiography: this technique, based on X-rays and Gamma rays, is used to estimate the quality of the brazing between the transistor and its package, mainly after ageing tests. As in the previous case, the results are compared between stressed and unstressed devices. • Microstructural analysis of failures with transmission electron microscopy (TEM) and focused ion beam (FIB). A TEM is commonly used to investigate the inner structure of very small samples such as gate contacts, transition between two layers, etc. Structural contrast and/or chemical contrast are observable revealing details at nanometer scale. In our case, it is used to evaluate the sharpness of the Schottky gate contact, the most thermal sensitive area in a GaN HEMT, on a fresh and on an aged device. This technique requires some sample preparation in the FIB and SEM. The sample is extracted from the whole transistor structure and is thinned into a 40-nm-thick lamella to become electron transparent and thus allowing TEM study. These milling/cutting operations are performed with a pico to nano amp gallium FIB depending on the preparation step (milling, cleaning, etc.). Equipment we used for the TEM is a JEOL JEMARM200F HR-TEM. The contrast of the scanning TEM–high angle annular dark field (STEM-HAADF) images dependent of the atomic number ( ) of elements. The acquisition is done with a camera length of 8 cm and 0.1-nm probe. • Energy dispersive X-ray spectroscopy (EDS): JEOL JEMARM200F is equipped with optional EDS. EDS is based on the characterization of X photons emitted by the sample during the electron beam crossing. This technique applied to FIB samples allows analyzing of chemical compositions with a 0.2-nm probe. III. AGEING TESTS A. Test Protocol Five power amplifiers (prototypes) were aged on the test bench shown in Fig. 2, which can support up to eight devices, the technical risks limiting the number of tested devices. It should be recalled here that the test must be preceded by a long characterization of transistors and amplifiers, in our case, the cumulated duration of the five components ageing being about 3600 h. Furthermore, as shown later, only small result dispersion was noticed between different transistors and amplifiers. As already mentioned, the objective of this research is to highlight the dominating phenomenon that influences the amplifiers’ performances evolution and degradation. In this regard, identical amplifiers with similar matching circuits have been tested and only biasing conditions ( and ) were modified. Indeed, the amplifiers’ power efficiency has little dependence versus drain-to-source bias voltage . Proceeding this way allows the simultaneous increase of the drain voltage excursion and dissipated power in the transistor (i.e., channel temperature). Pulsed RF and continuous wave (CW) excitations induce different stresses in the device. While the thermal state of the transistor is steady in the CW case, the channel temperature

3

swings at the pulses’ rate. It is especially true if pulse length is larger than several thermal time constants and period is much higher than pulse length, as in our case. We can easily conclude that these thermal cycled working conditions will induce a different wear out than the CW one. Sometimes the channel temperatures induced by these tests could exceed 350 C, therefore lower values are set for the base-plate temperature. The main effects are the small variations of load and source impedances. The load curve is only translated horizontally compared to its nominal position with V. As a consequence, the gate-to-source transistor junction will become open before starting to work within the ohmic zone (i.e., time-varying becomes larger than threshold value before the load curve reaches the knee zone of the – network). This was evidenced during our measurements. Therefore, input power is fixed according to the average gate current. The protocol of these tests is inspired from the one related to the nominal operation as follows. • Frequency: 3 GHz. • Base plate temperature: 20 C. • Pulsed RF signal: duty cycle 15%, pulses width of 450 s. • Input power dBm, fixed, so that average gate current is larger than 300 A. It corresponds to soft gain compression of about 1 dB. Test biasing conditions are as follows. • V and mA (class-B). • V and mA (deep class-AB, ). • V and mA (class-B). • V and mA (class-B). • V and mA (deep class-AB). Operation in class-AB is tested to evaluate the quiescent current effect on amplifier performance degradation. It should be recalled here that a strong dependence between the quiescent drain current and the RF output power can be noticed in pulsed mode [10]: as the output power rises (i.e., gate and drain swing), the quiescent bias current tends to lower. For instance, for the “45 V 200 mA” stressed device, the drain bias current between RF pulses is almost null at maximum output power. It was proved that this phenomenon is related to trapping effects. Hence, it can be considered that at the specified stress conditions, “45 V 200 mA” and “45 V 0 mA” devices both run in class-B. Simulations show that the breakdown voltage is not reached in all these tests, the maximum reached voltage being about 90 V in the worst case ( V), therefore leaving sufficient margin (the measured breakdown voltage is about 110 V). Power characteristics of each amplifier, e.g., , is measured before and after each test and pulsed – and CW -parameters measurements are performed as well. Finally, we point out that tests are performed without interruption, each amplifier being tested during hundreds of hours, as resumed in Table I. B. Performance Monitoring Contrary to base-plate temperature, the injected power in the amplifier is not regulated, therefore affecting negatively the tests that require constant power levels. Measurements show small

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I DURATION OF EACH AGEING TEST

TABLE III IS THE LOW POWER GAIN VARIATION OF AMPLIFIERS’ POWER GAINS. IS THE POWER GAIN IN THE COMPRESSION ZONE AND

TABLE II EVOLUTION OF AMPLIFIERS’ ELECTRICAL PERFORMANCES DURING THE TEST. , , AND ARE CORRELATED DEGRADATIONS OF

changes of 5% in the worst case and 2%, on average. These small variations are due to ambient temperature between day and night. They are reversible and did not affect our conclusions. We take this into account on long-term average variations. Measured changes of the amplifier characteristics (output power , average drain current , and average gate current ), which affect each tested device are summarized in Table II. For example, stands for average drain current in the large-signal condition during the stress test. The following conclusions could be drawn. • Degradations of , , and variations are globally correlated. • A stronger decrease of the output power affects the amplifiers operating with higher dissipated power (45 V–200 mA, 45 V–0 mA, and 43 V–0 mA). In both first cases, the output power decrease has a lower value than in the case of 43 V and 0 mA. These cases also have the same dissipated power. Cases with (40 V, 0 mA) and (40 V, 600 mA) present a similar power degradation. It means that the quiescent current has a small influence on the performance degradation. • The drain current strongly decreases in the (40 V, 600 mA) case, having no evident correlation with the output power decrease. The latest is even similar to the case with 40 V and 0 mA for which the drain current is almost constant. It means that the strong decrease of drain current is due to quiescent current decrease during the test. • There is a strong increase of the average gate current. The variation is close to 300 A when starting the test and increases up to two or three times during the ageing test. It should be noticed that this gate current is not a leakage one and is essentially related to a light gate saturation (the gateto-source junction becomes lightly passing). According to our experiments, the input power remains constant during the test. Hence, the gate current increase is related to drift of the junction threshold voltage.

Fig. 3. output characteristics for the test 45 V–200 mA: before ageing V V with a 0.1-V step. and after ageing.

IV. ELECTRICAL CHARACTERIZATION A. RF Power Characterization and characteristics of the amplifiers are measured before and after each ageing test. Furthermore, power gain and efficiency are calculated with these measured results. Table III presents the variations measured during the tests for power gain with low (30 dBm) and high (39 dBm) input power levels (named and , respectively). The compression gain decreases for all tested amplifiers, a result that is in good agreement with the power decrease noticed in the previous paragraph. Otherwise, for some amplifiers, low power gain has increased. It means that the device performance degradation occurs especially for large and swings. Therefore, it would be interesting to examine the transconductance and , both transistor parameters being decisive for high power gain. Table IV resumes the variation of high power efficiency ( dBm), low power drain current ( ), and high power drain current ( ). All tested amplifiers showed drain current decrease at high output power level. It is also in good agreement with the assumption that and degrade in the ohmic zone. Otherwise, it is clear that in all other cases, the drain current increases at low input power levels. It is also in correlation with the low-level power gain for 40-V–0-mA and 43-V–0-mA cases. In both cases, the amplifiers operate in class-B and, in consequence, the pinch-off transconductance changes strongly with , itself depending of pinch-off voltage . This parameter seems to be essential.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TEMCAMANI et al.: ELECTRICAL AND PHYSICAL ANALYSIS OF THERMAL DEGRADATIONS OF ALGAN/GAN HEMT UNDER RADAR-TYPE OPERATING LIFE

5

TABLE IV VARIATIONS OF MAXIMAL ADDED POWER EFFICIENCY, AND DRAIN CURRENT AT LOW AND HIGH POWER LEVELS

TABLE V VARIATION OF

, AND

FOR

V

AND OF

FOR

V

Nevertheless, the low-level gain decreases for 45 V–0 mA and 45 V–200 mA (Table III) probably have another reason. Both transistors tested under 45 V come from a different production batch than the other three. In addition, we noticed that the (40 V, 600 mA) test only showed a small increase in power efficiency. It confirms our previous assumption of correlation between decrease. It is also condecrease during the test and and decrease. firmed by the simultaneous to the efficiency is weak so Moreover, the contribution of the latter is enhanced.

TABLE VI EXTRACTION OF THE BARRIER HEIGHT , THE IDEALITY FACTOR , AND THE INTRINSIC RESISTANCE OF THE GATE-TO-SOURCE JUNCTION, BEFORE AND AFTER THE AGEING TEST UNDER 43 V–0 mA

B. DC Measurements

Another interesting measurement concerns the Schottky gate-to-source contact. It consists of measuring before and after ageing the dc characteristic for the direct part and the dc for the reverse part and the leakage current. For the direct measurement, the increase of the threshold voltage is clearly correlated to the gate current increase during the ageing. Thus, the origin of the latter is established. For the reverse part, the conclusion for the leakage current is less obvious. Transistors with higher channel temperature (43 V–0 mA, 45 V–0 mA, and 45 V–200 mA, see Table VII) do not have the same behavior. Special interest is given to the 43 V–0-mA case. The transistor shows a strong increase of leakage current and a threshold voltage decrease. Furthermore, the direct characteristic (Fig. 4) changed after the ageing test and now presents two barrier heights. The effect was observed, but less clearly for the 45-V–0-mA case. The second Schottky barrier appeared during the ageing test has a worse ideality factor (Table VI). This double barrier effect has been already mentioned in the literature [21] for Ti/4H-SiC Schottky diodes. It is due to interface states caused by the ageing test.

, Measurements of the output characteristics input characteristic , and leakage characteristic are performed. The objective is to find correlations between the variations of the transistor performances and its intrinsic behavior. For the sake of simplicity, only pertinent parameters will be presented and discussed. Fig. 3 illustrates the dc output characteristics of the transistor tested in case (45 V, 200 mA) before and after ageing. The observed degradations are typical and measured for all components. As expected, and under low , the output transistor characteristics show a transconductance decrease and an increase. Output power degradations observed previously are due to these variations. The conjunction of both elements ( and ) induces a shift towards the right of the knee of – dc characteristics. The and swings then have smaller amplitudes and the output power is lowered. However, under high (greater than 15 V), the shift of pinch-off voltage to more negative values becomes a more important parameter than the decrease. In Table V, we present an inventory of and variations for V and variations for V. Results clearly show that, for almost all transistors, pinch-off voltage and transconductance decrease. increases in all cases. Previous assumptions deduced from RF power measurement are confirmed.

V. DISCUSSION

ON THE ORIGIN OF DEGRADATIONS: TEMPERATURE ROLE

In HEMT GaN, with other kinds of stress, the Schottky contact is not the only degraded structure during stress. Pits and trenches could have formed under the gate edge at the device’s drain side, for under high-power dc conditions [24], [25]. These

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Evolution of the temperature cartography along the transistor (white line).

Fig. 4. Phenomenon of a double barrier height after the transistor ageing test under 43 V–0 mA.

TABLE VII CHANNEL TEMPERATURE AND MAXIMUM DRAIN VOLTAGE DURING THE AGEING TEST

off-state stress conditions produce electroluminescent signature [26] unlike on a large area, as in our observations. The electroluminescent signature is typically relevant here for a large phenomenon like diffusion of Au for several gates in a part of the transistor. It is not a much localized region like pit evolution after dc stress already observed in previous work [27]. Previous summarized conclusions are as follows. • The transconductance decrease at low and the simultaneous increase seem to be the origin of the higher gain compression and the output power decrease. • All measured pinch-off voltages were shifted to more negative values for high . The quiescent currents have then increased; the small-signal transconductance has increased in the same way as the small-signal gain has increased for some devices. • A decrease of gate-to-source junction voltages of all tested devices has been noticed. It is the origin of the strong increase of direct gate current during the ageing tests. In conclusion, all electrical degradations affect the control mechanism of the transistor. The gate is the more impacted zone. Confirmation is given by the Schottky barrier evolution for the tests imposing the most severe thermal constraints. Thus, the channel temperature seems to be an important parameter for the transistor ageing analysis. In the following paragraphs we will establish the correlation between the channel temperature and the observed degradations. The channel temperature is generally not well estimated in life-test benches [15]. On the other hand, the estimation of the temperature in the pulsed mode imposes not only the knowledge

of the device’s thermal resistance , but also the thermal time constant . Two techniques are generally used: infrared thermography and electrical measurements [28]. In this paper, we apply these techniques to physical analyses of degradations. A. Principle of the Channel Temperature Measurement Infrared thermography is a well-known technique for temperature measurement, which was particularly applied to RF power transistors [22]. It is very efficient to establish temperature cartography, but this spatial advantage is just the opposite of its too large integration time, which is not compatible with the instantaneous temperature measurement, which is necessary in pulsed mode. For temporal requirements, Joh et al. [23] proposed an electrical-based method for small transistors (4 100 m). It consists in varying the temperature and measuring the corresponding drain saturation current . To each temperature corresponds a unique current value. A preliminary calibration phase must be performed. It consists of imposing different uniform temperatures to the transistor and measuring values. B. Application of the Infrared Technique We measure the surface temperature after the gate edge at the drain side using the infrared thermography microscope QFI InfraScope. The resolution is 1024 1024 pixels. The base-plate temperature is regulated. At the point of measurement, there is only a 500-nm-thick SiN passivation layer. Thus, we are close to the “real” channel under the gate. Moreover, there is no low metal at this point to conduct and lower the temperature. Fig. 5 shows an example of the temperature’s evolution along the transistor when it dissipates 45-W dc power and the base plate temperature is maintained at 45 C. The channel temperature is globally uniform, except for the ten extreme fingers (at the right or at the left) for which the temperature is about 30 lower. Fig. 6 confirms the different thermal behavior between central and peripheral fingers. It also shows the nonlinearity of the device’s global thermal resistance. From these measurements, we deduced a thermal resistance of 3.0 C W. The corresponding dc dissipated power is 45 W and the base-plate temperature is 45 C. For comparison, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TEMCAMANI et al.: ELECTRICAL AND PHYSICAL ANALYSIS OF THERMAL DEGRADATIONS OF ALGAN/GAN HEMT UNDER RADAR-TYPE OPERATING LIFE

Fig. 6. Nonlinearity of the transistor’s thermal behavior (points: measurements, line: model).

7

Fig. 7. Temperature calibration characteristic.

manufacturer’s datasheet gives a value of 3.2 C W in similar conditions. C. Application of the Electrical Technique We adapt here the Joh et al. [23] technique to our transistors, which have large gate widths (80 200 m). During the calibration phase, is maintained constant and is pulsed. Low value is pinch-off voltage and high value is 0 V. The objective is to minimise the transistor self-heating. Due to the large gate periphery of our devices, and thus the weak contribution of these edge effects, we assume that the channel temperature is quasi-uniform. As explained above, the calibration phase is obtained by imposing variable temperature to the transistor and measuring the current. We measured short drain pulses when the base-plate temperatures is varied in the 30 C to 140 C range with a 10 C step. The pulses’ width was chosen sufficiently small to avoid the transistor’s self-heating and not alter the measurement. The pulses’ width was 2 s and the repetition rate was 1 ms. Fig. 7 shows the calibration characteristic with a constant negative slope ( 8.4 mA C) on the whole calibration range. After the calibration phase, the transistor was measured in the operational conditions (with the self-heating). Pulses with 200- s width were applied to the gate. In Fig. 8, we present the transient response to a pulse of 25 W of dissipated power. The response is exponential with a saturation value of 84.8 C (reached after 450 s) and a time constant of 100 s. In the same conditions with the infrared technique, we obtained 85.9 C. Both techniques lead to the same value. In conclusion, the infrared technique has an advantage for spatial analysis, while the electrical technique have an advantage for transient analysis of temperature. Thus, we have coupled both techniques for our physical analyses.

the ageing tests, for different bias conditions (Table VII). The maximum drain voltage is theoretically (and confirmed by simulations) equal to . Table VII clearly shows that the tests with the highest temperature, are 43 V–0 mA and 45 V–200 mA. These tests are also the ones with higher variations of , , and , and the most important evolution of the gate characteristics (Fig. 8). The correlation between these evolutions and the channel temperature appears clearly. On the other hand, no clear correlation could be established with the maximum electrical constraint (Table VII). For example, comparison shows that the test with 43 V–0 mA has a higher maximum temperature than in the 45 V–0 mA test. It is related to lower power efficiency for 45 V. Degradation of electrical performances (Table II) is also higher for 43 V in spite of lower voltage swing.

D. Application to Degradation Analysis

E. Additional Thermal Study

To compare the effect of temperature and electrical constraints, we estimate the channel temperature (during the RF pulse, Fig. 9) and the maximum drain voltage reached during

To complete this study, two transistors were tested in a thermally regulated oven. The objective was to have more conclusive elements on the thermal degradation assumption.

Fig. 8. Transient temperature response to a pulse of 25-W dissipated power.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 9. Estimation of channel temperature ( corresponds to the pulse s) for dBm input power and different start, pulse width bias conditions.

Two new transistors, which had been submitted to no ageing test, were stored at 250 C during 200 h, and then at 300 C, during 200 h again. DC – characteristics were measured and compared to the reference device to detect any evolution. Globally, the sign of variation of studied parameters confirms the thermal impact observed with RF ageing tests. Quantitatively, the pinch-off voltage variations go over the RF ageing tests ones. Many reasons could explain this observation. • Even for high reached temperature during ageing test (over 300 C), this temperature is not in a steady state as in thermal storage. • During RF tests, another phenomenon is possibly added to thermal effects, which induce a shift towards positive values. Globally we possibly observe both effects. Here, conditions are in a real mission profile like in radar applications. As a reminder, we note that the originality of this work is not to compare or decorrelate the consequence of one parameter like temperature, off-state, or dc stress, but it is in real life with RF in pulse mode where the high power amplifier is stressed both by electrical and thermal conditions in operating conditions. • Thermal degradations during RF ageing tests are possibly not uniform. In thermal storage, temperature distribution is, however, uniform. Finally, we observed that the degradations are irreversible. To detect a possible contribution of trapping effects in transistor’s ageing, some devices were tested on the ageing bench and relaxed, and were again characterized in dc. Their package was opened and the die was illuminated with sunlight. Finally, their – characteristics were measured. We observed that pinch-off voltage grew continuously during relaxation, while the transconductance remained unchanged. This behavior, in the absence of electrical or thermal constraints, means the presence of electrical charges trapped in the substrate. The shift of to negative values is compatible with posterior liberation of trapped charges accumulated during the RF ageing tests in the substrate and/or in surface layers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. SEM photograph of source and drain metallic zones in: (a) fresh and in aged and (b) degraded transistor.

VI. PHYSICAL ANALYSIS We present here a synthesis of results partially published elsewhere [10], [11], [13]. Physical analysis results complete our previous conclusions and show how the thermal constraint deteriorates aged devices. A. Visual Inspection The visual inspection with optical microscope shows, for aged devices, a granular appearance and cracks in metallic zones. This observation is confirmed by the SEM. Surface of metallic zones appeared strongly and densely crackled (Fig. 10). Thermal cartography (Fig. 11) put in evidence that the localization of the most crackled zones [see Fig. 11(a)] corresponds to the zones with the highest self-heating [seeFig. 11(b)]. It proves that the texture changing is related to the source and drain finger’s temperature. It is also related to the difference between gold and semiconductor thermal expansion coefficients. Thermal expansion coefficients of GaN and Si are, respectively, 3.4 10 K [29] and 2.5 10 K at 300 K [30]. The Au one is 14.2 10 K . For example, for a channel temperature of 350 C (compatible with estimated values, Table VII), the dilatation difference between Au and GaN, for a 200- m finger, is around 0.77 m. B. Localization of Defects by Non Destructive Techniques The most interesting observation concerns devices observed with photon EMMI with a bias close to pinch-off voltage ( V). Only the results relative to the 45-V–200-mA biased stressed device are presented here even if great similarities have been noticed on other aged devices. For this aged device and at V and mA ( V) bias point, the EMMI pattern showed that the more intense emission is focused in the central zone for aged devices [see Fig. 12(b)]. For fresh devices and at the same bias point, it is spread uniformly [see Fig. 12(a)]. It means that central fingers have a pinch-off voltage, which has decreased. The correlation with

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TEMCAMANI et al.: ELECTRICAL AND PHYSICAL ANALYSIS OF THERMAL DEGRADATIONS OF ALGAN/GAN HEMT UNDER RADAR-TYPE OPERATING LIFE

9

Fig. 11. Comparison between the: (a) distribution of temperature and (b) granularity of source and drain metallic zones.

Fig. 14. EDS measurement (across the blue line) of the degraded Schottky contact of an aged component, (at TEM-3). It shows a migration and inclusion of gold (Au) in the Ni-semiconductor Schottky contact.

Fig. 12. EMMI photograph of: (a) fresh and (b) aged transistor: 3 TEM V lamellas are sampled. Photograph example of EMMI concerns mA. (a) Drain current (and pinch-off voltage) is uniform on all and gate fingers. (b) Drain current is higher at center fingers and lower at the edge. TEM-1, TEM-2, and TEM-3 are the samples extracted for microstructural analyses.

Fig. 15. EDS cartography of the degraded Schottky contact of an aged component, (at the gate edge and on the drain side). It confirms the gold (Au) migration in the Ni contact.

C. Microstructural Analysis of Degradations: TEM and FIB Fig. 13. Photographs of: (a) RX and (b) EMMI of the degraded transistor after V and mA. Photograph example of pulsed RF ageing at V and mA. EMMI concerns

the temperature concentration and increase on these fingers is obvious. Moreover, X radiography showed a degradation of the brazing on the aged components bottom. Voids are larger and bountiful in the zones detected by EMMI (Fig. 13). The phenomenon worsens the temperature effect because of more difficult heat flow at the points where the brazing is degraded.

This part concerns the transistor aged under 45 V-200 mA (in Sections III and IV). Three thin lamellas were extracted: respectively, TEM-2 and TEM-3 on an external finger (low thermal strain) and on a central finger (high thermal strain) of an aged transistor, and TEM-1 is a reference sample on a fresh device. Fig. 11 depicts these three areas with the related photograph EMMI in dc-bias conditions. The microstructural analysis revealed an important degradation of the Schottky contact (intermetallic diffusion Au/Ni) [11]. The degradation is coherent with temperature (uniform degradations along the gate, more intense in the hot zones). This structural modification is also coherent with the electrical evolution of the contact (see Section IV-B).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

No noteworthy degradation was observed on the source and drain ohmic contacts or on the interface between passivation and semiconductor layers. No degradation as “channel diffusion” or “delimination,” which could cause surface trapping, was observed. It is in agreement with electrical measurements. It is confirmed by chemical cartography (Fig. 15). An EDS analysis was performed on the TEM-3 sample. Results are shown in Fig. 14. They highlight a migration and inclusion of gold (Au) in the Ni-semiconductor Schottky contact. That explains the barrier height decrease compared to a homogeneous Ni-semiconductor contact. It is also in good agreement with electrical characterization. These degradations are irreversible. VII. CONCLUSION Failures mechanisms in AlGaN/GaN HEMT RF power amplifiers based on a silicon substrate have been analyzed in radar operating conditions. Several prototypes have been designed, fabricated, characterized, and tested by means of ageing tests performed in conditions as close as possible to the real application. Moreover, we observed that the transistor hold out to high temperature is not related only to good physical properties of GaN, but also to the quality of interfaces in the component. It proves that the gate contact is the most sensitive region to temperature in the transistor. Thermal properties of substrate are therefore critical. The studied transistor was based on a silicon substrate. We remind that silicon has a low thermal conductivity. This fact was considered with particular attention. Another element specific to GaN is the existence of trapping effect, which was also evaluated. Ageing under increased drain voltage allows studying both aspects simultaneously. Different characterizations performed after ageing tests led us to some assumptions on the degradations (partially irreversible) nature. It was proved that they are due to thermal stress for the irreversible part and to trapping effects for the reversible one. These assumptions were confirmed by additional physical analysis. Results revealed strong modifications of the Schottky contact, which also explains the evolution of electrical parameters. Photon EMMI associated to X radiography gives spatial correlation between degradations of the gate and the evolution of the interface braze between the transistor chip and its packaging. The more numerous braze vacuums locally cause a thermal resistance increase, appearance of overheating points, and acceleration of Schottky contact degradation. ACKNOWLEDGMENT The authors would like to acknowledge THALES Air Systems engineers for their advice on the design and realization of test amplifiers. The authors would also like to thank B. Delacressonnière, Ecole Nationale Supérieur de l’Electronique et de ses Applications (ENSEA), Cergy-Pontoise, France, and C. Andriesei, Asachi University, Iasi, Romania, for their help. REFERENCES [1] M. Levinstein, S. Rumyantsev, and M. Shur, Handbook Series on Semiconductor Parameters. Singapore: World Sci., 1999.

[2] R. J. Trew et al., “Microwave AlGaN/GaN HFETs,” IEEE Microw. Mag., vol. 6, no. 1, pp. 56–66, Mar. 2005. [3] T. Kawabata, T. Matsuda, and S. Koike, “GaN blue light emitting diodes prepared by metalorganic chemical vapor deposition,” J. Appl. Phys., vol. 56, no. 8, pp. 2367–2368, Aug. 1984. [4] A. Chini, D. Buttari, R. Coffie, S. Heikman, S. Keller, and U. K. Mishra, “12 W/mm power density AlGaN/GaN HEMTs on sapphire substrate,” Electron. Lett., vol. 40, no. 1, pp. 73–74, Jan. 2004. [5] J. C. Dejaeger et al., “Advanced results on nitride-based HEMTs for microwave power amplification,” in 11th Int. Microw. Opt. Technol. Symp., Monte Porzio, Catone, Italy, 2007, pp. 365–370. [6] Y.-F. Wu, M. Moore, A. Saxler, T. Wisleder, and P. Parikh, “40-W/mm double field-plated GaN HEMTs,” in 64th IEEE Device Res. Conf., Jun. 2006, pp. 151–152. [7] J. W. Johnson et al., “12 W/mm AlGaN/GaN HFETs on silicon substrates,” IEEE Electron Device Lett., vol. 25, no. 7, pp. 459–461, Jul. 2004. [8] R. Therrien et al., “A 36 mm GaN-on-Si HFET producing 368 W at 60 V with 70% drain efficiency,” in IEEE IEDM Tech. Dig., Dec. 2005, pp. 568–571. [9] R. Quay, Gallium Nitride Electronics. New York, NY, USA: Springer, 2008. [10] J.-B. Fonder et al., “Compared deep class-AB and class-B ageing on AlGaN/GaN in S-band pulsed-RF operating life,” Microelectron. Reliab., vol. 52, no. 11, pp. 2561–2567, Nov. 2012. [11] J.-B. Fonder, L. Chevalier, C. Genevois, O. Latry, C. Duperrier, and F. Temcamani, “Physical analysis of Schottky contact of power AlGaN/GaN HEMT after pulsed-RF life test,” Microelectron. Reliab., vol. 52, no. 9–10, pp. 2205–2209, Sep.–Oct. 2012. [12] J.-B. Fonder et al., “A reliability-based AlGaN/GaN HEMT model considering high drain bias voltage RF ageing,” in 42th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct.–Nov. 2012, pp. 72–75. [13] J.-B. Fonder, L. Chevalier, C. Genevois, O. Latry, C. Duperrier, and F. Temcamani, “Physical analysis of Schottky contact of power AlGaN/GaN HEMT after plsed-RF life test,” in Eur. Reliab. Electron Devices, Failure Phys., Anal. Symp., Cagliari, Italy, Oct. 2012, pp. 2205–2209. [14] A. P. Zhang et al., “Microwave power SiC MESFETs and GaN HEMTs,” Solid State Electron., vol. 47, no. 5, pp. 821–826, May 2003. [15] E. Zanoni, M. Meneghini, A. Chini, D. Marcon, and G. Meneghesso, “AlGaN/GaN-based HEMTs failure physics and reliability: Mechanisms affecting gate edge and Schottky junction,” IEEE Trans. Electron Devices, vol. ED-60, no. 10, pp. 3119–3131, Oct. 2013. [16] H. Maanane et al., “Study of RF NLDMOS critical electrical parameter drifts after a thermal and electrical ageing in pulsed RF,” Microelectron. Reliab., vol. 46, no. 5–6, pp. 994–1000, May–Jun. 2006. [17] O. Latry et al., “A 5000 H RF life test on 330 W RF-LDMOS transistors for radars applications,” Microelectron. Reliab., vol. 50, no. 9–11, pp. 1574–1576, Sep.–Nov. 2010. [18] J. W. Pomeroy, M. Kuball, M. J. Uren, K. P. Hilton, R. S. Balmer, and T. Martin, “Insights into electroluminescent emission from AlGaN/GaN field effect transistors using micro-Raman thermal analysis,” Appl. Phys. Lett., vol. 88, no. 2, Feb. 2006, Art. ID 023507, 1 p. [19] N. Shigekawa, K. Shiojima, and T. Suemitsu, “Optical study of highbiased AlGaN/GaN high-electron-mobility transistors,” J. Appl. Phys., vol. 92, no. 1, pp. 531–535, Jan. 2002. [20] S. Singhal et al., “GaN-on-Si reliability : A comparative study between process platforms,” in Reliab. Compound Semiconduct. Workshop, San Antonio, TX, USA, 2006, pp. 21–24. [21] D. Defives et al., “Barrier inhomogeneities and electrical characteristics of Ti/4HSiC Schottky rectifiers,” IEEE Trans. Electron Devices, vol. 46, no. 3, pp. 449–455, Mar. 1999. [22] M. Kuball, A. Sarua, H. Ji, M. J. Uren, R. S. Balmer, and T. Martin, “Integrated Raman—IR thermography on AlGaN/GaN transistors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1339–1342. [23] J. Joh, J. A. del Alamo, U. Chowdhury, T.-M. Chou, H.-Q. Tserng, and J. L. Jimenez, “Measurement of channel temperature in GaN highelectron mobility transistors,” IEEE Trans. Electron Devices, vol. 56, no. 12, pp. 2895–2901, Dec. 2009. [24] Y. Wu, C. Y. Chen, and J. A. Del Alamo, “Electrical and structural degradation of GaN high electron mobility transistors under high-power and high-temperature direct current stress,” J. Appl. Phys., vol. 117, no. 2, Jan. 2015, Art. ID 025707, 1 p. [25] S. Y. Park et al., “Physical degradation of GaN HEMT devices under high drain bias reliability testing,” Microelectron. Reliab., vol. 49, no. 5, pp. 478–483, May 2009.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TEMCAMANI et al.: ELECTRICAL AND PHYSICAL ANALYSIS OF THERMAL DEGRADATIONS OF ALGAN/GAN HEMT UNDER RADAR-TYPE OPERATING LIFE

[26] M. M. Bajo, H. Sun, M. J. Uren, and M. Kuball, “Time evolution of offstate degradation of AlGaN/GaN high electron mobility transistors,” Appl. Phys. Lett., vol. 104, Jun. 2014, Art. ID 223506, 1 p. [27] J. Joh, J. A. Alamo, K. Langworthy, S. Xie, and T. Zheleva, “Role of stress voltage on structural degradation of GaN high-electron-mobility transistors,” Microelectron. Reliab., vol. 51, no. 2, pp. 201–206, Feb. 2011. [28] J.-B. Fonder, O. Latry, F. Temcamani, and C. Duperrier, “Channel temperature estimation of AlGaN/GaN HEMT for pulsed RADAR applications using infrared thermography and electrical characterization,” in Internat. Multimedia Comput. Syst. Conf., Marrakesh, Morocco, 2014, pp. 1405–1408. [29] V. A. Savastenko and A. U. Sheleg, “Study of the elastic properties of gallium nitride,” Phys. Status Solidi (a), vol. 48, no. 2, pp. K135–K139, Aug. 1978. [30] Y. Okada and Y. Tokumaru, “Precise determination of lattice parameter and thermal expansion coefficient of silicon between 300 and 1500 K,” J. Appl. Phys., vol. 56, no. 2, pp. 314–320, Aug. 1984. Farid Temcamani (M’04) joined the Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN) of Lille, Lille, France, in 1985. He worked in the Active Devices Group and presented his Ph.D. dissertation in electronics. His thesis research concerned multiple channel HEMTs for microwave power amplification. In 1991, he joined the ECIME Laboratory, Engineering College, Ecole Nationale Supérieur de l’Electronique et de ses Applications (ENSEA), Cergy-Pontoise, France. Since 2005, he has been a Full Professor and, from 2005 to 2009, he was Director of the ECIME Laboratory. From September 2009 to July 2014, he was Director of the entire research department of ENSEA. He is now a member of the new QUARTZ Laboratory. His research interests are in active filtering and power amplification with GaAs, silicon, and wide gap materials devices. Recently, his research interest also concerns electronic circuit reliability.

Jean Baptiste Fonder was born in Charleville-Mézières, France, in July 1986. He received the Diploma degree in electrical engineering (with a specialization in microwave electronics) from the Ecole Nationale Supérieur de l’Electronique et de ses Applications (ENSEA), Cergy-Pontoise, France, in 2009, and the Master degree “Electronique des Systèmes Autonomes” from Cergy Pontoise University, CergyPontoise, France, in 2009. In October 2009, he joined the Equipes Traitement de l’Information et Systèmes (ETIS), Cergy-Pontoise, France, and Groupe de Physique des Matériaux (GPM), Rouen, France, laboratories as a Ph.D. student, where his research dealt with the reliability

11

study of gallium–nitride power HEMTs for RF amplifiers. He is currently a Postdoctoral Fellow with the AMPERE Laboratory, Lyon, France, where he is currently involved with system-on-chip (SiC) power device characterization and reliability fields.

Olivier Latry received the M.S.c degree in electrical engineering from ESIGELEC Rouen, France, in 1991, and the Ph.D. degree in electrical engineering from the University of Rouen, Rouen, France, in 1995. From 1995 to 1998, he carried out research with LCIA INSA de Rouen, where he was involved with fiber-optic sensors. In 1998, he helped found the LEMI, University of Rouen, France, which conducts research on modulation formats in digital communications, polarization effects in optical communication systems, optical instrumentation, and optical interferometry. Since late 2008, he has been with the Groupe de Physique des Matériaux, UMR CNRS, University of Rouen. He has carried out research on transistors and his current major research interests include microelectronic reliability on power transistors like gallium–nitride high electron-mobility transistors (HEMT GaN) or silicon–carbide metal–oxide–semiconductor field-effect transistors (MOSFET SiC).

Cédric Duperrier was born in Limoges, France, in 1974. He received the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 2001. In 2002, he became an Associate Professor and, in 2010, joined the ECIME Laboratory, Equipes Traitement de l’Information et Systèmes (ETIS) Laboratory, Engineering School ENSEA, Cergy, France. His research interests include nonlinear circuit design and modeling and wideband power and low-noise amplifiers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

767

On-Wafer Single-Pulse Thermal Load–Pull RF Characterization of Trapping Phenomena in AlGaN/GaN HEMTs Agostino Benvegnù, Graduate Student Member, IEEE, Sylvain Laurent, Matteo Meneghini, Senior Member, IEEE, Denis Barataud, Member, IEEE, Gaudenzio Meneghesso, Fellow, IEEE, Enrico Zanoni, Fellow, IEEE, and Raymond Quere, Fellow, IEEE

Abstract—In this paper, a new characterization method, which allows the determination of the time constants of traps in AlGaN/GaN high electron-mobility transistors is proposed. The approach is based on the current transient method for assessing the time constants that are involved in real working conditions. To do that the dc filling pulses, which are classically used in this method, are replaced by RF filling pulses, which reproduce the real large-signal conditions. To investigate the impact of large-signal working conditions on the trapping phenomena, on-wafer single-pulse load–pull characterizations are carried out at different temperatures and for two different output load impedances: maximum of power-added efficiency and mismatched impedance. The results obtained show the deep impact of the load-line excursion on the current collapse of the detrapping drain current. A comparison between the single-pulse RF load–pull characterization and single-pulse dc measurement is finally presented. Index Terms—Gallium nitride, high electron-mobility transistors (HEMTs), large-signal network analyzer (LSNA), load–pull, microwave measurement, thermal, trap.

I. INTRODUCTION

T

HE HIGH breakdown electric field associated cutoff frequency make GaN high electron-mobility transistors (HEMTs) strong candidates for high-power RF applications. However, trapping phenomena are still present in this technology due principally to lattice defects that are responsible for degradation of RF power performances [1]–[3] such as current collapse (CC) and knee walk-out.

Manuscript received July 01, 2015; revised September 06, 2015, November 20, 2015, December 07, 2015, and January 15, 2016; accepted January 16, 2016. Date of publication February 15, 2016; date of current version March 03, 2016. This work was supported in part by Thales Alenia Space under the “DEFIS-RF” ANR project (ANR-13-CHIN-0003), in part by the French Space Agency (CNES) under Contract 131223/00, and in part by the European Space Agency to University of Padova under GSTP Contract 4000106310. A. Benvegnù, S. Laurent, D. Barataud, and R. Quere are with the XLIM UMR 7252, Université de Limoges/CNRS, 87060 Limoges, France (e-mail: agostino. [email protected]; [email protected]; barataud; raymond.quere@xlim. fr). M. Meneghini, G. Meneghesso, and E. Zanoni are with the Department of Information Engineering, University of Padua, Padua 35122, Italy (e-mail: matteo. [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2523991

In addition to the above degradation of continuous wave (CW) RF performances, the nonlinear dynamics of the dispersion effects play a major role in the modification of the large-signal performances when the device is fed by modulated signals like RF pulses or telecommunications signals [4], [5]. Time constants associated to these dynamic effects can cover a large range of values from tenths of ns to several minutes and are related to the characteristics of the RF signal in a very complex way. In order to assess the trapping effects several techniques such as pulsed I–V, deep level transient spectroscopy (DLTS), or current spectroscopy or admittance spectroscopy have been developed [6]–[9]. All these methods suffer from the fact that the device does not work under real large-signal conditions. It has already been demonstrated that the RF operation method affects the trapping state of the device [4]. The drain current analysis under RF operation mode [10]–[12] is a good way to investigate the impact of trapping effects. The analysis of the charge trap through the bias drain current monitoring during RF excitation in GaN technology [13] is of fundamental importance as it provides information on the nonlinear dynamics of the trapping effects under RF large-signal excitation. Moreover, this information can be introduced in a computer-aided design (CAD) model to predict the RF dispersion of the devices. In this paper, extended results on the impact of temperature, load impedance, and a comparison between RF and dc excitation are provided. To characterize the different traps that are excited by a large-signal RF pulse, an on-wafer single-pulse load–pull measurement setup, working at different temperatures, has been used. Emission and capture time constants are identified using the current-transient method [6], in two cases; the classical one where the filling pulse is constituted of a gate dc pulse and the new one where the filling pulse is an RF pulse. The RF and dc experimental setups and technical details are presented in Section II, while the methodology used for the extraction of the trap time constants is given in Section III. Section IV presents the results of low-frequency (LF) drain current analysis for the RF measurements as a function of the single-pulse input power level, the duration of pulse width (PW), the output load impedance, and the temperature. The LF drain current analysis for the dc measurement gate pulse high value is presented in Section V. The comparison of all is presented in Section VI.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 1. (a) Block diagram and (b) photograph of the RF experimental setup based on LSNA system.

Fig. 2. LF drain current measurement principle for RF trapping characterization for a single-pulse RF excitation.

II. EXPERIMENTAL SETUPS For this work, the device-under-test (DUT) used is an on-wafer 2 mm 8 250 m , 0.5 m gate-length AlGaN/GaN HEMT grown on a SiC substrate, with a SiN passivation layer. For the trapping investigations, two different experimental setups are used described in the following two paragraphs. A. On-Wafer Single-Pulse Thermal Load–Pull Experimental Setup The experimental setup used for both RF waveforms and LF drain current measurements is shown in Fig. 1. In order to characterize the DUT under the single-pulse measurement, the RF signal must present the shape shown in Fig. 2. This pulse has a variable width (PW) and magnitude and the total acquisition time , which allows to monitor the average drain current, has a range between a ms to tenths of seconds in order to identify very slow detrapping phenomena. Ideally, the pulsed measurement configuration implemented in [14] of the LSNA would allow to perform all the measurements in one step provided that the OFF time would be set at values higher than hundreds of seconds. Moreover, the measurement algorithm requires the periodicity of the RF pulse, which is contradictory with the approach.

In order to overcome this difficulty, a two-step characterization protocol has been developed. It allows managing the large duration of the transients, which can run from seconds to hundreds of seconds. The first step of the measurement method consists of performing CW time-domain large-signal load–pull RF measurements using the LSNA. The LSNA can measure the harmonics of the voltages and currents at the DUT planes from 600 MHz up to 50 GHz with a dedicated test bench [15]. An on-wafer absolute and relative calibration with absolute phase reference of the LSNA was performed in the probe reference plane using the method of [16]. Thus, the time-domain RF voltages and currents and the CW power performance are obtained at the DUT planes. Of course the output tuner allows modifying the RF loading of the device and, thus, the shapes of the drain and gate voltages and currents, which could impact the trapping effects. The second step lies in the measurement of the slow transient variations of the bias drain current when a single-pulse large-signal excitation is applied or removed. Typical RF PW range from 1 to 200 ms, which is sufficient to excite the traps, as the detrapping time constants are much slower than the trapping ones. One assumes that the load conditions do not differ between the CW conditions in the first step of measurement and the single RF filling pulse in the second step. This ensures that the large-signal RF waveforms are very close in the two experiments. The quiescent point is applied to the DUT by means of two external bias tees connected to the dc power supply. The dc-path frequency bandwidth of these bias tees allows applying pulses of 200-ns time duration (value below the time constants sought in the single-pulse experiment). The broadband current sensor (120-MHz 5-A ac/dc Hall current probe) connected to a digital sampling scope Tektronix DPO7054 (500-MHz bandwidth, 10 GS/s, 8 bit) allows the measurement of the transient of the drain current when the RF input signal is turned OFF. The whole system is calibrated in dc by comparison of its measurement with a dc ampmeter. The results are analyzed through the mathematical approach described in Section III to extract the time constants of traps. All the measurements are performed on-wafer with a probe station equipped with a thermal chuck. The devices are soldered on a copper mount, which is assembled on the chuck thanks to a thermal paste. The temperature of the chuck is recorded through a temperature sensor assembled at the base of the transistor mounting. B. On-Wafer LF Bias Drain Current Measurement Setup Based on Gate DC Pulse Excitation To investigate the CC due to single-pulse RF excitation, the dc drain current pulse technique is used. This technique is based on single gate pulsing from semi-on state ( in Fig. 3(a)) to on-state voltage [ in Fig. 3(a)]. By choosing and in such a way that the quiescent bias point is the same as for the single RF pulse experiment, the initial trap state of the device is the same for the two experiments. The on-state gate voltage is selected in order to get the same value of the drain current as the average one obtained when the DUT is driven by single-pulse RF excitation. The drain voltage is fixed to the same value of the class-AB quiescent point. This technique is already

BENVEGNÙ et al.: ON-WAFER SINGLE-PULSE THERMAL LOAD–PULL RF CHARACTERIZATION OF TRAPPING PHENOMENA IN AlGaN/GaN HEMTs

769

Fig. 4. RF power performances measured at 4 GHz (class-AB operation mode), via the solid line, for maximum PAE at impedance equal to , and via solid line with circle for . impedance equal to

Fig. 3. (a) Block diagram and (b) photograph of experimental setup for gate dc pulse mode.

used in [17] to explain the CC and the attendant trapping effects under RF excitation. The measurement setup (in Fig. 3) is based on the use of an Agilent 33220A arbitrary waveform generator for gate pulsing and a BILT AMCAD power supply to apply the dc drain value. The drain and gate voltages are applied to the DUT by means of two external bias tees with RF port connected to 50 . Therefore the state of the device is the same as in experiment 1, apart from that there is no RF signal applied. The LF bias drain current is measured with a large bandwidth current sensor (120-MHz 5-A ac/dc current probe) connected to a digital sampling scope Tektronix TDS 5104 (1-GHz bandwidth, 5 GS/s, 8 bit). The output pulse signal generated by the gate pulse power supply [Sync signal in Fig. 3(a)] is used to trigger the digital sampling scope. The system was controlled remotely and automatically by a SCILAB program. III. LF DRAIN CURRENT METHODOLOGY In order to analyze the measurement results, several mathematical approaches have been proposed [6]–[8]. The filling pulse—either RF or dc—allows to bring the device in a determined trap state. When the filling pulse is cut off, the drain current exhibits a transient behavior, which corresponds to the charges detrapping. All these methods rely the fitting of an expression of the drain current transient as a finite sum of time-dependent exponential functions. The stretched multi-exponential function reads as (1) , , and represent current variation, the time constant, and the stretching factor of detected traps. In the trapping (respectively, detrapping) behavior, corresponds to emission and corresponds to capture process. All these parameters are obtained through an optimization process.

This expression has been recognized [8] as the best method in terms of precision and physical sense and has been adopted here. The derivative of stretched multi-exponential fitting exhibit peaks that are located at the different time constants. The magnitudes of these peaks are proportional to so they reveal the number of ionized traps. They are numerically calculated and the plot obtained seems as a time-constant spectrum [7]. In this work, we will focus principally on detrapping behavior investigation. A single RF pulse is applied and the current transient is recorded and analyzed. IV. LOW-FREQUENCY CURRENT TRANSIENTS UNDER SINGLE RF EXCITATION Several studies on dc trapping effects in the GaN transistor have noticed that some parameters play a fundamental role on traps such as filling bias conditions, filling time, and temperature [6], [8]. The objective of this work is to investigate the influence of the following RF measurements’ parameters on the charge trap behavior: • input power level; • PW; • output load impedance; • temperature. In Fig. 4, CW time-domain load–pull characterization of the transistor operating in class AB ( mA/mm at V) at a frequency of 4 GHz are presented for the following two different output load impedances. • Impedance corresponding to the matching of the transistor for maximum PAE (shown in Fig. 4 via the solid line) . • 50- impedance (shown in Fig. 4 via the solid line with circle) corresponding to a mismatched impedance for the transistor considered. A. Input Power Level Dependence LF bias drain current measurements at different single-pulse RF input power levels are performed for a fixed ms, as shown in Fig. 5. Fig. 5 shows that the value of the average drain current immediately after the cutoff of the RF excitation decreases. This reveals an increasing number of electrons

770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 5. (a) LF bias drain current measurements during single-pulse excitation ms, and at 25 C. While the time range for fixed measurement is 10 s, results are shown for a reduced time range to exhibit the linear shape of the pulses. (b) Corresponding extrinsic CW output load lines , and . derived from wave measurement at ,

Fig. 7. LF bias drain current measurements during single-pulse excitation for dBm, , and at 25 C. While the time range fixed measurement is 10 s, results are shown for a reduced time range to exhibit the linear shape of the pulses.

Fig. 6(b) becomes predominant. Moreover, for the high compression gain [ dBm (7-dB comp.) and dBm (9-dB comp.)], it can be observed a saturation of drain current during the pulse (in Fig. 5) that produces an equivalent saturation of the CC [in Fig. 6(a)] evaluated at 1 ms after the RF pulse is OFF. This indicates that the majority of the traps are ionized under such high compression levels. It must be noted that for an input power above 22 dBm a decrease of the magnitude of “T1” is observed while the magnitude associated with “T2” keeps increasing. Moreover “T1” has an emission time constant significanlty lower than “T2”. The increasing magnitude of the peak associated to “T2”, as shown in Fig. 6(b), indicates that the ionization of this trap appears for large voltage excursion. This is due to a selective ionization of those deep level traps, which are located in the buffer [18]. The detailed mechanism of the physical process remains to be determined. Moreover the decrease of the magnitude of the peak associated to “T1” for input power above 22 dBm suggests that some of the electrons trapped at this level are re-emitted during the 200-ms RF pulse. More surprisingly, the time constants of the traps are increasing when the power level is increased. This is in contradiction with the expected temperature increase, which should accelerate those time constants. Fig. 6. (a) Detrapping LF drain current transient measurements and stretched ms, , and at 25 C). (b) multi-exponential fitting ( Time constant analysis of detrapping transient.

trapped when the input power increases. The load cycle shown in the insert of Fig. 5 exhibits drain voltage excursion up to 80 V at maximum output power and a drain current excursion up to 1.5 A. The results obtained for fixed PW, , and input power variation are summarized in Fig. 6. They indicate the presence of two traps in the trapping/detrapping process. At relatively low input power only the signature of the trap labeled “T1” in Fig. 6(b) is observed. As the input power increases, the number of electrons trapped increases as previously mentioned and the signature of the traps labeled as “T2” in

B. PW Dependence LF bias drain current transient measurements are carried out in order to achieve complementary information on the trapping phenomena (“T1” and “T2”) for a PW variation from 1 to 200 ms at fixed dBm (7-dB comp.), as shown in Fig. 7. As in Section IV-A, the two trap emission processes, “T1” and “T2”, are identified in Fig. 8. The time constant associated to “T1” is constant with the PW duration and its amplitude value decreases with the PW duration while the time constant and amplitude values associated to “T2” increase with PW duration. Moreover, the initial and final values of the drain current variations remain constant whatever the PW is. Also, the sum of the amplitudes of “T1” and “T2” is constant with PW variations [as shown in Fig. 9(a)]. One can conclude that the number of

BENVEGNÙ et al.: ON-WAFER SINGLE-PULSE THERMAL LOAD–PULL RF CHARACTERIZATION OF TRAPPING PHENOMENA IN AlGaN/GaN HEMTs

771

Fig. 10. (a) LF bias drain current measurements during single-pulse excitation ms, , and at 25 C. While the time range for fixed measurement is 100 s, results are shown for a reduced time range to exhibit the linear shape of the pulses. (b) Corresponding extrinsic CW output load lines , and . derived from wave measurement at ,

Fig. 8. (a) Detrapping LF drain current transient measurements and stretched dBm, , and at 25 C). (b) multi-exponential fitting ( Time constant analysis of detrapping transient.

For a filling pulse of 1 ms, “T1” are completely ionized, while most of “T2” are not ionized. Therefore, when the PW increases some of the electrons captured by “T1” are re-emitted and captured by “T2” before they are finally released in the channel with emission time constant of “T2”. This experiment clearly demonstrates the strong interaction between the two trap levels. This remains to be modeled through physical simulation. C. Output Load Impedance Dependence To study the trapping phenomena as a function of the output load impedance two different load impedances are chosen: the maximum of PAE impedance (that is normally the one chosen for PA design) and 50 . Results for maximum PAE impedance have been presented previously. In Fig. 10, the LF bias drain current measurement at different RF input power levels is reported. The time-constant spectrum in Fig. 11(b) shows the presence of “T1” and “T2”. The amplitude and time constant of “T1” and “T2” have a similar trend as traps in maximum of PAE impedance case. The 50- impedance case presents slower time constants (the experimental values are compared in Section VI).

Fig. 9. (a) “T1” and “T2” amplitude processes versus PW durations (b) “T1” and “T2” constant time processes versus PW durations.

D. Temperature Dependence

electrons trapped, for PW above 1 ms, depend only on the input power. However, the results shown in Fig. 8 clearly demonstrate that the PW changes the repartition of these electrons between the two trap levels at the end of the RF pulse. This is due to the fact that the capture time constant of “T2” is in the range of the duration of the pulses. Once again this measurement clearly confirms that the trapping process—and not the higher temperatures reached during RF excitation—is responsible for the CC. A careful examination of Figs. 8(b) and 9(b) reveals that the time constant of “T1” does not change with the PW. Considering the extreme sensitivity of this time constant to temperature changes, this signifies that the temperature 1 ms after the RF is cut off is the same for all the PW considered.

Temperature dependence was investigated using single-pulse RF measurement at a maximum of PAE impedance, at fixed ms, and fixed dBm (7-dB comp.) for several temperatures is performed. The results described in Fig. 12 therefore indicate that for a fixed 25-dBm input power level the drain current value during single-pulse RF excitation has decreased slightly with the increase of temperature. Fig. 13(a) shows that the CC at 1 ms after RF OFF decreases with the increase of temperature because the emission process is activated by temperature and at that time a number of electrons have already been released. The time-constant spectrum in Fig. 13(b) shows that the peak related to “T2” shifts leftwards when the temperature increases and this shift is in agreement with I-DLTS [6], [8]. In this case, only the “T2” is activated for temperatures above 40 C. At

772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 11. (a) Detrapping LF drain current transient measurements and stretched dBm, , and at 25 C). (b) multi-exponential fitting ( Time-constant analysis of detrapping transient.

Fig. 13. (a) Detrapping LF drain current transient measurements and dBm, ms, and stretched multi-exponential fitting ( ). (b) Time-constant analysis of detrapping transient.

Fig. 12. LF bias drain current measurements during single-pulse excitation dBm, ms, and for different temperatures at fixed . While the time range measurement is 10 s, results are shown for a reduced time range to exhibit the linear shape of the pulses.

Fig. 14. LF bias drain current measurements during single gate pulse excitation ms and for different gate voltage values during the pulse at fixed with RF port of bias tees connected to 50 . While the time-range measurement is 10 s, results are shown for a reduced time range to exhibit the linear shape of the pulses.

25 C, it can be observed that “T1” is detected and that the amplitude of “T2” peak for this temperature is lower than expected. V. LF CURRENT TRANSIENTS UNDER SINGLE-PULSE EXCITATION WITHOUT RF CARRIER DC investigation is carried out on the same device by means of a drain current pulse technique based on gate dc pulse mode (described in Section III), to highlight the detrapping transient characteristics when a gate dc pulse and constant drain voltage are applied. As shown in Fig. 3, the RF port of the bias tees are connected to 50 to ensure that the transistor is in the same RF loading as in the single-pulse RF measurement with 50- load impedance.

The results of this dc investigation are summarized in Fig. 14, the value of and are chosen to bias the device in class-AB operation mode ( 1.98 V and 40 V for and , respectively, for this GaN technology).The results of detrapping transient for different gate pulse voltage values are presented in Fig. 15. The magnitude of CC [in Fig. 15(a)] and the time constant associated to “T2” [in Fig. 15(b)] show a significant increase with an increase of values. This increase can rather be attributed to the injection of hot electrons towards traps located in the buffer [19]. It can be observed that the CC at 1 ms after the gate dc pulse is OFF increases with the amplitude of the gate-filling pulse.

BENVEGNÙ et al.: ON-WAFER SINGLE-PULSE THERMAL LOAD–PULL RF CHARACTERIZATION OF TRAPPING PHENOMENA IN AlGaN/GaN HEMTs

Fig. 15. Detrapping LF drain current transient measurements and stretched multi-exponential fitting for different gate voltage values during the pulse ms and RF port of bias tees connected to 50 ). (b) Time-constant ( analysis of detrapping transient.

773

Fig. 16. (a) CC, (b) “T2” amplitude, and (c) “T2” time-constant comparison between dc drain current pulse measurement in red and 50 load impedance single-pulse RF measurement in blue.

VI. RESULTS DISCUSSION The results of CC, trap amplitude, and time constant comparison as a function of drain current pulse values variation are summarized in Fig. 16. It can be observed that at high drain current values there are large differences between the amplitudes and time constants of the “T2” time spectrum between pulse dc measurement and pulse RF measurement. This is due to the fact that the dc testing has a fixed drain voltage value and thus the electrical field is constant. In RF testing the high gate and high drain voltage excursions are applied simultaneously that promote a very deep trapping process [18]. The results of trap amplitude and time constant comparison as a function of input power levels variation for different output load impedances are presented in Fig. 17. For high gain compression, the “T2” time constants in case are quite one decade higher than the case. This appears to be in disagreement with the fact that, for dBm, the extrinsic drain voltage excursion is 50 V [in Fig. 10(b)] for the case, and in the case is equal to 80 V [in Fig. 5(b)]. Thus a higher drain voltage excursion results in a slower relaxation time. However, in this case, the drain current level during single-pulse RF excitation is higher. At the time being it is difficult to draw a general conclusion about the impact of RF voltage and current excursions on the emission time constants. The logarithmic dependence of the “T2” amplitude, as shown in Fig. 9(b) when the PW varies, should be related to the presence of linear line defects, possibly due to dangling bonds along

Fig. 17. (a) Trap amplitude and (b) time-constant comparison between singlepulse RF measurement in function of input power levels for different output load impedance: maximum of PAE (solid line with triangle) and 50 (solid line with square).

dislocation core sites, as reported in [20].The previous hypothesis shown in [20] is also strengthened by the observed logarithmic dependence of the time constant in function of the RF input power level [see Fig. 17(b)]. VII. CONCLUSION A novel trap characterization approach based on combined time-domain load–pull measurements and LF bias drain current transient measurements under single-pulse RF excitation has been presented and validated. Thanks to the current-transient method applied to measured results obtained with the setup used

774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

in this work, the trap capture and emission time constants are calculated. This extraction of time constants requires the GaN HEMTs to be driven by single-pulse RF large signals with different input power levels and many PW duration values. This technique differs from the classical dc pulse trap filling method in the way that the filling pulse corresponds to the real RF behavior of the device. Applied to this particular GaN technology, the measurement method reveals the presence of two traps. Moreover, the dependence of the detrapping time constant for “T2” to the different factors such as the input RF power and the PW has been put into evidence. In additional, the single-pulse load–pull measurements for two different output load impedances (maximum of PAE and mismatched impedance) have revealed that the trapping phenomena impact is lower when the transistor is optimally matched at its output port to reach maximum PAE performance. It is also observed that “T2” is activated by temperature with leftward shift according to I-DLTS conclusions. Moreover, the comparison between dc and RF testing results demonstrated that impact of trapping phenomena appears more severe under single-pulse large-signal RF excitation than under only single-pulse dc excitation. Even if all the physical interpretations still remain uncompleted, due to the complexity of the phenomena involved, the results obtained open the door to complementary work—notably to obtain a realistic CAD model—that will be performed in the future.

REFERENCES [1] S. C. Binari, P. B. Klein, and T. E. Kazior, “Trapping effects in GaN and SiC microwave FETs,” Proc. IEEE, vol. 90, no. 6, pp. 1048–1058, Jun. 2002. [2] R. Vetury, N. Q. Zhang, S. Keller, and U. K. Mishra, “The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs,” IEEE Trans. Electron Devices, vol. 48, no. 3, pp. 560–566, Mar. 2001. [3] U. K. Mishra, S. Likun, T. E. Kazior, and Y.-F. Wu, “GaN-based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, Feb. 2008. [4] R. Quéré et al., “Low frequency parasitic effects in RF transistors and their impact on power amplifier performances,” in Wireless Microw. Tech. Conf., Apr. 2012, pp. 1–5. [5] J. Delprato, D. Barataud, M. Campovecchio, G. Neveux, C. Tolant, and P. Eudeline, “Measured and simulated impact of irregular radar pulse trains on the pulse-to-pulse stability of microwave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3538–3548, Dec. 2014. [6] J. Joh and J. A. del Alamo, “A current-transient methodology for trap analysis for GaN high electron mobility transistors,” IEEE Trans. Electron Devices, vol. 58, no. 1, pp. 132–140, Jan. 2011. [7] M. Tapajna, R. J. T. Simms, Y. Pei, U. K. Mishra, and M. Kuball, “Integrated optical and electrical analysis: Identifying location and properties of traps in AlGaN/GaN HEMTs during electrical stress,” IEEE Electron Device Lett., vol. 31, no. 7, pp. 662–664, Jul. 2010. [8] D. Bisi et al., “Deep-level characterization in GaN HEMTs-part I: advantages and limitations of drain current transient measurements,” IEEE Trans. Electron Devices, vol. 60, no. 10, pp. 3166–3175, Oct. 2013. [9] A. Benvegnu et al., “Trap investigation under class AB operation in AlGaN/GaN HEMTs based on output-admittance frequency dispersion, pulsed and transient measurements,” in 10th Eur. Microw. Integr. Circuits Conf., 2015, pp. 136–139. [10] P. Wright and M. Thorsell, “A novel technique for GaN HEMT trap states characterisation,” in IEEE Compound Semicond. Integr. Circuit Symp., Oct. 2013, pp. 1–4.

[11] Y. Ko, P. Roblin, C. K. Yang, H. Jang, and B. Poling, “New thermometry and trap relaxation characterization techniques for AlGaN/GaN HEMTs using pulsed-RF excitations,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [12] O. Jardel et al., “Modeling of trap induced dispersion of large signal dynamic characteristics of GaN HEMTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2013, pp. 1–4. [13] A. Benvegnu et al., “Trap characterization of AlGaN/GaN HEMTs through drain current measurements under pulsed-RF large-signal excitation,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–4. [14] J.-P. Teyssier and F. D. Groote, “An embedded controller for the LSNA with pulsed measurement capabilities,” in Instrum. Meas. Tech. Conf., May 2007, pp. 1–4. [15] G. Avolio, G. Pailloncy, D. Schreurs, M. V. Bossche, and B. Nauwelaers, “On-wafer LSNA measurements including dynamic-bias,” in Proc. Eur. Microw. Conf., Rome, Italy, Oct. 2009, pp. 930–933. [16] H. Van Hamme and M. V. Bossche, “Flexible vector network analyzer calibration with accuracy bounds using an 8-term or a 16-term error correction model,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 6, pp. 976–987, Jun. 1994. [17] G. Meneghesso et al., “Surface-related drain current dispersion effects in AlGaN-GaN HEMTs,” IEEE Trans. Electron Devices, vol. 51, no. 10, pp. 1554–1561, Oct. 2004. [18] O. Mitrofanov and M. Manfra, “Mechanisms of gate lag in GaN/AlGaN/GaN high electron mobility transistors,” Superlattices Microstruct., vol. 34, no. 1-2, pp. 33–53, Jul. 2003. [19] M. Meneghini et al., “Buffer traps in fe-doped AlGaN/GaN HEMTs: Investigation of the physical properties based on pulsed and transient measurements,” IEEE Trans. Electron Devices, vol. 61, no. 12, pp. 4070–4077, Dec. 2014. [20] H. K. Cho, C. S. Kim, and C.-H. Hong, “Electron capture behaviors of deep level traps in unintentionally doped and intentionally doped n-type GaN,” J. Appl. Phys., vol. 94, no. 3, pp. 1485–1489, Aug. 2003.

Agostino Benvegnù (GSM’15) was born in Padua, Italy, in 1988. He received the Master’s degree in electronic engineering from the University of Padua, Padua, Italy, in 2012, and is currently working toward the Ph.D. degree within an international doctorate agreement between the Doctorate School, Department of Information Engineering, University of Padua, and of the XLIM Laboratory, University of Limoges, Limoges, France. His main research interests include the characterization and modeling of GaN microwave power devices and their related electrical defects.

Sylvain Laurent was born in Chateauroux, France, in 1983. He received the Ph.D. degree in electronics from the University of Limoges, Limoges, France in 2010. He is currently an Engineer with the Composants Circuits Signaux et Systémes Hautes Freéquences Department, XLIM Laboratory, Limoges, France. His research interests include the characterization of RF microwave nonlinear devices.

Matteo Meneghini (S’06–M’08–SM’13) received the Ph.D. degree from the University of Padua, Padua, Italy, in 2008. He is currently an Assistant Professor with the Department of Information Engineering, University of Padua, where he is involved in the electrooptical characterization and modeling of the performance and reliability of GaN-based LEDs, lasers, and HEMTs. He has authored over 200 papers.

BENVEGNÙ et al.: ON-WAFER SINGLE-PULSE THERMAL LOAD–PULL RF CHARACTERIZATION OF TRAPPING PHENOMENA IN AlGaN/GaN HEMTs

Denis Barataud (M’11) was born in Saint-Junien, France. He received the Engineer’s degree from the Ecole Nationale Supérieure de Télécommunications de Bretagne, Brest, France, in 1994, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1998. From 1998 to 1999, he was a Postdoctoral Scientist with the Microwave Laboratory, CNES, Toulouse, France. Since 2000, he has been with the XLIM (formerly IRCOM) Laboratory, University of Limoges, where, in 2001, he became an Assistant Professor and a Full Professor in 2012. His research interests include the development of time-domain measurement setups and techniques for the characterization of nonlinear devices.

Gaudenzio Meneghesso (S’95–M’97–SM’07– F’13) received the Electronics Engineering degree and Ph.D. degree from the University of Padua, Padua, Italy, in 1992 and 1997, respectively. Since 2011, he has been a Full Professor with the University of Padua. He has authored or coauthored more than 600 technical papers. His current research interests include the electrical characterization, modeling, and reliability of microwave and optoelectronics devices on III–V and III–N, organic semiconductors devices, and photovoltaic solar cells.

775

Enrico Zanoni (S’81–A’82–SM’93–F’09) was born in Verona, Italy, in 1956. He received the Laurea (cum laude) degree in physics from the University of Modena and Reggio Emilia, Modena, Italy, in 1982. He is currently with the University of Padua, Padua, Italy, where, since 1997, he has been a Full Professor of digital electronics with the Department of Information Engineering.

Raymond Quéré (M’88–SM’99–F’09) received the Electrical Engineering degree and French “Agrégation” degree in physics from ENSEEIHT–Toulouse, Toulouse, France, in 1976 and 1978, respectively, and the Ph.D. degree from the University of Limoges, Brive, France, in 1989. In 1992, he became a Full Professor with the University of Limoges. He leads the Department of High Frequency Devices, Circuits, Signals and Systems, XLIM Laboratory (CNRS/University of Limoges), where he is particularly involved in the modeling and design of nonlinear circuits for telecommunications and radar systems. He has authored or coauthored more than 150 publications or communications in international journals and conferences. He is involved in French and European research projects and serves as an expert for the evaluation of research projects for the French Research Agency (ANR).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design of Waveguide Short-Slot Two-Plane Couplers for One-Body 2-D Beam-Switching Butler Matrix Application Dong-Hun Kim, Student Member, IEEE, Jiro Hirokawa, Fellow, IEEE, and Makoto Ando, Fellow, IEEE

Abstract—A waveguide short-slot two-plane coupler is proposed as a component of 2-D beam-switching Butler matrix. The hybrid and cross coupler operations are designed by including the 2-D polarity symmetry, the propagation constant, and the coupling with the ports of the modes in the coupled region. Their designs are confirmed by experiments. The achieved bandwidth is approximately 2%, restricted by the frequency characteristic of the modes in the coupled region. Since the short-slot two-plane hybrid coupler itself acts as the 2 2-way 2-D beam-switching Butler matrix, the beam switching is also verified by measurements. The general configuration of the 2-D beam-switching Butler matrix is also proposed by using the short-slot two-plane couplers. Index Terms—Beam switching, Butler matrix, cross junction, hybrid, waveguide short-slot coupler.

I. INTRODUCTION

T

HE short-slot hybrid junction [1] with -plane coupling was proposed by Riblet in 1952 to give quadrature hybrid operation with good power equality, isolation, and matching. It has a single-layer structure with two input and two output ports. Incidence from one of the input ports gives 90 phase difference between the two output ports so that the radiation from the two output ports gives beam shift from the boresight in the horizontal plane. The incidence from the other input port gives the beam switching with radiation in the opposite direction. The short-slot junction can act as a cross junction by making the length of the coupled region double. The short-slot hybrid junction with -plane coupling works the same manner to the -plane coupling [2]. These short-slot - and -plane couplers are used for the fundamental component of several microwave circuits like high-power system [3], directional filter and multiplexer [4], and Butler matrix [5]. Satisfying the operation requirement of microwave circuits, the short-slot couplers are designed to reduce its size, weight, and loss [6], [7] or increase its operating bandwidth [8], [9]. In most cases, those effects of methods are a tradeoff relation. Manuscript received May 03, 2015; revised September 03, 2015 and December 17, 2015; accepted December 28, 2015. The authors are with the Department of Electrical and Electronic Engineering, Tokyo Institute of Technology, Tokyo 152-8552, Japan (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2515605

The Butler matrix configuration [10] can be achieved by using the short-slot junction as both the hybrid and the cross junctions [5]. The beam-switching by using the conventional short-slot hybrid junctions is limited to be 1-D. If the 2-D beam-switching is required, the short-slot junction with -plane coupling and that with -plane coupling should be cascaded [11]. When the number 2 of the ports in the Butler matrix is larger, it requires more hybrid and cross couplers and phase shifters and its size becomes drastically large. Many methodologies were proposed to concentrate the size reduction of the hybrid coupler, the cross coupler, and the phase shifter in rectangular waveguide [5], microstrip line [12]–[15], stripline [16], [17], and substrate integrated waveguide [18] maintained the schematic outline of the Butler matrix. This paper proposes a short-slot two-plane coupler as shown in Fig. 1(a). The functionality of the -plane coupling and the -plane coupling short-slot junctions is combined into one body. The length of the short-slot two-plane coupler can be shortened in comparison with cascaded one-plane couplers. The proposed short-slot two-plane coupler has a double-layer structure with 2 2 input ports and 2 2 output ports. Incidence for the hybrid operation from one of the input ports gives a 2-D phase difference among the four output ports so that the radiation from the four output ports gives 2-D beam-shift from the boresight. The incidence from another input port gives the beam switching with radiation in the different direction two-dimensionally. By making the length of the coupled region double, this two-plane coupler can also act as a cross junction where all the incident power from Port 1 transfers to Port 8 in Fig. 1(a) as, for example, ideal operation. The one-body 2-D beam-switching Butler matrix configuration can be achieved by using the two-plane hybrid and cross couplers together with one-plane cross couplers and phase shifters. It reduces the total number of the hybrid couplers, the cross couplers, and the phase shifters in comparison with the conventional cascaded two 1-D beam-switching Butler matrices. It leads to reduce the volume, the loss, and the complexity of the Butler matrix. This paper is organized as follows. Section II describes the theory and design of the waveguide short-slot two-plane couplers. Section III demonstrates the measured results of the scattering matrices of the two-plane hybrid and cross couplers. It also shows the radiation patterns of the two-plane hybrid coupler because it acts as the 2 2-way 2-D beam-switching Butler matrix. Section IV proposes the configuration of the 2-D beam-

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(1)

(2)

where

Fig. 1. Short-slot two-plane coupler. (a) Perspective view. (b) Cross section of the coupled region ( – , bold line).

switching Butler matrix for 2 2 -ways by using the twoplane couplers. Finally, Section V summarizes the conclusions. II. DESIGN OF SHORT-SLOT TWO-PLANE COUPLERS The proposed short-slot two-plane coupler has 2 2 input ports and 2 2 output ports, as shown in Fig. 1(a). Each port is adjacent to neighbor ports horizontally ( -plane) and vertically ( -plane). The operation of the short-slot two-plane coupler is analogous to that of the one-plane coupler [1]. In the design of the 1( or )-plane coupler, two propagation modes (for the -plane coupler: and modes, for the -plane coupler: and modes), which have different polarity and phase constant one-dimensionally are considered. However, in the design of the two-plane coupler, four propagation modes, which have different polarity and phase constant, two-dimensionally are considered. A. Theory The S-parameters of the ideal short-slot two-plane hybrid and cross couplers with eight ports are expressed by (1) and (2), respectively,

and is the 4 4 zero matrix. The ideal short-slot two-plane hybrid and cross couplers have no reflection to an input port and no transmission to the neighbor three ports. The quadrants A and B of the 8 8 S-parameters work as transmission matrices, which can make multiplication. It will help to analyze networks including the two-plane hybrid and cross couplers as components. The modes in the coupled region should be symmetrical or anti-symmetrical with respect to both the horizontal and vertical directions. The cross section of the coupled region is not exactly rectangular, but has slight ridges, so that the modes in the coupled region are not exactly those of a rectangular waveguide, but the modes with similar electromagnetic field patterns in the new cross section. For example, we call the mode similar to an electromagnetic field pattern of mode of a rectangular waveguide as -like mode. Therefore, the short-slot two-plane coupler requires five propagation modes, which are -like, -like, -like, -like, and -like modes, as shown in Fig. 2(b)–(f), respectively. Those propagation modes have different polarities defined by the direction of the electric field in the cross section. It is difficult to suppress either the -like or the -like modes with keeping the -like mode propagation of a higher cutoff wave number. The -like and the -like modes are dealt as one mode (described as -like mode thereafter) when their propagation constants can be equal or either of the two modes is weakly excited than the other. The -like mode is suppressed because it has weaker coupling with the ports than the -like mode. For acquiring the characteristic of hybrid or cross couplers,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF WAVEGUIDE SHORT-SLOT TWO-PLANE COUPLERS

3

Fig. 2. Electric field and polarity of the cross section in the coupled re-like mode, (c) -like mode, gion. (a) Two-plane coupler, (b) -like mode, (e) -like mode, and (f) -like mode. (d)

the structure of the coupler should be designed to satisfy the following four conditions. Each of the conditions is explained in detail as follows. 1) Suppression of -Like and -Like Modes: The broad-wall width of the coupled region is selected as shown in Fig. 1(b) to increase the cutoff frequency of the -like mode. The notches at the four corners of the cross section in the coupled region can suppress the -like mode so that they are placed along the electrical field of the -like mode in a perfect electric conductor (PEC) rectangular cross section. 2) Decoupling of -Like Mode: The -like mode in the coupled region should not have coupling with the input/output ports by adding the notches from the broad-wall sides in the coupled region. In other words, if we would have notches from the narrow-wall sides, we could have coupling of the -like mode. 3) Balancing the Coupling Coefficients of the Propagation Modes: The dimensions and the positions of the ports are designed so that an incident mode in the port should have equal coupling with the four propagation modes in the coupled region. Instead of solving the problem on the coupling between the port and the whole coupled region, four problems on the coupling between the port and the quarter of the coupled region should be solved by assuming PEC and/or perfect magnetic conductor (PMC) walls at the two symmetrical planes of the coupled region properly for the symmetry of the corresponding four modes, as shown in Fig. 3(b)–(e), respectively. We try to equalize the coupling among the four problems. 4) Relation Among the Propagation Constants of the Propagation Modes: When a input power from a port is assumed to transform to the -like, -like, -like, and -like mode equally, the general expression of the outputs of the short-slot two-plane coupler are given in (A1)–(A4). The -like and -like modes should have equal propagation constant, (3)

Fig. 3. Models for coupling analysis. (a) Quarter of the coupled region. -like mode. (c) -like mode. (d) -like mode. (b) -like mode. (e)

The propagation constants of the -like, -like, and -like modes should satisfy the conditions to acquire the desired coupling coefficients of the output ports, Hybrid coupler

(4)

Cross coupler

(5)

, , , and are the propagation constants of where -like, and -like the -like, -like, modes, respectively, is the length of the coupled region. From (4) and (5), the following relation among the propagation constants can be derived by: (6) The dimensions and the positions of the notches are selected to satisfy (6). B. Design The short-slot two-plane hybrid and cross couplers are designed and optimized by theory and verified by numerical simulation using ANSYS HFSS. The operating frequency of these couplers is selected to 22 GHz. The design process consists of the cross section of the coupled region, the ports, and the length of the coupled region as follows. 1) The initial values of and in Fig. 1(b) are chosen so that the mode should be decayed by and the modes can propagate in a rectangular waveguide without any notches.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

2) The dimensions of the notches are roughly designed so that only the -like mode should be decayed with keeping the propagation of -like modes. 3) The dimensions of the notches are tuned to satisfy conditions (3) and (6) on the phase constants of the propagating modes. 4) The size and the position of the ports are determined so that the couplings of the propagating modes should be equalizing as much as possible. 5) The length of the coupled region should be chosen to satisfy (4) and (5). 6) The dimensions of the coupler are tuned. The change of the dimensions is less than 1%. 1) Cross Section of the Coupled Region: In the coupled region, the -like and -like modes should propagate and decay, respectively. Maintaining the -like mode to be decayed in the operating frequency, not only considered the length of the broad-wall , but also the height of the notches and in Fig. 1(b). If these heights are over the specified length, the -like mode is changed to a propagating one. On the other hand, if these heights are below the specified length, the -like mode is changed to a propagating one. Therefore the heights of the notches should be chosen by considering these limitations, as shown in Fig. 4. The height and the width of the center notches are key parameters for the -like and -like modes to be decayed. Finally, all the design parameters of the coupled region are selected to satisfy the vital relation among the propagation constants. The design parameters of the cross section of the coupled region are listed in Table I. 2) Ports: The ports are arranged to two rows and two columns. The design parameters of the cross section of the ports are selected to increase the coupling between the ports and the coupled region. The distance between the ports should be reduced because the coupling of the -like mode decreases for , as shown in Fig. 5. The minimum of is 0.6 mm due to the fabrication limitation. The broad and narrow wall widths of the ports are selected to be mm and mm. The short-slot two-plane hybrid coupler followed these design parameters. If straight waveguides are used to connect the components (hybrid and cross couplers, phase shifters) in the 2-D beam switching Butler matrix, the broad- and narrow-wall widths of the ports for the cross coupler take different values from those for the hybrid coupler. is 3.05 mm along the -plane and 3.8 mm along the -plane. The output at Port 5 by incidence from Port 1 in Fig. 2(a), the propagation constants and the cutoff frequencies of the propagation modes and the cutoff frequencies of the attenuating -like and -like modes in the whole coupled region are listed in Table II. Table III summarizes in Fig. 3(a) in the quarter of the coupled region. The output of each propagating mode to Port 5 from Port 1 is a quarter of in the problem propagating only the corresponding mode in Fig. 3(a) according to the even/odd modes analysis for a 2-D symmetrical cross section. The -like mode has a larger propagation constant than the -like mode, but the -like mode is weakly excited by 9 dB than the -like mode. The -like mode has lower coupling than the other propagation modes.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Variation of propagation characteristic of modes by design parameters mm, mm. (b) mm, mm. at 22 GHz. (a) The marks “O” and “X” indicate the corresponding modes are “propagating” and “evanescent” in the coupled region, respectively. TABLE I DESIGN PARAMETERS OF THE CROSS SECTION COUPLED REGION (IN MILLIMETERS)

OF THE

Fig. 5. Variation of the coupling coefficients of modes in the distance between the ports at 22 GHz.

The length of the coupled region in the short-slot two-plane coupler is determined by (4) and (5). Considering the propaga-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF WAVEGUIDE SHORT-SLOT TWO-PLANE COUPLERS

TABLE II CHARACTERISTICS OF MODES IN WHOLE COUPLED REGION

TABLE III COUPLING OF MODES IN QUARTER OF COUPLED REGION

TABLE IV DIMENSIONS OF CROSS COUPLERS

tion constants listed in Table II, the lengths of the coupled region in the short-slot two-plane hybrid and cross couplers are selected to 11.57 and 21.72 mm, respectively. 3) Comparison With the Composition of the Short-Slot OnePlane Couplers: The two-plane hybrid coupler can give 2-D four beam-switching by a single-body structure. To achieve the same functionality by one-plane couplers, two -plane couplers and two -plane couplers should be cascaded. The volume of the coupled region of the two-plane cross coupler and the total volume of the coupled regions of the four one-plane cross couplers are listed in Table IV. Even the same functionality, the volume of the coupled region of the single two-plane hybrid, and cross couplers are 73.0% and 67.9% of the total volumes of the coupled regions of the four one-plane hybrid and cross couplers. Furthermore, considering the volume for connecting waveguides between the - and -plane couplers, those rates (73.0% and 67.9%) decrease more.

5

III. MEASURED RESULTS

The characteristics of the designed short-slot two-plane couplers are investigated by numerical analysis using ANSYS HFSS and measured results of fabricated devices. Comparing the results of preceding research [8], [9], the proposed short-slot two-plane coupler has narrower bandwidth than the short-slot one-plane coupler at this moment. It is caused by a difficulty of mode matching. The short-slot one-plane coupler is considered the balance of the coupling between only two propagation modes ( and modes in the -plane coupler, and modes in the -plane coupler), while the short-slot two-plane coupler is considered the balance of the coupling among the four propagation modes ( -like, -like, -like, and -like modes). 1) Short-Slot Two-Plane Hybrid Coupler: The bandwidth of the short-slot two-plane hybrid coupler is 2.3% from 21.8 to 22.3 GHz where the transmission at the output ports are within 6.1 0.5 dB, the reflection at the input ports are under 18.5 dB, and the phase differences among the output ports are within 81 3.7 and 180.3 1.5 , as shown in Fig. 6(c)–(e), respectively. Comparing ideal characteristics in (1), the phase differences among the output ports, and , are shifted almost 8 . It is caused by unbalancing the coupling of the propagation modes, as shown in Table II, and the design concept is concentrated on the reduction of differences in transmission among the output ports. A short-slot two-plane hybrid coupler substitutes for a 2 2-way 2-D beam-switching Butler matrix. It generates four 2-D radiation patterns corresponded to four inputs, as shown in Fig. 7(a). Under the present limitation moving only in the horizontal direction of the rotator in the measurement the specified elevation angle is specified for each input port. For the measurement in Fig. 7(b), the coupler rotated from the - to -axis by 90 with coordinators is placed with the tilting angle of in the vertical ( – ) plane and the rotator is moved in the horizontal ( – ) plane. For the measurement in Fig. 7(c), the coupler is placed with the tilting angle of in the vertical ( – ) plane and the rotator is moved in the horizontal ( – ) plane. The trajectories for the quasi - and -planes in the spherical coordinates are shown in Fig. 7(d). The simulated and measured beam direction are listed in Table V. Peak gains and half power beamwidth (HPBW) of radiation patterns are within 11.1 0.6 dBi, 65 2 , and 10.7 0.1 dBi, 38 1 corresponded to Fig. 8(a) and (b), respectively. 2) Short-Slot Two-Plane Cross Coupler: The short-slot twoplane cross coupler has the transmission 0.7 dB, the reflections and the isolations less than 12.8 dB at the output ports. These values are not the best due to the port positions for considering the straight connections between the components of the 2-D Butler matrix, as explained in Section II-B2. The frequency characteristics are abruptly distorted in 23 GHz, as shown in Fig. 9(c) and (d), because the coupled region is worked as a cavity resonator dependent on the volume composed of the size of the cross section and the length of the coupled region. This restricts the length of the coupled region in this design.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Configuration for measurement of radiation patterns of short-slot two-plane hybrid coupler. (a) Outline. (b) Quasi- -plane. (c) Quasi- -plane. (d) Trajectories in the measurements and peak gain positions. TABLE V BEAM DIRECTION AT 22 GHz

Fig. 6. Characteristics of the short-slot two-plane hybrid couplers. (a) Coupled region (b) Waveguide to coaxial transition. (c) Transmission. (d) Reflection. (e) Differences among phases. Measured results—solid lines. Simulated results—dashed lines. Unit: millimeters.

IV. CONFIGURATION OF 2-D BEAM-SWITCHING BUTLER MATRIX The general configuration of the 2 2 -way ( integers) 2-D beam-switching Butler matrix is shown. Note that the number of ways for the 2-D Butler matrix is defined so that 2 2 -way indicates the arrangement of the ports in

either the input or the output side by 2 horizontally and 2 vertically. The 2 2 -way 2-D beam-switching Butler matrix is designed by the superimposition of horizontally stacked -way 1-D beam-switching Butler matrices and vertically stacked -beam 1-D Butler matrices. The superimposed short-slot one-plane couplers are transformed parts of the short-slot two-plane coupler. Non-superimposed short-slot one-plane couplers and phase shifters are remained as those are. As an example, the one-body configuration for the 4 4-way 2-D beam-switching Butler matrix using short-slot two-plane couplers is shown in Fig. 10. The one-body configuration can

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF WAVEGUIDE SHORT-SLOT TWO-PLANE COUPLERS

7

Fig. 8. Radiation patterns of hybrid short-slot two-plane coupler at 22 GHz. when fed from Port 1 and 3, (a) Quasi- -plane, AUT looks at when fed from Port 2 and 4 in – -plane. (b) Quasi- -plane, AUT looks when fed from Port 1 and 2, when fed from Port 3 at and 4 in – -plane. Measured results—solid lines. Simulated results—dashed lines.

be derived in the following way. We assume the superimposition of the vertically stacked four 1-D beam-switching Butler matrices and the horizontally stacked ones. About the one-plane hybrid couplers, all of them are superimposed so that they are replaced with two-plane hybrid couplers. About the one-plane cross couplers, only one at the center is superimposed so that it is replaced with a two-plane cross coupler. The other one-plane cross couplers should be unchanged. About the superimposed phase shifters, the amount of the phase shift should be summed. V. CONCLUSION The waveguide short-slot two-plane couplers have been designed and the one-body configuration of the 2 2 -way 2-D beam-switching Butler matrix has been proposed. The cross section of the coupled region is designed by considering the polarity symmetry, the propagation constant, and the coupling with the ports of the modes. The lengths of the coupled region are selected to correspond to the hybrid and the cross couplers. The size of ports of the short-slot couplers is focused at present on the hybrid coupler for manufacturing and connecting of the waveguides. The short-slot two-plane hybrid coupler has 2.3% bandwidth and works as a 2 2-way 2-D beam-switching Butler matrix.

Fig. 9. Characteristics of the short-slot two-plane cross couplers. (a) Coupled region. (b) Waveguide to coaxial transition. (c) Transmission. (d) Reflection. (e) Phases,. Measured results—solid lines. Simulated results—dashed lines. Unit: in milliemeters.

The short-slot two-plane cross coupler transmits electromagnetic wave crossing two-dimensionally. Those characteristics are acquired with 73.0% and 67.9% total volumes of the coupled regions of the short-slot one-plane hybrid and cross couplers, respectively. Since there are four propagation modes, which have

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(A4) where is the output of Port . When the condition (3) is satisfied, these equations are simplified as

(A5) Fig. 10. Proposed 4 4-way 2-D beam-switching Butler matrix.

propagation constants satisfying the required conditions, and two evanescent modes, which have lowermost cut-off frequencies, the operating frequency band is restricted presently.

(A6)

APPENDIX When an input power from a port is assumed to transform to the -like, -like, -like, and -like modes equally, the general expressions of the outputs of the short-slot two-plane coupler are given as follows:

(A7) To get the characteristic of the hybrid coupler, the conditions (4) and (6) are applied to (A5)–(A7), (A8) (A9) (A10)

(A1)

Using (A8)–(A10), the S-parameter of the two-plane hybrid coupler is expressed by (1). To get the characteristic of the cross coupler, the conditions (5) and (6) are applied to (A5)–(A7), (A11) (A12) (A13)

(A2)

Using (A11)–(A13), the S-parameter of the two-plane cross coupler is expressed by (2). REFERENCES

(A3)

[1] H. J. Riblet, “The short-slot hybrid junction,” Proc. IRE, vol. 40, no. 2, pp. 180–184, Feb. 1952. [2] J. A. Ruiz-Cruz, J. R. Montejo-Garai, and J. M. Rebollar, “Short-slot - and -plane waveguide couplers with an arbitrary power division ratio,” Int. J. Electron., vol. 98, no. 1, pp. 11–24, 2011. [3] L. Young and B. M. Schiffman, “Pulse power capacity of short-slot couplers,” IEEE Trans. Microw. Theory Techn., vol. MTT-13, no. 1, p. 133, Jan. 1965. [4] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filter, Impedance-Matching Networks, and Coupling Structures. Norwood, MA, USA: Artech House, 1980.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: DESIGN OF WAVEGUIDE SHORT-SLOT TWO-PLANE COUPLERS

[5] S. Yamamoto, J. Hirokawa, and M. Ando, “A beam switching slot array with a 4-way Butler matrix installed in a single layer post-wall waveguide,” in IEEE Int. Antennas Propag. Symp., 2002, vol. 1, pp. 138–141. [6] L. T. Hildebrand, “Results for a simple compact narrow-wall directional coupler,” IEEE Microw. Guided Wave Lett., vol. 10, pp. 231–232, Jun. 2000. [7] S. Yamamoto, J. Hirokawa, and M. Ando, “Length reduction of shortslot directional coupler in a single layer dielectric substrate waveguide by removing dielectric near the side walls,” in IEEE Int. Antennas Propag. Symp., 2004, vol. 3, pp. 2353–2356. [8] U. Rosenberg and K. Beis, “Improved narrow-wall short slot coupler design exhibiting significant increased bandwidth and low cost production,” in Proc. 31st Eur. Microw. Conf., Sep. 2001, vol. 3, pp. 197–200. [9] R. Beyer and U. Rosenberg, “Compact top-wall hybrid/coupler design for extreme broad bandwidth application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1227–1280. [10] J. Butler and R. Lowe, “Beam-forming matrix simplifies design of electronically scanned antennas,” Electron. Design, vol. 9, no. 8, pp. 170–173, Apr. 1961. [11] B. Pattan, “The versatile Butler matrix,” Microw. J., vol. 47, no. 11, pp. 126–138, 2004. [12] G. T. K. Kwang and P. Gardner, “4 4 Butler matrix beam forming network using novel reduced size branchline coupler,” in 31st Eur. Microw. Conf., Sep. 2001, pp. 1–4. [13] C. W. Wang, T. G. Ma, and C. F. Yang, “A new planar artificial transmission line and its applications to a miniaturized Butler matrix,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2792–2801, Dec. 2007. [14] Y. S. Jeong and T. W. Kim, “Design and analysis of swapped port coupler and its application in a miniaturized Butler matrix,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 764–770, Apr. 2010. [15] D. Titz, F. Ferrero, R. Pilard, C. Laporte, S. Jan, H. Ezzeddine, F. Gianesello, D. Gloria, C. Luxey, and G. Jacquemod, “New wideband integrated miniature branchline coupler for beamforming applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [16] M. Bona, L. Manholm, J. P. Starski, and B. Svensson, “Low-loss compact Butler matrix for a microstrip antenna,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 9, pp. 2069–2075, Sep. 2002. [17] G. Tudosie, H. Barth, and R. Vahldieck, “A compact LTCC Butler matrix realization for phased array applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 441–444. [18] A. Ali, N. J. G. Fonseca, F. Concetti, and H. Aubert, “Design and implementation of two-layer compact wideband Butler matrices in SIW technology for Ku-band applications,” IEEE Trans. Antennas Propag., vol. 59, no. 2, pp. 503–512, Feb. 2011. Dong-Hun Kim (GSM’09) was born in Busan, Korea, on February 25, 1983. He received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2008, the M.S. degree in environmental studies from Tohoku University, Sendai, Japan, in 2011, and is currently working toward the D.E. degree in electrical and electronic engineering at the Tokyo Institute of Technology, Tokyo, Japan. From 2011 to 2014, he was with the Materials and Components Research and Development Laboratory, LG Electronics, where he was engaged in the research of plasma diagnosis and the development of matching network for plasma lighting systems. His research interests include beam-switching waveguide circuits for millimeter-wave antennas.

9

Jiro Hirokawa (S’89–M’90–SM’03–F’12) received the B.S., M.S., and D.E. degrees in electrical and electronic engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1988, 1990, and 1994, respectively. He has been a Professor with the Tokyo Institute of Technology since April 2015. From 1994 to 1995, he was with the Antenna Group, Chalmers University of Technology, Göteborg, Sweden, as a Postdoctoral Fellow. His research interests have concerned slotted waveguide array antennas and millimeter-wave antennas. Dr. Hirokawa is a Fellow of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of an IEEE Antennas and Propagation Society (AP-S) Tokyo Chapter Young Engineer Award in 1991, a Young Engineer Award from IEICE in 1996, a Tokyo Tech Award for Challenging Research in 2003, a Young Scientists Prize of the Minister of Education, Cultures, Sports, Science and Technology in Japan in 2005, a Best Paper Award in 2007, a Best Letter Award in 2009 from the IEICE Communication Society, and Asia–Pacific Microwave Conference Best Paper Awards in 2011, 2012, and 2013, respectively.

Makoto Ando (SM’01–F’03) was born in Hokkaido, Japan, on February 16, 1952. He received the B.S., M.S., and D.E. degrees in electrical engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1974, 1976, and 1979, respectively. From 1979 to 1983, he was with the Yokosuka Electrical Communication Laboratory, NTT, where he was engaged in the development of antennas for satellite communication. From 1983 to 1985, he was a Research Associate with the Tokyo Institute of Technology, where he is currently a Professor. His main interests have been high-frequency diffraction theory such as physical optics and geometrical theory of diffraction. His research also covers the design of reflector antennas and waveguide planar arrays for DBS and VSAT. His latest interests include the design of high-gain millimeter-wave antennas. Dr. Ando is a Fellow of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He has served as the Guest Editor-inChief of more than six special issues in IEICE, Radio Science, and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He was the General Chair of the 2004 URSI EMT Symposium, Pisa, Italy, and ISAP 2007, Niigata, Japan. He served as the Chair of the IEICE Technical Committee of Electromagnetic Theory (2004–2005) and IEICE Antennas and Propagation (2005–2007). He served as a Member of the Administrative Committee (AdCom), IEEE Antennas and Propagation Society, from 2004 to 2006, and also as a Member of the Scientific Council for Antenna Centre of Excellence (ACE) in EU’s 6th framework program since 2004. He served as the Chair of Commission B, URSI, from 2002 to 2005. He was the 2007 President of the IEICE Electronics Society and the 2009 President of the IEEE Antennas and Propagation Society. He is currently the Program Officer for the Engineering Science Group, Research Center for Science Systems, Japan Society for the Promotion of Science (JSPS). He was the recipient of the IEICE Young Engineers Award in 1981, the IEICE Achievement Award in 1993, and the IEICE Paper Award in 2009. He was also the recipient of the 5th Telecom Systems Award in 1990, the 8th Inoue Prize for Science in 1992, the Meritorious Award of the Minister of Internal Affairs and Communications and the Chairman of the Board of ARIB in 2004, and the Award in Information Promotion Month 2006, the Minister of Internal Affairs and Communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

785

A Class of Quadrature Couplers Based on Transformer Zhang Ju Hou, Student Member, IEEE, Leung Chiu, Senior Member, IEEE, and Quan Xue, Fellow, IEEE

Abstract—A study of lumped transformer-based directional couplers is proposed by converting a conventional two-port transformer into a four-port directional coupler. The directional coupler of this kind is a backward coupler that consists of a ferrite-core transformer and four or five associated lumped reactive elements. Four different topologies of the coupler are proposed with four different reactive element arrangements, namely, ring topology, cross topology, H-shaped topology, and twisted topology. Analysis and design equations are presented. Four different circuits with different frequency responses are derived. Four 13.56-MHz couplers with these four different topologies are designed, fabricated, and measured. Wideband, compact, and backward wave coupling features are theoretically and experimentally confirmed. Index Terms—Directional coupler, HF/VHF/UHF techniques, transformer.

I. INTRODUCTION

T

HE directional coupler is a four-port network and has been applied to various RF and microwave balanced circuits and systems. The fundamental function of a directional coupler is power division or/and power combination. Among various kinds of directional couplers, quadrature or 90 directional couplers with equal power division are commonly used in the balanced amplifiers, Doherty power amplifiers, and image-rejection mixers. Being a part of these circuits, the couplers in the above circuits have to be highly compact and wideband. Otherwise, it makes the entire circuit and system large and narrowband. The branch line and coupled line using a transmission line are two of the basic coupler structures [1]–[5]. The power handling is relatively high because of the large circuit area and the absences of either lumped elements (LEs) or the semi-conductor devices. However, it is problematic to directly use transmission-line structures to MHz applications, where HF/VHF/UHF techniques have been continuously interested in industrial applications. They are so physically large that it makes a branch-line and coupled-line coupler not available, especially at the HF and VHF (MHz) ranges. Consequently, it is impossible to use

Manuscript received September 08, 2015; revised November 19, 2015; accepted January 11, 2016. Date of publication January 28, 2016; date of current version March 03, 2016. This work was supported by the National Key Basic Research Program of China (973 program) under Grant 2014CB339900. The authors are with the State Key Laboratory of Millimeter Waves, Department of Electronic Engineering, City University of Hong Kong, Hong Kong, and also with the Shenzhen Key Laboratory of MWWC, CityU Shenzhen Research Institute, Shenzhen 518057, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519019

transmission-line couplers to build HF power-amplifier design [6]–[10]. The coupled inductor is a coupler structure that is frequently used in the RF/microwave integrated circuits (ICs). Various design examples are reported in [11]–[15]. In these designs, coupled-inductor couplers are compact enough at the GHz range to be accommodated in ICs. However, at the MHz range, the common orders of magnitudes of inductors and capacitors are H and nF, respectively, which are too large to be implemented in IC chips. Furthermore, this on-chip coupler is also not suitable for high-power application because its small size limited its power-handling capability. The ferrite-core transformer is a four-port network and discrete circuit element that is commonly used in MHz and high-power circuits. The transformer is inherently a differential power divider/combiner with important features of wideband, dc isolation, and impedance conversion. The mutual coupling between the two coils is close to unity and much stronger than that of a coupled inductor with an air core. Power handling is also similar to the air-core one [16]. Therefore, baluns based on ferrite-core transformers are very common to appear in the MHz differential power amplifiers [17], [18]. In [19], the first transformer-based quadrature directional coupler with LEs was proposed. There were two particular structures of LEs and lumped-distributed elements (LDEs) to be considered to implement the wideband coupler in the MHz and GHz range. Couplers employ an LE with narrow bandwidth. To enhance their bandwidths, more complex circuits are introduced to obtain many broader bands of the multi-section topology [20], or LEs are incorporated into a distributed circuit to be such as an LDE structure [21]–[23]. In this paper, a tight coupling transformer was fabricated with a higher coupling factor and used for the design of quadrature directional couplers. With inspection of a coupled-line coupler, the core section is a guided-wave structure that supports two distinct propagation modes, namely, the even mode and the odd mode. Normally, a conventional transformer operates only in odd mode with a differential structure for the requirement of a coupler. Therefore, additional reactive components are required to make the structure available to support the common mode as well. In [19], it only presented the topology of the first transformer-based quadrature directional coupler with larger LEs, but not with theoretical analysis. However, the larger inductors and capacitors are used, the more fabricated difficulty and power losses are introduced. Hence, further analysis and smaller LEs are necessary. In this paper, which is an extension of [19], the other three novel coupler topologies based on a lumped transformer are studied. In this version, a more detailed analysis of

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

the circuit elements in the four topologies are analytically formulated. B. Transformer With Differential and Common Modes A transformer is extensively used in analog RF circuits, but the main function is a balun. The dc isolation between the input and output is the feature that makes it suitable for amplifier applications. Usually a transformer, with a unit turn ratio, since it achieves wider bandwidth than that with a nonunit turn ratio. Since the transformer is used as a four-port network, as shown in Fig. 1(a), and it is different from balun application, a complete set of S-parameters of the transformer is presented in (1),

Fig. 1. (a) Transformer supporting differential-mode signal. (b) Transformer supporting common-mode signal.

class couplers is provided. Moreover, a comparison with LEs values, which demonstrates the advantage among these four proposed couplers, is included. There are good agreements between calculations and measurements of four proposed couplers. The S-parameters responses of all couplers have been summarized. Each of these four topologies consists of a tight coupling four-port transformer, capacitors, and inductors. Four 13.56-MHz couplers are fabricated to experimentally demonstrate the proposed idea. This paper is organized as follows. Section II will give the analysis of the differential/common mode transformer and the frequency response of the fabricated transformer and its circuit model. Detailed analysis steps with even–odd-mode analysis of four proposed couplers are illuminated in Section III. The calculated results and comparisons of design parameters are presented in Section IV with useful equations. The measurement results are revealed in Section V and a conclusion of this paper is given in Section VI. II. DIFFERENTIAL/COMMON-MODE TRANSFORMERS A. Requirement of the Directional Coupler With inspiration of the conventional coupled-line coupler, the core is a section of coupled microstrip lines that support two different propagation modes with two different electromagnetic field distributions. Even- and odd-mode signals or common- and differential-mode signals are named for two propagated signals because of the symmetric geometry and the nature of the field distribution. The coupled line is one of the circuit structures for the directional coupler. We claim that it is possible for the circuit structure to be a directional coupler if it satisfies a low-loss four-port network, symmetrical along two principle axes, and supporting two different propagation modes. The transformer satisfies being a directional coupler, except that it supports either a differential-mode signal or common-mode signal. The single transformer is definitely not enough to be a coupler. Therefore, an additional four-port network supporting another mode is required. Four different structures, i.e., four different topologies, of the additional four-port networks have been proposed. All

(1) In the application, the transformer always supports differential-mode transmission, which allows differential signal to pass through and completely suppresses the common-mode signal. It can be observed by conversing (1) to a mixed-mode S-parameter. The common-mode and differential-mode S-parameters are given by (2) and (3) results in perrespectively. From (2), fect common-mode signal termination by an open circuit and results in zero transmission. From (3), results in perfect differential signal transmission and results in zero reflection from the transformer. It works like a differential transmission line with zero electrical length. We consider the transformer in another configuration that swaps two of the ports with common-mode transmission, as shown in Fig. 1(b). The “common-mode” transformer is totally different from the conventional transformer as it allows the common-mode signal to pass through and completely suppresses the differential signal. By applying even–odd-mode analysis, the S-parameters of even- and odd-mode half circuits are given by (4) and (5) respectively. From (4), common-mode signal transmission and results in zero reflection. From (3), sults in zero transmission and

results in perfect reresults

HOU et al.: CLASS OF QUADRATURE COUPLERS BASED ON TRANSFORMER

787

in perfect differential signal termination by an open circuit. It works like a common-mode transmission line with zero electrical length. In Fig. 1(a), it is an ideal lossless four-port transformer with a coupling factor of 1. However, in practical fabrication, the parasitic effects in the four-port transformer should be taken into consideration. Hence, in Fig. 2(a), a simple four-port transformer model has been presented with a pair of coupled inductors ( and ), two inter-winding capacitances , primary/secondary capacitance , and primary/secondary loss resistances ( and ). The coupling factor between and could be presented by . To design and analyze the proposed couplers, we fabricated a compact and tight coupling four-port transformer. We used the model from Fig. 2(a) to estimate the coupling factor of the fabricated four-port transformer. Over a broad frequency range, there is a very good agreement between the model and the measurements data by S-parameters and phase, as shown in Fig. 2(b) and (c). Herein, the coupling factor of the transformer model is 0.992 with H, pF, pF, and , respectively. Therefore, the coupling factor of the fabricated four-port transformer could be estimated to equal 0.992, which is approximately equal to 1. This fabricated four-port transformer is a tight coupling transformer. Additionally, the fabricated four-port transformer owns approximated 119.7% bandwidth with 0.5-dB amplitude difference, as shown in Fig. 2(b). Therefore, in this paper, we could use the ideal four-port transformer with a coupling factor of 1 to simplify the analysis and design of proposed couplers. III. DESIGN FORMULATION Circuit structures of the four quadrature directional couplers based on the transformer are presented. By applying even–oddmode analysis and considering the values of inductors, design formulas for the four different couplers are derived. A. Ring Topology The circuit diagram of the transformer-based directional coupler with the ring topology is shown in Fig. 3. There are two pairs of the impedance blocks to satisfy symmetric property. We assume that all impedance blocks are purely reactive since the additional network should be a lossless network. The four impedance blocks are connected in two adjacent ports of the transformer. The impedances are and . The following six steps are required to determine the design formula. 1) Even- and Odd-Mode Half-Circuits: The proposed ring coupler structure is shown in Fig. 3. Four reactive elements, two and two , are connected in every two adjacent ports among the transformer. – is the line of symmetry for this coupler. In order to simplify the analysis and obtain the design formula, we apply the even–odd-mode analysis to the proposed coupler. As the proposed coupler is symmetric about the – , both even- and odd-mode excitations are applied to ports 1 and 4 or ports 2 and 3, respectively. The even- and odd-mode half-circuits are extracted by terminating the magnetic wall and electric wall at – , respectively. The circuit diagrams of the

Fig. 2. (a) Model of four-port transformer. (b) Comparisons of the S-parameters from model and fabricated four-port transformer. (c) Phase of model and fabricated four-port transformer.

even- and odd-mode half-circuits are shown in Fig. 4 and these are much simpler than that of the proposed coupler. 2) S-Parameters of the Two Half-Circuits: Second, the evenmode half circuit is a two-port network that consists of a series element only. The S-parameters are given by

(6)

788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 3. Circuit diagram of the proposed coupler with ring topology.

Fig. 5. (a) Circuit diagram of the coupler with ring topology with solution of Fig. 10(a). (b) Circuit diagram of the coupler with ring topology with solution of Fig. 10(b).

Fig. 4. (a) Even-mode circuit of the proposed coupler with ring topology. (b) Odd-mode circuit of the proposed coupler with ring topology.

5) Solutions of Impedance Blocks: Fifth, there are two sets of analytical solutions that are given by (10a)

The odd-mode half circuit is a two-port network that consists of two shunt elements only. The S-parameters are given by (7)

3) Converting Two Sets of the S-Parameters: Third, the overall S-parameters of the proposed coupler are determined using the S-parameters of the even- and odd-mode half circuits. Four of the S-parameters representing impedance matching, through, isolation, and coupling performances are given by

(8)

or

(10b)

Fig. 5(a) and (b) shows the circuit diagrams of the sets of solutions in Fig. 10(a) and (b), respectively. The positive value represents a inductor, while the negative value represents a capacitor. 6) Solutions of Circuit Elements: Finally, the circuit diagram of the transformer-based directional coupler with ring topology is shown in Fig. 3. There are two pairs of impedance blocks. The two coupler circuits with the two sets of solutions are similar, except that the through and coupler ports are interchanged. The unknown values of the impedance blocks are solved based on condition at inductance and capacitance in terms of and are given by the center frequency . The design formulas of the required (11)

respectively. 4) Applying the Conditions of the Backward Coupler: Fourth, the conditions of a backward wave coupler are set. Equal power division, perfect impedance matching, and perfect port-to-port isolation are achieved simultaneously at the center frequency, which are given by (9)

B. Cross Topology The circuit diagram of the transformer-based directional coupler with cross topology is proposed as shown in Fig. 6. There are four purely reactive elements in this design. One of the terminals of the four elements is connected to the four ports, and the other terminals of the four elements are connected together. The cross-like circuit with two pairs of the impedance blocks and are defined. We repeat the first five steps,

HOU et al.: CLASS OF QUADRATURE COUPLERS BASED ON TRANSFORMER

789

Fig. 6. Circuit diagram of the proposed coupler with cross topology.

which were presented in the previous section. We have four sets of solutions given by

(12a) or (12b)

Fig. 7. (a) Circuit diagram of the coupler with cross topology with solution of (12a) and (12b). (b) Circuit diagram of the coupler with cross topology with solution of (12c) and (12d).

or (12c) or (12d) Similarly, the positive value represents a inductor and negative value represents a capacitor. Fig. 7 shows the circuit diagrams of the four sets of solutions in Fig. 12(a)–12(d). The circuit diagrams shown in Fig. 7(a) is virtually the same as that shown in Fig. 7(b). We have two distinct solutions of the cross topology coupler. The unknown values of the impedance blocks are solved based on condition at the center frequency . In the final step, the design formulas of the required inductance and capacitance in terms of and are given by

Fig. 8. Circuit diagram of the proposed coupler with H-shaped topology.

H-shaped topology. The circuit with four of the impedance blocks and single impedance block are defined. Similarly, we follow the six steps presented in the previous section and small inductors are suggested to use. Fig. 9 shows the circuit diagram and the design formulas are given by

(13a)

(14)

or (13b)

The two analytic solutions of the impedance blocks can be calculated based on the conditions at the center frequency. A small inductor is always preferred since less loss and less parasitic element are introduced. Obviously, the required inductance presented in (13b) is less than that in (13a). Therefore, we claim that (13b) is the better solution of the cross topology coupler. C. H-Shaped Topology The circuit diagram of the transformer-based directional coupler with H-shaped topology is shown in Fig. 8. Unlike the previous topologies, there are five reactive elements in this

D. Twisted Ring Topology The circuit diagram of the transformer-based directional coupler with a twisted ring topology is shown in Fig. 10(a). There are two pairs of the purely reactive blocks in the circuit connected in a twisted ring like structure. The circuit with two pairs of the impedance blocks and is defined. Unfortunately, the structure is not symmetrical so it makes the even–odd-mode analysis not suitable for this coupler yet. Considering the transformer supporting a common-mode signal, as shown in Fig. 1(b), we convert it to a ring topology with a common-mode transformer, as shown in Fig. 10(b). Similarly, we can then follow the first three steps to handle the S-parameters of the half circuits. In the fourth step, unlike the previous three cases, the condition of a backward wave coupler is modified since the circuit structure is “twisted.” The

790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 9. Circuit diagram of the coupler with H-shaped topology with solution of (14).

Fig. 11. Circuit diagram of the coupler with twisted ring topology with solution of (16).

Fig. 12. Calculated S-parameters magnitudes of the ring topology.

A. Ring Topology

Fig. 10. (a) Circuit diagram of the transformer based directional coupler with twisted ring topology with twisted ring topology. (b) Circuit diagram of the proposed coupler with the common-mode transformer.

First, with these confirmed capacitances and inductances presented in [19], the frequency responses of the two impedance blocks in terms of angular frequency are given by (17)

conditions of this coupler with twisted ring topology are given by

Second, the impedances in (17) are substituted into (6)–(8). The frequency responses of the four S-parameters are given by

(15) We then follow the fifth and then final steps to get the solutions of the impedance block and then circuit elements, respectively. Fig. 11 shows the circuit diagram and the design formula is given by (16)

IV. CALCULATED RESULTS With the derived design formulas, frequency responses of S-parameters of four proposed couplers are calculated in this section. In the calculations, ideal circuit elements of capacitors, inductors, and transformers without parasitic elements are assumed.

(18)

The calculated frequency responses of the magnitudes of the four S-parameters are shown in Fig. 12. Third, it is analytically confirmed that the port impedance matching and port-to-port isolation of the proposed coupler with the ring topology are achieved. They are also independent on frequency. Through and coupled signals ratio is given by (19) The phase difference between the through signal and coupled signal is given by (20)

HOU et al.: CLASS OF QUADRATURE COUPLERS BASED ON TRANSFORMER

791

The phase difference between the through signal and coupled signal is given by (25) The phase difference is 90 , which is independent of frequency. The magnitude imbalance is defined as the ratio of the through signal magnitude to coupled signal magnitude that is given by (26)

It is additionally noted that cross check is always required in most of the scientific proofs. With a unit magnitude ratio, we determine the frequency, so we set

Fig. 13. Calculated S-parameters magnitudes of the cross topology.

The phase difference is 90 that is independent on frequency. The magnitude imbalance is defined as the ratio of the through signal magnitude to coupled signal magnitude that is given by (21)

(27)

We have two possible frequencies that are given by (28a)

In theory, the magnitude imbalance is straightly proportional to and it is the only factor limiting the bandwidth. B. Cross Topology First, with these confirmed capacitances and inductances presented in (13b), the frequency responses of the two impedance blocks in terms of angular frequency are given by

or

(28b)

Apart from the center frequency, this coupler also achieves an equal power division at 5.83 . C. H-Shaped Topology

(22)

First, with these confirmed capacitance and inductance presented in (14), the frequency responses of the two impedance blocks in terms of angular frequency are given by

Second, the frequency response of the four S-parameter are given by

(29) Second, the frequency responses of the four S-parameters are given by

(23)

The calculated frequency responses of the magnitudes of the four S-parameters are shown in Fig. 13. Third, it is analytically confirmed that the port impedance matching and port-to-port isolation of the proposed coupler with ring topology are achieved. They are also independent of frequency. The through and coupled signals ratio is given by (24)

(30)

792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 14. (a) Calculated S-parameters magnitudes of the H-shaped topology. (b) Calculated phase difference of the H-shaped topology.

The calculated frequency responses of the magnitudes of the four S-parameters are shown in Fig. 14(a). Unlike the previous coupler, the port impedance matching and port-to-port isolation of this coupler are frequency dependent. Therefore, thirdly, both perfect impedance matching and perfect port-to-port isolation are achieved simultaneously at the center frequency only,

Fig. 15. (a) Calculated S-parameters magnitudes of the twist topology. (b) Calculated phase difference of the twist topology .

The calculated frequency response of the phase difference is shown in Fig. 14(b). The 90 phase difference is achieved at the center frequency only. The magnitude imbalance is defined as the ratio of the through signal magnitude to coupled signal magnitude that is given by

(33) (31)

D. Twisted Ring Topology The phase difference between the through signal and coupled signal is given by

First, with these confirmed capacitance and inductance presented in (16), the frequency responses of the two impedance blocks in terms of angular frequency are given by

(32)

(34)

HOU et al.: CLASS OF QUADRATURE COUPLERS BASED ON TRANSFORMER

Second, the frequency response of the four S-parameters are given by

(35)

The calculated frequency responses of the magnitudes of the four S-parameters are shown in Fig. 15(a). Third, it is analytically confirmed that the port impedance matching and port-to-port isolation of the proposed coupler with ring topology are achieved. They are also independent of frequency. Through and coupled signals ratio is given by

793

the H-shaped and twist topologies are also less than that of the ring topology [19], about 50% with the same value of capacitors. Though performances of the ring topology are better than three topologies, it should use the larger inductors to implement its performances. The smaller value of inductor that is used, the easier fabrication is obtained. What is more, though there are differences in performances of these four different topologies, three other novel topologies also own better performances in magnitude balance, return loss, isolation, and phase imbalance with smaller inductors and capacitors. From Table I, 10-dB return loss, 20-dB isolation, 0.5-dB magnitude imbalance, and 1 phase imbalance are chosen, while these specifications could be changed for different applications or different systems. The ring and cross topologies have similar performances with perfect impedance matching, port-to-port isolation, and 90 phase difference over a wide frequency range. These performances are independent of frequency. The only limitation is magnitude imbalance. Both the H-shaped and the twisted ring topologies also have similar performances. Their performances are unlike that of the ring topology and the cross topology. All performances of these two topologies are frequency dependent, resulting in a narrow band. Additionally, the bandwidth extension techniques are summarized in Table I, such as the LE and LDE structures, with or without transformer and multi-section topology. V. EXPERIMENTAL RESULTS

(36)

The phase difference between the through signal and coupled signal is given by (37) The phase difference is 90 , which is dependent of frequency, shown in Fig. 15(b). The magnitude imbalance is defined as the ratio of th through signal magnitude to coupled signal magnitude that is given by

(38)

E. Comparison of the Calculated Results We get all calculated results of the four proposed couplers. Comparisons of the four proposed couplers and other relative works [20]–[23] are shown in Table I. Moreover, Table I summaries the differences in design techniques. In this paper, we presented three other novel different topologies in order to decrease the value of inductors and capacitors in comparison to the [19]. From Table II, the values of inductors and capacitors from the cross topology are about 80% and 18% smaller than that of the ring topology [19]. Both values of inductors from

We demonstrate experimental prototypes and results to verify the proposed ideal. Since it is confirmed that the wide band of the ring topology and the cross topology is larger than that of H-shaped topology and the twisted ring, prototypes of the ring topology and cross topology are fabricated. Two couplers are designed at the center frequency of 13.56 MHz. Four SMA connectors are connected to the four ports for the measurement purpose. The couplers are measured by a vector network analyzer with 50- port impedance. All LEs are mounted on a piece of printed circuit board. The lumped transformer with turn ratios of 1:1 is formed by a dual-hold ferrite width of 13 mm, length of 19 mm, height of 13 mm, and hold diameter of 5.0 mm, and a copper wire with diameter of 0.5 mm. There are five turns in both the primary and secondary coils. Air core inductors with a core diameter of 10 mm and wire diameters of 1.0 mm are used. Different inductance is adjusted by the number of turns and inductor length. Common discrete capacitors are used. The photograph of the fabricated quadrature coupler with ring topology is shown in Fig. 16. According to the design equation of (11), the required inductance and capacitance for the coupler are given by H and pF. The frequency responses of the measured S-parameters magnitudes and phase differences are shown in Figs. 17 and 18, respectively. 11.7% bandwidth is achieved with 0.5-dB magnitude imbalance. Within the bandwidth, the coupler achieves more than 32.5-dB return loss, more than 35.2 –dB isolation, and less than 1 phase imbalance. The photograph of the fabricated quadrature coupler with cross topology is shown in Fig. 19. According to the design equation of (22), the required inductance and capacitance for the coupler are given by H and pF.

794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

TABLE I COMPARISONS WITH OTHER RELATIVE CIRCUITS

TABLE II COMPARISONS ELEMENTS’ VALUES OF FOUR PROPOSED COUPLERS AND CONVENTIONAL COUPLER

Fig. 17. Measured S-parameters magnitudes of the ring topology .

Fig. 16. Photograph of proposed coupler of the ring topology.

The frequency responses of the measured S-parameters magnitudes and phase difference are shown in Figs. 20 and 21, respectively. 10.32% bandwidth is achieved with 0.5-dB magnitude imbalance. Within the bandwidth, the coupler achieves more than 30.9-dB return loss, more than 30.5-dB isolation, and less than 1 phase imbalance.

Fig. 18. Measured phase differences of the ring topology.

A photograph of the fabricated quadrature coupler with H-shaped topology is shown in Fig. 22. According to the

HOU et al.: CLASS OF QUADRATURE COUPLERS BASED ON TRANSFORMER

795

Fig. 19. Photograph of proposed coupler with cross topology.

Fig. 23. Measured S-parameters magnitudes of the H-shaped topology .

Fig. 20. Measured S-parameters magnitudes of the cross topology .

Fig. 24. Measured phase differences of the H-shaped topology.

Fig. 21. Measured phase differences of the cross topology.

Fig. 25. Photograph of proposed coupler of the twisted topology.

Fig. 22. Photograph of proposed coupler of the H-shaped topology.

design equation of (29), the required inductance and capacitance for the coupler are given by H and pF. The frequency responses of the measured S-parameters magnitudes and phase difference are shown in Figs. 23 and 24, respectively. 10.18% bandwidth is achieved with 0.5-dB magnitude imbalance. Within the bandwidth, the coupler achieves more than 20.7-dB return loss, more than 20.7-dB isolation, and less than 1 phase imbalance. The photograph of the fabricated quadrature coupler with a twisted topology is shown in Fig. 25. According to the design equation of (34), the required inductance and capacitance for the

796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 26. Measured S-parameters magnitudes of the twisted topology.

Fig. 27. Measured phase differences of the twisted topology.

coupler are given by H and pF. The frequency responses of the measured S-parameters magnitudes and phase difference are shown in Figs. 26 and 27, respectively. 10.18% bandwidth is achieved with 0.5-dB magnitude imbalance. Within the bandwidth, the coupler achieves more than 29.1-dB return loss, more than 29.1-dB isolation, and less than 1 phase imbalance. VI. CONCLUSION A class of transformer-based directional coupler has been proposed. The entire design is implemented using discrete elements mounted on a microstrip line. The proposed design offers a wide operation bandwidth with acceptable return loss, port-to-port isolation, and phase imbalance. Additionally, the proposed coupler exhibits backward-wave coupling, similar to a conventional coupled-line coupler, but with a totally different coupling mechanism. We designed, fabricated, and tested designs with different topologies. REFERENCES [1] Y. Wu, S. Y. Zheng, S. W. Leung, Y. Liu, and Q. Xue, “An analytical design method for a novel dual-band unequal coupler with four arbitrary terminated resistances,” IEEE Trans. Ind. Electron., vol. 61, no. 10, pp. 5509–5516, Oct. 2014.

[2] S. Y. Zheng, W. S. Chan, and Y. S. Wong, “Reconfigurable RF quadrature patch hybrid coupler,” IEEE Trans. Ind. Electron., vol. 60, no. 8, pp. 3349–3359, Aug. 2013. [3] C. H. Yu and Y. H. Pang, “Dual-band unequal-power quadrature branch-line coupler with coupled lines,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 1, pp. 10–12, Jan. 2013. [4] W. M. Fathelbab, “The synthesis of a class of branch-line directional couplers,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 8, pp. 1985–1994, Aug. 2008. [5] K. K. M. Cheng and Y. Sung, “A novel dual-band 3-dB branch-line coupler design with controllable bandwidths,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3055–3061, Oct. 2010. [6] K. Narendra, A. Mediano, L. Anand, and C. Prakash, “Second harmonic reduction in broadband HF/VHF/UHF class E RF power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 328–331. [7] N. Kumar, C. Prakash, A. Grebennikov, and A. Mediano, “High-efficiency broadband parallel-circuit class E RF power amplifier with reactance-compensation technique,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 3, pp. 604–612, Mar. 2008. [8] M. Maeda et al., “Source second-harmonic control for high-efficiency power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 2952–2958, Dec. 1995. [9] C. H. Tseng and C. L. Chang, “Improvement of return loss bandwidth of balanced amplifier using metamaterial-based quadrature power splitters,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 269–271, Apr. 2008. [10] W. H. Chen et al., “Design and linearization of concurrent dual-band Doherty power amplifier with frequency-dependent power ranges,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2537–2546, Oct. 2011. [11] Y. H. Kim, Y. C. Choi, M. W. Seo, S. S. Yoo, and H. J. Yoo, “A CMOS transceiver for a multistandard 13.56-MHz RFID reader SoC,” IEEE Trans. Ind. Electron., vol. 57, no. 5, pp. 1563–1572, May 2010. [12] W. S. Tung, H. H. Wu, and Y. C. Chiang, “Design of microwave wideband quadrature hybrid using planar transformer coupling method,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 7, pp. 1852–1856, Jul. 2003. [13] R. C. Frye, S. Kapur, and R. C. Melville, “A 2-GHz quadrature hybrid implemented in CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 550–555, Mar. 2003. [14] S. J. Wei, L. C. Jiang, G. Y. Tao, and W. P. Shan, “A highly reconfigurable low-power CMOS directional coupler,” IEEE IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2815–2822, Sep. 2012. [15] S. Bantas and Y. Koutsoyannopoulos, “CMOS active-LC bandpass filters with coupled-inductor -enhancement and center frequency tuning,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 69–76, Feb. 2004. [16] P. Bagheri, X. Wilsun, and W. Freitas, “A resonant tertiary windingbased novel air-core transformer concept,” IEEE Trans. Power Del., vol. 27, no. 3, pp. 1519–1528, Jul. 2012. [17] B. Baker, “A wideband balun for HF, VHF, and UHF applications,” IEEE Microw. Mag., vol. 15, no. 1, pp. 86–91, Jan. 2014. [18] Z. Kaczmarczyk and W. Jurczak, “A push–pull class-E inverter with improved efficiency,” IEEE Trans. Ind. Electron., vol. 55, no. 4, pp. 1871–1874, Apr. 2008. [19] Z. J. Hou, L. Chiu, and Q. Xue, “High frequency wideband quadrature coupler using transformer and lumped elements,” Electron. Lett., vol. 50, no. 25, pp. 1954–1955, Dec. 2014. [20] Y. C. Chiang and C. Y. Chen, “Design of a wide-band lumped-element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 3, pp. 476–479, Mar. 2001. [21] D. P. Andrews and C. S. Aitchison, “Wide-band lumped-element quadrature 3-dB couplers in microstrip,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 12, pp. 2424–2431, Dec. 2000. [22] J. Hogerheiden, M. Ciminera, and G. Jue, “Improved planar spiral transformer theory applied to a miniature lumped element quadrature hybrid,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 4, pp. 543–545, Apr. 1997. [23] F. Gong, C. Li, and J. DeGroat, “Analysis and design of a wideband lumped-element quadrature directional coupler for complementary metal-oxide semiconductor implementation,” IET Microw. Antennas Propag., vol. 5, no. 4, pp. 443–449, Mar. 2011.

HOU et al.: CLASS OF QUADRATURE COUPLERS BASED ON TRANSFORMER

Zhang Ju Hou (S’13) was born in Wenzhou, Zhejiang, China. He received the M.S. degree in electronic and information engineering from the City University of Hong Kong, Hong Kong, in 2014, and is currently working toward the Ph.d. degree at the City University of Hong Kong. From September 2014 to September 2015, he was a Research Assistant with the Shenzhen Research Institute, City University of Hong Kong, Shenzhen, China. He is currently with the State Key Laboratory of Millimeter Waves, Department of Electronic Engineering, City University of Hong Kong. His research interests include microwave circuits and millimeter-wave CMOS integrated circuits.

Leung Chiu (S’05–M’08–SM’12) received the B.Eng. and Ph.D. degrees in electronic engineering from the City University of Hong Kong, Hong Kong, in 2004 and 2008, respectively. He is currently with Aviton Care Limited, Hong Kong. His research interests include microwave circuits and antennas.

797

Quan Xue (M’02–SM’04–F’11) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined UESTC, as a Lecturer. In 1997, he became a Professor. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, where he is currently a Chair Professor of Microwave Engineering. He also serves the University as the Director of Information and Communication Technology Center (ICTC center), the Deputy Director of CityU Shenzhen Research Institute, and the Deputy Director of the State Key Lab of Millimeter Waves, Hong Kong. He was the Associate Vice President (Innovation Advancement and China Office) from June 2011 to January 2015. He has authored or coauthored over 260 internationally referred journal papers and over 100 international conference papers. His research interests include microwave passive components, active components, antenna, microwave monolithic integrated circuits (MMICs), RF integrated circuits (RFICs) etc. Prof. Xue served the IEEE as an Administrative Committee (AdCom) member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) (2011–2013). He was an Associate Editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2010–2013) and an Associate Editor of IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS(2010–present).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

High-Performance CMOS Power Amplifier With Improved Envelope Tracking Supply Modulator Byungjoon Park, Dongsu Kim, Seokhyeon Kim, Yunsung Cho, Jooseung Kim, Daehyun Kang, Sangsu Jin, Kyunghoon Moon, and Bumman Kim, Fellow, IEEE

Abstract—A differential cascode CMOS power amplifier (PA) with a supply modulator for envelope tracking (ET) has been implemented using 0.18- m RF CMOS technology. For maximizing the PA’s performance, the CMOS power cell has been optimized. The CMOS PA employs 2nd harmonic control circuits at the input, source, and output of the PA to improve efficiency and linearity at the same time. The CMOS PA utilizes an improved ET supply modulator, which is suitable for a CMOS PA with high knee voltage. By utilizing this modulator, we achieve not only higher linearity, but also higher efficiency in all power levels. For a long-term evolution signal at 1.70 GHz with a 10-MHz bandwidth and a 16-QAM 7.5-dB peak-to-average power ratio, the CMOS ET PA module achieves a power-added efficiency of 36.6%, an error vector magnitude of 3.0%, and an adjacent channel leakage ratio of 35.6 dBc at an average output power of 28.5 dBm. The proposed ET operation reduces the total current consumption over the standalone PA, by 10% at the peak power and up to 56% at a low power. Index Terms—CMOS, efficiency, envelope tracking (ET), linear, long-term evolution (LTE), power amplifier (PA), supply modulator.

I. INTRODUCTION

T

HE smartphone has become an indispensable part of our everyday lives, and this smartphone should have a multifunctional capability. Not only calling and receiving calls, but also Web surfing, video catting, streaming video, etc. are all carried out by a single smartphone device. For a good quality of the services, the devices should ensure a longtime usage of battery. In a smartphone, the power amplifier (PA) is one of the most power consuming blocks, hence a lot of research is ongoing to the improve efficiency of the PA for a prolonged battery life. To manage the increased information of the multi-contents using the limited available frequency spectrum, modern wireless applications, such as long-term evolution (LTE)

Manuscript received December 21, 2014; revised April 21, 2015, October 01, 2015, and November 19, 2015; accepted January 08, 2016. This work was supported by the Ministry of Science, ICT & Future Planning (MSIP), Korea, under ICT R&D Program 2013. B. Park and Y. Cho are with the Division of Information Technology Convergence Engineering (ITCE), Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk 790-784, Korea. D. Kim is with Samsung System LSI Business, Gyeonggi-do 445-330, Korea. S. Kim, J. Kim, S. Jin, K. Moon, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk 790-784, Korea (e-mail: [email protected]). D. Kang is with the Broadcom Corporation, Matawan, NJ 07747 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518659

and wideband code division multiple access (WCDMA), are coded for a high spectral efficiency. These modulated signals use quadrature amplitude modulation (QAM), quadrature phase-shift keying (QPSK), or orthogonal frequency division multiplexing (OFDM), and have large peak-to-average power ratios (PAPRs). Therefore, the PAs should be able to operate at the back-off power region with a high efficiency. Furthermore, integration of RF integrated circuits (RFICs) with baseband processors is required for system-on-chip (SoC) realization to reduce cost and size of the handset devices. The RF PA is the toughest component to integrate for the SoC. Due to the reliability and performance issues, the RF PA is usally based on a GaAs substrate, whereas most of the other chips are based on a CMOS substrate. The GaAs substrate has limited integration capability and high cost compared to the CMOS substrate. Therefore, eventually PAs will be integrated into either a CMOS substrate with RFICs or a silicon-on-insulator (SOI) process with switches for a low cost and small size. The silicon devices have drawbacks of a low breakdown voltage, no back via to the ground, a high knee voltage, and a large substrate loss. Even if the SOI process overcomes the substrate loss issue with a high-resistivity insulator beneath the buried oxide, the other issues must be taken care of in the circuit level as in the bulk CMOS process [1]–[4]. A cascode structure provides a solution for the low breakdown voltage [5], [6]. A differential structure creates a virtual ground point and releases the source degeneration effect by the source-to-ground bonding wires [7]. The use of an output transformer helps to handle the substrate issues and the voltage combination increases the output load impedance of transistors also. Here, in this paper, an on-chip transformer is used to minimize the size and external components and utilized 2nd harmonic short circuits properly for a high efficiency and linearity at the same time. Before starting circuit-level design of a CMOS PA, there is an essential thing to do, layout an optimized power cell for high linearity, efficiency, and output power [8]–[12]. However, the power cell design for a CMOS device is tougher than that of GaAs’s because of its lower power density. As mentioned earlier, in addition to reducing the size and cost, improving the efficiency of the PA at a back-off power is also a hot issue. There has been lots of effort to improve the efficiency at the back-off power region for amplification of a signal with a high PAPR. The Doherty technique modulates the load impedance using a quarter-wavelength transformer for high efficiencies at the back-off power and the peak power [14], [15]. A reconfigurable output matching network according to the power level is also a good candidate for the high PAPR signals [16]. However, these techniques require complex output matching

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Layout of a cascode structure power cell with an enlarged unit cell.

Fig. 3. On-wafer measurement result; optimizing the gate width and structure.

Fig. 4. (a) Original structure; gate feeding line overlapped with both the drain and source. (b) Modified structure; gate feeding line overlapped only with the source.

II. CMOS POWER CELL OPTIMIZATION HIGH-PERFORMANCE PA Fig. 2. (a) 8- m gate-width cell with on-wafer probe test pattern. (b) 8- m gate-width cell; each unit cell has 15 fingers and 16 unit cells are combined. (c) 10- m gate-width cell; each unit cell has 16 fingers and 12 unit cells are combined.

networks, which generate a large loss and prevent a large bandwidth (BW) operation. Envelope tracking (ET) improves the efficiency by modulating the PA’s supply voltage. The ET technique enables a linear operation by utilizing a linear PA and supply modulator without an additional linearization technique [17]. Thus we have chosen ET technique to improve the PA’s performance and proposed a new supply modulator, which provides higher efficiency for CMOS PAs with a high knee voltage. This paper is organized as follows. Section II presents the optimization of the CMOS power cell. The analysis of 2nd harmonic shorts to improve efficiency as well as linearity has been explained in Section III. In Section IV, an improved structure of the ET supply modulator for high knee voltage CMOS PAs have been introduced. Section V is the implementation of the proposed PA and supply modulator and experimental results. Finally, conclusions of this work are summarized in Section VI.

FOR

The first step of designing a high-performance PA is a proper power cell design. Without a well-designed power cell, it is impossible to achieve a high-performance PA, regardless of the substrate that is used [8]–[12]. In [13], optimization of gate width and number of fingers for a high-performance 0.5-W CMOS PA has been dealt. Here, we also looked at the gate width and fingers for optimization of the unit cell. However, additional cell optimization should be considered for the output power over 1 W. Due to low power density of the CMOS device, its power cell should be designed in a large size. As shown in Fig. 1, the power cell is connected with numerous unit cells. Thus, the layout of the power cell affects a lot of the performance [18]. Since the power cell is huge, phase mismatch between the each unit cell can occur and a lot of unwanted parasitic can be added. Especially the gate-to-drain capacitance ( ) parasitic should be minimized to improve the reverse isolation. When it comes to a high power device, oscillation also becomes an issue. Moreover, the intrinsic is small and it is susceptive to the parasitics. The first procedure of making a power cell is design of the unit cell as large as possible. Selecting the gate width of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: HIGH-PERFORMANCE CMOS POWER AMPLIFIER WITH IMPROVED ENVELOPE TRACKING SUPPLY MODULATOR

3

Fig. 6. Simulation results of the phase differences among unit cells. (a) Narrow power cell has the maximum phase difference of 1.5 . (b) Wide power cell has the maximum phase difference of 3 .

Fig. 7. On-wafer measured MAGs of the narrow and wide power cells. Fig. 5. Layout of the: (a) narrow power cell and (b) wide power cell.

unit cell is important. A longer gate width of the single unit cell reduces the overall cell size and the number of connections, reducing the parasitics. However, we cannot use a very wide gate because the signal decays exponentially along the poly gate line and cannot modulate the channel properly, degrading the performance. For the compact power cells with a longer gate width, heat dissipation becomes a problem too [11], [12] and the input resistance is larger (1), reducing the voltage gain, (1) where is the resistivity of the gate, is the gate width of the unit cell, and is the gate length of the unit cell. We have compared two power cells with 8- and 10- m unit gate widths, but having the same total gate width of 1920 m. For the 8- m gate width cell, each unit cell has 15 fingers and the 16 unit cells are combined. For the 10- m gate-width cell, each unit cell has 16 fingers and the 12 unit cells are combined. The number of connections are reduced by 20% (fingers number of unit cells) for the 10- m device. Fig. 2 is chip photographs of the two power cell test patterns, and both

the 8- m [see Fig. 2(b)] and 10- m [see Fig. 2(c)] gate width power cells are designed for on-wafer probe measurement [see Fig. 2(a)]. We have measured the maximum available gain (MAG) and Fig. 3 shows the results, indicating that the 10- m gate-width cell achieves a higher MAG. Also the feeding and connection lines have to be carefully drawn to reduce the unwanted parasitics, and so that all unit cells are uniformly fed. As shown in Fig. 4, the gate feeding line is necessary to deliver the signal to the second row. Since the is smaller than the gate-to-source capacitance ( ), additional parasitic from the layout should be minimized. This parasitic contributes to the feedback loop, reducing the gain and stability, and also generates nonlinear distortions. To reduce it, the gate feeding line is overlapped only on the source, as shown in Fig. 4. Fig. 3 clearly shows that by reducing the parasitics on , MAG is improved. After the unit cell is designed, we have optimized the shape of the power cell. Leaving the total gate width equal, the shape of the power cell can be changed (see Fig. 5), vertically spreading mainly affects the phase mismatch and horizontally affects the loss. Two kinds of power cells have been tested. Fig. 5 shows both cells, (a) is aimed to minimize the phase difference among

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Schematic of the proposed CMOS ET PA with the supply modulator.

each unit cells and (b) is aimed to minimize the loss of feeding lines. The simulation results in Fig. 6 shows that the phase mismatch difference between the two samples is minimal with mismatch of less than 3 , where points 1–8 in Fig. 6 are random points on the unit cells’ gate in each power cell. This means that we can use a wide and short power cell. The length has reduced 20%, 176–148 m. The measurement result verifies that the wider cell achieves larger MAG, as shown in Fig. 7. Through these procedures, we finally design an optimized power cell for the high-power PAs. This power cell has been used in the CMOS PA in this paper and has been used also in [19] and [20], achieving high performances. III. HARMONIC CONTROL CIRCUITS TO ENHANCE LINEARITY AND EFFICIENCY OF THE PA Fig. 8 is a schematic of the CMOS PA with the ET supply modulator. The PA utilizes a single stage and differential structure to minimize the source degeneration. The 0.18- m thin-

oxide (breakdown voltage: 5 V) and 0.4- m thick-oxide (breakdown voltage: 9 V) transistors are stacked to create a cascode structure, which releases the breakdown issue. The optimized CMOS power cell designed in Section II has been used. In addition, to enhance the efficiency and linearity of the PA, 2nd harmonic shorts are employed. In [21], authors have tested the effect on linearity of the 2nd harmonic short circuits at various nodes and showed that either node, gate or source, can improve the linearity. The previous papers [6], [22], [23] focused on a single node, gate of the common-source (CS) transistor, to improve only the linearity performance of the CMOS PA. These previous papers clearly state that the 2nd harmonic short at either gate or source, or at both nodes improve linearity. On that premise we focus on the effect on efficiency by the 2nd harmonic short circuits. For high efficiency, a class-F-like output matching is employed [24]. In the operation, the current waveform is positive half-sinusoidal, having fundamental, and in-phased 2nd harmonic components and the voltage is rectangular, having

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: HIGH-PERFORMANCE CMOS POWER AMPLIFIER WITH IMPROVED ENVELOPE TRACKING SUPPLY MODULATOR

5

Fig. 9. Simulated waveforms, harmonics, waveforms, and loadlines of the PAs with different 2nd harmonic short circuits. All have an identical ideal output transformer and output 2nd harmonic short circuit.

fundamental and in-phased 3rd harmonic components. The input voltage waveform derives the output current waveform, and a proper harmonic control at the input is necessary. The effect on the input harmonic termination in HBT has been reported in [25]. In a class-F operation, the out-phased 2nd harmonic should be minimized in the gate voltage, which reduces the in-phased output 2nd harmonic current generation and efficiency. This out-phased 2nd harmonic at the input is generated by a nonlinear . The behavior of the output current and voltage is the same for both CMOS and HBT. However, due to the deference in design structures, proper harmonic control for a CMOS PA needs to be investigated. The main difference is that CMOS PA utilizes a differential structure due to absence of a ground via-hole. In this paper, we fix the output matching and change the output current waveform by the harmonic control at the input to have a high efficiency. Achieving a positive half-sinusoidal

output current is the main goal. The CMOS PA is differential, and the common nodes such as the CS transistor’s source node is ac shorted for all odd harmonics so the 3rd harmonic does not affect the performance, but even harmonics directly sees the source inductance. Therefore, as shown in Fig. 9 “2nd harmonic short @ Gate” row, even though the 2nd harmonic is terminated at the gate, the 2nd harmonic remains at the source node thereby the 2nd harmonic component is still generated at the waveform. This component produces the out-phased 2nd harmonic at the output current, reducing the efficiency. With the 2nd harmonic component at the , the input voltage, waveform is rectangular rather than half-sinusoidal. This behavior is similar for the case of the “2nd harmonic short @ Source.” These two circuits having the 2nd harmonic short circuits either at the gate or source improve in linearity [6], [21]–[23], but not the efficiency.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Two-tone simulation results of two types of PAs. All have an identical ideal output transformer and output 2nd harmonic short circuit.

In the “2nd harmonic short @ Gate & Source” row in Fig. 9, all 2nd harmonics are terminated at the gate and source, without any 2nd harmonic components on the waveform. This waveform is clearly distinguished from the other waveforms, i.e., symmetric and sinusoidal. As a result, an in-phased 2nd harmonic is generated at the output current and waveform is now half-sinusoidal, having lower conduction angel than that of the rectangule waveform. Due to the lower conduction angle, we can achieve higher efficiency. The loadline in Fig. 9 clearly shows that the voltage and current waveforms consume a less power internally. As shown in [21], the 2nd harmonic short at gate node or source node gave linearity improvement and when the both nodes are shorted for 2nd harmonic, the improvement in linearity is even larger. However, as is mentioned in [21] and [26], the nonlinearity effect in linearity is dominant at a low-power region. As the power level increases, distortion can be dominant. When the nonlinearity is compensated by either the gate or source 2nd harmonic short and distortion becomes dominant, the linearity cannot be improved further by the two 2nd harmonic shorts. This is why we achieve similar linearity for both circuits in Fig. 10. We compare the efficiency with the two circuits, one with the 2nd harmonic short at the gate and the other at both the gate and source. Fig. 10 shows the results that there are not much difference in linearity, but 4% higher efficiency at the peak output power. Measurement results with a 10-MHz BW 16-QAM 7.5-dB PAPR LTE signal are also depicted in Fig. 11. The measurement results show 3% higher efficiency at the peak average power. By applying the 2nd harmonic short circuits at the source and gate of the CS transistors, we have improved both efficiency and linearity at the same time. IV. IMPROVED ET SUPPLY MODULATOR FOR CMOS PA WITH A HIGH KNEE VOLTAGE The ET system’s merit is that the efficiency increases not only at the back-off region, but also at the peak power operation for amplifying a signal with a large PAPR. The ET system achieves the high efficiency by replacing the fixed dc supply with the dynamic supply voltage, which closely tracks the envelope of

Fig. 11. Measured results of the two types of PAs. All have an identical output on-chip transformer and output 2nd harmonic short circuit. With 10-MHz BW 16-QAM 7.5-dB PAPR LTE signal.

Fig. 12. Modified envelope shaping for the drain and the gate of the CG transistor.

TABLE I POWER DISSIPATION COMPARISON SUMMARY BETWEEN PROPOSED AND CONVENTIONAL SUPPLY MODULATORS

the transmitted RF signal. However, efficiency of the supply modulator should be high to get the enhanced overall efficiency. Total efficiency of the ET system can be derived as follows: (2) In this paper, we propose an improved supply modulator suited for the CMOS PA. Most of the ET systems have been applied to GaAs HBT PAs due to its popularity in real handset implementation. However, by steadily improved performance and integration capability of the CMOS PA, the ET system on a CMOS PA becomes a hot issue. An important difference in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: HIGH-PERFORMANCE CMOS POWER AMPLIFIER WITH IMPROVED ENVELOPE TRACKING SUPPLY MODULATOR

Fig. 13. (a) Schematic of the conventional ET supply modulator. (b) Schematic of the proposed nonzero

7

ET supply modulator.

TABLE II POWER DISSIPATION SUMMARY BETWEEN PROPOSED AND CONVENTIONAL MODULATOR’S LINEAR STAGES

characteristic between the CMOS and HBT PAs is the knee voltage. The CMOS PA’s knee voltage is almost twice larger than the GaAs HBT PAs, which is about 1 V, due to the cascode structure. For the optimum operation of the ET system, the envelope is reshaped to follow the sweet spot of the IMD3, as shown in Fig. 12 [27]. The sweet spot tracking ET PA compensates the nonlinear distortions of the PA and achieves higher linearity; this is well described in [27] and [28]. The minimum value of the envelope is slightly larger than the knee voltage of the PA. For the HBT PAs, the minimum voltage of the shaped envelope is about 0.5 V, which is similar to the minimum voltage the supply modulator can provide, due to the voltage drop through the NMOS at the buffer of the linear stage. For the CMOS PA, however, additional offset is needed. We propose a new supply modulator architecture to provide the additional offset needed for the CMOS PA. Fig. 13(a) shows the conventional supply modulator and (b) is the proposed modulator. The grounds of the proposed modulator’s linear and switching stages are connected to a dc supply with a supply voltage equivalent to the additional offset voltage. The I/O device has a voltage drop of about 0.4 V. Hence, the maximum voltage swing of the conventional supply modulator is 0.4 V 3.6 V when is 4.0 V. Since the CMOS PA has a high knee voltage of about 1.1 V, the envelope should be shaped to cover the envelope output voltage of 1.1 V 3.6 V. The voltage swing range of the modulator (0.4 V 3.6 V) is larger than the necessary range, and the efficiency is not optimal for the envelope shaping. To optimize the efficiency, 0.7-V offset voltage is added to the ground of the supply modulator. In that way, the 0.7-V portion of the output voltage is generated by the voltage generator with high efficiency. To maintain the rail-to-rail voltage of 4 V, of the proposed modulator is

also increased to 4.7 V. Now the maximum voltage swing range for the supply modulator is 1.1 V 4.3 V. Since the minimum envelope output voltage is higher than the CMOS PA’s knee voltage, the maximum swing can be used, achieving higher efficiency and output power than the conventional one. The efficiency of the supply modulator can be defined as follows: (3) (4) (5) , , and are the output power, where dc power, and dissipated power of the supply modulator, and and are the dissipated power of the linear stage and switching stage, respectively. Most of the internal power consumption has occurred at buffer of the linear regulator and switching, the grey colored boxes in Fig. 13. Table I shows the values of the parameters in (4), when both supply modulators have the same envelope voltage range of 1.1 3.0 V. As expected, the proposed supply modulator dissipates lower power than the conventional one. At the linear stage, the class-AB buffer consumes most of the power, and the power consumption of the conventional modulator can be expressed as follows: (6) is the supply voltage of the supply modulator, where is the output voltage of the supply modulator. and are the dissipated currents in the PMOS and NMOS of the class-AB buffer, respectively. By providing the additional dc

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. Simulated and measured efficiencies of the conventional and proposed supply modulators with a fixed load. (Supply modulator: S.M.)

Fig. 17. Measured performance comparison between the conventional ET PA with 1.5-dB output power offset and the proposed ET PA at 1.70 GHz for a 10-MHz BW 16-QAM 7.5-dB PAPR LTE signal. TABLE III PERFORMANCE COMPARISON SUMMARY BETWEEN CONVENTIONAL AND PROPOSED ET PAs

Fig. 15. Chip microphotographs of the CMOS ET PA and the supply modulator.

Fig. 16. Measured performance comparison between the conventional ET PA and the proposed ET PA at 1.70 GHz for a 10-MHz BW, 16-QAM, 7.5-dB PAPR LTE signal.

offset voltage, stages, the

, at the ground of the linear and switching is changed as

(7) where and are the sourcing and sinking currents of the proposed modulator, respectively. The switching stage in the conventional module provides the average current. Therefore, the sinking and sourcing currents should be the same level. When the and the ground of the switching stage are increased by the offset voltage, the switching current ( ) increases since the voltage across the inductor is shifted

Fig. 18. Measured spectra of the PA at an average output power of 28.5 dBm for the 10-MHz BW 16-QAM 7.5-dB PAPR LTE signal.

by the offset voltage. Therefore, the sinking current should be increased by the same amount and the sourcing current is reduced by the comparable amount. The voltage across the sourcing PMOS is larger than that across the sinking NMOS. Therefore, the power consumption is reduced. Table II shows the detail values for (7). The direct comparison between the two modulators cannot be made due to the difference in the peak envelope output voltage. However, still, it could clearly prove that the proposed structures achieves higher efficiency than the conventional version, especially at a low power operation, at the same voltage range. The measurement and simulation for the efficiency are shown in Fig. 14. The ET supply modulator is measured with a fixed

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: HIGH-PERFORMANCE CMOS POWER AMPLIFIER WITH IMPROVED ENVELOPE TRACKING SUPPLY MODULATOR

9

TABLE IV COMPARISON OF THE ET PA MODULE WITH THE STATE-OF-THE-ART PAs

7.8- resistor at the output. The envelope shaping for the conventional modulator is modified to have a voltage swing range from 1.1 to 3.5 V and for the proposed version it is modified to have a range of 1.1 to 4.2 V. The peak efficiency of the proposed structure is 81.5%, 4.0% improvement from the conventional version efficiency, 77.5%. The efficiency improvement at the back-off envelope is even larger, about 10% point. The improvement at the back-off region is the most attractive characteristic of this modulator. Even with the dc/dc converter having an efficiency of 80% [29], proposed supply modulator outperforms the conventional supply modulator. V. IMPLEMENTATION AND MEASUREMENT RESULTS The proposed differential cascode CMOS PA and ET supply modulator are fabricated in a 0.18- m RF CMOS technology. They are 0.78 mm 1.80 mm and 0.75 mm 0.80 mm in sizes, respectively. Chip micrographs of the fabricated PA and supply modulator are presented in Fig. 15. For measurement, the both chips are mounted on a FR-4 printed circuit board (PCB). The 2nd harmonic short circuits are implemented by connecting a 4.3-pF metal–insulator–metal (MIM) capacitor and a 0.5-nH bond-wire to minimize the loss and size. The

output transformer is integrated on the chip to minimize the size and external components. A 1:2 transmission-line transformer (TLT) is employed, as shown in Fig. 8. Its insertion loss including two matching capacitors is 1.10 dB at 1.70 GHz. To maximize the efficiency, an external PCB transformer can be used [6], [20], [22], [23] since the insertion loss is about 0.5 dB lower, leading to 5% higher efficiency [20]. The fabricated ET PAs are measured using an LTE signal with 10-MHz BW 16-QAM 7.5-dB PAPR LTE signal at 1.70 GHz. Fig. 16 shows the four measurement results, standalone PA with 3.5-V , conventional ET PA, standalone PA with 4.2-V , and the nonzero ET PA. Here all four PAs utilize the 2nd harmonic control at the gate and source of the CS transistors and at the drain of the common-gate (CG) transistors for maximum performance. The 3.5-V standalone PA has a PAE of 33.5% and an ACLR of 31 dBc at an average output power of 27 dBm. A conventional ET supply modulator is applied to the 3.5-V PA. With the conventional modulator, the performance increases to a PAE of 35.3% and an ACLR of 35.5 dBc at an average output power of 27.0 dBm. The 4.2-V standalone PA has a PAE of 33.0% and an ACLR of 31.8 dBc at an

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

average output power of 28.5 dBm. With the proposed nonzero ET supply modulator, the performance increases to a PAE of 36.6% and an ACLR of 35.6 dBc at an average output power of 28.5 dBm. As expected, the nonzero ET PA delivers a better efficiency across the all power level and significantly higher efficiency at a low power region compared to the conventional ET PA. However, the differences at the same output powers are small in this measurement (Fig. 16) because the proposed modulator operates at a low efficiency region, compared to the conventional one. By changing the from 3.5 to 4.2 V, the PA generates about 1.5 dB more power. To see efficiency improvement of the modulator, the maximum average output powers of the two ET PAs are matched by giving the 1.5-dB offset to the conventional version, as shown in Fig. 17. Now since the two PA’s efficiencies are almost the same, the efficiency improvement of the modulator can be seen directly. This figure clearly shows that the proposed modulator is more efficient at the maximum average output power and more significantly at a back-off powers. The efficiency with the proposed modulator is improved by 1.8% point at the peak power compared to that of with the conventional ET supply modulator. At a 10-dB back-off power, the conventional ET supply modulator improves efficiency from 10% to 19% (9% improvement) over the standalone PA, and for the proposed version the efficiency improves from 10% to 23% (13% improvement). The comparison summary can be seen in Table III. Fig. 18 depicts the measured spectra of the PAs at an output power of 28.5 dBm for the LTE signal, satisfying the system specification. The ACLR is measured with a 9-MHz resolution BW at both a center frequency and a 10-MHz offset. The measured error vector magnitude (EVM) of the 4.2-V standalone PA and nonzero ET PA are 4.4% and 3.0%, respectively. Table IV shows a comparison of the ET PA module with the state-of-the-art PAs. The proposed ET PA outperforms the CMOS PAs in terms of linearity and efficiency. With the optimized power cell, the proper harmonic control, and the nonzero ET supply modulator, the performance surpasses our previous work [6]. This performance is also competitive to the commercial InGaP HBT PA [33] and the SiGe BiCMOS ET PA [34] for LTE applications. VI. CONCLUSION The CMOS power cell has been optimized to maximize performance of the PA. To address the inferior CMOS process, the differential cascode structure is also adopted to reduce the degeneration effect of bonding wires at the source of the CS amplifier and to enhance the low breakdown voltage through the two transistors. A new type of output matching network, which is a PCB transformer embedded underneath the PA chip, has been proposed in this paper to maximize the performance and minimize size of the PA module. It has been proven by the simulations and measurements that the proposed PCB transformer can be successfully mounted underneath the PA chip die. To show the usefulness of the proposed PCB transformer, a 2.0 mm 2.5 mm CMOS PA module has been developed for LTE application. The output matching loss has been improved significantly by the off-chip transformer, compared to the on-chip TLT. RF

characteristics have not been degraded by using the PCB transformer underneath the PA chip die. The proposed approach can also be applied to other types of wireless applications requiring a differential-to-single transformer with compact size and high performance. REFERENCES [1] D. Chowdhury, C. D. Hull, O. B. Degani, Y. Wang, and A. M. Niknejad, “A fully integrated dual-mode highly linear 2.4 GHz CMOS power amplifier for 4G WiMAX applications,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 1054–1063, Dec. 2009. [2] A. Afsahi, A. Behzad, and L. E. Larson, “A 65 nm CMOS 2.4 GHz 31.5 dBm power amplifier with a distributed LC power-combining network and improved linearization for WLAN applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2010, pp. 452–453. [3] A. Afsahi, A. Behzad, V. Magoon, and L. E. Larson, “Linearized dualband power amplifiers with integrated baluns in 65 nm CMOS for a 2 2 802.11n MIMO WLAN SoC,” IEEE J. Solid-State Circuits, vol. 45, no. 5, pp. 955–966, May 2009. [4] A. Afsahi and L. Larson, “An integrated 33.5 dBm linear 2.4 GHz power amplifier in 65 nm CMOS for WLAN applications,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2010, pp. 1–4. [5] T. Sowlati and D. M. W. Leenaerts, “A 2.4-GHz 0.18- m self-biased cascode power amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1318–1324, Aug. 2003. [6] D. Kang, B. Park, D. Kim, J. Kim, Y. Cho, and B. Kim, “Envelopetracking CMOS power amplifier module for LTE applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3763–3773, Oct. 2013. [7] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [8] C. H. Lin et al., “The optimized geometry of the SiGe HBT power cell for 802.11a WLAN applications,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 49–51, Jan. 2007. [9] T. Johansson, “Inside the RF power transistor,” Appl. Microw. Wireless, vol. 11, pp. 1–6, Oct. 1997. [10] P. C. Yeh et al., “High power density, high efficiency 1 W SiGe power HBT for 2.4 GHz power amplifier applications,” Solid State Electron., vol. 52, pp. 745–748, 2008. [11] G. B. Gao, M. Z. Wang, X. Gui, and H. Morkoc, “Thermal design studies of high-power heterojunction bipolar transistors,” IEEE Trans. Electron Devices, vol. 36, no. 5, pp. 854–863, May 1989. [12] D. J. Walkey, D. Celo, T. J. Smy, and R. K. Surridge, “A thermal design methodology for multifinger bipolar transistor structures,” IEEE Trans. Electron Devices, vol. 49, no. 8, pp. 1375–1383, Aug. 2002. [13] N. Matsuno et al., “A 500-mW high-efficiency Si MOS MMIC amplifier for 900-MHz-band use,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 8, pp. 1407–1410, Aug. 2000. [14] D. Kang, J. Choi, D. Kim, and B. Kim, “Design of Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2134–2142, Aug. 2010. [15] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [16] H. Hedayati et al., “A 2-GHz highly linear efficent dual-mode BiCMOS power amplifier using a reconfigurable matching network,” IEEE J. Solid-State Circuits, vol. 47, no. 10, pp. 2385–2404, Oct. 2012. [17] D. Kang et al., “A 34% PAE, 26-dBm output power envelope-tracking CMOS power amplifier for 10-MHz BW LTE applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012. [18] M. M. El-Desouki, S. M. Abdelsayed, M. J. Deen, N. K. Nikolova, and Y. M. Haddara, “The impact of on-chip interconnections on CMOS RF integrated circuits,” IEEE Trans. Electron Devices, vol. 56, no. 9, pp. 1882–1890, Sep. 2009. [19] S. Jin, M. Kwon, K. Moon, B. Park, and B. Kim, “Control of IMD asymmetry of CMOS power amplifier for broadband operation using wideband signal,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3753–3762, Oct. 2013. [20] S. Jin, B. Park, K. Moon, M. Kwon, and B. Kim, “Linearization of CMOS cascode power amplifiers through adaptive bias control,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4534–4543, Dec. 2013.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: HIGH-PERFORMANCE CMOS POWER AMPLIFIER WITH IMPROVED ENVELOPE TRACKING SUPPLY MODULATOR

[21] J. Kang et al., “A highly linear and efficient differential CMOS power amplifier with harmonic control,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1314–1322, Jun. 2006. [22] B. Park et al., “A 31.5% 26 dBm LTE CMOS power amplifier with harmonic control,” in Proc. 42th Eur. Microw. Conf., 2012, pp. 341–344. [23] B. Park, J. Kim, Y. Cho, S. Jin, D. Kang, and B. Kim, “CMOS linear power amplifier with envelope tracking operation,” J. Electromagn. Eng. Sci., vol. 14, no. 1, pp. 1–8, Mar. 2014. [24] F. H. Raab, “Maximum efficiency and output of class-F power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 6, pp. 1162–1166, Jun. 2001. [25] A. Ohta et al., “Effect of input harmonic termination on high efficiency HBT amplifiers for mobile communications,” in Proc. 32th Eur. Microw. Conf., Sep. 2002, pp. 749–753. [26] C. Wang, M. Vaidyanatha, and L. E. Larson, “A capacitance compensation technique for improved linearity in CMOS class-AB power amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004. [27] D. Kim, D. Kang, J. Choi, J. Kim, Y. Cho, and B. Kim, “Optimization for envelope shaped operation of envelope tracking power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1787–1795, Jul. 2011. [28] K. Moon et al., “Investigation of intermodulation distortion of envelope tracking power amplifier for linearity improvement,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1324–1333, Apr. 2015. [29] “Three-phase step-down switching regulator,” Maxim Integr., San Jose, CA, USA, MAX8973A–9A. [30] J. Kim et al., “A fully-integrated high-power linear CMOS power amplifier with a parallel-series combining transformer,” IEEE J. SolidState Circuits, vol. 47, no. 3, pp. 599–614, Mar. 2012. [31] B. Koo, T. Joo, Y. Na, and S. Hong, “A fully integrated dual-mode CMOS power amplifier for WCDMA applications,” in IEEE Int. SolidState Circuits Conf. Tech. Dig., 2012, pp. 82–84. [32] B. Francois and P. Reynaert, “A fully integrated watt-level linear 900-MHz CMOS RF power amplifier for LTE-applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1878–1885, Jun. 2012. [33] “ACPM-5017 LTE band 12/17 (698–716 MHz) 3 3 mm power amplifier module,” Avago Technol., San Jose, CA, USA, 2012. [34] Y. Li, J. Lopez, C. Schecht, R. Wu, and D. Y. C. Lie, “Design of high efficiency monolithic power amplifier with envelope-tracking and transistor resizing for broadband wireless applications,” IEEE J. SolidState Circuits, vol. 47, no. 9, pp. 2007–2018, Sep. 2012. [35] R. Shrestha, R. van der Zee, A. de Graauw, and B. Nauta, “A wideband supply modulator for 20 MHz RF bandwidth polar PAs in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1272–1280, Apr. 2009. Byungjoon Park received the B.S. degree in electrical engineering from Hanyang University, Seoul, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His main interests are CMOS RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF power amplifier (PA) design.

Dongsu Kim received the B.S. and Ph.D. degrees in electronic and electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2007 and 2013, respectively. He is currently with the Samsung System LSI Business, Gyeonggi-do, Korea. His research interests are CMOS RF circuits for wireless communications with a special focus on highly efficient and linear RF transmitter design.

11

Seokhyeon Kim received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2011, and is currently working toward the Ph.D. degree in POSTECH. His current research interests include highly linear and efficient RF power-amplifier design.

Yunsung Cho received the B.S. degree in electrical engineering from Hanyang University, Ansan, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His main interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF power-amplifier design.

Jooseung Kim received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at POSTECH. His research interests are CMOS RF circuits for wireless communications, especially focused on highly efficient and linear RF transmitter design.

Daehyun Kang received the B.S. degree in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 2006, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2012. He is currently with the Broadcom Corporation, Matawan, NJ, USA. His research interests include the design of PAs and highly efficient and linear transmitter. Sangsu Jin received the M.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2005 , and is currently working toward the Ph.D. degree at POSTECH. From 2005 to 2011, he was with LG Electronics, Seoul, Korea, where he designed the low-noise amplifier for digital TV tuner integrated circuit (IC) and high-speed serial/parallel links and all-digital phaselocked loop (PLL) circuits for display interfaces. His research interests include RF power amplifiers (PAs) and CMOS RF/analog ICs.

Kyunghoon Moon received the B.S. degree in electrical engineering from Hanyang University, Ansan, Korea, in 2012, and is currently working toward the Ph.D. degree in electrical engineering at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His main interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF PA design.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie Mellon University, Pittsburgh, PA, USA. He joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FETs, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he

joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, where he is a POSTECH Fellow and a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center. He is involved in device and circuit technology for RF integrated circuits (RFICs) and power amplifiers. He has authored over 400 technical papers. Prof. Kim is a Member of the Korean Academy of Science and Technology and the National Academy of Engineering of Korea. He was an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and an Administrative Committee (AdCom) Member.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A WCDMA Multiband Power Amplifier Module With Si-CMOS/GaAs-HBT Hybrid Power-Stage Configuration Kazuya Yamamoto, Senior Member, IEEE, Miyo Miyashita, Member, IEEE, Suguru Maki, Yoshinori Takahashi, Kazunobu Fujii, Shigeru Fujiwara, Fumimasa Kitabayashi, Satoshi Suzuki, Teruyuki Shimura, Morishige Hieda, Senior Member, IEEE, and Hiroaki Seki

Abstract—This paper describes a newly developed Si/GaAs hybrid multiband power amplifier module (MB-PAM) that supports major quad wideband code division multiple access (WCDMA) bands (Bands 5, 8, 2, and 1) for handset applications. With four dies (two GaAs-HBT dies, one GaAs-HEMT die, and one CMOS die) and several surface-mount devices, the hybrid MB-PAM accommodates two amplifier chains and two single-pole double-throw HEMT band-select switches on a 5 mm 5.5 mm laminate, covering 824–915 and 1850–1980 MHz. Each amplifier chain has two switchable signal paths corresponding to dual (high and low) power modes [high-power mode (HPM) and low-power mode (LPM)] for saving battery current in practical handset use. One of the main features of this MB-PAM is the integration of driver stages, RF switches, and their bias control circuits on the CMOS die for pursuing cost reduction. Only the two output power stages are fabricated in a GaA-HBT process. Measurements conducted under the condition of a 3.4-V supply voltage and a WCDMA (Third Generation Partnership Project Release 99) modulated signal are as follows. Owing to optimized linear design and broadband output matching design, the hybrid MB-PAM achieves a power-added efficiency (PAE) as high as 39%–40% at 28 dBm of output power ( ) over 824–915 MHz in the HPM while maintaining a 5-MHz-offset adjacent channel leakage power ratio (ACLR1) to less than 39 dBc. In the LPM, PAE of 15% at a of 17 dBm is obtained while ACLR1 of less than 40 dBc is maintained. For 1850–1980 MHz, the MB-PAM delivers 35% of PAE with ACLR1 of less than 40 dBc at 28 dBm in the HPM and 15% PAE at 17.5 dBm of in the of LPM. Index Terms—CMOSFET circuits, code division multiplexing, HEMTs, heterojunction bipolar transistors (HBTs), power amplifiers (PAs). Manuscript received October 13, 2015; revised December 30, 2015; accepted January 11, 2016. K. Yamamoto, M. Miyashita, S. Maki, Y. Takahashi, S. Fujiwara, F. Kitabayashi, S. Suzuki, T. Shimura, and H. Seki are with the Mitsubishi Electric Corporation, Itami, Hyogo 664-8641, Japan (e-mail: [email protected]). K. Fujii is with Wave Technology Inc., Kawanishi, Hyogo 666-0024, Japan. M. Hieda is with the Mitsubishi Electric Corporation, Kamakura, Kanagawa 247-8501, Japan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2520461

I. INTRODUCTION

C

OMMERCIALLY available power amplifiers (PAs) for WCDMA handset and/or wireless local are network (LAN) applications have been facing the daunting challenges of higher efficiency operation, lower distortion, and lower cost. As is well known, Si-CMOS and SiGe-BiCMOS processes are more suitable for reducing mass production cost and implementing complex control functionality than GaAs-HBT/HEMT processes. Much research has, therefore, been focused on fully integrated CMOS PAs and SiGe-BiCMOS PAs for handsets or wireless LANs to date [1]–[29]. However, most of the currently available PAs employ GaAs HBT or GaAs bipolar field-effect transistor (BiFET) technologies for power stages [30]–[38], and GaAs-based PA products are consequently even today the main players for handset transmitter blocks. The reason is that compared to the CMOS/BiCMOS-based PAs, the GaAs-HBT-based PAs have inherently higher power density, higher efficiency, and lower distortion characteristics as well as ruggedness under strong load mismatching environments. For example, practical wideband code division multiple access (WCDMA) handset applications often require a power-added efficiency (PAE) of approximately 40% and a 5-MHz-offset adjacent channel power ratio (ACLR1) of approximately 40 dBc at the specified output power ranging from 27.5 to 28.5 dBm and the power gain of higher than 25 dB. However, it is not easy for most of the fully integrated CMOS PAs to achieve such performance without using efficiency enhancement techniques such as digital predistortion, envelope tracking, or envelope elimination and restoration [2], [3], [5], [8]–[11], [16], [21], [22], [24]. As one of the most effective ways to realize cost reduction and acceptable RF performance with Si-CMOS, a new power amplifier module (PAM) configuration has been demonstrated recently using a successful combination of Si-CMOS and InGaP-HBT technologies [39]. However, the demonstrated PA module is a prototype and supports only a single WCDMA band (Band 3). Moreover, because the module comprises an InGaP-HBT die directly assembled on a printed circuit board and a packaged CMOS attached on the same board, the module dimensions are as large as 10 mm 10 mm, not practical for actual handset terminal use.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Simplified RF front-end block in a typical handset terminal.

In addition to the requirement of cost reduction, multiband operation has lately been strongly required for WCDMA handset PAs [40]–[42]. Fig. 1 illustrates a simplified RF front-end block in a typical multiband operation handset terminal. As seamless connections become widespread, recent WCDMA handsets need to support many WCDMA bands, as illustrated in Fig. 1. Since the multiband operation is highly effective in reducing the number of the PAs and their occupied board area in the front-end block [43], [44], the implementation of the multiband PAs at low cost and with small size is currently becoming very important. To meet the requirements of low cost, small size, and multiband operation, we have newly designed and fabricated a multiband power amplifier module (MB-PAM) based on our proposed Si-CMOS and GaAs-HBT hybrid power-stage configuration. The MB-PAM accommodates two amplifier chains and two single-pole double-throw (SPDT) GaAs-HEMT band-select switches on a 5 mm 5.5 mm glass epoxy laminate, supporting major quad WCDMA bands (Bands 5, 8, 2, and 1: 824–849 MHz, 880–915 MHz, 1850–1910 MHz, and 1920–1980 MHz). For pursing low cost, RF driver stages, RF switches for switching the amplifier chains, and their related bias control circuits are implemented on a CMOS die, whereas only the output power stages are formed on two small-size GaAs-HBT dies for achieving RF performance competitive with GaAs BiFET PAMs. Hence, the configuration presented in this paper reduces the cost of the PAM and enables the PA to deliver RF performance comparable to conventional GaAs-HBT PAMs. In regard to the cost, package size, and RF performance, our estimated comparisons between this work, a BiFET PAM, and a full CMOS PAM are summarized in the Appendix. In this paper, the following various and useful CMOS block designs are described in detail as well as broadband output matching design: 1) optimum bias design to ensure long-term reliability for CMOS switches; 2) our unique CMOS cascode amplifier design; and 3) linear amplifier design for single-ended topology. In particular, 2) is effective in implementing a large gate periphery CMOS power stage and 3) describes how to design a single-ended multistage linear CMOS amplifier for the first time. To the best of the authors’ knowledge, this PAM is the first demonstration of a multiband operation handset PAM with Si-CMOS/GaAs-HBT

Fig. 2. Proposed multiband PAM using the Si-CMOS/GaAs-HBT hybrid power-stage configuration: (a) simplified block diagram and (b) micrograph. In Fig. 2(b), each computer-aided design (CAD) die layout is also depicted with its die size.

hybrid power-stage configuration and commercially acceptable package dimensions. This paper is organized as follows. Section II describes the circuit design of the proposed hybrid power-stage configuration while focusing on the PAM architecture, CMOS switches, CMOS cascode amplifier design, single-ended linear amplifier design, and broadband output matching design. Section III discusses a variety of measurements with the overall multiband PA. Section IV concludes this paper. II. CIRCUIT DESIGN For the design and fabrication of the MB-PAM, we have utilized our in-house GaAs-HBT and depletion-mode HEMT processes [45], [46] in addition to a TSMC standard 0.18- m bulk CMOS single-poly/six-metal (1P6M), triple-well structure process. The CMOS process includes 5-V CMOS as well as 1.8-V CMOS so as to ensure the reliability under 5-V dc and 4.2-V ac oversupply voltage environments that are usually required for battery-operated handset PAs [42]. In this section, we describe some design issues and countermeasures while focusing on simulations and measurements for the amplifier chain operating in Bands 5 and 8. A. PAM Architecture The simplified block diagram of the MB-PAM using our proposed Si-CMOS and GaAs-HBT hybrid power-stage configuration is shown in Fig. 2(a) [47]. Fig. 2(b) shows a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

micrograph of the PAM. As shown in Fig. 2(a) and (b), the MB-PAM integrates two GaAs-HBT dies, one GaAs-HEMT die, and one CMOS die on a glass epoxy laminate as small as 5 mm 5.5 mm, together with several surface-mount devices (SMDs). As shown in Fig. 2(a), the MB-PAM accommodates two amplifier chains for the low band (LB) (Bands 5 and 8) and the high band (HB) (Bands 2 and 1) followed by two SPDT band-select switches. Each amplifier chain, which is based on a parallel amplifier configuration [48], has two switchable signal paths (main- and sub-paths) for realizing dual power modes [high-power mode (HPM) and low-power mode (LPM)] without using static supply voltage control called average power tracking [30]–[38]. Such a parallel amplifier configuration is more suitable for reducing quiescent current and power gain in the LPM than a single-chain configuration, as will be shown later in Table II. The activation and inactivation of each power stage for the HPM and LPM are as follows. In the LB chain, the driver stage, , and the output stage, , are activated in the HPM, while in the LPM, the sub-path power stages, and , are activated. Similarly, in the HPM of the HB chain, the common driver stage, , the driver stage, , and the output power stage, , are switched on. In the LPM, the common driver stage, , and the driver stage, are switched on. As shown in Fig. 2(a), most of the LB and HB amplifier chains and their related control circuits including the band-select switch control are formed on the CMOS die, whereas each HBT die contains only the output power stage and its bias circuit, as shown in Fig. 3(a) and (b). Since the GaAs HBTs, well suited for high-efficiency operation, work as the output power stage, we can expect that this hybrid configuration reduces the cost of the PAM while maintaining RF power performance comparable to conventional GaAs-HBT PAMs. In regard to the LB and HB SPDT band-select switches, these are fabricated not with silicon-on-insulator (SOI)-CMOS processes, but with our in-house HEMT process. The reason is that our HEMT switch process is sufficiently cost competitive to SOI-CMOS switch processes. This is because the HEMT switch can be fabricated using only eight mask steps and the SPDT switches do not need complex control logic circuitry. Fig. 3(a) and (b) shows the detailed schematics of the LB and HB PA chains, respectively, where the gate width and the number of cells for the power stages are depicted in the figure for reference. The unit cell of the HBT is an emitter size of 4 m 20 m [45], [46]. Fig. 3(c) shows a simplified schematic example for the LB CMOS driver stage including its bias block. Each CMOS power stage uses a cascode topology consisting of a 1.8-V nMOS for the common-source stage and a 5-V nMOS for the common-gate stage in order to ensure the reliability during high-power operation and 5-V dc oversupply voltage standby environments. To keep the CMOS die as small as possible, we have not adopted a differential topology having a virtual ground, but a single-ended topology with several grounded bond-wires. Accordingly, an RC feedback circuit is added to each power stage for stable operation over the frequency range of interest.

3

As can be seen in Fig. 3(a) and (b), the programmable functionality for enhancing the broadband operation such as variable capacitance and/or variable inductance [34] is not integrated on the CMOS die in order to avoid unnecessary RF loss and reduce the CMOS die area as much as possible. Instead, we have concentrated on the broadband design of the output matching, as will be described later, because the output matching has the greatest impact on the overall broadband operation. As shown in Fig. 3(c), quiescent bias current for the cascode power stage is supplied by a current-mirror bias scheme, where the reference current ( ) for the current mirror circuit is generated from a bandgap reference circuit, and the temperature characteristics of were designed to offer the desired power gain slope and ACLR1 over temperature. The complete schematic for the HEMT band-select switches is depicted in Fig. 4(a). As depicted in the figure, the two SPDT switches for the LB and HB employ a conventional series/shunt switch topology, and a quadruple-gate structure has been adopted for the switch field-effect transistors (FETs) in consideration of power-handling capability and reduced die size. The series-arm switches, , , , and , are pulled up to the nominal voltage of 3.4 V through the battery terminal, , to enable 0-/3.4-V positive gate-bias-voltage control for , , , and . Fig. 4(b) and (c) shows the measured insertion loss and isolation for the LB and HB switches. The LB switch delivers an insertion loss of 0.40 dB and an isolation of 31 dB at 0.9 GHz, whereas the HB switch exhibits an insertion loss of 0.47 dB and an isolation of 27 dB at 2 GHz. These values obtained are acceptable for the band-select switch use. B. CMOS Switches As shown in Fig. 3(a) and (b), the CMOS block incorporates several switches into the die for the dual-power-mode operation. In particular, the switches, and , placed in the output of the sub-paths needs to maintain the off-state during the HPM and offer low insertion loss during the LPM. Hence, we have taken special care for gate-width selection, biasing, and gate–oxide reliability than with the other switches. Fig. 5 shows the schematics for non-stack-type and two-stack-type switches. Taking into account a 5-V dc oversupplied voltage environment and the reliability under a 4.2-V ac operation, 5-V nMOS with ac back-gate floating [49]–[52] has been used for the switches. In addition, the drain and source bias voltage, , is controlled by the logic circuit in accordance with the power mode [53]. Before starting the switch design, we modified a 5-V nMOS model by adding some parasitic capacitances and inductances in order to fit the simulation model to measured S-parameters. The results for a 20- m 40 finger (0.8-mm gate width) nMOS switch are shown in Fig. 6(a) and (b), indicating that the simulations agree well with the measurements over a fundamental frequency range of interest. Next, considering the off-state isolation and power-handling capability during the HPM, we have determined the gate width, stack number, and bias voltage, , for the switches, and . Fig. 7 compares the simulated off-state

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 3. Detailed schematics for the amplifier chains: (a) LB, (b) HB, and (c) LB CMOS driver stage and its simplified bias block.

isolation and power-handling capability depending on the bias voltage, . In the figure, the characteristics for both the 0.8-mm non-stack switch and 3.2-mm (0.8 mm 4 cells) two-stack switch are plotted for comparison. Note that the 3.2-mm two-stack switch can give slightly better insertion

loss than the 0.8-mm switch. As shown in Fig. 7, since the main-path in the HPM delivers an output power ( ) of higher than 28 dBm from the HEMT switch, we can see that the 0.8-mm non-stack switch does not have sufficient power-handling capability at any . In contrast, the 3.2-mm

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

5

Fig. 5. Schematics for CMOS SPST switches: (a) non-stack type and (b) twostack type.

Fig. 6. S-parameter comparison for a 0.8-mm CMOS SPST switch between simulations and measurements: (a) thru-state and (b) isolation state.

Fig. 4. GaAs-HEMT band-select switches: (a) complete schematic, (b) measured S-parameters for the LB switch, and (c) measured S-parameters for the HB switch.

two-stack switch has sufficient isolation of more than 10 dB and power-handling capability of more than 30 dBm at 3.0 V of . On the basis of these simulations, we have used the

3.2-mm two-stack switch for the and , and the 0.8-mm non-stack switch for the others. In the CMOS PA design, suppressing the overstress to gate oxide is essential for overcoming the reliability issue. Since our CMOS PA driver block is based on 1.8- and 5-V nMOS cascode topology, its maximum average output power level of less than 24 dBm imparts no excessive stress to nMOS. Instead, the off-state output switch, , may be overstressed during the HPM. To confirm the overstress of the switch under 3.4and 4.2-V supply voltage conditions, we have conducted simulations against various for the entire LB chain in the HPM. Fig. 8(a) and (b) shows the simulated waveforms of the gateto-drain voltage ( ), off-state input referred 1-dB gain-compressed output power ( ), and peak for the switch, , in the HPM of the LB chain. The figure indicates that peak of the switch biased with of 3.0 V is successfully suppressed within a foundry-required maximum voltage

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7. Simulated isolation for 0.8-mm non-stack and 3.2-mm two-stack , and switches at 0.9 GHz: (a) small-signal isolation versus bias voltage, (b) large-signal isolation (off-state power handling capability) as a parameter . of

of 5 V even at the high supply voltage of 4.2 V while maintaining of 30 dBm. In the figure, the waveform and the peak in the worst case of at a forward transmit power level of 28 dBm are also plotted. The worst voltage standing-wave ratio (VSWR) can be estimated from a typical front-end RF loss including a typical duplexer loss of 1.6 dB (e.g., TDK B8631, Qorvo TQM969001) and a typical antenna switch loss of 0.6 dB (e.g., Skyworks SKY13454), as shown in Fig. 1. We can see that even in the worst case, the peak is well maintained to less than 5 V of the required voltage. Thus, appropriate bias setting for the two-stack switch helps improve the isolation and overcome the reliability issue. C. Linear Amplifier Design Linear design in handset PAMs, i.e., design consideration for delivering flat AM–AM/AM–PM characteristics up to a specified output power, is the most important design issue. Fig. 9 illustrates the basic concept in usual two-stage HBT linear amplifier design [48], [54], [55]. The second (output-power)

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Simulated characteristics for the entire LB chain in the HPM at waveforms under a supply voltage condition of 4.2 V 0.9 GHz: (a) ) and peak and (b) input referred 1-dB gain compressed power ( ) for the sub-path output switch, . gate-to-drain voltage (

stage operating with low quiescent current bias and class-F output matching often delivers gain expansion and lag-phase shift characteristics. To compensate for these characteristics, the first (driver) stage is designed to deliver gain compression and lead phase-shift characteristics by setting a gain matching load condition and tuning some bias circuit parameters. Consequently, we can easily flatten the overall AM–AM/AM–PM characteristics, as illustrated in Fig. 9. In this PAM design, we have investigated whether this concept is available. Before starting the amplifier design, load–pull measurements for a CMOS driver stage depicted in Fig. 10 were performed as a preliminary test, and then the CMOS model was modified to fit the simulations to the measurements in a manner similar to the CMOS switch. Table I summarizes the results, and Fig. 11 compares the simulated output transfer characteristics with the power measurements at 0.9 GHz and a 3.4-V drain bias as an example. Here, the CMOS driver stage with a gate periphery of 20- m 40 fingers 4 cells (total 3.2 mm) was used and the source and load impedances were set at gain and power matching conditions. As shown in Table I and Fig. 11, the simulations are in good agreement with the measurements,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

Fig. 9. Basic concept in typical two-stage HBT linear amplifier design. The second (output power) stage operating with class F matching usually has gain expansion and lag-phase shift characteristics because of low quiescent current biasing. In contrast, the first (driver) stage is designed to have gain compression and lead-phase shift characteristics. The overall amplifier can deliver flat AM–AM/AM–PM characteristics up to a specified output power level.

7

Fig. 11. Power characteristic comparison between simulations and measurements at 0.9 GHz and a 3.4-V drain bias.

Fig. 10. Simplified CMOS cascode power stage schematic for load–pull power is simulations and measurements, where the gate width of 5-V nMOS, 3.2 mm, supply voltage is 3.4 V, and quiescent current is 20 mA. TABLE I SUMMARY OF POWER SIMULATIONS AND MEASUREMENTS Fig. 12. Detailed schematics of 3.2-mm CMOS cascode power stages: (a) conventional stage and (b) new stage with divided gate capacitances.

and the maximum output power levels obtained are high enough to drive the LB and HB HBT output-power stages. However, only the linear gain at 1.9 GHz is insufficient for achieving a power gain of higher than 26 dB in the two-stage configuration. Hence, we have adopted the three-stage configuration for the HB main-path, as shown in Fig. 3(b). With regard to the CMOS power stage, we have adopted a new cascode power stage structure featuring divided common gate capacitances [56]. The conventional and new power stage schematics are compared in Fig. 12(a) and (b), respectively. The common gate interconnect often has non-negligible resistance, especially in the case of large gate-width nMOS with millimeter scale, which will cause the degradation of the output power. Fig. 13 shows the simulated 3-dB gain compressed output power ( ) versus the unit gate resistance ( ) characteristics for the 3.2-mm cascode power stage. With the increase in , degrades gradually. The figure also indicates that this degradation is due to the non-uniformity of operating currents ( , , and )

between the four-unit FETs ( , , , and ). In contrast, there is almost no degradation for the new structure shown in Fig. 12(b) owing to the divided gate capacitances ( , , , and ). In the figure, measured for the power stages with the divided and non-divided capacitances are plotted for the validation. is in good agreement with the simulation. To obtain approximately 0.3-dB improvement of , we have employed the divided gate-capacitance structure for all the CMOS power stages. Fig. 14 shows the simulated AM–PM characteristics for the LB driver stage, comparing three different conditions: 1) no source inductance ( ) and no additional input capacitance ( ); 2) 0.5-nH and no ; and 3) 0.5-nH and 3-pF . Here, as depicted in Fig. 10, is the inductance caused by the source grounded bond-wires, and is an additional capacitance placed between the gate and source terminals of the nMOS, . The simulations and measurements in Table I and Fig. 11 correspond to the case with 0.5-nH and no . Since this case has a relatively large lead-phase shift, we can flatten the overall AM–AM/AM–PM characteristics for the main-path operating in the HPM, as mentioned earlier. On the other hand, this lead-phase shift enhances the distortion such as ACLR1 for the sub-path operating in the LPM because, as shown in Fig. 3, the sub-path consists of the two CMOS stages,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 13. Simulated and 0.8-mm unit cell’s drain current ratio. For comfor power stages with and without divided commonparison, measured gate capacitances are also plotted, where lumped C means the non-divided capacitance shown in Fig. 2(a).

Fig. 14. Simulated AM–PM characteristics under three different conditions: ), 0.5-nH no source inductance ( ) without additional input capacitance ( with 3-pF . without , and 0.5-nH

thereby involving a great increase in the lead-phase shift. Some papers describe the fact that a differential cascode power stage exhibits lag-phase shift characteristics during power operation [9], [11], whereas the cascode stage in Fig. 10 offers the lead-phase shift. We consider that this difference is probably due to the difference in the source inductance, as implied by the case with no and no . In regard to linearization techniques, various kinds of effective techniques—such as a multiple-gate transistor, pMOS or nMOS utilization, bias control, adaptive feedback, predistorter, and envelope tracking—have been reported to date [3], [5], [8], [9], [11], [13]–[16], [18]–[22], [26], [57]–[70]. In this work, we have utilized the simplest and relatively effective technique for avoiding the increase in the die size and allowing easy implementation. The technique is to place additional capacitance, , between the gate and source terminals of the commonsource nMOS, , as shown in Fig. 10 [71]. Fig. 14 compares the simulated AM–PM characteristics for the cascode stages with and without . We can see that the capacitance, , is highly effective in suppressing the lead-phase shift even in the case where the lead-phase shift is strongly caused by the source inductance, .

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

) can In order to find the reason why the capacitance ( suppress the lead-phase shift, we have simulated the input capacitance ( ), output capacitance ( ), drain conductance ( ) of the common-source nMOS ( ), and drain conductance ( ) of the common-gate nMOS ( ) in the cascode stage (Fig. 10) during large-signal operation. The simulation results are shown in Fig. 15. In the figure, the three different conditions are compared in a fashion similar to Fig. 14. As can be seen in Fig. 15, the variation of the drain conductance ( ) for is best suppressed in comparison to the cases of no . According to the AM–PM analytical report on a cascode FET amplifier [72], it is reported that the lead-phase shift in the cascode FET amplifier is caused by the drain conductance variation of the common-source FET. We, therefore, consider that the reduced phase shift by is attributed mainly to the reduction in the variation of . In actual circuit design, the optimized capacitances ( and ) for suppressing the phase shift are placed at both the first and second stages in the LB sub-path, as shown in Fig. 3(a). For the HB sub-path, the optimized capacitances ( and ) are also placed at the common driver stage and the sub-path driver stage, as shown in Fig. 3(b). In contrast, no capacitances are added to the driver stages in the LB and HB main paths in order to compensate the lag phase of the HBT output-power stages. In handset PAM design, not only electromagnetic (EM) simulation for on-chip elements, but also EM simulation for SMDs, dies, and bond-wires assembled on a laminate is indispensable for enhancing design accuracy because drain and collector feedlines, as well as output matching circuits are formed on the laminate. For this reason, we carried out the EM simulation for the laminate including SMDs, all dies, and all RF signal bond-wires, as shown in Fig. 16(a). Fig. 16(b) depicts the laminate layer structure used in this work. As can be seen in Fig. 16(b), the laminate comprises five glass-epoxy dielectric layers with six metal layers. The nominal height of the laminate is as low as 350 m. As shown in Fig. 16(a), Ansys HFSS was employed for the EM simulation. In Fig. 16(a), we can see that several bond-wires including RF signals and RF ground wires are directly implemented between the GaAs dies and the CMOS die. The RF ground wires are grounded through the substrate via-holes on the GaAs HBT dies. This wiring is very useful for reducing the die-pad areas of the HBT and CMOS dies because the CMOS die based on a single-ended topology needs more ground wires than that based on a differential topology, and consequently needs a relatively wide die-pad area for them. In actual EM simulation, we used the defaults for setting mesh generation and convergence criteria, and a typical run-time was approximately 2.5 h on a Windows server, HP DL360p Gen8 having multi-core processors. The reason for the relatively long run-time is probably due to the number of RF ports of approximately 100, as well as relatively large dimensions of the laminate. For further run-time reduction, therefore, we consider that optimized mesh generation and/or the reduced number of the ports will be needed. On the basis of the EM simulation results, RF circuit simulations were performed using Keysight ADS. Here, as shown in Fig. 3(c), some parts of CMOS bias circuits related to power operation were taken into account using a netlist-inclusion function instead of dynamic-link function in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

9

Fig. 16. EM simulation setup: (a) bird’s-eye view of laminate on which GaAs dies and CMOS die are assembled with bond-wires and (b) cross section of five dielectric-layer laminate.

than 17 dBm of our target output power. Owing to the phaseshift compensation capacitances ( and ), the overall phase shift with the capacitances are 7 as small as that without the capacitances. D. Broadband Output Matching Design

Fig. 15. Simulated large-signal characteristics for the cascode power stage: (a) relative input capacitance variation, (b) relative output capacitance varia, and (d) relation, (c) relative drain conductance variation for CS-nMOS, . tive drain conductance variation for CG-nMOS,

ADS. In contrast, Cadence Spectre was a used for CMOS control circuit simulations because of good convergence for dc, ac, and transient responses. Fig. 17(a) and (b) shows the simulated AM–AM/AM–PM characteristics in both the HPM and LPM for the complete LB chain with the LB SPDT switch shown in Fig. 3(a). As shown in Fig. 17(a), in the HPM, the gain deviation is less than 0.5 dB and the phase shift is less than 1 within our target output power of 28 dBm. Fig. 17(b) indicates that in the LPM, the gain deviation and phase shift are suppressed within 1 dB and 4 at less

Broadband matching design for the HBT output power stages is of great importance in designing a multiband PAM. The key issue is to suppress the dispersion of fundamental frequencyband load impedances over the frequency range of interest while second harmonic frequency impedances are maintained at possibly low impedance for realizing class-F operation [46]. The class-F operation is well suited for high-efficiency operation as well as harmonic suppression at linear output power levels. To address the key issue, we have adopted two-stage impedance transformation, as shown in Fig. 14. In Fig. 18, the metal–insulator–metal (MIM) capacitance ( ) on the HBT die and the bond-wire inductance ( ) form the second harmonic frequency ( ) trap for the class-F operation. Several circuit elements ( , , , and ) for the sub-path depicted in the dotted line box also form the trap, helping suppress the variation of the impedance. As regards the fundamental frequency ( ), the two-stage impedance transformation comprising six SMDs ( , , , , , and ) is used. In particular, the two parallel SMD capacitors ( and ) play an important role in creating a steep attenuation pole at around because placing the two capacitors close to each other instead of a single SMD capacitor is often highly effective in suppressing the parasitic inductances of SMD capacitors in actual circuit design. In Fig. 18, although not SMD inductors, but wide transmission lines are often used for the series inductances ( and ) in order to reduce the output matching loss as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Simulated large-signal gain deviations and phase shifts for the complete LB chain including the band-select switch: (a) HPM and (b) LPM. In the LPM, the characteristics with the phase-shift compensation capacitances are compared to those without the capacitances.

Fig. 19. S-parameter measurements for the LB output matching circuit with the LB switch: (a) comparison of load impedance loci seen from the collector terminal of the HBT power stage between a Band 5 narrowband product and this broadband PAM, (b) comparison of load impedance loci between a Band 8 narrowband product and the PAM, and (c) comparison of output matching loss between the narrowband products and the PAM.

Fig. 18. Schematic of the LB output matching circuit and its peripheral circuit.

much as possible, we have employed the two SMD inductors for reasons of space constraint on the laminate in this work. According to our EM estimation, the drawback of the matching by the SMD inductors was an increased loss of approximately 0.15 dB, thereby decreasing a PAE of approximately1.5%.

Fig. 19(a) and (b) compares the measured load impedance loci seen from the collector terminal of the HBT power stage toward the output terminals between two narrowband PA products (Bands 5 and 8) [73], [74] and our designed MB-PAM. In the figure, the narrowband products employ a conventional -type-based impedance transformation for matching [30]. Fig. 19(c) compares the measured output matching loss [46] between the two products and the MB-PAM, where the band-select-switch loss is de-embedded from the MB-PAM loss for the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

11

Fig. 20. Measured S-parameters for the complete MB-PAM in the HPM and LPM: (a) LB chain and (b) HB chain.

comparison. As can be seen in Fig. 19(a) and (b), the dispersions of the and impedance loci for the MB-PAM are successfully suppressed in comparison to those of the narrowband products. With regard to the transfer characteristics, the broadband matching of the MB-PAM achieves both low insertion loss in the fundamental frequency range and good attenuation at the second and third harmonic frequencies, owing to our carefully designed broadband matching circuit. Concerning the HB output matching circuit, a similar design approach was adopted to realize the 1850–1980-MHz broadband operation. Thus, as described above, we can expect that over the wide frequency ranges, the MB-PAM will deliver good RF performance comparable to that of conventional GaAs-based PAs regardless of the use of CMOS driver stages. III. MEASUREMENTS FOR COMPLETE PAM We have carried out on-board measurements for the complete MB-PAM shown in Fig. 2 under the condition of 3.4-V power supplies ( and ) and WCDMA (Third Generation Partnership Project Release 99) modulated signals. Fig. 20 shows the measured S-parameters for the LB and HB chains in the MB-PAM. In the figure, both the S-parameters in the HPM and LPM are plotted for the comparison. As shown in Fig. 20(a), over the frequency range from 824 to 915 MHz, the LB chain delivers a small-signal gain ( ) of 28 dB in the HPM and ranging from 15 to 18 dB in the LPM while maintaining input return loss of better than 10 dB in the two power modes. Total quiescent currents for the LB chain were set at 77 and 20 mA in the HPM and LPM, respectively. Fig. 20(b) indicates that the HB chain delivers 30 dB of in the HPM and 18 dB of in the LPM with input return loss of better than 10 dB over the

Fig. 21. Measured power characteristics for the complete LB chain at 824 and 915 MHz: (a) HPM and (b) LPM.

frequencies ranging from 1850 to 1980 MHz. The quiescent currents in the HPM and LPM for the HB chain were set at 70 and 20 mA, respectively. Owing to broadband matching using the two-stage impedance transformation, the gain differences between the fundamental frequencies and the second-/third-harmonic frequencies are as large as approximately 60 dB in the HPM. Measured power gain, PAE, and ACLR1 at 824 and 915 MHz for the LB chain including the LB switch are shown in Fig. 21. Here, as mentioned in [44], we should note that the band select switch involves an insertion loss of 0.4 dB, thereby causing 4% PAE degradation for the overall PAM characteristics. As shown in Fig. 21(a), despite the LB switch loss, the PAM achieves PAE as high as 39%–40% and power gain of 28.4–28.6 dB at a of 28 dBm in the HPM while maintaining ACLR1 at approximately 40 dBc over the frequency range of interest. As shown in Fig. 21(b), in the LPM, PAE of approximately 15%, power gain of 16.5–18.5 dB, and ACLR1 of less than 40 dBc are obtained at a of 17 dBm at the same frequency range. Fig. 22 compares the simulations and measurements of power gain and ACLR1 as a function of for the LB chain in the HPM and LPM. In spite of the complex design combination of the CMOS driver, the HBT output power stage, and the HEMT switch, the simulations show good agreements with the measurements in the two modes. This is due to the validity of our CMOS model modification and EM-based monolithic microwave integrated circuit (MMIC)/laminate modeling, as well as the HBT and HEMT modeling. Fig. 23 shows the power measurements for the HB chain in the HPM and LPM at 1850 and 1980 MHz, indicating that the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 23. Measured power characteristics for the complete HB chain at 1850 and 1980 MHz: (a) HPM and (b) LPM. Fig. 22. Comparison of power gain and ACLR1 at 915 MHz between the simulations and measurements: (a) HPM and (b) LPM.

PAM achieves approximately 35% of PAE, 30.7–31.2 dB of power gain, and 40 dBc of ACLR1 at 28 dBm of in the HPM. As can be seen in the LPM measurements of Fig. 19(b), the PAM offers PAE of 15% at of 17.5 dBm with ACLR1 of less than 40 dBc over the frequency range of interest. Last, the main RF characteristics of our MB-PAM are summarized in Table II. In the table, those of the previously reported Si/GaAs-hybrid PAM prototype [39] and several GaAsBiFET products featuring broadband operation with two power modes or narrowband operation with three power modes [42], [75]–[78] are compared. As shown in the figure-of-merit (FOM [44]) of Table II, our PAM achieves RF performance, especially LB performance, comparable to that of the GaAs BiFET products of [42], [75] having almost the same functionality. In particular, despite the operation of only the CMOS amplifier chains, the PAE in the LPM is much better than that of the product of [42]. Moreover, taking into consideration the HB switch loss of approximately 0.5 dB, the HB chain delivers slightly better PAE than the previously reported Si/GaAs hybrid PAM of [39]. With regard to quiescent current ( ) in the LPM, different from of the single-chain broadband BiFET product of [76], this PAM can deliver as low an (20 mA) as the BiFET products of [77], [78] with the same topology. This comes from the parallel amplifier topology in the CMOS blocks. Thus, these results prove that our proposed design approach is one of the most effective ways to realize both cost reduction and acceptable RF performance with a small-sized package.

IV. CONCLUSIONS We have demonstrated that the WCDMA MB-PAM implemented using the successful combination of Si-CMOS and GaAs-HBT technologies is capable of delivering competitive RF performance over conventional GaAs-based PA products. We have also provided a detailed description of several design issues and their countermeasures—CMOS switch design, linear amplifier design, and broadband output matching—for the CMOS/HBT hybrid power-stage configuration and parallel-amplifier-chain topology while taking care not to degrade CMOS gate–oxide reliability. The PA module and its related design techniques presented here are expected to contribute to the realization of lower cost smaller sized multiband WCDMA handsets. APPENDIX This appendix provides a brief introduction of cost and package-size estimation for three different material-based PAMs, which was discussed before the development of this multiband-operation Si/GaAs hybrid PAM including band-select switches. Fig. 24(a) and (b) compare the relative die cost and the relative other costs between a BiFET/HEMT PAM, a Si/GaAs hybrid PAM, and a full CMOS/SOI-CMOS PAM, where HEMT and SOI-CMOS are used for the band-select switches. In Fig. 24(b), the other costs, which means the costs other than the die, includes laminate costs, SMD costs, assembly costs, and test costs. As shown in Fig. 24(a), the die cost of the Si/GaAs hybrid PAM is best suited for the reduction in die cost. In contrast, the die cost of the full CMOS/SOI-CMOS

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

13

TABLE II MAIN CHARACTERISTIC COMPARISON BETWEEN THIS WORK AND OTHER REPORTED PAMs

Fig. 25. Package size comparison example between the BiFET, Si/GaAs, and full CMOS solutions. TABLE III SUMMARY OF COMPARISON BETWEEN THREE DIFFERENT SOLUTIONS

Fig. 24. Cost comparison example between the BiFET, Si/GaAs, and full CMOS solutions: (a) die cost and (b) costs other than die.

is not very low, as we expected, because the full CMOS PA based on a differential topology with on-chip matching consumes a much larger die area than the usual GaAs PA based on

a single-ended topology with substrate via-holes. On the other hand, the other costs of the full CMOS are the lowest because

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

it is usually fabricated using a low-cost laminate with a few dielectric layers and a few SMDs. Fig. 25 compares the package sizes. The Si/GaAs hybrid solution has a slight drawback because the assembly of many dies in the solution requires a larger die-pad area than that in the other solutions. Table III summarizes the pros and cons of the three PAM solutions. Thus, we can find that the Si/GaAs hybrid solution can be expected to reduce the overall cost while maintaining the RF performance comparable to the GaAs BiFET solution. REFERENCES [1] I. Aoki et al., “A fully-integrated quad-band GSM/GPRS CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2747–2757, Dec. 2008. [2] S. Leuschner, J.-E. Müller, and H. Klar, “A 1.8 GHz wide-band stacked-cascode CMOS power amplifier for WCDMA applications in 65 nm standard CMOS,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2011, pp. 1–4. [3] K. Onizuka, H. Ishihara, M. Hosoya, S. Saigusa, O. Watanabe, and S. Otaka, “A 1.9/2.4 GHz dual band CMOS power amplifier with integrated AM–PM distortion canceller,” in Proc. IEEE Custom Integr. Circuits Conf., 2011, pp. 1–4. [4] A. Afsahi and L. E. Larson, “An integrated 33.5 dBm linear 2.4 GHz power amplifier in 65 nm CMOS for WLAN applications,” in Proc. IEEE Custom Integr. Circuits Conf., 2011, pp. 1–4. [5] B. Koo, Y. Na, and S. Hong, “Integrated bias circuits of RF CMOS cascade power amplifier for linearity enhancement,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 2, pp. 340–350, Feb. 2012. [6] B. Francois and P. Reynaert, “A fully integrated watt-level linear 900-MHz CMOS RF power amplifier for LTE-applications,” IEEE Trans. Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1878–1885, Jun. 2012. [7] D. Kang et al., “A 34% PAE, 26-dBm output power envelope-tracking CMOS power amplifier for 10-MHz BW LTE applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012. [8] K. Y. Son, B. Koo, and S. Hong, “A CMOS power amplifier with a built-in RF predistorter for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2571–2580, Aug. 2012. [9] K. Onizuka, H. Ishihara, M. Hosoya, S. Saigusa, O. Watanabe, and S. Otaka, “A 1.9 GHz CMOS power amplifier with embedded linearizer to compensate AM–PM distortion,” IEEE J. Solid-State Circuits, vol. 47, no. 8, pp. 1820–1827, Aug. 2012. [10] H. Jeon et al., “A triple-mode balanced linear CMOS power amplifier using a switched-quadrature coupler,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2019–2032, Sep. 2012. [11] S. Kousai, K. Onizuka, T. Yamaguchi, Y. Kuriyama, and M. Nagaoka, “A 28.3 mW PA-closed loop for linearity and efficiency improvement integrated in a 27.1 dBm WCDMA CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 2964–2972, Dec. 2012. [12] A. Afsahi and L. E. Larson, “Monolithic power-combining techniques for watt-level 2.4-GHz CMOS power amplifiers for WLAN applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1247–1260, Mar. 2013. [13] S. Jin et al., “Enhanced linearity of CMOS power amplifier using adaptive common gate bias control,” in IEEE MTT-S Int. Microw. Symp. Dig., 2013. [14] S. Jin, M. Kwon, K. Moon, B. Park, and B. Kim, “Control of IMD asymmetry of CMOS power amplifier for broadband operation using wideband signal,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3753–3762, Oct. 2013. [15] D. Kang, B. Park, J. Kim, Y. Cho, and B. Kim, “Envelope-tracking CMOS power amplifier module for LTE applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 1878–1885, Oct. 2013. [16] S. Jin et al., “Development of a highly efficient and linear differential CMOS power amplifier with harmonic control,” in Proc. IEEE Asia–Pacific Microw. Conf., 2013, pp. 757–759. [17] H. S. Son, W. Y. Kim, J. Y. Jang, I. Y. Oh, and C. S. Park, “A tripleband CMOS class-E power amplifier for WCDMA/LTE applications,” in Proc. IEEE Asia–Pacific Microw. Conf., 2013, pp. 441–443. [18] S. Jin, B. Park, K. Moon, M. Kwon, and B. Kim, “Linearization of CMOS cascade power amplifiers through adaptive bias control,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4534–4543, Dec. 2013.

[19] S. Jin et al., “Dynamic feedback and biasing for a linear CMOS power amplifier with envelope tracking,” in IEEE MTT-S Int. Microw. Symp. Dig., 2014. [20] W. Kim, K. S. Yang, J. Han, J. J. Chang, and C. H. Lee, “An EDGE/GSM quad-band CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 49, no. 10, pp. 2141–2149, Oct. 2014. [21] S. Lee, K. Kim, and D. Kim, “A fully integrated CMOS linear power amplifier using an IMD-reduced bias network,” in Proc. 44th Eur. Microw. Conf., Oct. 2014, pp. 1261–1264. [22] H. Qian and J. Silva-Martinez, “A 44.9% PAE digitally-assisted linear power amplifier in 40 nm CMOS,” in Proc. IEEE Asian Solid-State Circuits Conf., 2014, pp. 349–352. [23] H.-S. Yang, J.-H. Chen, and Y.-J. Chen, “A 1.2-V 90-nm fully integrarted compact CMOS linear power amplifier using the coupled L-shape concentric vortical transformer,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2689–2699, Nov. 2014. [24] K. Oishi et al., “A 1.95 GHz fully integrated envelope elimination and restoration CMOS power amplifier using timing alignment technique for WCDMA and LTE,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 2915–2924, Dec. 2014. [25] J.-L. Woo, S. Park, U. Kim, and Y. Kwon, “Dynamic stack-controlled CMOS RF power amplifier for wideband envelope tracking,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3452–3464, Dec. 2014. [26] A. F. Aref, R. Negra, and M. A. Khan, “Class-O: A highly linear class of power amplifiers in 0.13 m CMOS for WCDMA/LTE applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2015, pp. 40–41. [27] S. Park, J.-L. Woo, U. Kim, and Y. Kwon, “Broadband CMOS stacked RF power amplifier using reconfigurable interstage network for wideband envelope tracking,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1174–1185, Apr. 2015. [28] U. Kim, J.-L. Woo, S. Park, and Y. Kwon, “A single-chain multiband reconfigurable linear power amplifier in SOI CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., 2015. [29] Y. Li, J. Ortiz, and E. Spears, “A highly integrated multiband LTE SiGe power amplifier for envelope tracking,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2015, pp. 131–134. [30] T. Apel, T. Henderson, Y.-L. Tang, and O. Berger, “Efficient three-state WCDMA PA integrated with high performance BiHEMT HBT/E-D pHEMT process,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2008, pp. 149–152. [31] G. Hau and M. Singh, “Multi-mode WCDMA power amplifier module with improved low-power efficiency using stage-bypass,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2010, pp. 163–166. [32] C. Yuen et al., “A highly efficiency, compact size, single die tri-mode PAM for 3G/4G handset applications,” in Proc. 41th Eur. Microw. Conf., Oct. 2011, pp. 21–24. [33] G. Hau, A. Hussain, J. Turpel, and J. Donnenwirth, “A 3 3 mm LTE/ WCDMA dual-mode power amplifier module with integrated high directivity coupler,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 2011, pp. 138–141. [34] K. Kanda et al., “A fully integrated triple-band CMOS power amplifier for WCDMA mobile handsets,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2012, pp. 86–87. [35] B. Koo, T. Joo, Y. Na, and S. Hong, “A fully integrated dual-mode CMOS power amplifier for WCDMA applications,” in IEEE Int. SolidState Circuits Conf. Tech. Dig., Feb. 2012, pp. 82–83. [36] K. Kato, N. Matsunaga, K. Horigichi, M. Heida, and K. Mori, “A high efficiency and low RX-noise three power mode power amplifier,” in Proc. Asia–Pacific Microw. Conf., 2012, pp. 472–474. [37] Y. Lee and S. Hong, “A dual-power-mode output matching network for digitally modulated CMOS power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1570–1579, Apr. 2013. [38] M. Hirobe, S. Shinjo, K. Iyomasa, K. Yamamoto, and M. Hieda, “A triple-power-mode power amplifier using an RX band noise reduction circuit for W-CDMA/LTE handsets,” in Proc. 44th Eur. Microw. Conf., Oct. 2014, pp. 921–924. [39] D. Leipold, W. Allen, P. Sheely, and G. Hau, “A WCDMA 41% power efficiency direct DC coupled hybrid CMOS/GaAs power amplifier with pre-distortion linearization,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2012, pp. 279–282. [40] “Dual-broadband WCDMA power amplifier,” TriQuint, Datasheet TQM7M6125, 2014 [Online]. Available: www.triquint.com [41] “3 V W-CDMA band 2/5 dual band PA module,” RFMD, Greensboro, NC, USA, Datasheet RF7202.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YAMAMOTO et al.: WCDMA MB-PAM WITH Si-CMOS/GaAs-HBT HYBRID POWER-STAGE CONFIGURATION

[42] “Multi-band LTE/CDMA/WCDMA/HSPA power amplifier,” Anadigics, Warren, NJ, USA, Datasheet AWT6521, 2012. [43] N. Cheng and J. P. Young, “Challenges and requirements of multimode multiband power amplifiers for mobile applications,” in IEEE Compound Semicond. Integr. Circuits Symp. Dig., 2011, pp. 1–4. [44] J. P. Young and N. Cheng, “Multimode multiband power amplifier optimization for mobile applications,” in Proc. IEEE VLSI Technol., Syst., Appl. Symp., 2013, pp. 1–3. [45] K. Yamamoto, T. Moriwaki, T. Otsuka, H. Ogawa, K. Maemura, and T. Shimura, “A CDMA InGaP/GaAs-HBT MMIC power amplifier module operating with a low reference voltage of 2.4 V,” IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1282–1290, Jun. 2007. [46] K. Yamamoto et al., “A 2.5-V low-reference-voltage 2.8-V low-collector-voltage operation 0.8–0.9-GHz broadband CDMA BiFET power amplifier with an input SPDT band select switch,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 9, pp. 2306–2317, Sep. 2011. [47] T. Shimura et al., “A multiband power amplifier using combination of CMOS and GaAs technologies for WCDMA handsets,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2014, pp. 141–144. [48] K. Iniewski, CMOS Nanoelectronics: Analog and RF VLSI Circuits. New York, NY, USA: McGraw-Hill, 2011, ch. 8. [49] Y. Jin and C. Nguyen, “Ultra-compact high linearity high-power fully integrated DC–20-GHz 0.18- m CMOS T/R switch,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 1, pp. 30–36, Jan. 2007. [50] Q. Li, K. S. Yeo, and W. M. Lin, “16.6- and 28-GHz fully integrated CMOS RF switches with improved body floating,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 339–345, Feb. 2008. [51] J.-H. Wang, H.-H. Hsieh, and L.-L. Lu, “A 5.2-GHz CMOS T/R switch for ultra-low-voltage operations,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 8, pp. 1774–1782, Aug. 2008. [52] P. Park, D. H. Shin, and C. P. Yue, “High-linearity CMOS T/R switch design above 20 GHz using asymmetrical topology and AC-floating bias,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 8, pp. 948–956, Apr. 2009. [53] T. Ohnakado et al., “21.5-dBm power-handling 5-GHz transmit/receive CMOS switch realized by voltage division effect of stacked transistor configuration with depletion-layer-extended transistors (DETs),” IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 577–584, Apr. 2004. [54] K. Iniewski, Circuits at the Nanoscale. Boca Raton, FL, USA: CRC Press, 2008, ch. 18. [55] K. Iniewski, Nano-Semiconductors: Devices and Technology. Boca Raton, FL, USA: CRC Press, 2011, ch. 17. [56] M. Miyashita, K. Yamamoto, F. Kitabayashi, S. Maki, E. Fukuda, and K.Kato, “Cascode amplifier,” U.S. Patent 8,890,622 B2, Nov. 18, 2014. [57] S. Tanaka, F. Behbahani, and A. A. Abidi, “A linearization technique for CMOS RF power amplifiers,” in IEEE VLSI Circuits Symp. Tech. Dig., 1997, pp. 93–94. [58] Y. Zhang and P. Heydari, “A novel linearization technique for linear/ pseudo-linear RF CMOS power amplifiers,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2004, pp. 563–566. [59] B. Kim, J.-S. Ko, and K. Lee, “A new linearization technique for MOSFET RF amplifier using multiple gated transistors,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 9, pp. 371–373, Sep. 2000. [60] B. Kim, J.-S. Ko, and K. Lee, “Highly linear CMOS RF MMIC amplifier using multiple gated transistors and its Volterra series analysis,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 515–518. [61] T. W. Kim, B. Kim, I. Nam, B. Ko, and K. Lee, “A low-power highly linear cascaded multiple-gated transistor CMOS RF amplifier with 10 dB IP3 improvement (revised*),” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 420–422, Sep. 2003. [62] C. Wang, M. Vaidyanathan, and L. E. Larson, “A capacitance-compensation technique for improved linearity in CMOS class-AB power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004. [63] S. Ko and J. Lin, “A novel linearizer and a fully integrated CMOS power amplifier,” in Proc. IEICE Asia–Pacific Microw. Conf., 2006, pp. 144–147. [64] J. Kang, D. Yu, Y. Yang, and B. Kim, “Highly linear 0.18- m CMOS power amplifier with deep n-well structure,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1073–1080, May 2006. [65] H. J. Yoo and Y. S. Eo, “A highly linear CMOS power amplifier with AM–AM and AM–PM compensation for 2.3 GHz Wibro/WiMax applications,” in Proc. IEEE Asia–Pacific Microw. Conf., 2007, pp. 1–4.

15

[66] C.-C. Huang and W.-C. Lin, “A compact high-efficiency CMOS power amplifier with built-in linearizer,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 587–589, Sep. 2009. [67] K.-S. Lee, H. Jeon, Y. Yoon, H. Kim, J. Kim, and C.-H. Lee, “A linearity improvement technique for a class-AB CMOS power amplifier with a direct feedback path,” in Proc. IEEE Asian Solid-State Circuits Conf., 2010, pp. 1–4. [68] S. Kang, B. Koo, and S. Hong, “A dual-mode RF CMOS power amplifier with nonlinear capacitance compensation,” in Proc. IEICE Asia–Pacific Microw. Conf., 2013, pp. 778–780. [69] C. Lu, A.-V. H. Pham, M. Shaw, and C. Saint, “Linearization of CMOS broadband power amplifiers through combined multigated transistors and capacitance compensation,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 11, pp. 2320–2328, Nov. 2007. [70] H. Jeon et al., “A 40% PAE linear CMOS power amplifier with feedback bias technique for WCDMA applications,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2010, pp. 561–564. [71] K. Kato et al., “A two-power-mode Si-CMOS/GaAs-HBT hybrid power amplifier module for 0.9-GHz-band W-CDMA handsets applications,” in Proc. IEICE Asia–Pacific Microw. Conf., 2014, pp. 668–670. [72] H. Hayashi, M. Nakatsugawa, and M. Muraguchi, “Quasilinear amplification using self-phase distortion compensation technique,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 11, pp. 2557–2564, Nov. 1995. [73] “Three-power-mode 3 mm 3 mm power amplifier with directional coupler for UMTS band 5 and CDMA2000 BC0,” Mitsubishi Electr., Tokyo, Japan, High Frequency Devices Catalog BA012J5C, 2013. [74] “Three-power-mode 3 mm 3 mm power amplifier with directional coupler for UMTS band 8,” Mitsubishi Electr. , Tokyo, Japan, High Frequency Devices Catalog BA012J8, 2013. [75] “Broadband power amplifier module for WCDMA/HSDPA/HSUPA/ HSPA+ (bands I, II, V, VIII),” Skyworks, Datasheet SKY77758, 2013 [Online]. Available: www.skyworksinc.com [76] “Multiband power amplifier for UMTS bands 1/2/5/8,” Mitsubishi Electr., Tokyo, Japan, High Frequency Devices Catalog BA012M1, 2013. [77] “3 V W-CDMA band 5 linear PA module,” RFMD, Greensboro, NC, USA, Datasheet RF7225. [78] “3 V W-CDMA band 2 linear PA module,” RFMD, Greensboro, NC, USA, Datasheet RF7222. Kazuya Yamamoto (M’94–SM’07) received the B.E., M.E., and Ph.D. degrees in electrical engineering from Osaka Prefecture University, Sakai, Japan, in 1988, 1990, and 1998, respectively. Since joining the Optoelectronic and Microwave Devices Laboratory, Mitsubishi Electric Corporation, Itami, Japan, in 1990, he has been engaged in the research and development of GaAs-based analog and digital integrated circuits (ICs)—power amplifiers (PAs), antenna switches, prescalers, and quadrature modulators—for mobile communications. He has also been engaged in research on circuit design techniques of high-speed analog and digital ICs—preamplifiers, electro-absorption (EA) drivers, and decision circuits—for optical fiber links. He is currently a Head Researcher with the High Frequency Device Department and the Manufacturing Engineering Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation. He is also a Visiting Lecturer with Osaka Prefecture University, where he holds the Chair of microwave device and circuit engineering for microwave applications. His current research interests include GaN-based power amplifiers, millimeter-wave RF integrated circuits (RFICs), and RF identifications (RFIDs). Dr. Yamamoto is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He currently serves on the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committee (MTT-7), the IEEE MTT-S International Microwave Symposium (IMS) Technical Paper Review Committee, and the IEEE Compound Semiconductor Integrated Circuits Symposium (CSICS) Technical Program Committee. He was an Associate Editor for the IEICE Transaction on Electronics (English version) (2008–2014). He served on the 2014 Asia–Pacific Microwave Conference (APMC) Technical Program Committee.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Miyo Miyashita (A’97–M’03) received the B.S. degree in physics from Kwansei-Gakuin University, Nishinomiya, Japan, in 1987, and the Ph.D. degree in electrical engineering from Osaka Prefecture University, Sakai, Japan, in 1998. Since joining the LSI Research and Development Laboratory, Mitsubishi Electric Corporation, Itami, Japan, in 1987, she has been engaged in the research and development of GaAs-based high-speed, analog, and digital integrated circuits (ICs) for optical fiber links and GaAs-based power amplifiers for handsets and WiFi/WiMAX applications. She is currently an Assistance Manager with High Frequency and Optical Device Works, Mitsubishi Electric Corporation. Her current research interest includes GaN-based power amplifiers and their control schemes for power and efficiency enhancement. Dr. Miyashita is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. Suguru Maki received the B.S. degree in applied physics and M.E. degree in quantum science and engineering from Hokkaido University, Sapporo, Japan, in 2001 and 2003, respectively. Since joining the Mitsubishi Electric Corporation, Itami, Japan, in 2003, he has been engaged in the development of GaAs- and GaN-based power amplifiers for handset and radar microwave applications.

Yoshinori Takahashi received the B.S. and M.S. degrees in high-energy physics from Tohoku University, Sendai, Japan, in 1994 and 1996, respectively. Since joining the Mitsubishi Electric Corporation, Itami, Japan, in 1996, he has been engaged in the research and development of Si-based RF large-scale integrations (LSIs) for microwave applications. His current research interest includes millimeter-wave LSIs for automotive radar applications.

Kazunobu Fujii received the B.E. degree in electronics engineering from Osaka Electronics College, Osaka, Japan, in 1991. In 1991, he joined the KDL Corporation (since 2005, the Wave Technology Company Ltd.), Kawanishi, Japan. Since joining the KDL Corporation, he has been engaged in the development of GaAsand GaN-based power amplifiers for handset and base-station applications.

Shigeru Fujiwara received the B.E. degree in electronic and optical system engineering from the Hiroshima Institute of Technology, Hiroshima, Japan, in 2007. In 2007, he joined the Sun-A Corporation, and in 2011, he was transferred to the MELCO Semiconductor Engineering Corporation. Since 2007, he has been engaged in the development of GaAs- and GaNbased power amplifiers for handset and base-station applications.

Fumimasa Kitabayashi received the B.E. and M.E. degrees in mechanical engineering from Kogakuin University, Tokyo, Japan, in 1988 and 1990, respectively. Since joining the Mitsubishi Electric Corporation, Itami, Japan, in 1990, he has been engaged in the research and development of Si- and GaAs-based power amplifiers for mobile communications. His current research interest is focused on GaN-based power amplifiers for base-station applications. Satoshi Suzuki received the B.E., M.E., and Ph.D. degrees in electrical engineering from Hokkaido University, Sapporo, Japan, in 1992, 1994, and 1997, respectively. Since joining the Mitsubishi Electric Corporation, Itami, Japan, in 1997, he has been engaged in the research and development of GaAs-based semiconductor devices and related process technologies, focusing on GaAs-based HBTs. He is currently responsible for the development of HBTs and their related techniques at High Frequency and Optical Device Works, Mitsubishi Electric Corporation. Teruyuki Shimura received the B.S. degree in applied physics from the University of Tokyo, Tokyo, Japan, in 1983, and the Ph.D. degree in electronic engineering from Tohoku University, Sendai, Japan, in 2014. Since joining the LSI Research and Development Laboratory, Mitsubishi Electric Corporation, Itami, Japan, in 1983, he has been engaged in the research and development of GaAs devices including GaAs low-noise MESFETs and GaAs SAGFETs for digital communications. His current research interests focus on GaAs-based high-power HBTs and their application to monolithic integrated circuits. Since 2015, he has been on loan to another company in Japan. Dr. Shimura is a Member of the Japan Society of Applied Physics. Morishige Hieda (M’94–SM’04) received the B.E., M.E., and Ph.D. degrees in electronic engineering from Tohoku University, Sendai, Japan, in 1988, 1990, and 2004, respectively. In 1990, he joined the Mitsubishi Electric Corporation, Itami, Japan, where he has been engaged in the research and development of millimeter-wave/microwave monolithic microwave integrated circuits (MMICs) and transceivers. Dr. Hieda is a Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 53th OHM Technology Award of the Promotion Foundation for Electrical Science and Engineering of Japan. Hiroaki Seki received the B.E. degree in material engineering from the Nagoya Institute of Technology, Nagoya, Japan, in 1986. Since joining the Mitsubishi Electric Corporation, Itami, Japan, in 1986, he has been engaged in the research and development of GaAs-based integrated circuits (ICs), particularly power amplifiers, for mobile communications. He is currently the Manager of the High Frequency Device Department, High Frequency and Optical Device Works, Mitsubishi Electric Corporation.

826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

An MMIC Low-Noise Amplifier Design Technique Mikko Varonen, Rodrigo Reeves, Pekka Kangaslahti, Member, IEEE, Lorene Samoska, Senior Member, IEEE, Jacob W. Kooi, Kieran Cleary, Rohit S. Gawande, Ahmed Akgiray, Andy Fung, Todd Gaier, Sander Weinreb, Life Fellow, IEEE, Anthony C. S. Readhead, Charles Lawrence, Stephen Sarkozy, Member, IEEE, and Richard Lai, Fellow, IEEE

Abstract—In this paper we discuss the design of low-noise amplifiers (LNAs) for both cryogenic and room-temperature operation in general and take the stability and linearity of the amplifiers into special consideration. Oscillations that can occur within a multi-finger transistor are studied and verified with simulations and measurements. To overcome the stability problem related to the multi-finger transistor design approach a parallel two-finger unit transistor monolithic microwave integrated circuit LNA design technique, which enables the design of wideband and high-linearity LNAs with very stable, predictable, and repeatable operation, is proposed. The feasibility of the proposed design technique is proved by demonstrating a three-stage LNA packaged in a WR10 waveguide housing and fabricated using a 35-nm InP HEMT technology that achieves more than a 20-dB gain from 75 to 116 GHz and 26–33-K noise temperature from 85 to 116 GHz when cryogenically cooled to 27 K. Index Terms—Cryogenic, InP HEMT, low-noise amplifiers (LNAs), monolithic microwave integrated circuit (MMIC).

I. INTRODUCTION

L

OW-NOISE amplifiers (LNAs) are important components in millimeter-wave applications such as receivers for communications systems, passive remote sensing, Earth science radiometry, transceivers for radar instruments, and radio astronomy. The evolution of InP HEMT, metamorphic Manuscript received October 24, 2015; accepted December 22, 2015. Date of publication February 11, 2016; date of current version March 03, 2016. This work was supported in part by the Jet Propulsion Laboratory, California Institute of Technology, under a contract with the National Aeronautics and Space Administration. The work of M. Varonen was supported by Oak Ridge Associated Universities under the NASA Postdoctoral Program (NPP), by the Academy of Finland, and by the Alfred Kordel Foundation. M. Varonen was with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 USA. He is now with the Department of Micro and Nanosciences, Aalto University, 02150 Espoo, Finland, and also with LNAFIN Inc., 00550 Helsinki, Finland (e-mail: mikko.varonen@aalto.fi). R. Reeves was with the Department of Astronomy, California Institute of Technology, Pasadena, CA 91125 USA. He is now with the Department of Astronomy, CePIA, Universidad de Concepción, Casilla 160-C, Chile. P. Kangaslahti, L. Samoska, J. W. Kooi, R. S. Gawande, A. Fung, T. Gaier, and C. Lawrence are with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA 91109 USA. K. Cleary and A. C. S. Readhead are with the Department of Astronomy, California Institute of Technology Pasadena, CA 91125 USA. A. Akgiray was with the Department of Electrical Engineering, California Institute of Technology, Pasadena, CA 91125 USA. He is now with Department of Electrical Engineering, Ozyegin University, 34794 Istanbul, Turkey. S. Weinreb is with the Department of Electrical Engineering, California Institute of Technology, Pasadena, CA 91125 USA. S. Sarkozy and R. Lai are with the Northrop Grumman Corporation, Redondo Beach, CA 90278 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2521650

HEMT, and InP HBT devices have enabled amplifiers to operate at exceptionally high frequencies [1]–[3]. Cryogenically cooling of HEMT amplifiers leads to large reduction in noise and is sometimes used to improve the sensitivity of radiometers for astrophysics and Earth observation instruments. Recent cryogenic results of 35-nm InP HEMT monolithic microwave integrated circuits (MMICs) have led to record noise above 100 GHz [4]–[7]. Although the continuing technology scaling gives the opportunity to design amplifiers with lowest noise temperatures at highest frequencies ever reported, there are challenges that the designer has to tackle; for example, lower breakdown voltage and high maximum frequency of oscillation of the transistor makes it difficult to design a stable amplifier that fulfills the linearity requirements of a wideband receiver system requiring high gain from the LNA chain. The aim of this paper is to examine this contradiction and provide circuit design solutions to take full advantage of the latest sub-50-nm HEMT technologies. We begin the study by first presenting the design of a three-stage LNA implemented in the Northrop Grumman Corporation’s 35-nm InP MMIC technology, using InAs composite channel (IACC) HEMTs, that achieved a 23-K noise temperature at 108 GHz when cryogenically cooled to 27 K [4]. The design process of this amplifier follows a conventional way to design an MMIC LNA, where an appropriate gate width for the device is achieved by placing a number of fingers in parallel within a single transistor. Although this amplifier likely presents the lowest noise above 100 GHz while providing better linearity and wider bandwidth than previously published W-band cryogenic LNAs, we consider the design approach to have some limitations especially regarding the stability of the amplifier. Therefore, a new parallel two-finger unit transistor MMIC LNA design technique, which enables the design of wideband, high linearity, and first-time-right LNAs with very stable, predictable, and repeatable operation at cryogenic temperatures was proposed in [8]. The first design cycle amplifier based on this design approach achieve more than 20-dB gain from 75 to 116 GHz and 26–33-K noise temperature from 85 to 116 GHz while showing very stable operation and even higher frequency operation than the conventional amplifier. In addition to the design technique proposed in [8], in this paper the selection of the device size for optimum noise performance and its effect to the device impedances, linearity, and stability is discussed in detail. A method for predicting the stability of a multi-finger transistor using a two-finger transistor model is proposed and used to verify the hypothesis in [8] that odd-mode or loop oscillations can occur within a multi-finger transistor

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

VARONEN et al.: MMIC LNA DESIGN TECHNIQUE

due to the asymmetry of the transistor. Since this type of an oscillation may occur at relatively high frequencies, compared to the design frequency of the amplifier, it is very difficult to measure. In this paper the stability is inferred by means of dc measurements. In addition to the cryogenic S-parameter and noise measurement results reported in [8], in this paper the feasibility of the new parallel two-finger unit transistor MMIC LNA design technique is supported by cryogenic noise modeling, cryogenic dc measurements, room-temperature noise measurements, and cryogenic and room-temperature large-signal measurements. This paper is organized as follows. First, fundamentals of selecting a proper device size for an LNA is discussed in Section II-A followed by the design of a conventional multi-finger HEMT amplifier in Section II-B, which serves as the basis for the study of multi-finger transistor instabilities and the proposed new MMIC LNA design technique introduced in Sections II-C and Section II-D, respectively. The detailed design of an LNA utilizing the new parallel two-finger unit transistor MMIC LNA design technique is presented in Section II-E. Since the cryogenic noise performance is tested in waveguide housings the detailed design of the packages is presented in Section II-F. The measured results are presented in Section III. Finally, conclusions and comparison to state-of-the-art are given in Section IV. II. MMIC LNA DESIGN A. Selection of the Device Size The selection of an appropriate total gate width for a transistor is an essential part of an LNA design flow. This can be done by first selecting an optimum unit gate width for a single finger and then placing these fingers in parallel to form a transistor [9]. Apart from differences because of distributed effects of connecting wiring, altering the number of fingers does not affect the gain [10] or minimum noise [11] of the transistor. Therefore, changing the number of fingers can be used to select appropriate device impedances and the output power capability of the transistor. In general, shorter gate width will lead to a higher gain and lower noise because of a decreasing gate resistance of the transistor [12]. However, when becomes small relative to the source resistance , further reduction of the gate width is not useful [12], [13]. Furthermore, at very short gate widths the parasitic capacitances of the transistor start to dominate [14], which will degrade the transistor cutoff frequency and, as a result, the noise performance of the transistor. Therefore, an optimum for the unit gate width exists. At millimeter-wave frequencies, the gain of a transistor is relatively low, thus, an appropriate figure of merit for finding the optimum unit gate width for good noise performance is the noise measure [15]. The minimum noise measure is invariant to any lossless network that embeds the device [16]. This means, for example, that a low-loss source inductive feedback can be used to bring the conjugate of and optimum noise match closer together for improved input match without degrading the minimum noise measure of the transistor [17] and to stabilize the amplifier.

827

Fig. 1. Simulated minimum noise measure temperature at 110 GHz as a function of unit gate width at 23-K ambient. The simulation is based on a two-finger scalable HEMT model, where the Pospieszalski temperaturedependent noise model [19] was used to capture the noise response of the transistor. The cryogenic small-signal model was obtained by reducing the to 70% of HEMT’s room-temperature value, gate-to-source capacitance which is based on the expected decrease in optimum drain current for low noise when the HEMT is cryogenically cooled (as discussed in [6] and [20]). , 1150 K, for the HEMT The drain-to-source resistance temperature model was obtained from cryogenic measurements of discrete transistors [21].

Fig. 1 shows predicted minimum noise measure [18] for a 35-nm InP HEMT as a function of the unit gate width at a cryogenic temperature of 23 K. It can be seen that the optimum unit gate width for a single finger is around 11–12 m and one could now vary the total gate width of the device by placing a number of these fingers in parallel to control the input and output impedances and the linearity of the device while achieving the 11-K optimum noise performance. On the other hand, it can be seen that the variation of is not very large for unit gate widths from 5 to 20 m. Therefore, a two-finger transistor with a total gate width of 10–40 m can in principle be used without having a penalty in noise performance. However, if larger gate width is desired with good noise performance, the only option is to increase the number of fingers in parallel. However, based on our experience the number of fingers within a single transistor, especially for cryogenically cooled LNAs, has a practical limit. We have experienced unstable operation of amplifiers, which utilize transistors having more than two fingers, and have neither been able to predict these oscillations, nor verify them through measurements. Other researchers have reported on the same issue and a recent study can be found in [22]. As a result, we have typically used designs based on only two-finger devices to ensure predictable stable cryogenic operation [5], [23], [24]. It is clear that having only two finger transistors limits the options for selecting appropriate device impedances and the output power capability of the transistor, which are essential for wideband receiver systems that require high gain from the RF-amplifier chain. Therefore there is a need to attempt to design an LNA having multi-finger transistors. In Section II-B, an amplifier design based on a four-finger device with a total gate periphery of 60 m will be presented. B. Design of a Conventional Multi-Finger HEMT Amplifier The design process of this amplifier follows a conventional way to design an MMIC LNA where appropriate total gate

828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 2. Minimum noise measure matching termination (dots) and circle of confor different transistor sizes on the stant noise measure for the source reflection coefficient plane. The designed source impedance 4 15 m sized transistor is also shown.

Fig. 3. Simplified schematic of the three-stage LNA using four-finger devices (reproduced from [4]).

width for the device is achieved by placing a number of fingers in parallel within a single transistor and an amplifier is formed by cascading these multi-finger devices using appropriate matching networks. As explained in Section II-A, the linearity of the transistor can be controlled by placing fingers in parallel. Increasing the transistor size will also decrease the device impedances, as demonstrated in Fig. 2. Hence, the total width of the transistor cannot be increased arbitrarily as low-loss wideband matching becomes difficult. As a result, a four-finger device with a total gate periphery of 60 m was chosen as a suitable device for the amplifier design because this device size leads to a good compromise between noise performance, device impedances, and output power capability. A three-stage amplifier was designed in a common source (CS) configuration and microstrip environment [4]. The simplified schematic and micrograph of the amplifier are shown in Figs. 3 and 4, respectively. The input and output are matched on-chip to 50- impedance with series low-impedance transmission lines. In addition, a 20- series resistor is employed at the output for improved stability and broadband output matching. The designed source impedance for the input transistor is shown in Fig. 2. Interstage matching is performed with series high-impedance transmission lines. Two vias are used for grounding the sources of each HEMT. They also act as source degeneration inductors to stabilize the amplifier. Short-circuited shunt stubs are used for biasing. An RF short circuit is implemented with a small-valued (90

Fig. 4. Micrograph of the LNA using four-finger devices. The chip size is 1300 m 820 m (from [4]).

Fig. 5. Typical layouts for two-finger (left) and four-finger (right) HEMTs (from [8]).

fF) metal–insulator–metal (MIM) capacitor and two vias. The same capacitance value is used for dc-blocking capacitors. Bias lines include resistor (10–50 ) capacitor (400 fF) networks to ensure low-frequency and out-of-band stability. Although this amplifier achieved the lowest noise above 100 GHz while providing better linearity and wider bandwidth than previously published W-band cryogenic LNAs, we consider that this conventional multi-finger transistor design approach has some limitations regarding the stability of the amplifier, as further discussed in Section II-C. C. Study of Multi-Finger HEMT Instabilities Typical layouts for two- and four-finger HEMTs are shown in Fig. 5. A two-finger transistor has two-source connections on opposite sides and the drains are combined in the middle, making the device perfectly symmetrical. However, a realized HEMT with more than two fingers suffers from increased parasitics because of the connecting wiring between the fingers and the placing of fingers in parallel is deteriorated from the ideal case. It is clear that the effect of the connecting wiring should be correctly modeled. However, the exact modeling of cryogenic operation of a transistor is a nontrivial task. Furthermore, a sub 50-nm InP HEMT transistor can have in excess of 1 THz [25], which makes the prediction of the stability of a multi-finger transistor-based amplifier very challenging. In addition, the transconductance and of the InP HEMTs

VARONEN et al.: MMIC LNA DESIGN TECHNIQUE

829

Fig. 7. Schematic for modeling a four-finger transistor using two-finger transistor small-signal model and placement of the -probe for the stability analysis. Fig. 6. Measured total drain current for the conventional amplifier when the gate voltage is increased steadily showing a bias jump when oscillation is triggered. The simultaneously measured IF power is also shown.

increase significantly at cryogenic temperatures, which again affect stability. Our hypothesis is that odd-mode or loop oscillations can occur within a multi-finger transistor due to the asymmetry of the transistor. To our experience this phenomenon is very difficult to predict and measure, and may occur at relatively high frequencies compared to the design frequency (similar discussion can be found in [22]). As an example, during the cryogenic on-wafer measurements of the three-stage amplifier presented in Section II-B, we noticed bias-dependent low-level RF oscillations, possibly occurring at higher frequencies than the operational range covered by the design. The evidence for these oscillations are jumps in the drain current shown in Fig. 6 when a certain threshold is exceeded, which carries a simultaneous gain drop (less than 1 dB) and noise temperature increase (around 10–20 K). To understand the phenomenon, a detailed stability analysis was carried out for the conventional amplifier using four-finger devices. In the simulation, a two-finger transistor small-signal model is used to create a four-finger device, as shown in Fig. 7. The additional gate and drain connecting wiring is modeled with transmission lines (5–7 m in length). The air-bridges used to connect the sources together are modeled with small-valued (around 10 pH) inductors. This modeling approach has shown a good correspondence between the simulated and measured amplifier performance (see [4]). An -probe method [26] is used for the stability simulations and the simulation setup is shown in Fig. 7. Note that the chosen transistor modeling approach enables to place the -probe inside the four-finger transistor model. The simulation results shown in Fig. 8 suggest that the amplifier may oscillate above 300 GHz (based on -probe method instability occurs when the stability index is positive and greater than one). An important finding is that placing the -probe outside the four-finger transistor model does not reveal any signs of oscillations for the amplifier. Furthermore, loading the input and output of the transistor with open, short, or resistors do not significantly affect the stability problem, as can be seen in Fig. 8. These simulations support the findings in the measurements and partially prove the hypothesis by suggesting that the oscillation will happen within the transistor and at relatively high frequencies compared to the operation frequency of the amplifier. In Section II-D, a solution for the problem is provided.

Fig. 8. Simulated stability index for the amplifier (red line with circles). The solid line, blue line with triangles, and dashed line represent the stability index when loading the input and output of the transistor with short, 20- resistor, and open, respectively.

D. New Parallel Two-Finger Unit Transistor LNA Design Technique To overcome the multi-finger transistor stability problem, a new design technique for MMIC LNAs shown in Fig. 9 is proposed. In this approach, a two-finger transistor is first optimized based on for the desired frequency range, as was shown in Section II-A. A number of these unit cells are then selected based on impedance and output power requirements and fed in parallel using an input power division and matching network. The two-finger transistor unit cell includes source connections to amplifier ground reference and possible source feedback. A multistage amplifier is constructed by impedance matching individual unit cells and an output matching network is designed to combine the powers from each unit cell. Since our approach is based on a two-finger transistor scheme, it avoids the complicated routing network (such as air-bridges between source lines) of a single multi-finger transistor, therefore, making it inherently scalable. This enables the control of the input and output impedances and the linearity of the amplifier while the stability problem related to the multi-finger transistor amplifier will be avoided. It should be noted that the matching and power division network can add additional losses at the input. However, provided that the input network is designed properly, similar noise performance can be obtained as with the conventional multi-finger transistor amplifier design approach, as will be shown in Section II-E.

830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 9. Block diagram of the new LNA design technique (from [8]). The unit cell includes a two-finger transistor with associated source connections to amplifier ground reference and possible source feedback.

Fig. 10. Simplified schematic of the three-stage LNA using two two-finger unit transistors in parallel (from [8]). Each unit transistor has a total gate periphery of 30 m. Input and output low-impedance lines perfom both matching and the (25–40 ) are used for suppower dividing and combining. Resistors pressing any odd-mode or loop oscillations. Separate vias for grounding the sources of each unit transistor improves the odd-mode stability.

E. Design of an LNA Using the Parallel Two-Finger Unit Transistor Design Technique As was shown in Sections II-A and II-B, an appropriate device size for a transistor operating around 100 GHz is a fourfinger device with a total gate periphery of 60 m. However, in previous sections, it was discussed, and also found in practice, that utilizing a multi-finger transistor may result in oscillations that occur within the transistor. Therefore, to avoid this stability issue we use the design technique in Fig. 9 to divide the four-finger device into two two-finger unit transistors, as shown in the simplified schematic and micrograph in Figs. 10 and 11, respectively. Input and output include similar matching arrangement as was with the conventional design using four-finger devices. However, in this design, the series low-impedance transmission lines used for matching the input (280 m in length) and output (250 m in length) are also used for performing the power dividing and combining for the parallel two-finger unit cells. Series high-impedance transmission lines (115 m in length) are used for interstage matching to individually match the parallel two-finger unit cells. Separate short-circuited shunt stubs are used for the drains of the first and second stages. To save chip area, the gate bias for the second and third stages are fed through single stubs. In this implementation, if not properly designed, three types of oscillations can occur. The even-mode oscillation is concurrent to the signal flow similar as in the conventional amplifier using four-finger devices. The odd-mode oscillations are due to the on-chip power dividing and combining, which is a well-known phenomenon in power-amplifier designs [27]. Furthermore, there can be loop oscillations due to the power dividing and combining and any lines needed between the interstage RF lines because of shared biasing stubs. At the first glance, the circuit seems to be more complex and there are more possible oscillation modes compared to the conventional multi-finger transistor amplifier design approach. However, the

Fig. 11. Micrograph of the LNA using two two-finger unit transistors in parallel (from [8]). The chip size is 1300 m 820 m. Note that all the source connections utilize separate vias.

hypothesized problem of odd-mode or loop oscillations occurring within a single multi-finger transistor is in the new parallel two-finger unit transistor shifted outside from the transistor physical layout. Hence, the amplifier can be easily designed to be stable with excellent performance using well-known circuit design techniques, for example, found in [28] and [29]. For the even mode, same design and stabilization techniques are applicable as are for the conventional multi-finger transistor design approach. For example, the same dc biasing arrangement, i.e., resistor-capacitor networks, dc decoupling capacitors, and the same length (around 220 m) for the short-circuited shunt stubs was utilized in both designs. For suppressing any odd-mode and loop oscillations, stabilizing resistors can be used as shown in Fig. 10. Furthermore, separate vias for grounding the sources of each unit transistor improves the odd-mode stability (see Figs. 10 and 11). F. Design of the Waveguide Package Amplifiers were packaged in an in-house developed WR10 waveguide housing. An -plane transition shown in Fig. 12 was

VARONEN et al.: MMIC LNA DESIGN TECHNIQUE

831

used for converting the waveguide signal to microstrip mode and matching the waveguide impedance to 50 for the amplifier input. The inductive effect of the wire bond was resonated out with a short section of a low-impedance line that acts as a shunt capacitor. 3-D electromagnetic (EM) simulations were performed with Ansoft HFSS showing better than 18-dB input return loss from 75 to 115 GHz. III. EXPERIMENTAL RESULTS A. MMIC Amplifier Technology The amplifier was designed in Northrop Grumman Corporation’s 35-nm InP MMIC technology using IACC HEMTs. The process has scaled passive components to support the increased operation frequency, including 600-pF mm MIM capacitors, 20 and 100- /sq thin-film resistors (TFRs), two layers of interconnect with air-bridge option, and device passivation. The wafer is thinned down to 50 m with a metal back-plane to suppress substrate modes. B. On-Wafer Measurements Diced samples of the LNAs were placed in a Cryogenic Probe Station [30], [31] for room-temperature and cryogenic (20-K) S-parameter measurements in the 70–116-GHz frequency range. Fig. 13 shows cryogenic on-wafer measured and simulated S-parameters across the wafer for the three-stage amplifier utilizing two two-finger unit transistors in parallel. The measured is worse than in simulations. This may be because of underestimated gate-to-source capacitance and overestimated source feedback used in the simulations. The measured is better than in simulations around 112 GHz. This may be because of underestimated capacitance obtained in EM simulations between the output RF line and the drain bias line (implemented as an air-bridge) crossing the RF line. Nevertheless, amplifiers utilizing the new parallel two-finger unit transistor design technique were found to be stable with none of the previously described evidence for RF oscillations for the conventional amplifier observed. In addition, the proposed parallel two-finger unit transistor design shows very repeatable performance and wideband gain characteristics, suggestive that the design technique is useful for cryogenic LNA design. C. Packaged Amplifier Measurements The stability of the packaged three-stage amplifier utilizing two two-finger unit transistors in parallel was verified by measuring the cryogenic dc curves. As can be seen from Fig. 14, no abrupt changes in the drain current were observed, which suggest that the amplifier is stable in a broad bias range. The noise measurement was carried out using a -factor method by presenting loads at 300 and 77 K. A standard gain horn was connected to the input of the LNA housing and a downconverter module (backend) was used to convert the RF power to baseband. The IF signal was amplified, filtered (dc–500 MHz) and detected using a power sensor. The noise results at room temperature are shown in Fig. 15. The measured noise temperature is between 240 to 300 K from 81 to 116 GHz, which is comparable to noise performance obtained for the conventional amplifier (see [4]).

Fig. 12. Layout (upper) (from [4]) and HFSS model (lower) of the transition.

-plane

Fig. 13. Measured cryogenic (20 K) on-wafer S-parameters across the wafer of the three-stage amplifier utilizing two two-finger unit transistors in parallel (data from [8]). The dashed lined represent simulated values.

The cryogenic noise measurement of the packaged chip uses the same methodology as the one used for room temperature ( -factor). The package is cooled in a closed cycle cryostat to 20 K. A variable temperature load is attached to the input of the package through a stainless-steel waveguide to provide thermal isolation between the load and device-under-test (DUT). The physical temperature of the load is varied from 23 to 40 K while proportional-integral-derivative (PID) controlling the physical temperature of the DUT to 27 K. The waveguide output of the DUT is attached to a cold RF-post amplifier through a waveguide isolator. The amplified RF power is routed outside the Dewar where it is downconverted to baseband and further detected after IF signal amplification

832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 16. Measured noise temperature and derived gain of two samples of the packaged three-stage LNA utilizing two two-finger unit transistors in parallel at 27-K ambient (data from [8]). Back-end noise contribution of an average of 0.3 K subtracted from the measured noise. Dashed lines represent simulated gain and noise temperature. The red line represents re-modeled gain, which models the gain roll-off. Fig. 14. Measured cryogenic total drain current of the three-stage amplifier utilizing two two-finger unit transistors in parallel in function of a gate voltage for different drain voltages. The drain current was swept from a low value to high value ( Up) and then back to a low value ( Down) with a fixed drain voltage.

Fig. 17. Measured room-temperature ( V, mA) and cryoV, mA) 1-dB output compression of the packaged genic ( three-stage LNA utilizing two two-finger unit transistors in parallel. Fig. 15. Measured noise temperature and derived gain of the packaged LNA utilizing two two-finger unit transistors in parallel at room temperature. Back-end noise contribution of an average of 2.75 K subtracted from the measured noise.

and filtering. The whole process takes two Dewar cooldowns, one for the backend characterization and another to measure the DUT noise. The measured and simulated cryogenic results for two packaged amplifiers are shown in Fig. 16. The LNA achieves more than a 20-dB gain from 75 to 116 GHz and 26–33-K noise temperature from 85 to 116 GHz. There is a noticeable gain roll-off on the high-frequency gain when compared to measured cryogenic S-parameters and simulations. It is postulated that the observed gain roll-off may be due to the single-mode embedding environment of the package, as compared to the multi-mode wafer probe environment used to obtain the (measured) S-parameters. The net effect can be modeled by adding a series RC feedback network with a high-frequency pole of approximately 100 GHz between the input to output of the MMIC LNA. The re-modeled gain is plotted in Fig. 16, which models the gain

roll-off. However, further studies are needed to verify packaging signatures on the results. The room-temperature and cryogenic 1-dB output compression point of the amplifier was measured using a multiplier chain fed by a synthesizer and a WR10 power sensor. The results are shown in Fig. 17. The amplifier was biased close to the optimum noise bias with constant drain current at both ambient temperatures. The measured 1-dB output compression point is from to 0.3 dBm at room temperature and from 4.7 to 2.7 dBm when cryogenically cooled at W-band. IV. CONCLUSION In this paper, it was verified with simulations and measurements that oscillations can occur within a multi-finger transistor and they may occur at relatively high frequencies, compared to the design frequency of the amplifier. More precisely, a method for predicting the stability of a multi-finger transistor using a two-finger transistor model is proposed and the oscillation is inferred by means of dc measurements. These instabilities

VARONEN et al.: MMIC LNA DESIGN TECHNIQUE

833

TABLE I COMPARISON OF STATE-OF-THE-ART W-BAND CRYOGENIC AMPLIFIERS

limit the options for selecting appropriate device impedances and the output power capability of the transistor. To overcome the stability problem related to the multi-finger transistor design approach, a parallel two-finger unit transistor MMIC LNA design technique to take full advantage of the latest sub-50-nm HEMT technologies was proposed. Our first design cycle amplifier is based on this design approach and achieves comparable or better results than previously published W-band cryogenic amplifiers shown in Table I. This suggests that the new design approach is attractive for the design of LNAs for wideband receiver systems that require high gain and linearity from the RF-amplifier chain. ACKNOWLEDGMENT The authors thank M. Soria and H. Owen, both with the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, CA, USA, for assembly expertise. REFERENCES [1] X. Mei et al., “First demonstration of amplification at 1 THz using 25-nm InP high electron mobility transistor process,” IEEE Electron Device Lett., vol. 36, no. 4, pp. 327–329, Apr. 2015. [2] A. Tessmann et al., “A 600 GHz low-noise amplifier module,” in IEEE MTT-S Int. Microw. Symp. Dig, Tampa, FL, USA, Jun. 2014, pp. 1–3. [3] J. Hacker, M. Urteaga, M. Seo, A. Skalare, and R. Lin, “InP HBT amplifier MMICs operating to 0.67 THz,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2013, pp. 1–3. [4] M. Varonen et al., “A 75–116-GHz LNA with 23-K noise temperature at 108 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2013, pp. 1–3. [5] P. V. Larkoski, P. Kangaslahti, L. Samoska, R. Lai, S. Sarkozy, and S. E. Church, “Low noise amplifiers for 140 GHz wide-band cryogenic receivers,” in IEEE MTT-S Int. Microw. Symp., Seattle, WA, USA, Jun. 2013, pp. 1–4. [6] M. Varonen et al., “A WR4 amplifier module chain with an 87 K noise temperature at 228 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 1, pp. 58–60, Jan. 2015. [7] T. J. Reck, W. Deal, and G. Chattopadhyay, “Cryogenic performance of HEMT amplifiers at 340 GHz and 670 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [8] M. Varonen et al., “An MMIC low-noise amplifier design technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2013, pp. 1–4. [9] T. Yao et al., “Algorithmic design of CMOS LNAs and PAs for 60-GHz radio,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1044–1057, May 2007. [10] I. D. Robertson and S. Lucyszyn, RFIC and MMIC Design and Technology. London, U.K.: IEE, 2001, ch. 5.2. [11] J. Lange, “Noise characterization of linear twoports in terms of invariant parameters,” IEEE J. Solid-State Circuits, vol. SC-2, no. 6, pp. 37–40, Jun. 1967.

[12] P. H. Landbrooke, MMIC Design: GaAs FETs and HEMTs. Norwood, MA, USA: Artech House, 1989. [13] H. Fukui, “Optimal noise figure of microwave GaAs MESFET’s,” IEEE Trans. Microw. Theory Techn., vol. MTT-26, no. 7, pp. 1032–1037, Jul. 1979. [14] B. Hughes, “Designing FET’s for broad noise circles,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 2, pp. 190–198, Feb. 1993. [15] H. A. Haus and R. B. Adler, “Optimum noise performance of linear amplifiers,” Proc. IRE, vol. 46, no. 8, pp. 1517–1533, Aug. 1958. [16] J. Engberg, “Simultaneous input power match and noise optimization using feedback,” in Proc. 4th Eur. Microw. Conf., Sep. 1974, pp. 385–389. [17] R. Lehmann and D. D. Heston, “X-band monolithic series feedback LNA,” IEEE Trans. Microw. Theory Techn., vol. MTT-33, no. 12, pp. 1560–1566, Dec. 1985. [18] C. R. Poole and D. K. Paul, “Optimum noise measure terminations for microwave transistor amplifiers,” IEEE Trans. Microw. Theory Techn., vol. MTT-33, no. 11, pp. 1254–1257, Nov. 1985. [19] M. W. Pospieszalski, “Modeling of noise parameters of MESFETs and MODFETs and their frequency and temperature dependence,” IEEE Trans. Microw. Theory Techn., vol. 37, no. 9, pp. 1340–1350, Sep. 1989. [20] E. W. Bryerton et al., “A W-band low-noise amplifier with 22 K noise temperature,” in IEEE MTT-S Int. Microw. Symp. Dig, Boston, MA, USA, Jun. 2009, pp. 681–684. [21] A. Akgiray et al., “Noise measurements of discrete HEMT transistors and application to wideband very low noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3285–3297, Sep. 2013. [22] Q. Yang, “Low frequency dispersion in InP HEMTs,” M.S. thesis, Dept. Microtechnol. Nanoscience–MC2, Chalmers Univ., Göteborg, Sweden, 2013. [23] L. Samoska et al., “W-band cryogenic InP MMIC LNAs with noise below 30 K,” in IEEE MTT-S Int. Microw. Symp. Dig, Montreal, QC, Canada, Jun. 2012, pp. 1–3. [24] M. Varonen et al., “160–270-GHz InP HEMT MMIC low-noise amplifiers,” in IEEE Compound Semicond. Integr. Circuit Symp. Dig., La Jolla, CA, USA, Oct. 2012, pp. 1–4. [25] R. Lai et al., “Sub 50 nm InP HEMT device with Fmax greater than 1 THz,” in IEEE Electron Devices Meeting, Washington, DC, USA, Dec. 2007, pp. 609–611. [26] K. Wang, M. Jones, and S. Nelson, “The -probe-a new, cost-effective, 4-gamma method for evaluating multi-stage amplifier stability,” in IEEE MTT-S Int. Microw. Symp. Dig., Albuquerque, NM, USA, Jun. 1992, pp. 892–832. [27] R. G. Freitag, “A unified analysis of MMIC power amplifier stability,” in IEEE MTT-S Int. Microw. Symp. Dig., Albuquerque, NM, USA, Jun. 1992, pp. 297–300. [28] O. S. A. Tang et al., “Design of high-power, high-efficiency 60-GHz MMICs using an improved nonlinear PHEMT model,” IEEE J. SolidState Circuits, vol. 32, no. 9, pp. 1326–1333, Sep. 1997. [29] M. Varonen, M. Karkkainen, P. Kangaslahti, and V. Porra, “Integrated power amplifier for 60 GHz wireless applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, USA, Jun. 2003, pp. 915–918. [30] D. Russell, K. Cleary, and R. Reeves, “Cryogenic probe station for on-wafer characterization of electrical devices,” Rev. Sci. Instrum., vol. 83, 2012, Art. ID 044703. [31] R. Reeves et al., “Cryogenic probing of mm-wave MMIC LNAs for large focal-plane arrays in radio-astronomy,” in Proc. 9th Eur. Microw. Integr. Circuits Conf., Oct. 2014, pp. 580–583.

834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Mikko Varonen received the M.Sc., Lic.Sc., and D.Sc. (with distinction) degrees in electrical engineering from Aalto University (formerly the Helsinki University of Technology), Espoo, Finland, in 2002, 2005 and 2010, respectively. He is currently a Postdoctoral Researcher of the Academy of Finland with the Department of Micro and Nanosciences, Aalto University, Espoo, Finland. He is also with LNAFIN Inc., Helsinki, Finland. During 2013 and 2014, he was a Visiting Scientist with both the Jet Propulsion Laboratory (JPL) and Department of Electrical Engineering, California Institute of Technology, and the Fraunhofer Institute of Applied Solid-State Physics. During 2011, he was a NASA Postdoctoral Program Fellow with the JPL. His research interests involve the development of millimeter-wave integrated circuits using both silicon and compound semiconductor technologies for applications ranging from astrophysics and Earth remote sensing to millimeter-wave communications.

Rodrigo Reeves received the Ph.D. degree in electrical engineering from the Universidad de Concepción, Casilla, Chile. During his Ph.D. studies, until 2009, he was engaged in radio-astronomy instrumentation and techniques with the Cosmic Background Imager (CBI) interferometer, a California Institute of Technology observatory in Chile. From 2009 to 2014, he was a Post-Doctoral Associate with the Astronomy Department, California Institute of Technology, Pasadena, CA, USA, and later became a Senior Scientist with the Cahill Radio Astronomy Laboratory (CRAL). He is currently a Professor with the Astronomy Department, Universidad de Concepción, and the Director of the Center for Astronomical Instrumentation (CePIA), Universidad de Concepción.

Pekka Kangaslahti (S’94–M’98) received the M.Sc. and Ph.D. degrees from Aalto University (formerly the Helsinki University of Technology), Espoo, Finland, in 1992 and 1999, respectively. He is currently Principal Engineer with the Microwave Systems Technology Group, Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, CA, USA. His interests are in development of millimeter-wave and submillimeter-wave monolithic microwave integrated circuits (MMICs), modules, receivers and receiver arrays.

Lorene Samoska (M’95–SM’04) received the B.S. degree in engineering physics from the University of Illinois, IL, USA, in 1989, and the Ph.D. degree in materials engineering from the University of California at Santa Barbara, Santa Barbara, CA, USA, in 1995. She was a Post-Doctoral Researcher with the University of California at Santa Barbara, where she was involved in the design and fabrication of state-of-the-art InP HBT microwave digital circuits. In 1998, she joined the Jet Propulsion Laboratory, California Institute of Technology, where she is currently a Principal Engineer involved in the design and testing of 30–600-GHz HEMT monolithic microwave integrated circuit (MMIC) low-noise amplifiers and receivers, and power amplifiers for local-oscillator sources and transmitters in future space missions.

Jacob W. Kooi was born in Geldrop, The Netherlands, on July 12, 1960. He received the B.S. degree in microwave engineering from the California Polytechnic State University, San Luis Obispo, CA, USA, in 1985, the M.S. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 1992, and the Ph.D degree in physics from the University of Groningen, Groningen, The Netherlands, in 2008. Since November 2014, he has been an Instrument Scientist with the Microwave Remote Sensing Instru-

ment Division, Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA, USA. His research interests are in the area of millimeter- and submillimeter-wave technology, low-energy physics, electrodynamics, thermodynamics, low-noise amplifiers and associated monolithic microwave integrated circuit (MMIC) technology, Fourier optics, instrumental stability, and their application to astronomy and aeronomy.

Kieran Cleary received the M.Eng.Sc degree in electronic engineering from the National University of Ireland, Dublin, Ireland, in 1994, and the Ph.D. degree in radio astronomy (on cosmic microwave background observations using the Very Small Array) from the University of Manchester, Manchester, U.K., in 2004. From 2004 to 2006, he was a Postdoctoral Scholar with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA, USA, where he was involved with the properties of powerful radio galaxies using the Spitzer Space Telescope. Since 2006, he has been based at the California Institute of Technology, where he is currently a Senior Staff Scientist involved with an experiment to measure redshifted carbon monoxide emission from the epoch of Galaxy assembly (COMAP), as well as leading the Cahill Radio Astronomy Laboratory.

Rohit S. Gawande received the B.E. degree from the Government College of Engineering, Pune, India, in 2002, the M.Sc. degree in radio astronomy and space science from the Chalmers University of Technology, Göteborg, Sweden, the M.S. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, and the Ph.D. degree in electrical engineering from the University of Virginia, Charlottesville, VA, USA, in 2011. From 2011 to 2015, he was a Research Engineer with the California Institute of Technology. He is currently a Member of the Radar Science and Engineering Section, Jet Propulsion Laboratory, California Institute of Technology. His research interests include RADAR and radio astronomy instrumentation, microwave and millimeter-wave systems, wideband antennas, and cryogenic low-noise amplifiers.

Ahmed Akgiray received the B.S. degree in electrical engineering from Cornell University, Itahca, NY, USA, in 2005, the M.S. degree in electrical engineering from the University of Illinois at Urbana–Champaign, Urbana, IL, USA, in 2007, and the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 2013. Prior to earning his Ph.D. degree, he was with the Jet Propulsion Laboratory, California Institute of Technology, as an RF/Microwave Engineer involved with two spaceflight missions: the Mars Science Laboratory and the Soil Moisture Active Passive (SMAP). He is currently with Ozyegin University, Istanbul, Turkey. His research interests include microwave circuit and systems, RF/microwave integrated circuits, electromagnetics, antennas, and remote sensing. The primary application of his interest is remote sensing using active (radar) and passive (radiometer) microwave systems on ground-based, airborne, and space-borne platforms.

Andy Fung (S’97–M’99) received the B.E.E., M.S.E.E., and Ph.D. degrees in electrical engineering from the University of Minnesota, Minneapolis–St. Paul, in 1993, 1995 and 1999, respectively. In 1999, he joined the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA, USA. His current research interest is in the area of the development of millimeter- and submillimeter-wave GaN power amplifiers for transmitters and InP low-noise amplifiers for receivers.

VARONEN et al.: MMIC LNA DESIGN TECHNIQUE

Todd Gaier received the Ph.D. degree in physics [studying the cosmic microwave background (CMB)] from the University of California at Santa Barbara, Santa Barbara, CA, USA, in 1993. He is a Jet Propulsion Laboratory (JPL) Fellow, Senior Research Scientist, and the Supervisor of the Microwave Systems Technology Group, JPL, as well as a Faculty Associate in astronomy with the California Institute of Technology, Pasadena, CA, USA. His research interests include millimeter-wave electronics for applications in astrophysics and Earth remote sensing. His group develops technologies and instruments using monolithic microwave integrated circuit (MMIC) components operating at frequencies of 1–250 GHz. Recent projects with the group include the Planck-LFI mission to study the anisotropy and polarization of the CMB; the Q/U Imaging Experiment (QUIET) exploring the polarization of the CMB; GeoSTAR, an interferometric synthetic aperture imager for Earth atmospheric sounding from geostationary orbit; the Advanced Microwave Radiometers for the Jason III mission mapping small variations in sea level across the globe monitoring conditions such as El Niño, the integrated receivers for the Juno Microwave Radiometers, the Compact Ocean Wind Vector Radiometer, a low-cost alternative to ocean wind measurement, and TEMPEST-D, a CubeSat demonstrator for a mission to study temporal behavior of clouds and precipitation. Dr. Gaier is a Member of the Committee on Radio Frequencies, National Academies of Science.

Sander Weinreb (S’56–M’63–SM’71–F’78–LF’02) received the B.S.E.E. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 1958 and 1963, respectively. He is currently a Principal Scientist with the Jet Propulsion Laboratory (JPL), California Institute of Technology, Pasadena, CA, USA, and a Sr. Faculty Associate with the California Institute of Technology. Most of his career has been in the administration or development of instrumentation in radio astronomy. His major accomplishments are the introduction of digital correlation techniques into radio astronomy, the discovery of the first cosmic molecular radio spectral line (OH), and the introduction of cryogenic transistor amplifiers to radio astronomy. From 1966 to 1988, he led the Electronic Division, National Radio Astronomy Observatory, where he was responsible for the design of all electronics for the Very Large Array and all other telescopes operated by the observatory. Since 2006, he has devoted most of his effort in developments for the international Square Km Array (SKA) project and mentoring students including the supervision of five Ph.D. students in electrical engineering at the California Institute of Technology. He has authored or coauthored over 200 publications. His current research activities are in the areas of cryogenic low-noise amplifiers and decade bandwidth antenna feeds. Dr. Weinreb has served on many Review and Visiting Committees. He was the recipient of the Reber Medal, the Jansky Award, and the Weber Prize for contributions to instrumentation in astronomy.

Anthony C. S. Readhead was born in South Africa. He received the B.Sc and B.Sc (Hons) degrees from the University of the Witwatersrand, Johannesburg, South Africa, and the Ph.D. degree from the Cavendish Laboratory, University of Cambridge, Cambridge, U.K. He is the Robinson Professor of Astronomy with the California Institute of Technology (Emeritus). After earning the B.Sc and B.Sc (Hons) degrees, in 1968 he joined the Cavendish Laboratory. He then spent five years as a Royal Society Weir Research Fellow with the Cavendish Laboratory, including 15 months as a Post-Doctoral

835

Fellow with the California Institute of Technology. In 1977, he returned to the California Institute of Technology, where he was appointed to the professorial faculty there in 1981. He has held a number of positions with the California Institute of Technology, including Director of the Owens Valley Radio Observatory (1981–1986, 2007 – present); Executive Officer of Astronomy (1990–1992; 2012–2013), and Director of the Chajnantor Observatory in Chile (2006–2009). His scientific interests have been focused on cosmology and active galaxies and on techniques of high-resolution astronomy and imaging.

Charles Lawrence received the Ph.D. degree in physics from the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 1983. He is currently a Fellow and Senior Research Scientist with the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA, USA, where he is the Project Scientist for the U.S. Planck Project, Chief Scientist for Astronomy, Physics, and Space Technology, and has led several programs to develop ultra-low-noise cryogenic amplifiers for ground- and space-based applications.

Stephen Sarkozy (M’11) received the B.S. degrees in physics and mathematics from the University of California at Santa Barbara, Santa Barbara, CA, USa, in 2004, and the Ph.D. degree in natural science (semiconductor physics) (as a Northrop Grumman Space Technology Doctoral Fellow) with the Cavendish Laboratory, University of Cambridge, Cambridge, U.K., in 2008. He is currently involved in advanced semiconductor technologies and systems for scientific, commercial, and military applications. Dr. Sarkozy was the recipient of the 2012 IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS Tatsuo Itoh Best Paper Award.

Richard Lai (F’10) received the Ph.D. degree from the University of Michigan, Ann Arbor, MI, USA, in 1991. He possesses more than 25 years of experience in the research, development, and production of advanced HEMT device and monolithic microwave integrated circuit (MMIC) RF technologies. Since 1994, he has been the Principal Investigator for advanced HEMT research and development with Northrop Grumman Aerospace Systems, Redondo Beach, CA, USA, and, since 2002, he has been an Engineering Technical Fellow. He has authored or coauthored over 200 papers, patents, and conference presentations in the area of advanced GaAs- and InP-based device and circuit technology, establishing record performance for the lowest noise amplifiers, highest frequency amplifiers, and highest power amplifiers.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Prospects and Limitations of Stacked-FET Approaches for Enhanced Output Power in Voltage-Controlled Oscillators Fabian Thome, Stephan Maroldt, and Oliver Ambacher

Abstract—This paper reports on the emerging potential of a stacked field-effect transistor (FET) approach with respect to maximum achievable RF output power with special remarks on high electron-mobility transistor (HEMT) based series feedback oscillator monolithic microwave integrated circuits (MMICs). A stacked-FET oscillator can provide benefit in several ways—in the form of an improved RF output power, a high drain efficiency, or a stabilized oscillation behavior, even for changing load impedances. The limitation of the maximum number of transistors is analyzed with reference to the maximum achievable output power of stacked devices. This is done by describing the compromise between an increased voltage swing and a decreased RF output current. The output current is decreased since the current gain of a common gate device is smaller than unity. Based on the proposed theory a W-band stacked-FET voltage-controlled oscillator MMIC with an output power of 15 dBm and a drain efficiency of 23.3% was realized. The MMIC is based on the Fraunhofer IAF 50-nm gate-length metamorphic HEMT process. For an advanced evaluation of the power capability and the optimum target load of the utilized technology, an active W-band on-wafer load–pull system was implemented. Index Terms—Active load–pull, amplitude modulator, high electron-mobility transistor (HEMT), monolithic microwave integrated circuit (MMIC), phase noise (PN), stacking, switch, transmitters, voltage-controlled oscillators (VCOs), W-band.

I. INTRODUCTION

T

HE increase of RF output power is a major goal for a multitude of applications. In particular, radar and wireless communication systems require high RF output power to increase their operating distance. Simultaneously, both applications tend to utilize higher operating frequencies, to enlarge the absolute RF bandwidth, which increases radar resolution and maximum achievable data rate, respectively. Wireless communication systems especially suffer from the requirements to fulfill both at the same time—high RF bandwidth and high output power. In addition, the overall dc power consumption

Manuscript received August 09, 2015; revised December 10, 2015; accepted January 11, 2016. F. Thome is with the Fraunhofer Institute for Applied Solid State Physics (IAF), 79108 Freiburg, Germany, and also with the Research Training Group “Micro Energy Harvesting,” University of Freiburg–IMTEK, 79110 Freiburg, Germany (e-mail: [email protected]). S. Maroldt and O. Ambacher are with the Fraunhofer Institute for Applied Solid State Physics (IAF), 79108 Freiburg, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2520485

is getting more and more important to enabling even autonomous and mobile powered systems. The breakdown voltage of a semiconductor technology is a key parameter. In combination with the aim of a multifunctional monolithic microwave integrated circuit (MMIC), systems suffer from a limited breakdown voltage. Low-noise and high-speed III/V technologies especially, but also silicon-based technologies, need to spend significant effort to achieve sufficiently high output power. Due to these challenges (high data rates, high RF output power, and low dc power consumption) one might think about new transmitter topologies, apart from the standard heterodyne approaches. Therefore, the power amplifier (PA) is the most critical building block in a transmitter topology since all three parameters ( , RF bandwidth, and ) are mainly determined by the PA. Thus, a transmitter would greatly benefit from potentially eliminating the use of a PA to achieve all of the goals. A direct modulation topology, as presented in [1], contains an RF signal generator and an RF amplitude modulator. The major benefits are high maximum data rates, a considerably high RF output power, and a very compact and power efficient transmitter MMIC. However, this means, that the carrier signal generator needs to provide the entire RF output power. The carrier signal is typically realized by a voltage-controlled oscillator (VCO). The generation of a high output power is possible by utilizing the stacked field-effect transistor (FET) approach [2]. The main advantage is having the ability to increase the overall drain supply voltage, resulting in an increased output voltage swing and an increased output impedance. The fundamental question is the maximum number of transistors, which can be effectively stacked. The stacking of common gate (CG) devices benefits from an increased output voltage swing. However, a part of the RF output current vanishes at the gate of each CG device. This becomes even more severe if the operating frequency is in the order of the transition frequency of the used semiconductor technology. The highest achieved RF output power of state-of-the-art oscillator MMICs, operating in the W-band frequency range, is 10.6 dBm [3], while utilizing an AlGaN/GaN high-power technology. The drain efficiency is about 1.8%. The highest output power of a W-band oscillator, which is based on a SiGe heterojunction bipolar transistor (HBT) technology, was 9 dBm with a drain efficiency of 3.3% [4]. [5] published an RF output power of 8.8 dBm, based on a GaAs pseudomorphic high electron-mobility transistor (pHEMT)

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

technology. Reference [4] also achieved the largest relative tuning range (TR) of the oscillation frequency of 30.6%. The best drain efficiency of a W-band oscillator MMIC was published in [1] with 28.4%. This work describes the limitation of stacked-FET approaches with special remarks on the RF output power of stacked-FET power devices. This approach can be used for high power amplifiers or oscillators, likewise. To determine the RF power density at W-band frequencies, an implementation of an active W-band on-wafer load–pull system is introduced. The measurement system utilizes a standard network analyzer. Based on these investigations, a high power stacked-FET W-band VCO MMIC was realized. The fabricated MMIC proves the benefit of the FET stacking approach by pushing the RF output power far above 10 dBm. II. 50-nm mHEMT TECHNOLOGY A. Technology Description The presented work is based on the Fraunhofer Institute for Applied Solid State Physics (IAF) 50-nm gate-length metamorphic high electron-mobility transistor (mHEMT) technology [6]. For a lattice matched growth of the high electron-mobility transistor (HEMT) layers on 4-in semi-insulating GaAs wafers, a metamorphic buffer with a linear In Al Ga As transition is used. The 2-D electron gas is confined in an In Ga As In Ga As composite channel. The T-gates are defined by electron beam lithography and are encapsulated in benzocyclobutene (BCB). The wafer is passivated with a 250-nm-thick SiN layer, which also acts as the dielectric layer of the on-wafer metal–insulator–metal (MIM) capacitors and is deposited by chemical vapor deposition (CVD). Further passive elements comprise NiCr thin-film resistors, an electron beam evaporated Au based interconnection layer, and a 2.7- m-thick plated Au layer in air-bridge technology. After finishing the front-side process, a full back-side process follows. This includes wafer thinning to 50- m thickness, through substrate via-holes and back-side metallization. The technology features an of 375 GHz and a maximum oscillation frequency of 670 GHz. The maximum drain current density is 1300 mA/mm and the maximum transconductance is 2100 mS/mm. B. RF Output Power Performance To investigate the maximum available RF output power in the W-band frequency range (75–110 GHz), an active W-band on-wafer load–pull system was realized. The measurement setup is based on an active load–pull method described by Takayama [7] and can characterize single transistors [e.g., common source (CS)] of the described mHEMT process. Fig. 1 depicts a block diagram of the active load–pull measurement setup. The load–pull measurement setup is based on an on-wafer waveguide S-parameter setup using an HP8510 network analyzer. The RF measurement signal for port 1 is divided in two signals, generating the - and -wave for the device-undertest (DUT). To guarantee linear operation of the mixers of the

Fig. 1. Block diagram of the active W-band waveguide on-wafer load–pull measurement setup.

reflectometer, four 20-dB directional waveguide couplers were used. The setup measures merely the uncalibrated - and -waves. The calibration of the raw data is done in the measurement program, running on a PC. The calibration routine consists of three consecutive calibration steps. First, a waveguide one-port calibration at port 1 with demounted waveguide probes is performed. Afterwards, a source power calibration at port 1 is done. This calibrates the power reference plane to the waveguide flange of port 1 by a power calibration factor. The last calibration step is an on-wafer full two-port calibration with mounted waveguide probes on a W-band impedance standard substrate. With the described active load–pull system, a CS transistor with a gate width of 2 45 m was measured, to determine the maximum RF power density at W-band frequencies. The transistor is based on the Fraunhofer IAF 50-nm mHEMT technology. Fig. 2 shows a contour plot of the RF output power of a de-embedded 2 45 m CS transistor over the whole Smith chart. The operating frequency is 94 GHz and the drain voltage supply is 1 V. For an impedance of ,a maximum RF output power of 13.2 dBm was achieved. This results in an RF power density of 230 mW/mm at 94 GHz. Thus, the Cripps load of a 2 45 m transistor is . Assuming, is scaling with the gate width of a transistor, the normalized Cripps load is mm. For a small frequency range, the output reactance of a HEMT can be described as a capacitance. This capacitance has to be compensated by . For a transistor with a total gate width of 2 45 m, the capacitance yields 0.12 pF. III. STACKED-FET OSCILLATOR DESIGN This section describes the design of an -stacked oscillator MMIC and the two main limiting factors, while improving the output power. Section III-A describes the general oscillator

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. THOME et al.: PROSPECTS AND LIMITATIONS OF STACKED-FET APPROACHES FOR ENHANCED OUTPUT POWER IN VCOs

Fig. 2. Measured load–pull contour plot of a Fraunhofer IAF 50-nm mHEMT with a gate width of 2 45 m at an operation frequency of 94 GHz. The drain supply voltage is 1 V.

3

Fig. 4. Simulated MSG/MAG of a transistor with two gate fingers in CS configuration with asymmetrical SL with a variation of the gate width between 2 30 is shown and 2 50 m in steps of 5 m. The short-circuit current gain is shown via green dashed lines versus frequency, while the stability factor for an operation frequency of 100 GHz versus the gate finger length.

(3) (4) Equation (1) and (2) define the oscillation frequency (3) is fulfilled at the same time. Fig. 3. Simplified schematic of a series feedback oscillator with the impedand at the gate and the source of the transistor, respectively. ances and are the reflection coefficients at the gate of the transistor. OMN is the output matching network.

principle. Section III-B elaborates the maximum meaningful transistor gate width of an oscillator in the proposed approach. Section III-C comments on the theoretical limit of the number of transistors in an -stacked amplifier or oscillator, likewise, if the output power is the crucial criterion. Section IV describes the design of a W-band VCO MMIC with high output power. A. Basic Oscillator Principle The oscillator principle is based on a series feedback oscillator [8], where a transistor in a CS configuration is used as an oscillating device. The impedances and are presented towards source and gate of the transistor, respectively. Fig. 3 depicts a simplified schematic of the proposed oscillator approach. The external circuitry is designed in such a way that the transistor itself becomes unstable and starts a self-oscillation. In this approach, is a capacitance and is an inductive impedance. Thus, a negative resistance oscillator can be realized and the reflection coefficients ( and ) fulfill the Nyquist oscillation criterion, given by [9], [10] (1) (2) Thus, the transistor itself is able to oscillate, meaning that the of the transistor is smaller than unity [11], stability factor

if

B. Gate-Width Limitations In general, increasing the RF output power of any power circuit on the transistor level is possible by optimizing three parameters. The first two parameters are related to the biasing conditions—the drain–source voltage and the drain current . However, these two parameters are limited by the applied transistor technology. They can only be increased in such a way that the dynamic drain–source voltage and the dynamic drain current density remain within the limits of the technology—the breakdown voltage and the maximum drain current density. In the end, regarding the RF output power, both parameters lead to the maximum dissipated power, which can be tolerated by a given semiconductor technology. Assuming the biasing was done in an optimum way in terms of maximizing the output power, the only way to further increase the output power of a single transistor is to increase its gate width. This leads to the question of the maximum gate width of the introduced oscillator approach. As already mentioned, (3) is a necessary requirement for a series feedback oscillator approach. Thus, the gate width of the transistor can only be increased up to a value at which the (3) is fulfilled. In Fig. 4, the simulated maximum stable gain (MSG), maximum available gain (MAG) curves for CS HEMTs of the used Fraunhofer IAF 50-nm mHEMT technology with a total gate width from 2 30 to 2 50 m in steps of 5 m are shown. The transistors are utilizing an asymmetrical source line (SL). This means that the two source contacts of a transistor are connected by an air bridge so that a transmission line can be connected on one side of the transistor. Due to a target operation frequency of around 100 GHz the largest transistor gate

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

width, which fulfills (3), is 2 35 m. Hence, the following analysis and MMIC design are based on a transistor gate width of 2 35 m. Based on the investigation of the RF power density of the Fraunhofer IAF 50-nm mHEMT technology for W-band frequencies in Section II-B, the expected RF output power on transistor level for a gate width of 2 35 m is around 12 dBm. This output power requires optimum load matching and does not consider any losses induced by the circuit. The investigations in Section II-B showed an optimum Cripps load of mm, resulting in a Cripps load for a 2 35 m transistor of . Thus, an impedance transformation network (ITN) would be required, to transform the Cripps load of 16 to a system impedance of 50 . The ITN is prejudicial for three reasons. The ITN inserts additional losses, which decreases the output power. In addition, the required chip size is increased and large bandwidths might demand networks with filter orders of higher than two, which again increases losses and chip size. For those reasons an increased Cripps load, due to FET stacking, would be beneficial. As a first approximation, the optimum Cripps load of a stacked-FET approach directly depends on the number of stacked transistors , (5) Thus, a number of 3–4 stacked transistors would be ideal since the Cripps load of a transistor with a gate width of 2 35 m would be in the range of 50 and no ITN would be required. C.

-Stack Limitations

The stacking of transistors is a possibility, to increase the RF output power of a circuit—going from a cascode, to a triode, and so on. While the output power is increasing due to an increasing output voltage swing, this applies for PAs and oscillators likewise. Ultimately, the output power is the key parameter—independent from the used semiconductor technology. The reason is the difference of voltage and current gain of each transistor in the stack, which limits the maximum number of transistors in a stack . If the stacked approach is properly designed, the voltage swing of each transistor in the stack is approximately the same. In other words, the RF output voltage is a linear function of the number of transistors in the stack. The first transistor of a stack is in the CS configuration. Thus, it has the ability to have a current gain larger than unity. However, all other transistors are in CG configuration and accordingly have a current gain of one at most. Hence, there must be a tradeoff between increased voltage swing and overall current gain. This is caused by the RF currents at gate, drain, and source of each transistor, which are given as (6) The source current of transistor current of the previous transistor

is determined by the drain , as shown in Fig. 5, (7)

Thus, the overall RF output current drain current of the first CS transistor

is determined by the . Assuming the

Fig. 5. Simplified schematic of an FET stacking with schematic includes the RF gate, drain, and source currents.

transistors. The

reactive part of the output impedance of the stack is completely compensated, the overall output power of the stacked approach is given by (8) The optimum design of a stacked amplifier results in a similar drain–source voltage swing for each transistor [12]. This is in the same way valid for oscillators with a stacked approach. Consequently, the RF output voltage is linearly dependent on the number of transistors in a stack, (9) The next step is the description of the RF output current, exand CG transispressed by the current gain of CS tors. for the first transistor in the stack is given as (10) is also defined by the ratio of transition frequency the highest frequency the circuit is operating [13],

and (11)

Equation (11) describes an upper limit of the current gain, due to the fact that it gives the behavior at an intrinsic transistor level, neglecting all extrinsic components of the transistor. The definition of uses (6), (10), and (11) and is given as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 5

THOME et al.: PROSPECTS AND LIMITATIONS OF STACKED-FET APPROACHES FOR ENHANCED OUTPUT POWER IN VCOs

(12) The main limitation for the number of stacked transistors is the degradation of with each CG transistor. This is due to the loss of the RF gate current, which is one minus (12) and equals . This is especially the case where the assumption is not valid. Considering all this, is defined by

(13) showing an exponential dependence on the number of stacked transistors. Finally, the RF output power depending on the number of transistors is given as (14) having a linear voltage related increase and an exponential current related decrease by . Hence, there must be an , which is given by the derivative of and equals zero,

Fig. 6. Limit of the maximum number of stacked transistors for maximum achievable output power (red line) versus operating frequency normalized to the transition frequency. The dashed line shows the maximum number of stacked of transistors for the Fraunhofer IAF 50-nm mHEMT technology with an 375 GHz for a target oscillation frequency of 100 GHz.

Based on (17), considering an optimum compensation of the output reactance of the stack and an optimum matching to the Cripps load, the expected RF output power of a stack of four transistors equals GHz

(15) According to that, the maximum number of transistors in a stacked transistor approach is given by (16) which describes the theoretical limit due to the maximum available output power of an -stack. Fig. 6 depicts this limit of the maximum number of transistors in general—independent of the utilized semiconductor technology—and in combination with the limit of the used Fraunhofer IAF 50-nm mHEMT technology GHz . The operating frequency is around 100 GHz. The RF power density is a technology parameter. This, in combination with Section III-B, implies that the maximum achievable RF output power of a stacked-FET device is limited. For a given semiconductor technology and operating frequency, this limit is (at the operating frequency) times the largest gate width with a stability factor smaller than unity and . In addition, this term is reduced by the RF gate current, which vanishes at the gate of each CG device of a stack. Based on (14), is given as

(17)

GHz GHz mW mm mW dBm

GHz m (18)

IV. VCO MMIC DESIGN To prove the benefit of the stacked-FET approach for monolithic integrated oscillators, a VCO was realized (Fig. 7). Fig. 8 shows the chip photograph of the fabricated oscillator MMIC. The chip measures 1.25 0.75 mm , including all RF and dc pads. The target oscillation frequency of the MMIC is the center of W-band, up to 100 GHz. As introduced in Section III-A, the oscillator is based on a transistor in CS configuration. Due to the limitation of the maximum transistor size (as explained in Section III-B), the gate width of the CS transistor is 2 35 m. The layout of the CS transistor is realized with an asymmetrical SL. This means that the SL is extended onto one side of the transistor. The second source contact is connected with an air bridge. presents a capacitance and presents an inductance to the transistor. At the same time has to guarantee that the dc drain current has a loop to ground. Therefore, it is not possible to realize by a capacitor because the loop to ground is missing. However, the RF capacitance can be realized by an SL with an electrical length of between and . is the wavelength on a GaAs substrate. The resulting can be controlled by the length of the SL. Thus, for the proposed mHEMT process with a grounded coplanar waveguide (CPWG) environment, the length of the SL can be varied between 315 and 630 m. The optimum value for

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7. Simplified schematic of the entire W-band stacked-FET high-power VCO (as described in Section IV).

Fig. 8. Chip photograph of the presented stacked-FET VCO. The chip is 1.25 0.75 mm in size.

Fig. 9. By varying the tuning voltage from 1 to 0.5 V, the resulting inductance can be adjusted from 26.5 to 49.5 pH. of

this design was 500 m. This results in a of 40.4 fF at an operating frequency of 100 GHz. The second impedance is , which has to provide an inductance and gate bias to transistor of Fig. 7. The oscillation frequency can be tuned by varying the inductance. Thus, comprises four parts. The first part is an RF shorted stub, to feed the gate bias and to realize a parallel inductance. The dc bias is RF filtered on-wafer with a large MIM capacitor and a 50resistor, to prevent any influence of the surrounding (off-chip). Furthermore, a series transmission line provides a series inductance. is followed by a series capacitor, to block the gate bias. The tuning element is a transistor in the CG configuration with a gate width of 2 30 m. The tuning is done by varying the gate–source voltage, which is fed via a 1-k resistor. Fig. 9 shows the tuning of the inductive versus the tuning voltage for the oscillation frequency at each dedicated tuning voltage.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

The next step in the MMIC design is to employ the stacked-FET approach, to increase the RF output power of the oscillator MMIC. As a result of the theoretical limitation of the maximum number of stacked transistors (as explained in Section III-C), is limited for an operating frequency of 100 GHz in the Fraunhofer IAF 50-nm technology to four transistors. Hence, the oscillator MMIC can be extended by three CG transistors ( – ). To have the same dc voltage drop across each transistor, the gate width of each transistor equals 2 35 m . The transistors are connected with a transmission line having an impedance of 70 and a length of 30 m. The RF blocking capacitors at the gate of the CG transistors are connected symmetrically on both sides of the transistor. Each capacitor equals 1 pF, which leads to a total capacitance per transistor of 2 pF. The gate bias of the CG transistors is connected via a 50- resistor. The bias of each CG transistor is generated by a resistive voltage divider between the overall drain voltage and the gate voltage of the CS transistor. The voltage divider is already realized on-wafer. Due to the stacking approach, the resistors, with a value of around 1 k , were chosen sufficiently high. To save chip size, the NiCr resistors were realized under the first metal interconnect layer, which is isolated from the NiCr by BCB. Due to the voltage divider, only three dc voltages have to be provided to the MMIC—the gate, the drain, and the tuning voltage. The voltage divider is designed in such a way that the gate voltages guarantee an equal dc drain–source voltage of each transistor. The voltage divider (which generates the CG gate bias voltages) also has a second function. In case of a large input voltage swing of a CG device in the stack, the transistor would likely enter the triode region. Simultaneously, its gate–source voltage swing would increase. Since the utilized mHEMT technology has a Schottky gate, the gate diode would start to open at a gate–source voltage of approximately 0.3 V. Hence, a gate current is injected and leads to an additional voltage drop in the voltage divider. This results in a counter-regulation of the gate–source voltage, which is decreased. Thus, a transition into the triode region is prevented. Since the additional gate currents add up in the resistor between the gate of and , the voltage drop is maximized there. Thus, the counter regulation is maximal for . This is beneficial since entering the triode region is most likely for . A more detailed description of an optimum circuit adjustment of each CG transistor for an optimum load impedance can be found in [12] and [14]. The drain bias of the stack is provided via an RF shorted stub. The RF short of the drain stub is realized by two MIM capacitors. The first capacitor, in the CPWG environment, is based on an RF model. The second is a large dc MIM capacitor. The output of the oscillator was matched in a standard way for high power circuits. Therefore, the reactive part of the oscillator output needs to be compensated. For this purpose, the drain stub, feeding the drain voltage to the circuit, was used. The optimum length of the stub, to compensate the reactance, is 100 m. This results in an inductance of roughly 46 pH at the operating frequency. Thus, the output impedance after the drain stub is purely resistive and equals the Cripps load of the stacked transistors. Based on the investigations on the optimum load impedance of the used technology at 94 GHz in Section II-B,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. THOME et al.: PROSPECTS AND LIMITATIONS OF STACKED-FET APPROACHES FOR ENHANCED OUTPUT POWER IN VCOs

7

Fig. 11. W-band on-wafer waveguide measurement setup to characterize oscillation frequency and RF output power of VCO MMICs.

Fig. 10. Simulations of the changing output power (red line) and oscillation frequency (blue line) of the entire oscillator circuit versus load impedance relais 13.3 dBm and is 97.6 GHz. tive to the performance at 50 , where

TABLE I DESIGN PARAMETERS OF THE PRESENTED VCO MMIC

the Cripps load for a 2 35 m transistor is . Following (5), the optimum load resistance of a stack of four transistors is about . The proposed oscillator design was simulated for a substantial load impedance sweep from 10 to 10 000 , which is shown in Fig. 10. The simulation depicts the relative change of the output power of the oscillator for different load impedances with reference to a load impedance of 50 . The simulation shows a maximum output power for a load impedance of about 40 , which is close to the predicted Cripps load of . In addition, the simulation shows an improvement of the output power of about 5.8% or 0.26 dB between 64 and 40 . However, an ITN at W-band frequencies will insert losses with more than 0.26 dB, which is the reason that no ITN was used. Even though the resistive load, provided to the oscillator, is not the optimum load impedance, the entire oscillator MMIC yields the highest output power without an ITN. Therefore, the use of an ITN was avoided. In addition, this saves chip space and thus reduces the cost per MMIC. A simplified schematic of the VCO MMIC is shown in Fig. 7. Table I summarizes the most important device parameters of the VCO MMIC.

Another crucial criterion for monolithic integrated oscillators is their sensitivity to load impedance changes. Due to load impedance variations, the oscillation frequency can change, or even in worst cases, the oscillation might stop. This is a realistic scenario, especially regarding peripheral circuits, which are connected to the oscillator and either have a poor input matching, a varying input impedance, or the package of the MMIC is not providing exactly 50 . Apart from the advantage of an increased RF output power with a high drain efficiency, another benefit of the stacked-FET approach is the insensitivity of the oscillation frequency with respect to load impedance changes of monolithic integrated oscillators. To prove the benefit of the stacked-FET oscillator approach with reference to the oscillation frequency, Fig. 10 shows the . It is only about variation of the oscillation frequency 1% for the most important load impedance range from 20 to 10 k . If the load impedance is between 10 and 20 the frequency variation is still below 2%. The frequency stability versus load impedance is especially important for system implementations if proper matching cannot always be guaranteed. An application where particularly the frequency stability for changing load impedances is important can be wireless communication. Considering a direct modulation transmitter approach, an amplitude modulator is modulating the generated RF CW signal (as described, for example, in [1]). Thus, the load impedance can vary between proper power matching and a highly reflective load. If, for instance, the amplitude modulator is based on a single-pole single-throw switch, proper matching is solely ensured for the on-state of the switch. Depending on the switch topology, the matching for the off-state of the switch is poor. The oscillator has to survive this poor or highly reflective matching so that the oscillation is sustained during the off-state. V. CIRCUIT CHARACTERIZATION The fabricated W-band VCO MMIC was characterized on wafer level. Section V-A describes the characterization of the RF output power and the oscillation frequency of the stacked-FET oscillator MMIC. Section V-B describes the characterization of the phase noise (PN) performance of the oscillator MMIC. A. Frequency and Power Measurements The oscillation frequency and the RF output power of the VCO MMIC were measured using an on-wafer probe station. The setup is depicted in Fig. 11. To measure the oscillation frequency, a part of the MMIC output signal is taken and fed to a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 12. Measured RF output power (red circles), oscillation frequency (blue squares) and drain efficiency (green triangles) versus tuning voltage of the of the stacked-FET oscillator. The simulations are shown in dashed lines. VCO MMIC was kept constant over the entire TR. The dc power consumption is 140 mW at most with a drain voltage of 4 V and a drain current of 35 mA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. W-band on-wafer waveguide measurement setup to characterize the PN performance of VCO MMICs.

Fig. 15. Measured PN of the stacked-FET oscillator MMIC for a tuning voltage of 0.3 V. The dc power consumption equals to one of the CW power measurements (140 mW). The drain voltage is 4 V and the drain current is 35 mA.

Fig. 13. Measured RF output power (red circles) and drain efficiency (blue squares) versus dc power consumption of the stacked-FET oscillator MMIC. The dc power consumption was diversified by varying the overall drain voltage from 1.4 to 4 V and varying the drain current from 14 to 35 mA.

waveguide mixer extension of the spectrum analyzer. A power meter with a waveguide power head measures the remaining part of the output signal of the oscillator MMIC. The output power is reduced by 20 dB with a waveguide attenuator so that the power meter is not saturated. The measured output power was calibrated to the W-band waveguide probe tip, contacting the RF output pad of the MMIC. The first measurement investigates the frequency tuning characteristic of the stacked-FET oscillator. The tuning voltage is varied within the limits of the gate–source voltage of the 50-nm technology (from 1 to 0.5 V). The measured results are shown in Fig. 12 and compared to the large-signal simulations. The bias condition was kept constant for the whole measurement. The drain voltage was 4 V and the gate voltage was adjusted to obtain a drain current density of about 500 mA/mm. Hence, the drain current is about 35 mA. was kept constant for the entire frequency TR. Both, RF output power and oscillation frequency are in good agreement with the simulation. Due to a variation of the threshold voltage between the MMIC and transistor model, which affects the threshold of , the frequency tuning curve is shifted towards positive tuning voltages. However, this is not affecting the performance of the VCO MMIC. By varying , the oscillation frequency can be continuously adjusted from 87.7 to 98.2 GHz. This results in a relative frequency

TR of 11.3%. The RF output power and drain efficiency of the MMIC are 14.4 0.6 dBm and 22.4 1.5%, respectively, for the entire TR. This results in peak values for the drain efficiency and RF output power of 23.9% with an RF output power of 14.8 dBm V and 15 dBm with a drain efficiency of 23.3% V , respectively. The second measurement of the oscillator MMIC was done for a constant tuning voltage of 0.5 V, while the dc power consumption is varied from 19.6 to 140 mW by adjusting drain voltage and drain current. The behavior of RF output power and drain efficiency versus dc power consumption is depicted in Fig. 13. A maximum drain efficiency of 23.6% dBm was achieved for a drain voltage of 4 V and a drain current of 32.5 mA. The maximum RF output power of the stacked-FET oscillator MMIC was achieved for a drain voltage of 4 V and a drain current of 35 mA and equals 15 dBm %). The maximum achieved output power perfectly matches the prediction of 15 dBm, which was made in Section IV. B. PN Measurements Since the frequency transient capture range of signal source analyzers in the W-band frequency range is, in general, limited to a few megahertz, the PN performance of the proposed stacked-FET oscillator MMIC was carried out by using the PN9000 PN test system from Noise eXtended Technologies. The system uses the delay-line method with a delay line of 15 ns. The input frequency range of the PN9000 measurement system is limited to frequencies up to 2 GHz. For this reason the RF output signal of the oscillator MMIC was mixed down

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 9

THOME et al.: PROSPECTS AND LIMITATIONS OF STACKED-FET APPROACHES FOR ENHANCED OUTPUT POWER IN VCOs

TABLE II STATE-OF-THE-ART W-BAND OSCILLATOR MMICs

to an IF of about 770 MHz by using a fundamental waveguide mixer (Millitech 47416H-1020) and a Keysight 8247C signal generator with a W-band waveguide extension (as the local oscillator). The IF frequency is amplified by an LNA and a small part of the IF signal is fed to a spectrum analyzer to track the IF. The major part of the IF signal is analyzed by the PN9000 system. Fig. 14 illustrates a block diagram of the on-wafer PN measurement system. The PN measurement of the oscillator MMIC was done for the same biasing conditions as for the CW power measurements V and mA). The tuning voltage was set to 0.3 V, which refers to an oscillation frequency of 87.7 GHz. The measured PN is shown in Fig. 15. At an offset frequency of 1 MHz, the PN of the oscillator MMIC is about 86 dBc/Hz. Reference [15] is based on a very similar 100-nm mHEMT technology of Fraunhofer IAF. The oscillator topology is as well comparable to this work. However, [15] does not utilize a stacked-FET approach and achieved a PN of 80 dBc/Hz at an offset frequency of 1 MHz. This indicates that there is no substantial interrelation between the PN performance of an oscillator and FET stacking. In general, the low-frequency noise (e.g., noise) of FET technologies is higher compared to bipolar transistor technologies. Hence, it seems that the achieved PN performance is mainly limited by the used transistor technology. This is also confirmed by [4] and [16], which obtained a better PN by utilizing HBTs. VI. COMPARISON TO STATE-OF-THE-ART Table II shows an overview of state-of-the-art oscillator MMIC results in the W-band frequency range. The highest RF output power was published in [3] with 10.6 dBm. The work was based on a high-power AlGaN/GaN 100-nm technology.

Even though this work is based on an mHEMT technology, which is primarily dedicated for low-noise applications, the proposed oscillator MMIC yields an RF output power of 15 dBm. This is by a factor of 2.8 higher than all previous publications. Simultaneously, the dc power consumption is very low—especially regarding the RF output power. This results in a drain efficiency with a maximum value of 23.9% and an efficiency of 23.3% for the highest output power, which is one of the best published efficiencies. The frequency TR of the proposed VCO MMIC also yields one of the highest values (11.3%), whereas only [4] achieved 30.6%. For comparison, a figure of merit is introduced, containing the RF output power, the drain efficiency, the center oscillation frequency, and the relative frequency TR mW

GHz

(19)

Based on this , this work achieved a 12 times better result, compared to the second-best result, which is based on a SiGe BiCMOS technology [4]. If PN is an important measure for the intended application, [16] specified a figure of merit including PN Hz Hz

dBc Hz (20)

where is the offset frequency at which the PN was determined. Both frequency values are expected to be given in hertz. Considering , this work yields a very good result. Compared to other publications, which are based on III/V or FET technologies, the proposed stacked-FET VCO achieved the best published result. Due to a better PN performance of the SiGe

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III STATE-OF-THE-ART W-BAND PA MMICs

HBT technology, [4] and [16] scored a better . However, both publications did not reach the output power and the drain efficiency of this work. A high output power of a signal generator chain could as well be realized by connecting a PA in series to an oscillator. However, it is questionable if the efficiency of a combination of oscillator and PA would be a comparable figure of merit. Therefore, Table III summarizes state-of-the-art W-band PA MMICs with a saturated output power of more than 10 dBm. To reach an efficiency of more than 20%, as this work did, the PA necessarily needs a power-added efficiency (PAE) of at least 20%. Simultaneously, the power gain of the amplifier has to be sufficiently high so that the influence on the efficiency of the oscillator is negligible. Solely [29] achieved a PAE of better than 20%. However, its power gain is below 5 dB. This means that the drain efficiency of the oscillator would still have a considerably large influence on the system efficiency of oscillator and PA combined. Integrating, for instance, the oscillator of [4] and the PA of [29] would yield an output power of nearly 15 dBm, though, the system efficiency would be merely 9.1%. This shows that the commonly used utilization of a PA, to boost the output power of an oscillator, is not efficient. This emphasizes the benefit of the proposed stacked-FET oscillator approach. VII. CONCLUSION This work has concentrated on the FET stacking approach. The aim is to increase the achievable RF output power and to describe the limitation of the maximum number of used transistors in a stack. Therefore, a description was introduced, showing the limitation in general as a function of the ratio between operating and transition frequencies. To evaluate the RF power density of the used Fraunhofer IAF 50-nm mHEMT technology at W-band frequencies, a novel active W-band waveguide load–pull system was realized. Furthermore, a W-band VCO MMIC was designed, fabricated, and characterized. The VCO MMIC is based on the analysis of the FET stacking limitation. Thus, the oscillator utilizes four stacked HEMTs. To the best of the authors’ knowledge, the proposed VCO MMIC achieved the highest published RF output power (15 dBm) in the W-band frequency range and was the first W-band oscillator MMIC

utilizing a stacked-FET approach. On the basis of the proposed oscillator MMIC, wireless data transmitters can be realized in future work, targeting high data rates, high RF output power, and low dc power consumption. Based on the shown MMIC, the benefit and the limitations of the stacked-FET approach was proved. This enables semiconductor technologies, which are dedicated for low-noise applications, to reach high RF output powers. Thus, even at high operating frequencies, this work enables the integration of novel efficient transmitter MMICs with high output power together with efficient receiver MMICs [34], in combination with a low dc power consumption. ACKNOWLEDGMENT The authors express their gratitude to their colleagues in the Technology Department, Fraunhofer IAF, for their excellent contributions during epitaxial growth and wafer processing. The authors gratefully thank the Research Training Group “Micro Energy Harvesting,” University of Freiburg. Special thanks to F. van Raay for valuable discussions. REFERENCES [1] F. Thome, A. Leuther, S. Maroldt, M. Schlechtweg, and O. Ambacher, “Low-power wireless data transmitter MMIC with data rates up to 25 Gbit/S and 9.5 mW power consumption using a 113 GHz carrier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [2] M. Shifrin, Y. Ayasli, and P. Katzin, “A new power amplifier topology with series biasing and power combining of transistors,” in Microw. Millim.-Wave Monolithic Circuits Symp., Jun. 1992, pp. 39–41. [3] R. Weber, D. Schwantuschke, P. Bruckner, R. Quay, F. van Raay, and O. Ambacher, “A 92 GHz GaN HEMT voltage-controlled oscillator MMIC,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [4] N. Pohl, H.-M. Rein, T. Musch, K. Aufinger, and J. Hausner, “SiGe bipolar VCO with ultra-wide tuning range at 80 GHz center frequency,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2655–2662, Oct. 2009. [5] H. Wang et al., “Monolithic W-band VCOs using pseudomorphic AlGaAs/InGaAs/GaAs HEMTs,” in Gallium Arsenide Integr. Circuit Symp., Oct. 1992, pp. 47–50. [6] A. Leuther, A. Tessmann, H. Massler, R. Aidam, M. Schlechtweg, and O. Ambacher, “450 GHz amplifier MMIC in 50 nm metamorphic HEMT technology,” in Int. Indium Phosphide Related Mater. Conf., Aug. 2012, pp. 229–232. [7] Y. Takayama, “A new load–pull characterization method for microwave power transistors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1976, pp. 218–220. [8] A. Grebennikov, RF and Microwave Transmitter Design, 1st ed. New York, NY, USA: Wiley, 2011. [9] H. Nyquist, “Regeneration theory,” Bell Syst. Tech. J., vol. 11, no. 1, pp. 126–147, Jan. 1932.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. THOME et al.: PROSPECTS AND LIMITATIONS OF STACKED-FET APPROACHES FOR ENHANCED OUTPUT POWER IN VCOs

[10] M. Odyniec, “Stability criteria via S-parameters,” in Eur. Microw. Conf., Sep. 2, 1995, pp. 1113–1117. [11] J. Rollett, “Stability and power-gain invariants of linear twoports,” IRE Trans. Circuit Theory, vol. CT-9, no. 1, pp. 29–32, Mar. 1962. [12] P. Asbeck, “Stacked Si MOSFET strategies for microwave and mm-wave power amplifiers,” in Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Jan. 2014, pp. 13–15. [13] D. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2012. [14] S. Pornpromlikit, J. Jeong, C. Presti, A. Scuderi, and P. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 57–64, Jan. 2010. [15] R. Weber, M. Kuri, M. Lang, A. Tessmann, M. Seelmann-Eggebert, and A. Leuther, “A PLL-stabilized W-band MHEMT push-push VCO with integrated frequency divider circuit,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 653–656. [16] S. Kang, J.-C. Chien, and A. Niknejad, “A W-band low-noise PLL with a fundamental VCO in SiGe for millimeter-wave applications,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2390–2404, Oct. 2014. [17] W. Wang, Z. Wang, B. Zhang, Y. Kang, L. Wu, and N. Yang, “A 108 GHz GaAs MHEMT VCO MMIC,” in Int. Microw., Antenna, Propag., EMC Technol. Wireless Commun. Symp., Oct. 2009, pp. 127–130. [18] B. Heydari, M. Bohsali, E. Adabi, and A. Niknejad, “Low- power mm-wave components up to 104 GHz in 90 nm CMOS,” in Int. Solid-State Circuits Conf., Feb. 2007, pp. 200–597. [19] B. Floyd, “V-band and W-band SiGe bipolar low-noise amplifiers and voltage-controlled oscillators,” in Radio Freq. Integr. Circuits Symp., Jun. 2004, pp. 295–298. [20] Y. Kwon, D. Pavlidis, M. Tutt, G. Ng, R. Lai, and T. Brock, “W-band monolithic oscillator using InAlAs/InGaAs HEMT,” Electron. Lett., vol. 26, no. 18, pp. 1425–1426, Aug. 1990. [21] P.-Y. Chen, Z.-M. Tsai, S.-S. Lu, and H. Wang, “An ultra low phase noise W-band GaAs-based PHEMT MMIC CPW VCO,” in Eur. Microw. Conf., Oct. 2003, vol. 2, pp. 503–506. [22] K. Kobayashi et al., “A 108-GHz InPHBT monolithic push–push VCO with low phase noise and wide tuning bandwidth,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1225–1232, Sep. 1999. [23] P. Kirby et al., “W-band oscillator on metamorphic HEMT,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 735–738. [24] A. Bangert et al., “W-band MMIC VCO with a large tuning range using a pseudomorphic HFET,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 2, pp. 525–528. [25] D. Sandstrom, M. Varonen, M. Karkkainen, and K. Halonen, “W-band CMOS amplifiers achieving 10 dBm saturated output power and 7.5 dB NF,” in Int. Solid-State Circuits Conf., Feb. 2009, pp. 486–487. [26] Y.-S. Jiang, J.-H. Tsai, and H. Wang, “A W-band medium power amplifier in 90 nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 818–820, Dec. 2008. [27] D. Chan and M. Feng, “A compact W-band CMOS power amplifier with gain boosting and short-circuited stub matching for high power and high efficiency operation,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 2, pp. 98–100, Feb. 2011. [28] G. Ellis, A. Kurdoghlian, R. Bowen, M. Wetzel, and M. Delaney, “W-band InP DHBT MMIC power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 1, pp. 231–234. [29] K. Herrick, C. Whelan, P. Marsh, and S. Lardizabal, “W-band power metamorphic HEMT technology on GaAs,” in Int. Indium Phosphide Relat. Mater. Conf., May 2003, pp. 231–232. [30] K.-J. Tsai, J.-L. Kuo, and H. Wang, “A W-band power amplifier in 65-nm CMOS with 27 GHz bandwidth and 14.8 dBm saturated output power,” in Radio Freq. Integr. Circuits Symp., Jun. 2012, pp. 69–72. [31] Z. Xu, Q. Gu, and M.-C. Chamg, “A W-band current combined power amplifier with 14:8 dBm Psat and 9:4% maximum PAE in 65 nm CMOS,” in Radio Freq. Integr. Circuits Symp., Jun. 2011, pp. 1–4. [32] M. Chang and G. Rebeiz, “A wideband high-efficiency 79–97 GHz SiGe linear power amplifier with 90 mW output,” in Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2008, pp. 69–72.

11

[33] A. Tessmann, A. Leuther, C. Schwoerer, and H. Massler, “Metamorphic 94 GHz power amplifier MMICs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1579–1582. [34] F. Thome, S. Maroldt, M. Schlechtweg, and O. Ambacher, “A low-power W-band receiver MMIC for amplitude modulated wireless communication up to 24 Gbit/S,” in Asia–Pacific Microw. Conf., Nov. 2014, pp. 1073–1075.

Fabian Thome was born in Tettnang, Germany, in 1987. He received the Dipl.-Ing. degree in electrical engineering and information technologies (with an emphasis on microelectronics and nanoelectronics, as well as high-frequency electronics) from the Karlsruhe Institute of Technology, Karlsruhe, Germany, in 2011, and is currently working toward the Doctoral degree at the Fraunhofer Institute for Applied Solid State Physics (IAF), Freiburg, Germany. In 2010, he joined the IAF. His main research interests concern design and characterization of linear and nonlinear semiconductor devices and monolithic microwave integrated circuits based on III/V technologies in the millimeter-wave frequency range for applications in wireless communications and radio astronomy.

Stephan Maroldt received the Dipl.-Ing. degree in electrical engineering from the Technical University Ilmenau, Ilmenau, Germany, in 2006, and the Doctor of Engineering degree (in the field of novel GaN-based switch-mode power amplifier MMICs for mobile communications) from the University Freiburg, Freiburg, Germany, in 2010. Since 2008, he has been a Research Engineer with the Fraunhofer Institute for Applied Solid State Physics (IAF), Freiburg, Germany. His field of research covers design and technology of GaN HFET high-power devices, particularly for switch-mode power amplifiers (PAs), as well as GaAs- and GaN-based monolithic microwave integrated circuits (MMICs) and discrete circuits for applications in mobile communications, wireless transceivers, and radar in the microwave and millimeter-wave frequency range.

Oliver Ambacher received the Dipl.-Phys. and Dr. degree (with honors) from the Ludwig–Maximilians and Technical University Munich, Munich, Germany, in 1989 and 1993, respectively. In 1993, he joined the Walter Schottky Institute, Technical University Munich, to investigate the epitaxial growth of group-III nitrides-based heterostructures. Since 1995, the research of his group has focused on the fabrication of GaN-based devices, as well as on the understanding of polarization-induced effects in group-III nitride heterostructures and quantum wells. From 1998 to 1999, he was with Cornell University, Ithaca, NY, USA, as an Alexander von Humboldt Fellow, where he was involved in the optimization of polarization induced AlGaN/GaN HEMTs for high-frequency and high-power applications. In 2002, he became a Professor of nanotechnology and Head of the Institute for Solid State Electronics, Technical University of Ilmenau, Ilmenau, Germany. In 2004, he became Head of the new Center of Micro- and Nanotechnologies. Since 2007, he has been the Director of the Fraunhofer Institute for Applied Solid State Physics (IAF), Freiburg, Germany, and Professor of compound semiconductor microsystems with the University of Freiburg, Freiburg, Germany.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

847

A SiGe Fractional- Frequency Synthesizer for mm-Wave Wideband FMCW Radar Transceivers Gregor Hasenaecker, Student Member, IEEE, Marcel van Delden, Student Member, IEEE, Timo Jaeschke, Student Member, IEEE, Nils Pohl, Senior Member, IEEE, Klaus Aufinger, Member, IEEE, and Thomas Musch, Member, IEEE

Abstract—A millimeter-wave (mm-wave) frequency synthesizer is presented focusing on an ultra-high-speed fractionalfrequency divider and a highly linear phase-frequency detector (PFD). All circuits are integrated into a high-frequency SiGe bipolar technology. The programmable frequency divider can be operated at input frequencies between dc and 57 GHz for division factors in the entire integer range from 12 to 259. The PFD is optimized for fractional- synthesis, which requires an extremely linear characteristic due to the modulation of its input frequency. The frequency divider and the PFD are used together with an 80-GHz wideband voltage-controlled oscillator (VCO) and transceiver for a high precision mm-wave frequency-modulated continuous-wave (FMCW) radar sensor. As shown by the experimental results the realized circuits stabilize the mm-wave VCO with an extremely low phase noise below 97 dBc/Hz at 10-kHz offset around its center frequency of 80 GHz and can generate a highly linear frequency ramp with a bandwidth of 24 GHz. Furthermore, the accuracy of the synthesizer is demonstrated by FMCW radar distance measurements inside a waveguide and in free space. Inside the waveguide a standard deviation of the phase of the target below 0.0018 (which corresponds to 9.4 nm) was measured. Index Terms—Fractional- synthesizer, frequency modulated continuous wave (FMCW), phase-frequency detector (PFD), programmable frequency divider, SiGe bipolar integrated circuit (IC), ultra-wideband.

I. INTRODUCTION

H

IGH-PRECISION distance measurement applications have been covered by radar sensors during the last decade. This was made possible by the realization of millimeter-wave (mm-wave) transceivers in low-cost SiGe technologies. Increasing center frequency enabled the realization of signal sources with a bandwidth of more than 20 GHz Manuscript received March 05, 2015; revised October 02, 2015 and November 28, 2015; accepted January 08, 2016. Date of publication February 08, 2016; date of current version March 03, 2016. G. Hasenaecker, M. van Delden, and T. Musch are with the Institute of Electronic Circuits, Ruhr-Universität Bochum, D-44789 Bochum, Germany (e-mail:[email protected]). T. Jaeschke is with the Institute of Integrated Systems, Ruhr-Universität Bochum, D-44789 Bochum, Germany. N. Pohl is with the Fraunhofer Institute of High Frequency Physics and Radar Techniques (FHR), D-53343 Wachtberg, Germany. K. Aufinger is with Infineon Technologies AG, D-85579 Neubiberg, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2520469

[1]–[3], which directly results in a better spatial resolution. The advantages of wideband radar sensors are primarily utilized in industrial and imaging application [4]–[6], where also high accuracy and stability matters. Thus, beyond center frequency and bandwidth, a highly linear frequency ramp and a very low phase noise of the mm-wave signal are the most relevant features to be carefully considered for a proper system design. Both aspects are substantially defined by the frequency synthesizer. In the work described in this paper, it consists of a wideband voltage-controlled oscillator (VCO) and a phase-locked loop (PLL) stabilization, using a fractional- divider for the realization of highly linear frequency ramps. Therefore it will be discussed in the following why it is important to enhance existing synthesizer concepts with a fast programmable divider and a highly linear phase-frequency discriminator (PFD) and how this measure improves precision in radar sensors. The basic design aspects and the system concept of the PLL-based synthesizer are introduced in Section II. The circuits needed for this enhanced fractional- synthesizer are based on fast logic gates in order to realize extremely high operating frequencies, particularly for the frequency divider. Thus, an appropriate SiGe technology and the realization of an ultra-fast differential emitter-coupled logic are described in Section III. Based on this integrated logic, a 57-GHz frequency divider with an 8-bit programmable division ratio is presented in Section IV. The divider itself was first shown in [7]. The experimental results and the additional new phase-noise measurements show that this realization clearly exceeds previously published frequency dividers in SiGe technologies with respect to input frequency, phase noise, and division factor range [8], [9]. In Section V, a realization of a highly linear PFD is presented. This PFD is optimized for fractional- synthesizers, which require a specifically high linearity due to a continuous modulation of the phase difference at the PFD input. The realized integrated circuits (ICs) and the distribution of power consumption are shown in Section VI. For highlighting their potential both the programmable divider and the PFD are used in Section VII to stabilize a wideband mm-wave VCO (described in [10]) and to realize a highly precise radar transceiver at a center frequency of 80 GHz. A sensor with the same transceiver circuits (i.e., VCO and receive mixer) has been published in [1]. In this paper it is improved with a fast programmable divider and a highly linear PFD, which are integrated in the same bipolar technology. As

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 1. System concept for a fractional- mm-wave ramp generator for highprecision FMCW radar systems. This paper is focused on the components in the feedback loop, namely, an ultra-fast programmable frequency divider and a highly linear PFD.

will be demonstrated in this paper, the new completely integrated wideband PLL (apart from the loop filter) distinctly improves phase noise ( 10 dB inside the loop bandwidth compared to [1]) so that an even better stability of the developed radar sensor (compared to the previous record values in [11]) for distance measurements can be achieved. A standard deviation of the phase of the target below 0.0018 (which corresponds to 9.4 nm) inside a waveguide is measured. Furthermore, the contribution of different noise sources to the stability of the sensor is examined and shows good agreement with the measurement results. II. PLL FUNDAMENTALS In wideband VCOs, loop-gain variation caused by variations of the -divider and the VCO tuning sensitivity can deteriorate the stabilization over a large bandwidth. Thus, an offset-PLL, as depicted in Fig. 1, is a promising approach (for a more detailed description of an offset-PLL, see, for example, [1]). With a PLLstabilized local oscillator (LO) and a reverse frequency position down-conversion mixer (PLL mixer) both a constant loop gain is achieved and the overall division ratio is reduced, which is favorable for phase noise, particularly in fractional- mode. The corresponding output frequency of a synthesizer as given in Fig. 1 is (1) Here the frequency division is split into a constant factor and a programmable factor , varied by a -modulator to generate fractional division factors for a frequency ramp. To realize an output signal of very low phase noise and a linear frequency ramp, several aspects have to be considered. For two reasons, a high reference frequency is favorable: firstly, for low phase noise, as the input-referred phase noise of the PFD is multiplied by the in-loop division factor squared to the VCO output frequency and, secondly, for the linearity of the frequency ramp. A high PFD frequency is beneficial for the ramp linearity because more frequency points are used to synthesize the frequency ramp [12]. However, as a higher also increases the minimum frequency step size, it is important to

keep the fixed division factor in the loop as small as possible. Otherwise the frequency resolution is ruined if fast frequency ramps and a high gradient of the ramp slope are required. This indicates the need for a high-speed programmable divider. Basically, the reference frequency is then only limited by the speed of the external digital logic, which is required for generating the -modulated division factor sequence. For stabilization of the VCO from GHz to GHz and a reference frequency MHz, which is reasonable for implementation of a field-programmable gate-array (FPGA)-based -modulator, division factors from to are required. The continuously modulated division factor sequence leads to important requirements for the PFD. In a fractional PLL, large phase differences between the inputs of the PFD occur even in the locked PLL. For example, in the application here the largest phase error of occurs for switching from to (assuming MHz and a MASH-3 -modulator). This behavior shows that, for higher fixed division factors , either the largest phase error increases equally or the reference frequency must be reduced. If the PFD characteristic is not perfectly linear, the quantization noise that is shifted away from the carrier by the -modulation will be mixed close to the carrier and can deteriorate the in-loop noise of the PLL [13], [14]. Thus, firstly, a high reference frequency is favorable for shaping the quantization noise to high offset frequencies and, secondly, a highly linear PFD is required to avoid mixing it back close to the carrier. As a basis for the design of the mentioned parts of the synthesizer, essential aspects for the realization on transistor level are considered in Section III. III. DESIGN OF DIFFERENTIAL LOGIC CIRCUITS A FAST AND LOW-NOISE SYNTHESIZER

FOR

When working at frequencies of up to almost 60 GHz, differential emitter-coupled logic circuits in a high-speed SiGe bipolar technology are the most promising approach. The circuits are realized on the basis of the SiGe bipolar production technology B7HF200 by Infineon [15]. The transistor cutoff frequencies are GHz and GHz at a design current density of mA m . Apart from the feasibility to realize an ultra-fast frequency divider, low-noise and wideband transceiver circuits were implemented in this technology [16] enabling a single-chip synthesizer design. In each logic gate, several measures have been utilized to maximize the operation speed according to [17]. For a robust operation at low voltage swing and high speed, differential emitter-coupled transistor pairs, often called current switches, are consequently applied using series gating for high-speed logic connections. Emitter followers are inserted between the different circuit blocks, which further increase operating speed and provide level shifting for series gating. Fig. 2 shows an XOR gate merged with the D flip-flop input to result in a toggle flip-flop, the output of which can be Set and Reset combined with an enabling control signal ( ). This merged circuit configuration is adopted in wide parts of the programmable frequency divider and the same principle is applied to all flip-flops used with different merged logic operations adapted for the

HASENAECKER et al.: SiGe FRACTIONAL-

FREQUENCY SYNTHESIZER FOR mm-WAVE WIDEBAND FMCW RADAR TRANSCEIVERS

Fig. 2. T-flip flop with Set/Reset to be enabled by the signal . The XOR operation is merged with the data input to minimize delay time of the function compared to a setup with separate logic gates. The biasing currents and resistors are given for the flip-flops in the prescaler, which operates at the highest m m. speed. All transistor sizes are

individual purpose. Proved by simulations and by [8], these merged gates offer a distinct improvement of speed compared to separate logic blocks. To be able to realize four stacked levels of current switches, as in Fig. 2, at the low supply voltage of 3.3 V, resistive level shifting is used by adding a resistor in the second D-latch according to [8]. As a drawback of this measure the minimum collector–emitter voltage of some transistors is reduced to about 0.4 V, resulting in a degradation of and, hence, in a slight reduction of operation speed. For the applied transistors, the parasitic transistor capacitance (especially ) has the dominating influence on operating speed. Thus, for a required input frequency, it is important to choose the size of the switching transistors as small as possible, which is confirmed by the simulation results in Fig. 3(a). After removing the feedback wires from a D-Latch (D-Latch 2, Fig. 2) and thereby converting it into a combinational circuit, the propagation delay from to of the resulting equivalent XOR gate can be used as a measure for the operating speed of the divider [18]. For minimum base resistance, the emitter stripe width is chosen as small as possible (here, m). Although the optimum emitter length can be a compromise between the contradicting demands on a low base resistance and low parasitic capacitances [17], here it can be observed that for a required toggle frequency the minimum is also achieved for minimum . For each , the design for a collector current density of mA m , where is close to its maximum value and is marked by dots. The simulation results in Fig. 3(b) illustrate in different circuit techniques the maximum speed of a prescaler, which is further described in Section IV. It is demonstrated that by slightly exceeding this current density the operating speed can be further increased as long as the benefit of the minimum is not impaired by a distinct drop of , which occurs for current densities higher than 10 mA m (i.e., mA for m). Hence, the transistors in the prescaler are designed for a peak current density of 8.8 mA m (i.e., mA for m). Thus, it is made sure that the maximum effective current density is well below the recommended design current density. The realization with merged logic operations (Fig. 4) and

849

Fig. 3. (a) Propagation delay for a modified D-Latch for different emitter and necessary lengths . (b) Comparison of maximum input frequency for prescaler realizations in emitter-coupled differential cirsupply current cuit technique with logic connections merged with the D flip-flops (1), with separated logic gates (2), and in current-mode logic (3). Dots mark the current ( ) and the selected operating point for the switching density for maximum transistors in the prescaler ( ).

Fig. 4. Prescaler circuit with logic operations merged into the data inputs.

the use of emitter followers provides both maximum speed and the best relation between speed and power consumption (0.95 GHz/mW at mA m ), which can be observed by the comparison of the three different circuit techniques in Fig. 3(b). This is because the stacked logic operations avoid additional current switches with separate current sources. IV. FRACTIONAL-

PROGRAMMABLE FREQUENCY DIVIDER

A. Circuit Design The principal demands for programmable dividers in mm-wave frequency synthesizers are high operating frequency, low noise, and a flexible and wide division factor range. A promising concept for fast frequency dividers is to split the circuit into a high-frequency prescaler (Fig. 4), which will limit the maximum input frequency, and shift the higher logic complexity into two counters and , as shown in Fig. 5. The complete circuit divides the input frequency by a factor of (2) The division factor can be selected from the entire integer range from 12 to 2591 as well as 8, 9, and 10 when 6 bit are used for counter and 2 bit for counter . The minimum division ratio for continuous integer values is based on the constraint . In synthesizer applications, low jitter in the frequency divider is essential. Thus, a high-speed flip-flop is 1The division factors from 256 to 259 are caused by a delay of one prescaler inside divider (see Fig. 6). cycle by the synchronization flop-flop

850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 5. Block diagram of a dual-modulus divider. counts prescaler and then sets the prescaler division factor output cycles at a frequency of input cycles in total. to four. Thus, counts

Fig. 6. Block diagram of counter . It is initially set to and counts up to reach a binary value of 62, detected in the seven-input AND. The principle is derived from [19].

added at the output to reduce delay and jitter of complex logic operations to only one flip-flop in the signal path. This separates the complex logic connections from the low-jitter signal path. However, another limit for the maximum input frequency may arise from this measure as all logic operations must be completed within a single input clock cycle. This must be verified during circuit design. Therefore, a short delay consisting of two differential current switches is inserted into the signal path to ensure synchronization even at the maximum input frequency . A parallel logic interface is used to ensure fast modulation of the division factor sequence in the intended fractional- application. With every output clock cycle a 6-bit data value and a 2-bit data value preset the dividers and , respectively. Although only the prescaler operates at the input frequency, the implementation of dividers and is also the key to reach the high divider speed combined with the synchronization flipflop. Divider is a binary synchronous up counter [19], which is initially set to . On reaching a binary value of 62 the seven-input AND in Fig. 6 sets the input of a synchronization flip-flop at the output of divider . This flip-flop enables the Set/Reset function of the toggle flip-flops to load the new value and produces a single output pulse with the next rising edge of the prescaler signal. Another synchronization flip-flop inside counter provides a minimum delay between input and output of counter and thus makes the structure appropriate for the input synchronized dual-modulus divider. As the synchronization flip-flop adds one prescaler cycle, the result is a total count of cycles. Counter , which is shown in Fig. 7, needs only 2 bits to modulate the prescaler division factor and is built in the same

Fig. 7. Block diagram of counter including the conjunction to generate the modulus control bit MC to toggle the prescaler division factor.

Fig.

8. Measured

input

sensitivity for division factors at C. Until up to 40 GHz the input signal was obtained directly from a signal source, above 43 GHz a V-band frequency quadrupler was used.

way as counter was realized. Again the delay between input and output of counter is given by only one fast flip-flop at the output, and the division factor becomes . The result of counter is processed in the following flip-flop with its integrated four-port conjunction to generate the modulus control bit MC. This toggles the prescaler division ratio between four and five. As is necessary to realize all division factors, is processed in the conjunction and must be considered in the modulus control logic to clear the bit MC directly at the beginning of a new output clock cycle. B. Experimental Results Characterization of the frequency divider IC was performed after mounting it on a Rogers RT/Duroid 5880 substrate to set the division ratio and to measure the output signal with a spectrum analyzer (SA). Only the single-ended input signal is applied by probes. The complementary input was left open. A 1-pF on-chip capacitor is used for coupling the input signal while 50- on-chip resistors terminate the differential input ports. The coupling capacitor can be shorted to reduce the necessary input power for lower input frequencies. Up to 40 GHz the input signal was directly derived from a signal source. With no signal source available in the frequency range between 40 and 43 GHz this gap was verified by simulations only. Above 43 GHz, a V-band frequency quadrupler and a mechanically adjustable attenuator were used. The experimental results in Fig. 8 show the required minimum input power of a sinusoidal input signal to produce a

HASENAECKER et al.: SiGe FRACTIONAL-

FREQUENCY SYNTHESIZER FOR mm-WAVE WIDEBAND FMCW RADAR TRANSCEIVERS

851

Fig. 9. Measurement setup for additive phase-noise measurements of the frequency divider. With both paths placed in quadrature, noise of the signal source can be canceled out.

proper output signal of the frequency divider for various division factors. For all measured division factors the divider operates with input frequencies of up to 57 GHz. For comparison, simulation results of the input sensitivity are plotted into the same figure. Despite the slightly exceeded current density these measurement results confirm the enhanced divider speed, although a certain degradation of operation speed is observed compared to the simulation results for the complete divider. C. Additive Phase-Noise Measurements In the low-noise synthesizer application another important aspect of the frequency divider is phase noise. However, phase noise of the frequency divider cannot be measured directly as it is normally much lower than the noise contributed by the driving signal source. Thus a method called “additive phase noise measurement” was utilized. Details are described in [20] and [21]. The measurement setup is depicted in Fig. 9. It helps to cancel out the noise contributed by the signal source leaving only the noise from the frequency divider. The output signal from a low-noise signal source is split with a power divider and drives two identical frequency dividers, DUT1 and DUT2. The outputs of both frequency dividers are set into quadrature (i.e., 90 phase difference) by using a variable line. These signals are then processed by a mixer, which operates like a phase detector (PD) in this setup. By this measure, the reference noise is canceled out and only the divider noise remains and is down-converted into baseband. After amplification and low-pass filtering, the resulting spectrum of the IF signal is measured with a fast Fourier transform (FFT) analyzer (UPV by Rohde & Schwarz). The rest of the measurement setup is based on conventional RF components. For the low-noise amplifiers (LNAs) and the mixer ZX60-33LN-S+ and ZX05-2-S+ by Mini-Circuits are used, respectively. Having determined the logarithmic power spectrum , the output-referred phase-noise spectrum of the frequency divider normalized to 1-Hz bandwidth can be calculated, mW

dB

(3)

In this equation all gain from the frequency divider output to the FFT analyzer is combined to a calibration constant to calculate the noise power spectrum at the frequency divider output. This is then referred to the measured frequency divider output power . As the accumulated noise of both frequency dividers remains, it can be assumed that the noise is the same for both dividers. Thus 3 dB is subtracted from the measured data. For

Fig. 10. Measured phase noise for , referred to the output of the frequency divider. The measurement setup given in Fig. 9 was used. Simulation results for the complete divider and for the divider without synchronization at the output are included.

calculating the output-referred phase-noise spectrum of the frequency divider, the measurement setup is calibrated with a small low-frequency (LF) signal at the divider input in the relevant frequency range from 300 Hz to 400 kHz, which results in an angle modulation at the frequency divider output. The resulting output power is measured with an SA and compared to the measured spectrum at the FFT analyzer. By this measure a frequency-dependent calibration constant is achieved. contains the gain from the frequency divider output to the sampling input, i.e., about 41 dB given by 18-dB gain of the LNA, 7-dB conversion loss of the mixer, and about 30-dB gain of the IF amplifier. The measurement results for a divider output frequency of GHz and 800 MHz are shown in Fig. 10 and are consistent with the simulation results plotted in the same figure. The noise floor is about 153 dBc/Hz. The simulation results reveal that the phase noise is mainly attributed to the synchronization flip-flop at the frequency divider output and thus demonstrate the benefit of the system concept, as it is clearly lower compared to another realization of a programmable frequency divider in a similar SiGe technology (cf. [9], achieving only a noise floor of 144 dBc/Hz at 385-MHz output frequency). V. HIGHLY LINEAR PFD In a fractional synthesizer several specific challenges regarding the design of the PFD have to be addressed in particular. The -modulation of the division factor results in a permanent phase modulation at the PFD inputs. Thus, against an integer- PLL locking in at a fixed phase relation between its reference input and VCO input , large phase errors occur even in the locked PLL. As can be derived from this behavior, high linearity is fundamental for a PFD in a fractional- synthesizer. The quantization noise is shifted away from the carrier by the -modulation sequences, but it will fold back to the carrier by nonlinearities in the PFD characteristics, as proven in [14]. These disturbances appear as white noise at low offset frequencies. In many conventional three-state PFD designs [12], [22]–[25], the output-pulse width settles to zero, which does not impair integer- PLL designs [26]. However, in a fractional synthesizer, the duty cycle of the

852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 12. (a) Simulated characteristics of the highly linear PFD for reference to 9 GHz and (b) deviation from an ideal linear charfrequencies from GHz compared to a conventional three-state PFD design acteristic at based on [22].

XNOR gate is used as a PD for a phase difference between inputs

Fig. 11. (a) Block diagram of a highly linear PFD and (b) timing diagram in and phase-detector operation and frequency-detector operation for (c) . (b) , . (c) . (d) . (d)

PFD output pulses is constantly modulated as the output cycles of the frequency divider are time varying and nonperiodic. A conventional PFD locks at the worst operating point for fractional- operation because a phase modulation at the input results in short spikes at its output. These distinctly impair the linearity of the PFD. In contrast, a PFD with a 50% duty cycle of the output signal only modulates the pulse width over a changing phase difference of its input signals. An exclusive-or (XOR) connection meets this demand, but is limited to a range of to . This is due to a periodicity and symmetry over its input phase difference . Thus it can only operate as a PD. Moreover, a frequency discrimination (FD) is required to attain asymmetric behavior of the characteristic during lock-in. The concept of such a PFD, operating with a 50% output duty cycle is introduced in [27]. Furthermore, this type of PFD does not require any additional effort for blind-zone alignment as required in [28]. A. Circuit Design A circuit schematic of such a combined PFD is illustrated in Fig. 11. The PFD is realized in the same emitter-coupled differential circuit technique as the programmable frequency divider to achieve high operation frequencies at low power consumption. Two D-flip flops at the input operate as a by-2-divider for the reference frequency and the fractional- divider output frequency . In Fig. 11, these signals are represented by their corresponding logic signals and , respectively. In the locked PLL, the signals and must have a relative phase shift of 90 . The timing diagram shown in Fig. 11(b) illustrates this condition. The output signal UP is then equal to the signal , the output of an inverted exclusive-or connection (XNOR). This

and from 0 to . Only outside this range, the additional D-flip flops with Set/Reset input accomplish their purpose for a fast frequency acquisition and continuously keep the output in a high or low state, which depends on a positive or negative frequency offset between and . Two exemplary timing diagrams for frequency detection are shown in Fig. 11(c) and (d). For , the rising edge of input occurs while and sets . Thus, the input of sets continuously. The complementary is considered for , when the input of sets continuously. The output stage of the PFD is realized with open-collector outputs of a differential current switch at a current of 10 mA to drive an external differential active low-pass filter. As the wideband VCO in the radar sensor requires tuning voltages up to 9 V [10], a sixth-order active loop filter is used. Thus, in any case an operational amplifier is required and, consequently, a charge pump (CP) can be omitted. This is convenient for several reasons. On the one hand, a CP typically requires expensive BiCMOS technologies [29] and high complexity for equal currents. On the other hand, concerning the 50% duty cycle of the PFD in locked condition, much care must be taken to realize a CP circuit with perfectly equal up and down currents because an unequal rise and fall time of the CP currents result in dynamic mismatch noise [13]. This noise will be distinctly higher for constantly flowing currents in the CP as it would be the case for the proposed highly linear PFD realization. The simulated characteristic of the PFD is given in Fig. 12(a) operating for input frequencies and of up to 8 GHz and is plotted against the phase difference between both inputs and . At GHz, the linearity of the curve is calculated by subtracting it from an ideal linear curve and refering it to the total output swing. The result in Fig. 12(b) illustrates the improvement of linearity of the presented PFD compared to a conventional three-state PFD,2 which was designed based on the same logic circuitry. At the operating point of , the linear deviation of the linear PFD from the ideal behavior is still below 0.1%. 2A constant offset of has been added to the phase difference of the input signals and of the three-state PFD, as it actually locks the PLL with a phase , for easier comparison to the linear PFD characteristic. difference

HASENAECKER et al.: SiGe FRACTIONAL-

FREQUENCY SYNTHESIZER FOR mm-WAVE WIDEBAND FMCW RADAR TRANSCEIVERS

Fig. 13. Measured output voltage of the highly linear PFD after low-pass filtering. The curve is obtained by driving the inputs with a small frequency offset kHz in (a) and kHz in (b). The dot indicates a highly linear operating point of the PFD.

Fig. 14. Photograph of the realized frequency divider and the PFD in the SiGe bipolar technology B7HF200 by Infineon.

853

Fig. 15. Distribution of power consumption and transistor count in the different blocks of the frequency divider and the PFD. The complete power consumption is 300 and 260 mW, respectively, at a supply voltage of 3.3 V.

Fig. 16. Maximum input frequency and division factor range of recently published realizations of programmable frequency dividers.

B. Experimental Results Two phase-locked input signals at a small frequency offset of 10 kHz are used to evaluate the PFD characteristics so that the PFD traverses through each phase relation between its inputs and . Subsequent low-pass filtering results in the characteristic curve represented in Fig. 13. The averaged output voltage at the UP output is plotted. Thus, for in Fig. 13(a), the output voltage is low ( V) apart from the active zone of the PD so that the PLL is pulled into lock-in. The inverse is equally valid for in Fig. 13(b). Phase noise and linearity of the output are not measured separately, but are demonstrated by the application in a synthesizer in Section VII. VI. REALIZATION Both the frequency divider and the PFD were realized using the SiGe bipolar production technology B7HF200 by Infineon, briefly described in Section III. A photograph of both circuits is given in Fig. 14. The divider occupies about 300 m 300 m. Two programmable dividers are integrated on the same chip further described in Section VII. The PFD is realized on a separate IC with a chip size of 728 m 728 m. The power consumption of the different sub-circuits of the divider and the PFD is illustrated in Fig. 15. The total power consumption of the divider is less than 300 mW, mainly caused by the prescaler and counter . This is due to the high input frequency and circuit complexity, respectively. The power consumption of the PFD is 260 mW. Both work at a supply voltage of 3.3 V.

As to the knowledge of the authors, the speed of the programmable divider clearly exceeds previously published prescalers and frequency dividers in SiGe technologies with respect to input frequency, phase noise, and division factor range [8], [9]. Thus far, fully programmable frequency dividers in CMOS technologies have only been reported well below 10 GHz. The fastest realization ever published, with a maximum frequency of 33.5/2 GHz, also only operates within a limited bandwidth because of an injection-locked input prescaler [30]. A comparison of different frequency divider realizations in SiGe, CMOS, and GaAs technologies regarding maximum operating frequency and division factor range is illustrated in Fig. 16 [9], [31]–[38]. VII. 80-GHz LOW-NOISE WIDEBAND SYNTHESIZER In order to demonstrate the high performance of the developed synthesizer, the ICs are extended by an FPGA-based -modulator and loop-filtering to realize a frequency-modulated continuous-wave (FMCW) radar sensor according to the system concept shown in Fig. 1. The applied mm-wave VCO with a center frequency of 80 GHz achieves a quite flat output power around 6 dBm over its ultra-high bandwidth of 24.5 GHz [16]. The VCO output signal is fed into a dynamic by-2-divider and is down-converted by the integrated PLL mixer and stabilized by the PLL circuits (frequency divider and PFD) described above. The LO is realized with a VCO at a center frequency of 48 GHz [39], which is referenced to a

854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 17. Measured phase noise at about GHz in integer- and fractional- operation in comparison to simulated contributions of the PLL components. The individual contributions are determined by simulations (PFD, Di) or by measurements (VCO, LO-PLL) of the individual components. vider, The total measured phase noise is obtained from Fig. 18 by adding 12 dB.

1-GHz crystal oscillator (XCO) and stabilized inside an auxiliary PLL (LO-PLL) (details not shown in Fig. 1) by the same PFD and programmable divider, as described in this paper.3 The mm-wave PLL at 80 GHz is referenced to the same XCO, but with a PFD input frequency of 1 GHz/6.4 A fifth-order active RLC loop filter with a loop bandwidth of 1.4 MHz and a phase margin of 65 is used to realize the required high tuning voltage of 9 V for the varactor-tuned VCO and to suppress the resulting fractional noise. A. Phase Noise The phase noise of the output signal is measured at one-fourth of the output frequency at the output of a by-4-divider. Thus, 12 dB were added to obtain the plotted curve for the phase noise in fractional- operation in Fig. 17 at a center frequency of 80 GHz. The phase noise is dominated by the LO-PLL inside the loop bandwidth and by the phase noise of the free-running VCO (i.e., 97 dBc/Hz in 1-MHz offset at GHz) outside the loop bandwidth. Inside the loop bandwidth, the measured phase noise is very flat with a variation of only 3 dB over the complete bandwidth of 24 GHz of the synthesizer. The phase-noise simulations of the synthesizer in Fig. 17 illustrate that, due to the offset PLL, the phase noise at the output frequency is mainly limited by the LO phase noise. The results in Fig. 18 confirm this behavior, as a constant offset around 6 dB between the LO- and the mm-wave output signal can be observed. Furthermore, this illustrates that no significant degradation by fractional noise can be observed inside the loop bandwidth. The resulting phase noise at the output frequency inside the loop bandwidth is 97 dBc/Hz in 10-kHz offset in 3The programmable divider is chosen for a flexible selection of in the demonstrator system. If power consumption is a critical factor in the application it can be replaced by a fixed 12-divider, as well as the fractional- divider that can be adapted for a lower operating frequency (see [38]) so that the power consumption can be reduced by about 340 mW compared to the flexible design here. 4Although a higher reference frequency is possible, to achieve a maximum GHz, the reference frequency is limited by the minoutput frequency to MHz [see (1)]. imum division ratio

Fig. 18. Measured LO phase noise at GHz and measured phase for output frequencies between 68 and noise of the output signal at GHz ). 92 GHz in fractional mode (

integer- and in fractional- mode. It can be observed that the degradation of phase noise in fractional- operation is less than 2 dB over the complete loop bandwidth. The jitter of the RF signal , calculated from the measured phase noise from 10 kHz to 10-MHz offset frequency is only 70 fs. B. Distance Measurements Based on the ultra-low noise synthesizer, an FMCW radar sensor was built up. The integrated monostatic transceiver as described in [1] consists of a double-balanced Gilbert mixer and a coupler structure realized with a combination of Wilkinson dividers. An external rat-race coupler on a Rogers RT/Duroid 5880 mm-wave substrate combines the differential 80-GHz output signal to be fed into a WR10 waveguide port, where different antennas or waveguides can be attached. The antenna is attached on the backside of a brass block with the Rogers substrate mounted on it for stability reasons. A photograph of the complete system is given in Fig. 19. For FMCW distance measurements the ramp synthesizer is set up with a ramp duration ms. For measurements over very short distances, the sensor is used with an attached 10-cm waveguide with a sliding reflector, which provides a precise mono-target scenario. Measurements in distances of about 1 m were performed with an antenna and a metal plate reflector, which can be moved with a high-precision linear motor. The resulting IF signal is sampled by a 16-bit analog-to-digital converter (ADC) with a sampling rate of 1 MSample/s. Further computing is performed on a PC. Signal processing is performed in the same way as described in [40, Sec. IV-E]. Briefly summarized, it is a Fourier transformation of the received IF signal with a pulse center algorithm for the magnitude of the resulting spectrum to calculate the distance to the target. A typical spectrum of the measured IF signal for a main reflection of a metal plate in a distance of about 1 m is given in Fig. 20. A Hann window is applied before calculating the IF spectrum. For comparison, an ideal calculated reference pulse for the used bandwidth and window function is plotted in the same figure. Due to the good agreement with the measurement results, a sufficiently high

HASENAECKER et al.: SiGe FRACTIONAL-

FREQUENCY SYNTHESIZER FOR mm-WAVE WIDEBAND FMCW RADAR TRANSCEIVERS

Fig. 19. MMIC in (a) contains the LO-PLL, the RF transceiver, and the programmable divider. It is mounted in a high-frequency substrate (b) on a brass socket where the antenna or a waveguide can be connected to a WR10 waveguide port on the backside. In (c), the setup for distance measurements using a dielectric lens antenna and a metal plate as a target is shown.

855

Fig. 21. (a) Measured phase variation of the target with a ramp duration ms over 200 measurements for a reflection in a distance of 10 cm inside a shorted waveguide and (b) for a reflection from the metal plate in a distance m distance for of 1 m. (c) Distance standard deviation of the target in different ramp durations . TABLE I SYSTEM PARAMETERS FOR CALCULATION OF THE THEORETICAL DISTANCE STANDARD DEVIATION

The lower bound for the standard deviation of the distance jitter can be calculated based on the modulation bandwidth and the signal-to-noise ratio SNR of the IF signal [42], Fig. 20. Spectrum of the IF signal for a target (metal plate) in 1-m distance. A Hann window is applied and the resulting spectrum is in good agreement with an ideal reference pulse using the same window function.

ramp linearity for radar measurements can be expected. Moreover, a phase slope algorithm [41] is used to further increase the accuracy of target evaluation. To demonstrate the stability of the realized sensor, which is substantially limited by the achieved phase noise of the synthesizer, the phase variation of the target is evaluated over 200 measurements in several distances between 10 cm and 1 m. The resulting standard deviation of the phase of the target given by a reflection inside a waveguide in a distance of 10 cm is only 0.0018 [see Fig. 21(a)]. This corresponds to a standard deviation of the distance accuracy of nm and is an improvement of a factor of four compared to [11]. Even in free space the standard deviation for a distance of 1.0 m is only 138 nm [see Fig. 21(b)]. To achieve higher measurement rates the ramp duration can be decreased down to s (i.e., a high gradient of the ramp slope of 60 GHz/ms) for a target in m distance, which is limited here by the resulting IF frequency of 400 kHz. Even then, the standard deviation is still well below 700 nm [see Fig. 21(c)].

(4) The SNR of the IF signal includes the relation between the received power and the thermal noise as well as the root mean square (rms) phase jitter of the RF signal, both multiplied by the noise factor of the receiver. The measured phase noise from Fig. 17 is used to calculate the rms phase jitter . Furthermore, the quantization noise due to the ADC ( ) is included. For the detailed equations that are used here, see [43]. A simple estimation of the theoretical lower bound an idealized radar scenario might be assumed, i.e., reflections from the antenna setup, which also appear close to the target response, are ignored. Due to the used window function, the phase-noise contribution is limited to an integration only inside the width of the Hann window. The total SNR can then be calculated as (5) Using the parameters for this radar sensor (see Table I) the calculation reveals that, for distances m, phase noise has

856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

TABLE II RECENTLY PUBLISHED FRACTIONAL- SYNTHESIZERS FOR FMCW RADAR IN SIMILAR FREQUENCY BANDS. THIS WORK SHOWS SUPERIOR PERFORMANCE IN THE COMBINATION OF LOW PHASE NOISE AND LARGE BANDWIDTH

Fig. 22. Measured phase variation of the target over 200 measurements ( , ) compared to the calculated CRLB considering thermal noise (TN), phase noise (PN), quantization noise (QN), and the receiver noise figure (F).

measured peak and the unwrapped phase information is compared to the ideal frequency ramp. Fig. 23 shows the appropriate calculated frequency ramp. Settling times are suppressed by removing a short intervall ( ) at the beginning and ending of the ramp so that only a bandwidth of GHz is evaluated. Although the validity of this measuring method is substantially limited by the dispersion of the measurement environment, as well as the frequency response of the transceiver circuits [45], the resulting linearity error is small enough to not impair the shape of the IF peak as compared to an ideal reference peak in Fig. 20. Furthermore, the measured rms frequency error of 318.64 kHz (corresponds to a linearity error of only 1.6 10 related to the evaluated bandwidth of 20 GHz) is small compared to the linearity error of recently published fractionalsynthesizers given in Table II. D. Discussion Table II gives an overview of recently published fractionalsynthesizers that are designed for FMCW radar sensors for similar output frequencies in the W-band or V-band. For general comparison, a figure-of-merit (FoM) is calculated using a wellknown benchmark equation that is derived in [46] mW

Fig. 23. Calculated output frequency and measured frequency error at a ramp ms. duration

the dominating influence on the SNR. For lower distances the phase noise is suppressed below the thermal noise due to range correlation effects [44] because the receive signal is only a timedelayed replica of the transmit signal. The result for the calculated Cramer–Rao lower bound (CRLB) is plotted in Fig. 22 together with measurements in discrete distances cm inside a waveguide and cm in free space. Over a distance of up to 1 m the standard variation is still below 180 nm. C. Linearity of the Frequency Sweep To get an idea of the ramp linearity, the shape of the IF peak is analyzed. Therefore, a window function is used to pick the

(6)

is the power consumption and is the rms Here, time jitter of the PLL. is calculated from phase-noise measurements within the bounds of integration from 10 kHz to 10 MHz. Note that the synthesizer presented here achieves the best FoM, although this benchmark does not consider the transceiver bandwidth (determines the resolution of the radar sensor), which is here and in [2] more than 2.6 times higher than in the other works. This requires a more complex system concept and, thus, is the main reason why the power consumption is relatively high compared to other PLLs. VIII. CONCLUSION In this paper, a realization of an integrated fractional- frequency synthesizer was presented. An ultra-wideband 80-GHz radar transceiver is enhanced by a 57-GHz programmable frequency divider and a highly linear PFD. The high stability of the

HASENAECKER et al.: SiGe FRACTIONAL-

FREQUENCY SYNTHESIZER FOR mm-WAVE WIDEBAND FMCW RADAR TRANSCEIVERS

synthesizer was demonstrated with a measured phase noise of 97 dBc/Hz in 10-kHz offset at the center frequency of 80 GHz. Distance measurements with a standard deviation of the phase of the target below 9.4 nm inside a waveguide and below 180 nm in free space in a distance of up to 1 m highlight the high performance of the sensor. Beyond the demonstrated application the synthesizer can be flexibly applied to stabilize a wide range of wideband mm-wave VCOs without fixed prescalers in the synthesizer due to the high operating frequency of the 57-GHz programmable divider and the PFD and implies a major advantage for fractional- ramp generation. ACKNOWLEDGMENT The authors would like to thank H.-M. Rein for helpful discussions regarding the realization of the ICs and Infineon Technologies AG for producing the chips. REFERENCES [1] N. Pohl, T. Jaeschke, and K. Aufinger, “An ultra-wideband 80 GHz FMCW radar system using a SiGe bipolar transceiver chip stabilized by a fractional- PLL synthesizer,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 757–765, Mar. 2012. [2] G. Liu, A. Trasser, and H. Schumacher, “A 64–84 GHz PLL with low phase noise in an 80 GHz SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3739–3748, Dec. 2012. [3] S. Shahramian et al., “Design of a dual W- and D-band PLL,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1011–1022, May 2011. [4] C. Baer, T. Jaeschke, N. Pohl, and T. Musch, “Contactless detection of state parameter fluctuations of gaseous media based on an mm-wave FMCW radar,” IEEE Trans. Instrum. Meas., vol. 64, no. 4, pp. 865–872, Apr. 2015. [5] M. Tiebout et al., “Low power wideband receiver and transmitter chipset for mm-wave imaging in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1175–1184, May 2012. [6] A. Schiessl, S. Ahmed, A. Genghammer, and L. Schmidt, “A technology demonstrator for a 0.5 m 0.5 m fully electronic digital beamforming mm-wave imaging system,” in Proc. 5th Eur. Antennas Propag. Conf., Apr. 2011, pp. 2606–2609. [7] G. Hasenaecker, M. van Delden, N. Pohl, K. Aufinger, and T. Musch, “A 57 GHz programmable frequency divider for fractionalfrequency synthesizers,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2013, pp. 45–48. [8] H. Knapp, M. Wurzer, J. Boeck, T. Meister, G. Ritzberger, and K. Aufinger, “36 GHz dual-modulus prescaler in SiGe bipolar technology,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2002, pp. 239–242. [9] A. Ergintav, Y. Sun, C. Scheytt, and Y. Gurbuz, “49 GHz 6-bit programmable divider in SiGe BiCMOS,” in IEEE 13th Silicon Monolith. Integr. Circuits RF Syst. Top. Meeting, Jan. 2013, pp. 117–119. [10] N. Pohl, H.-M. Rein, T. Musch, K. Aufinger, and J. Hausner, “SiGe bipolar VCO with ultra-wide tuning range at 80 GHz center frequency,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2655–2662, Oct. 2009. [11] N. Pohl et al., “Radar measurements with micrometer accuracy and nanometer stability using an ultra-wideband 80 GHz radar system,” in Proc. IEEE Wireless Sens. Sens. Netw. Top. Conf., Jan. 2013, pp. 31–33. [12] H. J. Ng, A. Fischer, R. Feger, R. Stuhlberger, L. Maurer, and A. Stelzer, “A DLL-supported, low phase noise fractional- PLL with a wideband VCO and a highly linear frequency ramp generator for FMCW radars,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 12, pp. 3289–3302, Dec. 2013. [13] H. Arora, N. Klemmer, J. Morizio, and P. Wolf, “Enhanced phase noise modeling of fractional- frequency synthesizers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 2, pp. 379–395, Feb. 2005. [14] T. Musch, N. Pohl, I. Rolfes, and J. Hausner, “A low noise fractionalapproach with a short periodicity of the division factor sequences,” J. Eur. Microw. Assoc., vol. 3, no. 2, pp. 85–93, 2007. [15] R. Vytla et al., “Simultaneous integration of SiGe high speed transistors and high voltage transistors,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2006, pp. 1–4.

857

[16] N. Pohl, T. Klein, K. Aufinger, and H.-M. Rein, “A low-power wideband transmitter front-end chip for 80 GHz FMCW radar systems with integrated 23 GHz downconverter VCO,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 1974–1980, Sep. 2012. [17] H.-M. Rein and M. Möller, “Design considerations for very-high-speed Si-bipolar IC’s operating up to 50 Gb/s,” IEEE J. Solid-State Circuits, vol. 31, no. 8, pp. 1076–1090, Aug. 1996. [18] W. Fang, A. Brunnschweiler, and P. Ashburn, “An analytical maximum toggle frequency expression and its application to optimizing high-speed ECL frequency dividers,” IEEE J. Solid-State Circuits, vol. 25, no. 4, pp. 920–931, Aug. 1990. [19] D. Preslar and J. Siwinski, “An ECL/I2L frequency synthesizer for AM/FM radio with an alive zone phase comparator,” IEEE Trans. Consum. Electron., vol. CE-27, no. 3, pp. 220–226, Aug. 1981. [20] S. Levantino, L. Romano, S. Pellerano, C. Samori, and A. Lacaita, “Phase noise in digital frequency dividers,” IEEE J. Solid-State Circuits, vol. 39, no. 5, pp. 775–784, May 2004. [21] J. Breitbarth and J. Koebel, “Additive (residual) phase noise measurement of amplifiers, frequency dividers and frequency multipliers,” Microw. J., vol. 51, no. 6, pp. 66–82, Jun. 2008. [22] B. Razavi, A 3-State Phase Detector can Improve Your Next PLL Design. New York, NY, USA: Wiley, 1996. [23] R. Chen and Z.-Y. Yang, “Modeling the high-frequency degradation of phase/frequency detectors,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 5, pp. 394–398, May 2010. [24] J. Lan, Y. Wang, L. Liu, and R. Li, “A nonlinear phase frequency detector with zero blind zone for fast-locking phase-locked loops,” in Proc. Int. Anti-Counterfeiting Security Identification Commun. Conf., Jul. 2010, pp. 41–44. [25] W. Chen, M. Inerowicz, and B. Jung, “Phase frequency detector with minimal blind zone for fast frequency acquisition,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 12, pp. 936–940, Dec. 2010. [26] K. Majeed and B. Kailath, “Low power, high frequency, free dead zone PFD for a PLL design,” in Proc. IEEE Faible Tension Faible Consommation, Jun. 2013, pp. 1–4. [27] S.-H. Lin and S.-I. Liu, “Full-rate bang-bang phase/frequency detectors for unilateral continuous-rate CDRs,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 12, pp. 1214–1218, Dec. 2008. [28] W.-H. Chen, M. Inerowicz, and B. Jung, “Phase frequency detector with minimal blind zone for fast frequency acquisition,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 12, pp. 936–940, Dec. 2010. [29] F. Zhao, J. Yu, J. Cali, F. Dai, J. Irwin, and A. Aklian, “A 4.8–6.8 GHz phase-locked loop with power optimized design methodology for dividers,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2013, pp. 187–190. [30] F. Liu, Z. Wang, Z. Li, Q. Li, G. Yang, and T. Guo, “Low-power 25.4–33.5 GHz programmable multi-modulus frequency divider,” Electron. Lett., vol. 50, no. 15, pp. 1067–1068, Jul. 2014. [31] Z. Gao, Y. Xu, P. Sun, E. Yao, and Y. Hu, “A programmable highspeed pulse swallow divide-by- frequency divider for PLL frequency synthesizer,” in Proc. Int. Comput. Appl. Syst. Modeling Conf., Oct. 2010, vol. 6, pp. V6–315–V6–318. [32] M. Jung, G. Fischer, R. Weigel, and T. Ussmueller, “A low power divider for high frequency FMCW based localization systems,” in Proc. IEEE Int. Wireless Inf. Technol. Syst. Conf., Nov. 2012, pp. 1–4. [33] F. Barale, P. Sen, S. Sarkar, S. Pinel, and J. Laskar, “Programmable frequency-divider for millimeter-wave PLL frequency synthesizers,” in Proc. 38th Eur. Microw. Conf., Oct. 2008, pp. 460–463. [34] C.-S. Lin, T.-H. Chien, and C.-L. Wey, “A 5.5-GHz 1-mW full-modulus-range programmable frequency divider in 90-nm CMOS process,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 58, no. 9, pp. 550–554, Sep. 2011. [35] “Hittite HMC705LP4 datasheet,” Hittite Microw. Corporation, Chelmsford, MA, USA, V04.0212 ed., 2012. [36] S. Sleiman, J. Atallah, S. Rodriguez, A. Rusu, and M. Ismail, “Widedivision-range high-speed fully programmable frequency divider,” in Joint 6th Int. IEEE Northeast Circuits Syst. Workshop/TAISA Conf., Jun. 2008, pp. 17–20. [37] “Centellax MX1DS10P datasheet,” Centellax Inc., Santa Rosa, CA, USA, smd-00028, rev. c ed., Jun. 2009. [38] G. Hasenaecker, N. Pohl, H. Knapp, and T. Musch, “An 8 bit programmable 18 GHz frequency divider for mm-wave frequency synthesis,” in Proc. 7th Eur. Microw. Integr. Circuits Conf., Oct. 2012, pp. 305–308. [39] G. Hasenaecker, N. Pohl, and T. Musch, “Frequency synthesis for high precision wideband millimeter wave radar systems using a SiGe bipolar chip,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3.

858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[40] T. Jaeschke, C. Bredendiek, S. Kuppers, and N. Pohl, “High-precision D-band FMCW-radar sensor based on a wideband SiGe-transceiver MMIC,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3582–3597, Dec. 2014. [41] S. Ayhan, P. Pahl, T. Kayser, M. Pauli, and T. Zwick, “Frequency estimation algorithm for an extended FMCW radar system with additional phase evaluation,” in Proc. German Microw. Conf., Mar. 2011, pp. 1–4. [42] S. Lanzisera and K. Pister, “Burst mode two-way ranging with Cramer–Rao bound noise performance,” in Proc. IEEE Global Telecommun. Conf., Nov. 2008, pp. 1–5. [43] K. Thurn, R. Ebelt, and M. Vossiek, “Noise in homodyne FMCW radar systems and its effects on ranging precision,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [44] M. C. J. Budge and M. Burt, “Range correlation effects in radars,” in Rec. IEEE Nat. Radar Conf., 1993, pp. 212–216. [45] T. Musch and B. Schiek, “Measurement of the ramp linearity of extremely linear frequency ramps using a fractional dual loop structure,” IEEE Trans. Instrum. Meas., vol. 50, no. 2, pp. 389–392, Apr. 2001. [46] X. Gao, E. Klumperink, P. Geraedts, and B. Nauta, “Jitter analysis and a benchmarking figure-of-merit for phase-locked loops,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 2, pp. 117–121, Feb. 2009. [47] T.-N. Luo, C.-H. Wu, and Y.-J. Chen, “A 77-GHz CMOS FMCW frequency synthesizer with reconfigurable chirps,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2641–2647, Jul. 2013. [48] W. Wu, R. Staszewski, and J. Long, “A 56.4-to-63.4 GHz multi-rate all-digital fractional- PLL for FMCW radar applications in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 5, pp. 1081–1096, May 2014. [49] H. Matsumura, M. Sato, A. Mineyama, T. Suzuki, and N. Hara, “Ultra-low phase noise 76–81 GHz PLL synthesizer for FMCW radar in 65 nm CMOS,” in Proc. Asia–Pacific Microw. Conf., Dec. 2012, pp. 649–651. [50] J. Park, H. Ryu, K.-W. Ha, J.-G. Kim, and D. Baek, “A 76–81-GHz CMOS transmitter with a phase-locked-loop-based multichirp modulator for automotive radar,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1399–1408, Apr. 2015. [51] J. Lee, Y.-A. Li, M.-H. Hung, and S.-J. Huang, “A fully-integrated 77-GHz FMCW radar transceiver in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2746–2756, Dec. 2010. [52] D. Salle et al., “A fully integrated 77 GHz FMCW radar transmitter using a fractional- frequency synthesizer,” in Proc. Eur. Radar Conf., Sep. 2009, pp. 149–152.

Gregor Hasenaecker (S’13) was born in Essen, Germany, in 1982. He received the Dipl.-Ing. degree in electrical engineering from Ruhr University Bochum, Bochum, Germany, in 2009. Since May 2009, he has been a Research Assistant with the Institute of Electronic Circuits, Ruhr University Bochum. His current fields of research are concerned with the design of integrated millimeter-wave circuits and frequency synthesis for ultra-wideband frequency modulated continuous wave (FMCW) radar systems. Marcel van Delden (S’14) was born in Hattingen, Germany, in 1990. He received the B.Sc. and M.Sc degrees in electrical engineering from the Ruhr University Bochum, Bochum, Germany, in 2012 and 2015, respectively. Since October 2013, he has been a Research Assistant with the Institute of Electronic Circuits, Ruhr University Bochum. His current fields of research are concerned with the design of integrated millimeter-wave and digital circuits in ultra-wideband frequency synthesizers for multiple input multiple output (MIMO) frequency modulated continuous wave (FMCW) radar systems.

Timo Jaeschke (S’07) was born in Hattingen, Germany, in 1984. He received the Dipl.-Ing. degree in electrical engineering from the Ruhr University Bochum, Bochum, Germany, in 2011. Since May 2011, he has been a Research Assistant with the Institute of Integrated Systems, Ruhr University Bochum. His current fields of research are concerned with frequency synthesis, integrated ultra-wideband frequency modulated continuous wave (FMCW) radar systems up to 240 GHz, high-resolution radar imaging, and highest precision distance and vibration measurements for various applications. Dipl.-Ing. Jaeschke is a Member of the VDE, ITG, EuMA, and DGON. He was the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award in 2013 and the Airbus Defence and Space ARGUS Award in 2010. He was a corecipient of the EuMIC Prize in 2012. Nils Pohl (GSM’07–M’11–SM’14) received the Dipl.-Ing. and Dr.Ing. degrees in electrical engineering from Ruhr University Bochum, Bochum, Germany, in 2005 and 2010, respectively. From 2006 to 2011, he was a Research Assistant with the Institute of Integrated Systems, Ruhr University Bochum, where he was involved with integrated circuits for millimeter-wave (mm-wave) radar applications. In 2011, he became an Assistant Professor of integrated systems with Ruhr University Bochum. Since 2013, he has been the Head of the Department of Millimeter Wave Radar and High Frequency Sensors, Fraunhofer Institute for High Frequency Physics and Radar Techniques, Wachtberg, Germany. In 2015, he became a Professor of integrated RF circuits with Ruhr University Bochum. He has authored or coauthored more than 100 scientific papers. He holds several patents. His main fields of research are concerned with ultra-wideband millimeter-wave radar, design and optimization of millimeter-wave integrated SiGe circuits and system concepts with frequencies up to 240 GHz and above, as well as frequency synthesis and antennas. Prof. Pohl is a member of the VDE, ITG, and URSI. He was a corecipient of the 2009 EEEfCom Innovation Award, the 2012 EuMIC Prize, and the 2015 Best Demo Award of IEEE Radio Wireless Week. In 2013, he was the recipient of the Karl-Arnold Award of the North Rhine-Westphalian Academy of Sciences, Humanities and the Arts. Klaus Aufinger (M’10) was born in Kirchbichl, Austria, in 1966. He received the Diploma and Ph.D. degrees in physics from the University of Innsbruck, Innsbruck, Austria, in 1990 and 2001, respectively. In 1991, he joined the Corporate Research and Development of Siemens AG, Munich, Germany, where he investigated noise in submicrometer bipolar transistors. He is currently with Infineon Technologies (the former semiconductor group of Siemens), Munich, Germany, where he is involved in the field of device physics, technology development, and modeling of advanced SiGe technologies for high-speed digital and analog circuits. Thomas Musch (M’06) was born in Mülheim Ruhr, Germany, in 1968. He received the Dipl.-Ing. and Dr.Ing. degrees in electrical engineering from Ruhr University Bochum, Germany, in 1994 and 1999, respectively. From 1994 to 2000, he was a Research Assistant with the Institute of High Frequency Engineering, Ruhr University Bochum, where he was involved in system concepts and electronic components at microwave frequencies, mainly in the fields of frequency synthesis and high-precision radar. From 2003 to 2008, he was with Krohne Messtechnik GmbH, Duisburg, Germany. As the Head of the Department of Corporate Research, he was responsible for research activities with the Krohne Group, Duisburg, Germany. In 2008, he became a Full Professor of electronic circuits with Ruhr University Bochum. His current research interests include frequency synthesis, radar systems and antennas for microwave range finding, industrial applications of microwaves, and automotive electronics.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A 79-GHz Adaptive-Gain and Low-Noise UWB Radar Receiver Front-End in 65-nm CMOS Jingyu Jang, Student Member, IEEE, Juntaek Oh, Student Member, IEEE, Choul-Young Kim, Member, IEEE, and Songcheol Hong, Member, IEEE

Abstract—A 79-GHz adaptive-gain and low-noise ultra-wideband radar receiver RF front-end integrated circuit in 65-nm CMOS is presented in this paper. The receiver consists of an adaptive-gain low-noise amplifier (AGLNA) and a -boosted sub-harmonic mixer (SHM). The proposed AGLNA controls the gain with adaptive biased circuits, which lowers the gain as the received signal power increases to provide wide dynamic range to the radar receiver without any external controls. We analyzed the input impedance of a cascode amplifier with a parallel resonant inductor, which improves the noise figure. The proposed -boosted SHM uses a transformer-based feedback network with NMOS bleeding circuits to provide a high conversion gain. The SHM was designed to use a differential local oscillator (LO) signal to have a simple structure and operate at low LO power. The measured conversion gain range was from 16 to 7.5 dB with a received power range from 45 to 5 dBm at 79.5 GHz. The measured noise figure was 10.5 dB and the measured 2LO-to-RF isolation was 70 dB. The chip area is 0.47 1.23 mm . Index Terms—Adaptive biased (ADB), adaptive gain low-noise amplifier (LNA), CMOS, parallel resonant inductor, receiver, 79 GHz, sub-harmonic mixer (SHM), ultra-wideband (UWB).

I. INTRODUCTION

A

UTOMOTIVE ultra-wideband (UWB) radar can use the unlicensed 4-GHz wide spectrum of 77–81 GHz with an average effective isotropic radiated power (EIRP) of 3-dBm/MHz emission restriction, which has been allocated by the European Telecommunications Standards Institute (ETSI). Higher emission power can be used in the 79-GHz UWB spectrum as compared to the 26-GHz UWB spectrum, whose emission restriction is an average EIRP of 50 dBm/MHz [1]. Thus, it is possible to obtain a high signal-to-noise ratio (SNR) and long-distance detection is enabled with 79-GHz UWB radar. In contrast, when a radar is used for detection at short distances, the receiver of the radar can be easily saturated due to excessively high signal powers. Manuscript received April 21, 2015; revised August 24, 2015 and January 13, 2016; accepted January 25, 2016. This work was supported by the Center for Integrated Smart Sensors funded by the Ministry of Science, ICT, and Future Planning under Global Frontier Project CISS-2012M3A6A6054195. J. Jang, J. Oh, and S. Hong are with the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea (e-mail: [email protected]; [email protected]). C.-Y. Kim is with the Department of Electronics Engineering, Chungnam National University, Daejeon 305-701, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2523511

Fig. 1. Block diagram of the proposed 79-GHz UWB radar receiver front-end.

UWB radar transceivers have been developed for automotive applications in the W-band using SiGe bipolar technology, and they achieved very good performance. However, they are expensive and difficult to integrate with digital parts in CMOS [2], [3]. As CMOS technology has developed, it has become possible to make a CMOS-based front-end in the W-band. However, it is still a challenge to overcome large amount of low-frequency noise (i.e., noise) and low gains of CMOS transistors at the high frequencies. Many reports on W-band CMOS automotive radar have focused on frequency-modulated continuous-wave (FMCW) radar [4]–[7]. Recently, there have been some initial studies of 79-GHz CMOS UWB radar [8], [9]. Such studies have mainly focused on the optimization of transmitter architectures and to some degree on the receivers as well. In this study, we investigated the receiver architecture of a 79-GHz UWB radar front-end with CMOS technology. We propose an adaptive-gain low-noise amplifier (AGLNA) with adaptive biased (ADB) circuits and a -boosted sub-harmonic mixer (SHM) with a transformer-based feedback network to optimize the 79-GHz UWB radar receiver front-end, as shown in Fig. 1. Furthermore, the circuit blocks were analyzed and optimized to achieve high performance. As a result, we were able to achieve a conversion gain range from 16 to 7.5 dB with a received power range from 45 to 5 dBm at 79.5 GHz with a local oscillator (LO) power of 5 dBm, a noise figure of 10.5 dB, and a 2LO-to-RF isolation of 70 dB. In Section II, we consider two issues of 79-GHz UWB radar receivers, and describe the proposed receiver front-end architecture. In Section III, we describe the proposed AGLNA with ADB circuits. We also derive an input impedance of a cascode amplifier with a resonant inductor as a function of source degeneration inductance. In Section IV, we describe the proposed -boosted SHM with a transformer-based feedback network. In Section V, the measured results of the receiver front-end are presented. In Section VI, conclusions are given.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

II. RECEIVER ARCHITECTURE WITH RADAR SYSTEM CONSIDERATIONS

There are important requirements for 79-GHz UWB radar receivers. First, the receiver should have a wide dynamic range. When a radar in used for long-distance detection, the gain has to be high enough to amplify the weak received signals and to increase the SNR. In contrast, when a radar is used for shortdistance detection, the gain has to be low enough to secure the margin of the input 1-dB compression point (P1dB) because the receiver can be saturated with very high signal powers at 79 GHz. As a result, the radar can have a wide dynamic range and cover the entire detection range. Some studies on variable-gain low-noise amplifiers (VGLNAs) have been carried out [10], [11]; however, conventional VGLNAs need external control signals to vary the gains, and this increases the complexity of receivers. We propose an AGLNA with ADB circuits to obviate the need for external control signals and to allow the gain to be controlled automatically. Additionally, an input impedance of a cascode amplifier with a resonant inductor as a function of source degeneration inductance is derived to have a low-noise figure and good input impedance matching. Second, the LO leakage should be isolated well away from other ports. Since LO leakage causes self-mixing in the mixer and dc offset problems in a direct conversion receiver [12], it degrades the dynamic range of the radar and causes false alarms. Generally, SHMs provide a potential solution to alleviate this problem because they have an LO frequency that is half the RF frequency. This brings high port-to-port isolation. In addition, SHMs reduce the burden on an LO frequency synthesizer at high frequencies. This is another reason for using SHMs. However, SHMs usually show conversion loss, which degrades the noise figure of the whole receiver and worsens the SNR [13], [14]. Hence, it is difficult for a receiver to perform long-distance detection with lossy SHMs. To address this problem, we propose a high conversion gain SHM, realized through a -boosting technique, which uses a transformer-based feedback network with NMOS current-bleeding circuits. Generally, the maximum detection range of the short-range radar (SRR) for automotive applications is defined as 30 m. Two kinds of situations are assumed to define the dynamic range of the receiver. When a radar detects a person who is 30 m away from the radar, the received power is assumed to be the minimum. In contrast, when the radar detects a vehicle at the very short distance within 0.1 m from the radar, the received power is the maximum. In this case, it is assumed that the vehicle could be roughly modeled as an infinite sheet metal. The minimum received signal power can be calculated by using the radar equation. The minimum received power is calculated to be 102 dBm with the transmitted signal power of 15 dBm [15], an antenna gain of 15.6 dBi [16], and the radar cross section (RCS) of a person of 8 dBsm [17]. On the other hand, the maximum received power can be calculated by using the Friis transmission equation for double distance, rather than the radar equation. As a result, the maximum received power is 10 dBm. Finally, the dynamic range of the receiver is approximately 90 dB.

The noise figure of the receiver is determined by considering the minimum required SNR of the receiver. It is assumed that the minimum required SNR was 11 dB [18]. It was also assumed that the radar transmits 31.5 ns-width-long pulses with a 200-s pulse repetition interval (PRI) modulated by a 63-bitlength pseudo noise (PN) sequence to fulfill the average EIRP of 3 dBm MHz [19]. The pulses can be accumulated several hundred times to improve the SNR within the scan time of 0.1 s. As a result, the noise figure of the receiver should be smaller than 10 dB. It is assumed that the input P1dB of the receiver should be the same as the maximum received power. Therefore, the input P1dB was determined to be 10 dBm. The gain of the receiver was determined by considering the received signal power and the minimum detectable level of an A/D converter. Baseband signal amplifiers mostly cover the gain of the receiver chain. To suppress the noise contributed by the baseband amplifiers, the receiver RF front-end gain should be more than 15 dB [7]. III. AGLNA WITH ADB CIRCUITS A. ADB Circuit As mentioned in Section II, the receiver should have a gain of 15 dB and an input P1dB of 10 dBm. However, it is very difficult to satisfy the gain and the input P1dB simultaneously. For example, the input P1dB is 28 dBm when the gain is 15 dB in this work. When the radar performs short-distance detection, the receiver is readily saturated. We should reduce the gain to obtain the margin of the input P1dB when the radar performs short-distance detection. The gain has to be 3 dB to satisfy the input P1dB of 10 dBm. Therefore, the minimal gain range of the AGLNA has to be 18 dB. The proposed AGLNA with ADB circuits is shown in Fig. 2. In the second stage, the ADB circuits, which lower the gain as the received power increases, are used to provide a wide dynamic range to the radar. The input and output of the ADB circuits are connected to the drain of a common-gate (CG) amplifier and the gate of a common-source (CS) amplifier, respectively. The ADB circuit has a three-stage CS amplifier structure to decrease the output voltage as the input power increases and to provide enough gain for the ADB circuit. RC parallel filters at the load of the CS amplifiers are used to extract only envelope signals without carrier frequencies. We focused on an operating point and an output voltage range of the ADB circuit. We tried to make the ADB circuit to start its operation when the received powers exceed the input P1dB with maximum gain of the receiver (i.e., 28 dBm). In addition, we tried to get a wide output voltage range to satisfy the minimal gain range of 18 dB with low power consumptions. In the third stage, a parallel resistor is added to test the output voltage of the AGLNA. The simulated output voltage of the ADB circuit and the gain of the AGLNA with respect to input powers are shown in Fig. 3(a). The output voltage of the ADB circuit decreases as the received signal power increases. This reduces the gain of the CS amplifier in the second stage of Fig. 2. Fig. 3(b) shows the output voltage of the ADB circuit with a pulsed input signal. The pulse width is 31.5 ns, which corresponds to the PN

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JANG et al.: 79-GHz ADAPTIVE-GAIN AND LOW-NOISE UWB RADAR RECEIVER FRONT-END IN 65-nm CMOS

3

Fig. 2. Schematic of the proposed adaptive gain LNA with ADB circuits.

sequence of 63 bits. It is verified that the ADB circuit operates well with a pulsed input signal. The AGLNA is based on a two-stage architecture to achieve a gain of more than 15 dB. Each stage uses a cascode structure to provide a wide bandwidth [7]. CS amplifiers – in the first stage are biased at 0.55 V to provide the optimum current density, and the have a unit finger width of 2 m to – maximize . The gate bias of CG amplifiers is con– nected to the , and the unit finger width of – is same as that of – . The numbers of fingers of – and – are 12 and 16, respectively, to provide the maximum MSG/MAG and minimum . The transistor size and the bias of the second stage are the same as those of the first stage for simple implementation. In the first stage, source degeneration inductors – are used for simultaneous input and noise matching [20]. The input and output of the AGLNA are matched to 50 by broadside coupled transformers. Inter-stage matching is accomplished using a tournament shaped transformer and series capacitor – to reduce the chip area [21]. B. Analysis of the Input Impedances of a Cascode Amplifier With Series/Parallel Resonant Inductors

Fig. 3. (a) Simulated output voltage and gain with CW input signal. (b) Simulated output voltage with pulsed input signal. The pulse width is 31.5 ns.

At high frequencies, parasitic capacitances appear between the CS and CG amplifiers, which decrease the gain and contribute a considerable amount of noise. These parasitic capacitances can be eliminated by resonating out at the desired operating frequency with parallel resonant inductors – , as shown in Fig. 2 [22]. In fact, either series or parallel inductors can be used as a resonant inductor, as shown in Fig. 4 [23]. Input impedances of a cascode amplifier with series/parallel resonant

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Cascode amplifier with: (a) series and (b) parallel resonant inductors.

inductors are derived as a function of source degeneration inductance. It is found that using the parallel resonant inductor is preferable to using series resonant inductors in terms of simultaneous input and noise matching in the cascode amplifier with source degeneration inductors. Based on [23], the series resonant inductance to cancel out the parasitic capacitances is shown in (1). Based on an analysis similar to that in [23], the parallel resonant inductance is shown in (2) as follows: (1) (2) and are the parasitic capacitances of the CS Here, amplifier and CG amplifier, respectively. According to (1) and (2), the parasitic capacitances are cancelled out with a smaller inductor if a parallel resonant inductor is used rather than series resonant inductors. In addition, the real value of the input impedance of the cascode amplifier in the first stage can be described as a function of the source degeneration inductor and is given by (3),

(3) Here, is the impedance seen at the drain of the CS amplifier to the CG amplifier. – are constants, which are unrelated to . Note that the small-signal model includes to reflect the effect of on the input impedance of the cascode amplifier. According to (3), strongly depends on the value of . In the case of the series resonant inductor, is described as (4)

Fig. 5. Simulated input impedance and optimum noise impedances of LNAs with: (a) series and (b) parallel resonant inductors.

Here, is the transconductance, and is the gate–source capacitance of the CG amplifier in the first stage. At 79 GHz, is 100 with A/V and fF approximately. Therefore, is . In the case of the parallel resonant inductor, is described as (5) is 13.6 with A/V and pH approximately at 79 GHz. Therefore, is 0.074 . To satisfy simultaneous input and noise matching in an LNA, has to be equal to , which is the real value of the optimum noise impedance of the LNA [19]. However, it is hard to make equal to with series resonant inductors because is too small, which means that cannot be changed enough with adjusting the value of . On the other hand, it is relatively easy to make equal to with the parallel resonant inductor because . Fig. 5 presents the simulated input and optimum noise impedances with various values of . When pH, can be equal to with the parallel resonant inductor. Here,

IV. SHM WITH

-BOOSTING TECHNIQUE

SHMs have higher port-to-port isolation than fundamental mixers and reduce LO leakage with high LO-to-RF/2LO-to-RF isolation. At high frequencies, it is challenging to make an LO source with high output power and low phase noise. This limits the performance of the fundamental mixer. An SHM has an LO frequency that is half the RF frequency so this is a potential solution to this problem. A sub-harmonic Gilbert-cell mixer, the most commonly used scheme, has high LO-to-RF/2LO-to-RF isolation [13], [14]. However, it requires a four-way quadrature divider to

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JANG et al.: 79-GHz ADAPTIVE-GAIN AND LOW-NOISE UWB RADAR RECEIVER FRONT-END IN 65-nm CMOS

5

Fig. 6. Schematic of the proposed SHM.

make quadrature LO signals. The additional passive network occupies a large chip area and has high ohmic losses, which degrades the noise figure of the receiver and consumes more power than other schemes. In this paper, we propose an SHM with a -boosting technique to complement the drawbacks of the sub-harmonic Gilbert-cell mixer. The SHM can have a high conversion gain with a -boosting technique while consuming low power. The SHM uses only differential LO signals and obviates the need for a four-way quadrature divider. Thus, both the chip area and LO signal power can be reduced. Fig. 6 presents the schematic of the proposed SHM. The SHM basically consists of a transconductance stage – ,a switching stage , and load resistors . Additionally, – – current-bleeding circuits, which consist of – and – , are added to alleviate voltage headroom at the output nodes without reducing the current in the transconductance stage and without decreasing the load resistors [24]. A transformer is added as a source degeneration inductor and a feedback network for -boosting at the same time. The – have ten fingers with a unit finger width of 2 m to provide high . The total gate widths of – and – are 18 and 12 m, respectively, with the unit finger width of 2 m. The gate–source bias voltage of – and – was

designed to be the same with 0.5 V. Most of the current at the transconductance stage is from the current-bleeding circuits. The gate–source voltage of – is 0.2 V to maximize the second harmonic of the LO signal. Since there is low current in the switching stage and load resistors, we can use – of 2 k . The IF buffer is used for 50- matching only for measurement. A. Proposed

-Boosting Technique

The current-bleeding circuits, which consist of NMOSs and of the SHM [24]. Acinductors, are proposed to boost the cording to [24], an NMOS current-bleeding circuit is better than a PMOS current-bleeding circuit for -boosting and noise suppression. The inductors – are used to cancel the parasitic capacitances at the source of the switching transistors at the operating frequency. In this work, the transformer-based feedback network is added with the NMOS current-bleeding circuits to achieve additional -boosting without additional power consumption. Using a non-inverting transformer , we coupled signals from the sources of – to the sources of – on the opposite side of a differential structure. , which were originally CS – amplifiers, then act like CG amplifiers for the coupled signal at the same time. Thus, is also utilized as a source degeneration

(6)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7. Simulated conversion gain of the proposed current-bleeding only.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

-boosting and NMOS

Fig. 9. Measured and simulated conversion gains of the SHM versus LO powers.

Fig. 8. Die photogragh of the proposed receiver.

inductor. We analyzed the effective with the proposed -boosting technique. Here, , the voltage at node B, has the opposite direction to , the voltage at node A, irrespective of the value of . The relation between and is given by (6), shown at the bottom of the previous page. For ease of calculation, we assumed that the turn ratio of is equal to 1, and the inductances of the primary and secondary of are the same as . Here, is the coupling coefficient of . Thus, , the voltage at node , and have opposite signs due to the differential structure. Here, , the voltage at node , has the same sign as due to the non-inverting transformer . As a result, the relation between and is described as

Fig. 10. Measured and simulated input P1dBs of the SHM versus input RF powers.

Here,

can be calculated as (10)

is the impedance seen at the drain of to the Here, switching stage. According to (8) and (10), the relation between and is described as

(7) The total current flowing through the transconductance stage consists of the current generated by RF signal , the current from the current-bleeding circuit , and the current added by the transformer , as shown Fig. 6. is calculated as follows:

(11) By substituting (11) into (9),

can be calculated as (12)

(8) By substituting (6) and (7) into (8), follows:

can be described as

(9)

(12) Consequently, the effective

can be described as (13),

(13)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JANG et al.: 79-GHz ADAPTIVE-GAIN AND LOW-NOISE UWB RADAR RECEIVER FRONT-END IN 65-nm CMOS

7

Fig. 14. Measured and simulated conversion gains versus input RF frequency. Fig. 11. Measured and simulated conversion gains versus input RF power.

Fig. 15. Measured and simulated noise figures versus input RF frequency.

Fig. 12. Measured and simulated IF output powers versus input RF power.

Fig. 16. Measured LO-to-RF/2LO-to-RF isolations versus input RF frequency.

Fig. 13. Current through the AGLNA versus input RF power.

If is satisfied, which means that the denominators are positive, the effective can be boosted. The factor , which indicates how much is contrary to , is smaller than in the case of only NMOS current-bleeding circuits due to the effect of . However, the proposed transformer-based feedback network makes increase by . In addition, is added to the total current. As a result, a larger effective and a higher conversion gain can be achieved without additional power consumption or chip area. Fig. 7 presents the simulated conversion gain of an SHM with the proposed -boosting technique and an SHM with only an

NMOS current-bleeding circuit. The conversion gain can be improved by 5.2 dB using the transformer-based feedback network. V. MEASUREMENT RESULTS Fig. 8 shows a chip photograph of the proposed receiver with the whole chip area being 0.47 1.23 mm including bonding pads. The core area of the SHM and the AGLNA are 0.27 0.44 mm and 0.27 0.54 mm , respectively. The receiver was fabricated using 65-nm RF CMOS technology. The RF and LO inputs of the chip were connected to ground–signal–ground (GSG) pads to inject RF and LO signals into the chip. The chip was mounted on an FR4 printed circuit board (PCB) for dc biases. The measured conversion gain of the SHM with respect to LO power at the RF frequency of 79.5 GHz and the LO frequency

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I PERFORMANCE SUMMARY OF W-BAND CMOS AUTOMOTIVE RADAR RECEIVER

of 39.5 GHz is shown in Fig. 9. The proposed SHM achieved 1.6 dB with an LO power of 5 dBm. With this design, only 5-dBm LO power was required to drive the SHM. Thanks to the proposed -boosting techniques, the SHM achieved high conversion gain. As shown in Fig. 10, the measured and simulated input P1dBs of the SHM were 16.2 and 19.2 dBm, respectively. The proposed SHM consumed 12-mW dc power with a 1.5-V supply. The measured and simulated conversion gains of the receiver with respect to the input RF power are shown in Fig. 11. If the ADB circuits of the AGLNA were in the off state, the conversion gain was 14.5 dB. As the input RF power increased to higher than 27.5 dBm, the receiver was saturated, and the conversion gain decreased drastically. When the ADB circuits of the AGLNA were in the on state, the conversion gain was 16 dB at low RF powers. Thus, the ADB circuits decreased the gain of the AGLNA as the RF power increased. As a result, the receiver was not saturated, and it maintained a higher conversion gain at high RF powers. The measured and simulated IF powers of the receiver with respect to input RF power are shown in Fig. 12. If the ADB circuits of the AGLNA were in the off state, the IF power increased until an RF power of 20 dBm was reached. At higher RF power, the IF power decreased drastically because the receiver was saturated. When the ADB circuits were in the on state, the IF power was maintained at 15 dBm although the RF power increased to over 20 dBm. The current through the AGLNA with respect to the RF power is shown in Fig. 13. If the ADB circuits were in the off state, the current was at a constant 34 mA. When the ADB circuits were in the on state, the current was 30 mA at 40-dBm RF power and decreased as the RF power increased. The measured conversion gain with respect to RF frequency is shown in Fig. 14. The conversion gain was 12.3–15.5 dB in the frequency range from 75.5 to 80 GHz with the LO power of 5 dBm. In Fig. 15, the measured noise figure was 9.4–12.8 dB in the RF frequency range from 75 to 80 GHz.

The LO-to-RF and 2LO-to-RF isolations are shown in Fig. 16. The LO-to-RF and 2LO-to-RF isolations were greater than 55 and 67.5 dB, respectively, in the frequency range from 77 to 83 GHz. Table I summarizes the proposed receiver characteristics in comparison with the published 77/79-GHz radar receiver in a CMOS process. VI. CONCLUSION A 79-GHz adaptive-gain and low-noise UWB radar receiver front-end integrated circuit was implemented using 65-nm CMOS technology. An AGLNA with ADB circuits was proposed to provide a wide dynamic range to a radar receiver without any additional control signals. Our result demonstrated that the AGLNA had a gain range of 23.5 dB, and the gain was controlled automatically. Additionally, we analyzed and compared the effects of resonant inductors on the input impedance of the AGLNA. It was found that a parallel resonant inductor provided better performance than a series resonant inductor for simultaneous input and noise matching with a source degeneration inductor. A -boosted SHM with high conversion gain was also proposed. A transformer-based feedback network with an NMOS current-bleeding circuit was introduced to boost . The differential LO-driven SHM allows the receiver to have a simple structure and to operate at low LO power. The SHM achieves a conversion gain of 1.6 dB with an LO power of 5 dBm while consuming a dc power of 12 mW with a 1.5-V supply. The SHM has a high conversion gain and operates at low LO power. The proposed receiver has a conversion gain range from 16 to 7.5 dB, with a received power range from 45 to 5 dBm at 79.5 GHz. The dc power consumption is reduced from 57 to 37.5 mW as the received RF power increases. The receiver also has a noise figure of 10.5 dB, and a 2LO-to-RF isolation of 70 dB with a chip area of 0.47 1.23 mm .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JANG et al.: 79-GHz ADAPTIVE-GAIN AND LOW-NOISE UWB RADAR RECEIVER FRONT-END IN 65-nm CMOS

ACKNOWLEDGMENT The authors would like to thank the Integrated Circuit Design Education Center (IDEC), for their support in computer-aided design (CAD) tools. REFERENCES [1] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Road Transport and Traffic Telematics (RTTT); Radio Equipment to be Used in the 77 GHz to 81 GHz Band, ETSI TR Standard 102 263 V1.1.2, 2004. [2] S. T. Nicolson et al., “Alow-voltage SiGe BiCMOS 77-GHz automotive radar chipset,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 5, pp. 1092–1104, May 2008. [3] L. Wang, J. Borngraeber, and W. Winkler, “A single-ended fully integrated SiGe 77/79 GHz receiver for automotive radar,” IEEE J. SolidState Circuits, vol. 43, no. 9, pp. 388–391, Sep. 2006. [4] T. Mitomo, N. Ono, H. Hoshino, Y. Yoshihara, O. Watanabe, and I. Seto, “A 77 GHz 90 nm CMOS transceiver for FMCW radar applications,” IEEE J. Solid-State Circuits, vol. 45, no. 4, pp. 928–937, Apr. 2010. [5] J. Lee, Y. Li, and S. Huang, “A fully-integrated 77-GHz FMCW radar transceiver in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2746–2756, Dec. 2010. [6] T. Luo, C. Wu, and Y. Chen, “A 77-GHz CMOS automotive radar transceiver with anti-interference function,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 12, pp. 3247–3255, Dec. 2013. [7] V. Le, H. Duong, C. Ta, F. Zhang, R. Evans, and E. Skafidas, “A CMOS 77-GHz receiver front-end for automotive radar,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3783–3793, Oct. 2013. [8] K. Tan et al., “A 79 GHz UWB pulse-compression vehicular radar in 90 nm CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [9] V. Giannini, D. Guermandi, Q. Shi, A. Medra, W. V. Thillo, A. Bourdoux, and P. Wambacq, “A 79 GHz phase-modulated 4 GHz-BW CW radar transmitter in 28 nm CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 2925–2937, Dec. 2014. [10] Y. Hsieh, J. Kuo, H. Wang, and L. Lu, “A 60 GHz broadband low-noise amplifier with variable-gain control in 65 nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 610–612, Nov. 2011. [11] H. Yeh, S. Aloui, C. Chiong, and H. Wang, “A wide gain control range-band CMOS variable-gain amplifier with built-in linearizer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 902–913, Feb. 2013. [12] R. Svitek and S. Roman, “DC offsets in direct-conversion receivers: Characterization and implications,” IEEE Microw. Mag., vol. 6, no. 3, pp. 76–86, Sep. 2005. [13] J. Tsai and T. Huang, “35–65-GHz CMOS broadband modulator and demodulator with sub-harmonic pumping for MMW wireless gigabit applications,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 10, pp. 2075–2085, Oct. 2007. [14] J. Tsai, H. Yang, T. Huang, and H. Wang, “A 30–100 GHz wideband sub-harmonic active mixer in 90 nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 554–556, Aug. 2008. [15] J. Oh, B. Ku, and S. Hong, “A 77-GHz CMOS power amplifier with a parallel power combiner based on transmission-line transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2662–2669, Jul. 2013. [16] V. Jain, F. Tzeng, L. Zhong, and P. Heydari, “A single-chip dual-band 22–29-GHz/77–81-GHz BiCMOS transceiver for automotive radars,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3469–3485, Dec. 2009. [17] N. Yamada, Y. Tanaka, and K. Nishikawa, “Radar cross section for pedestrian in 76 GHz band,” in Proc. Eur. Microw. Conf., 2005, vol. 2, p. 4. [18] M. I. Skolnik, Introduction to Radar Systems. New York, NY, USA: McGraw-Hill, 2001. [19] J. Oh, J. Jang, C. Kim, and S. Hong, “A W-band 4-GHz bandwidth phase-modulated pulse compression radar transmitter in 65-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 8, pp. 2609–2618, Aug. 2015. [20] T. Nguyen, C. Kim, G. Ihm, and S. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 5, pp. 1433–1442, May 2004.

9

[21] C. Park, D. H. Lee, J. Han, and S. Hong, “Tourament-shaped magnetically coupled power-combiner architecture for RF CMOS power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 10, pp. 2034–2042, Oct. 2007. [22] H. Samavati, H. R. Rategh, and T. H. Lee, “A 5-GHz CMOS wireless LAN receiver front end,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 765–772, May 2000. [23] B. Huang, K. Lin, and H. Wang, “Millimeter-wave low power and miniature CMOS multicascode low-noise amplifiers with noise reduction topology,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3049–3059, Dec. 2009. [24] S. Kong, C. Kim, and S. Hong, “A K-band UWB low-noise CMOS mixer with bleeding path Gm-boosting technique,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 3, pp. 117–121, Mar. 2013. Jingyu Jang (S’14) received the B.S. and M.S. degrees in electronics and electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2013 and 2015, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His main research interests are millimeter-wave CMOS integrated circuits and automotive ultra-wideband (UWB) radar systems.

Juntaek Oh (S’11) received the B.S. and M.S. degrees in electronics and electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2010 and 2012, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His main research interests concern millimeter-wave RF CMOS integrated circuits and radar systems.

Choul-Young Kim (S’04–A’12–M’13) received the B.S. degree in electrical engineering from Chungnam National University (CNU), Daejeon, Korea, in 2002, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2004 and 2008, respectively. From March 2009 to February 2011, he was a Postdoctoral Research Fellow with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla, CA, USA. He is currently an Assistant Professor of electronics engineering with Chungnam National University, Daejeon, Korea. His research interests include millimeter-wave integrated circuits and systems for short-range radar and phased-array antenna applications.

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1989. In May 1989, he joined the faculty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, USA, and Samsung Microwave Semiconductor, Suwon, Korea. His research interests are microwave integrated circuits and systems including power amplifiers for mobile communications, miniaturized radar, millimeter-wave frequency synthesizers, and novel semiconductor devices.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Wide Dynamic-Range CMOS RMS Power Detector Jaewon Choi, Member, IEEE, Jongsoo Lee, Yao Xi, Seong-Sik Myoung, Sanghyun Baek, Dae Hyn Kwon, Student Member, IEEE, Quang-Diep Bui, Jaehun Lee, Student Member, IEEE, Dongjin Oh, and Thomas Byunghak Cho, Senior Member, IEEE

Abstract—This paper presents a wide dynamic range (DR) CMOS root-mean-square power detector with a temperature variation compensation technique in a 28-nm CMOS process. The cascaded gain amplifiers and squaring circuits in the proposed power detector can achieve wide DR with the power level segmented detection method using a switch driver with the help of a modem. A 12-bit current digital-to-analog converter is used to calibrate dc offset in the power detector with 25- V steps to improve the accuracy. Measured DR is more than 40 dB from 700 MHz to 4 GHz. A temperature compensation bias circuit improves the performance of the detector with maximum 0.8-dB error over 90 C. The chip area is 333 the temperature range 30 C m 450 m and the power consumption is from 5.8 to 11.8 mW depending on the input power using a 1.8-V power supply. Index Terms—CMOS, power detector, power segment, root mean square (rms), temperature coefficient doubler (TCDBL), temperature compensation. Fig. 1. Block diagram of a general power detector operation in RF transceiver.

I. INTRODUCTION N CELLULAR RF integrated circuits (RFICs), tightly controlling the transmitted power level within the specified limit is critical in order to achieve the range and the reliability of the link among many users. For example, according to 3GPP standards, when the base-station sends a transmit power control (TPC) command containing the target output power level to a mobile terminal, the mobile terminal has to output the specified power level within the given time period. Among different standards, UMTS specifies a very stringent power control requirement called inner loop power control (ILPC) [1], where the mobile terminal has to control its transmitted output power by 1-dB step within a 0.5-dB step error. As a result, the transmitted power is measured and compared to a set point level in a feedback system requiring high-precision power detectors. Fig. 1 shows the block diagram of a general power detector operation in cellular applications. The power detector detects the transmitting power at TX’s output through the coupler, and generates dc voltage output. This voltage is sent to a modem, which controls the gain of TX chain to adjust the output power. Another important requirement for the power detector is a wide detection dynamic range (DR) in order to enable the power-saving feature such as average power tracking (APT).

I

Manuscript received March 16, 2015; revised October 08, 2015 and November 27, 2015; accepted December 21, 2015. J. Choi, J. Lee, S.-S. Myoung, S. Baek, D. H. Kwon, Q.-D. Bui, J. Lee, and T. B. Cho are with Samsung Electronics, Hwaseong 18448, Korea (e-mail: [email protected]). Y. Xi was with Samsung Electronics, Hwaseong 18448, Korea. D. Oh was with Samsung Electronics, Hwaseong 18448, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519030

APT uses a dc–dc converter to generate the power amplifier supply, instead of a low-dropout regulator (LDO), in order to minimize the energy loss through the regulator. If the power detector can have the wide DR of the accurate detection level, APT can be more accurately applied for more current saving, leading to extended battery life for the given battery capacity. The selection of the power detector type is also important for today’s recent cellular systems, where several different modulation methods, such as binary phase-shift keying (BPSK), quadrature phase-shift keying (QPSK), 16 quadrature amplitude modulation (16QAM), and 64 quadrature amplitude modulation (64QAM), are all having different peak-to-average ratios. Peak power detectors [2] are simple and generally suitable for constant envelope modulated signals, i.e., the global system for mobile communications (GSM), but can make errors for high peak-to-average ratio signals [3]. For high peak-to-average ratio signal applications, a root-mean-square (rms) detector is generally more desirable. RMS power detectors read the average TX power over time, giving a more accurate result for time-varying high peak-to-average ratio or noisy signals. It is known that rms power detectors are implemented using thermal detection, diode detection, and translinear detection [3]–[5]. The thermal detection has a wideband characteristic and high accuracy, but it is difficult to implement it in a CMOS RFIC because of thermal coupling from adjacent circuits through the substrate. Detectors based on a diode are commonly used in communication systems due to their low cost and good performance at high frequencies [6]. However, the performance of diode detectors depends on the temperature variation and, thus, additional compensation techniques are required [6]. Furthermore, certain RF diodes such as Schottky

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Block diagram of the proposed power detector and its operation over the power segment: (a)

diodes are not standard devices in the CMOS process [3], [6], [7]. Another type of rms power detector is translinear-based detection [4], [8], [9]. A voltage-to-current converter ( – converter) transfers the RF voltage signal to a current signal, which is then squared by a squarer divider. It is filtered out by a low-pass filter, generating dc output proportional to the power of the RF input signal [6]. However, translinear-based detectors have bandwidth limitation compared to thermal and diode-based detectors [3]. In addition to the above-mentioned methods, CMOS power detectors are developed in [10]–[13], where the cascaded multistage limiting amplifiers rectify the RF signal, implementing linear-in-decibel conversion in [10]. Recently, a transformer-based CMOS power detector is developed in [14]. The power detector is integrated inside a power amplifier. Transformer-coupling methodology is applied to provide a true rms power detection, where both the current and voltage of the power amplifier are sensed and multiplied. In this paper, a wide dynamic-range CMOS rms-type power detector is presented. The cascading gain amplifiers (GAs) and squaring circuits (SQRs) achieve wide DR with a power level segmented detection method. Proposed power detector has only four GAs and four SQRs to provide more than 40-dB DR. Its DR can be extended as much as possible if necessary. Only one SQR is on wherever the detected power range is. The proposed power detector is integrated inside a cellular transceiver chip and is designed using a standard CMOS process. It works at 1.8-V supply voltage and no additional external components are required. A temperature compensation bias circuit is added to improve the accuracy of power detector output voltage over the temperature variation. The proposed architecture of the power detector is introduced in Section II. In Section III, the detailed explanation of the circuit configuration and analysis will be presented. Section IV shows the simulation and measurement results and Section V concludes this paper. II. PROPOSED ARCHITECTURE As mentioned above, the rms detector is insensitive to the signal shape and modulation form due to its averaging over

and (b)

.

time. Therefore, it is suitable for recent communication standards such as third-generation (3G) W-CDMA and fourth-generation (4G) long-term evolution (LTE) that have a large peakto-average ratio and different modulation signal. The effective rms value of a periodic current (or voltage) is defined as the dc current (or voltage) that produces an equivalent average power to a resistor as the periodic current (or voltage) [15]. The rms voltage is expressed as (1) is the instantaneous voltage. where According to (1), squaring and averaging operations are required to obtain the rms power of an ac signal. Therefore, a SQR and a low-pass filter are necessary to realize the rms power detector. In Fig. 2, the block diagram of the proposed power detector is presented. It consists of three blocks such as the RF core including GAs and SQRs, transimpedance amplifier (TIA), and differential to single-ended amplifier (D2S). The proposed detector divides the detection range into four small segments to cover a wide linear DR and each of them can be handled by the aforementioned SQR. The RF input signal of the power detector passes the GA and it is converted to the squared dc voltage by an SQR block for mean square operation. The TIA converts the output current of RF core to voltage by a feedback resistor and D2S finally converts the differential signal to single-ended voltage with RC filtering at the output node. Fig. 2 also explains the operation of the proposed power detector for each segment in detail. In the proposed structure, each GA and SQRs can be controlled on/off to segment the detected power range. Each block has a separate control bit, which is set by the desired power level segment according to the modem requirement. GA successively turns on depending on the input level, where only one SQR is used to feed the current to the TIA. The output current of an SQR is fed into the TIA, which converts the current to voltage with its load resistor . There is an RC filter between the TIA and D2S and also RC circuits in the TIA and D2S itself. These RC filters can remove undesired signals and can achieve the mean value for rms detection. As seen

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHOI et al.: WIDE DYNAMIC-RANGE CMOS RMS POWER DETECTOR

3

Fig. 3. Conceptual output response of the proposed power detector.

in Fig. 3, four different segments, i.e., cover the desired input power range and each of the segments is selected by the modem in predefined steps. Each power detection range is assigned with power selection (PS) control bits, which are defined in a TX gain address. Each SQR responds on the assigned power detection range based on the target transmitting power. One SQR covers a predefined detection range with an overlap range. Fig. 4 shows a flowchart and how the power segment of the detector is decided by a user equipment (UE) modem. If the received power in a base station is not satisfied with targeted power, the base station requests the modem to change TX output power. The modem determines a new gain code for TX and a power range segment ( ) for the power detector. TX sends new output power and the power detector reads the new output power to monitor if the targeted power is being transmitted. The modem receives new information on the transmitted power from the power detector and adjusts TX gain to control TX output power again if the monitored power information does not match the targeted power. III. CIRCUIT CONFIGURATION AND ANALYSIS

Fig. 4. Flowchart of the proposed power with modem control.

A. GA In Fig. 5, a conventional differential pair with resistive loads is used for the input gain stage and a source follower is used for the output buffer to drive a following SQR. The dominant pole is placed at the buffer output node and its value is determined by and of the buffer, where and are total equivalent resistance and capacitance at the buffer output node ( ), respectively. A moderate and small are required to have the wide bandwidth of the output buffer stage. However, a large capacitive load of the follower stage and limited current budget reduce the bandwidth at the output source following the buffer stage. Therefore, a source follower with a cross-coupled NMOS pair is proposed to increase the bandwidth of the output buffer with a given limited power budget. In Fig. 6, the simplified equivalent schematic of the output buffer is described. Intuitively, ac wise, this cross-coupled NMOS pair together with a source capacitor will create a negative impedance ( ), is equivalent impedance between nodes and at the source of and in Fig. 6).

Fig. 5. Schematic of a designed GA.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Equivalent circuit of the output buffer stage. Fig. 8. Schematic of the designed SQR.

Fig. 7. Simulation response of an equivalent circuit of the output buffer stage.

When is 0, the gain of an equivalent circuit is approximately unity ( ) at dc ( ) and the output gain ( ) is 2 ( ) at the high frequency because the cross-coupled pair is ac grounded. As a result, the source follower has a high-pass characteristic, as shown in Fig. 7 (red solid line). For , source–follower gain is 1 at dc and 0 at the high frequency. Therefore, the source follower has a low-pass characteristic, as shown in Fig. 7 (blue solid line). Both the low- and high-pass characteristic are combined with proper and a negative impedance technique. It can expand output bandwidth, as shown in Fig. 7 (green solid line). Therefore, the gain at the high frequency of the GA can be enhanced without additional current consumption. In dc view, the cross-coupled NMOS pair is heavily degenerated by a tail current source, which will make sure the negative resistance presents at the source–follower load to be large enough, therefore, the circuits will not be latched up at dc.

terms. Basically, the differential pair and detects the RF input power from GA and and makes a dc offset as a dc reference to provide zero output when the input is zero. Both pairs ( and ) are the same size and biased with the same condition for small process and temperature variation. The cascode transistors ( and ) are added to improve output impedance of amplifier and to achieve well-defined drain voltage of differential pair. One drawback of the Meyer’s squaring structure is that the more current is drawn from resistive load as the power of the input RF signal increases. This leads the output voltage drop and the input differential pair cannot operate in the saturation region. As a result, it can reduce the input DR. To resolve this problem, a current output is extracted from the SQR and then converted into voltage through following TIA. Therefore, PMOS current source is placed and common mode feedback circuit (CMFB) is added to ensure the output of SQR and set the same common mode voltage with following TIA over the whole input DR, as shown in Fig. 8. In Fig. 8, the drain current of each pair is and . The drain current of each transistor ( , , , ) is expressed as , , , and , respectively. For signal input pair, the RF input signal and are applied to and and each drain current is expressed as (2) (3) where , , and are average electron mobility, gate–oxide capacitance per unit area, and threshold voltage, respectively. is the width and is the length of the transistor. The drain current of signal pair ( ) is

B. SQR Fig. 8 shows a designed SQR of the power detector. A CMOS differential structure of the Meyer RF power detector [2], [16] is used to suppress common mode variation and odd harmonic

(4) where

.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHOI et al.: WIDE DYNAMIC-RANGE CMOS RMS POWER DETECTOR

5

Similarly, the drain current of the reference pair ( ) is expressed as (5) The difference current at the output is

(6) . where The load RC operates as low-pass filter and filters out highfrequency terms of (6). After filtering, (7) shows the differential output current, (7) Equation (7) includes , which is the input voltage squaring (i.e., power information). Thus, squaring and averaging operation are achieved by the designed SQR for the rms value of an ac signal. An SQR, especially based on a CMOS process, has mismatch and it limits the detection DR. Fig. 9 shows how mismatches affect power detection range. As we can see from Fig. 9(a), there is no lower limit on DR up to the noise floor if the SQR is free of any mismatch. However, as certain mismatch presents, the lower end of power detector output deviates from the ideal curve. As shown in Fig. 9(b), the DR is reduced to no more than 20 dB in a quick Monte Carlo simulation. Hence, the most promising solution for covering such a large DR is to slice the whole range into several small segments and each of the segments can be handled by the aforementioned SQR.

Fig. 9. SQR mismatch simulation comparison. (a) Normal simulation. (b) Monte Carlo mismatch simulation.

C. Temperature Compensation Bias Circuit A GA and an SQR are RF core block and their performance is an important factor to affect the overall performance of the power detector. Both circuits have transconductance ( ) dependence in operation and is temperature dependent. Load resistors in a GA have temperature dependence as well. Thus, it is desired to bias the transistors such that their overall gain is independent of temperature variation. A common approach for the temperature variation compensation is to use a proportional-to-absolute temperature (PTAT) bias circuit. However, this approach has limitation that it has a fixed slope (a: blue line) for the temperature variation and it does not provide different slope to cover large temperature variation, as shown in Fig. 10. Thus, additional bias block is required to compensate more variation like the (b)–(e) cases in Fig. 10, where “TCC” is the temperature coefficient of temperature coefficient compensation (TCC) block and if the coefficient becomes large, current variation over temperature becomes steeper. Fig. 11(a) shows a block diagram of the proposed bias block. There are four main blocks such as: 1) PTAT; 2) bandgap

Fig. 10. Simulated ( 30 C 90 C).

current

output

of

PTAT

and

TCC

circuit

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Block diagram of the power detector with a compensation bias block. (a) Proposed bias block for the power detector. (b) Proposed power detector. (c) Square circuit bias block with TCDBL.

Fig. 13. Schematic of TCDBL. Fig. 12. Schematic of TCC.

reference (BGR); 3) TCC; and 4) squaring bias block with the proposed circuit called the temperature coefficient doubler (TCDBL). The schematics of the designed TCC and TCDBL are presented in Figs. 12 and 13, respectively. In contrast to the PTAT, the TCC can adjust the current slope by changing and averaging the current of PTAT and BGR, as shown in the Fig. 10(b)–(e) cases. The output current of the TCC is expressed as (8) However, the TCC does not provide enough of a slope rate in the case where larger slope is required. An additional compensation block called a squaring circuit bias block (SQRBB) is proposed as shown in Fig. 11(c). It consists of a current mirror and two TCDBLs, as shown in Fig. 11(c), and the output current is the summation of the BGR and TCDBLs. The output current of the TCDBL is difference from and ,

as derived in (9). The current of a SQRBB is a function of multiple times of the PTAT current and larger slope can be achieved with TCDBL combinations. Fig. 14 shows that SQRBB has a larger temperature coefficient from 0.03 to 0.1 ( A C) over 30 C 90 C compared to only the PTAT,

(9) constant. where , , , , and The gain of an SQR with simple current mirroring of reference current with a poly resistor shows 1.1-dB variation when operating temperature goes from 30 to 90 . The small-signal gain of the SQR depends on , which is biasing independent, but highly temperature dependent. The gain of the SQR shows 0.8-dB variation under the same temperature variation even though constantbiasing is applied. With the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHOI et al.: WIDE DYNAMIC-RANGE CMOS RMS POWER DETECTOR

Fig. 14. Simulated output current of a square bias circuit over the temperature 90 C). variation ( 30 C

Fig. 15. SQR output voltage comparison over the temperature variation 90 C) without and with TCDBL. ( 30 C

help of TCDBL, the temperature variation of the SQR is reduced from to 0.2 dB, as shown in Fig. 15. The proposed bias circuit can provide a higher and flexible temperature coefficient for the analog bias block with the proposed bias block including the PTAT, TCC, squaring bias with TCDBLs, and bias distribution block. As a result, it can achieve less dependence of the temperature variation (i.e., less output voltage variation for the power detector). D. DC Offset Calibration A DR of an SQR is an important factor that determines the DR of a power detector. The DR of the SQR is limited by mismatches as mentioned. The mismatches lead to dc offset in the circuit. DC offset value is added to the output voltage of a power detector and it generates wrong detection voltage if dc offset is not calibrated and increases detection error. DC offset is generated randomly and it has to be calibrated using an SW driver with the help of a modem in advance before power detector power calibration is done using external power measurement

7

equipment. Since dc offset calibration (DCOC) is done at the power-up once, the calibrated bits information of all four stages per each chip has to be stored in a storage space and is driven by software whenever the power detector is operated. To calibrate dc offset, a 12-bit DCOC digital-to-analog converter (DAC) is included as a part of the TIA. Calibration time of a mobile phone is limited to increase the efficiency in production and power detector calibration time has to be minimized to save the overall calibration time of a mobile phone. The proposed power detector has four SQRs and all of them have to be calibrated with small calibration time. One simple approach for DCOC is to sweep all 12-bit DAC codes and find an optimal code. However, it requires 4 2048 steps iteration for the proposed detector to sweep all DAC codes. The most commonly available dc offset algorithm is a binary search or SAR algorithm where two times of the bit length of the DAC measurements are required and steps are required in the proposed power detector. To further optimize calibration time, a new algorithm for DCOC is proposed to be done with faster time. Fig. 16 explains the method to find an optimal DAC code for DCOC with the output voltage of the D2S. Fig. 16(a) depicts the input–output relation in linear scale. The blue line is the in–out curve in an ideal case. In this case the output voltage is zero when there is no input or the input power is zero. However, if there is a dc offset, the in–out curve is shifted like the red line in Fig. 16(a) and the low limit of the DR is decreased. Fig. 16(b) shows the relation between the D2S output voltage and the DAC control code with the given dc-offset condition. If the output voltage is zero, it means either zero offset or negative value, which does not exist at the D2S output. In the D2S block, there is a switch to change the input voltage polarity. The blue and red lines in Fig. 16(b) show the in–out curve with the default polarity and opposite polarity. Fig. 16(c) shows the difference between the blue and red lines in Fig. 16(b). As shown in Fig. 16(c), the difference of the two measured outputs with the different polarity setting is very linear and the optimum DAC code for the dc-offset cancelation is the code where the difference becomes zero. Since the difference is very linear and monotonic function, the optimum code can be simply calculated by using the following arithmetic derived in (10): (10) and are DAC code and and are the where output voltage for each and . In this case, only four measurements with two different DAC codes and different polarities are enough to get the optimum code. Equation (10) shows the optimal output code with simple slope calculation. The main advantage of this method is that it only needs original and flipped voltages response and requires 4 2 steps iteration, saving calibration time. IV. SIMULATION AND MEASUREMENT RESULTS The proposed power detector was fabricated in a Samsung 28-nm CMOS process with 1.8-V supply voltage. The die photograph is shown in Fig. 17 and the silicon area is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 18. Power detector output voltage over operating frequencies. (a) Simulation. (b) Measurement. Fig. 16. Calibration method for an optimum DCOC DAC code. (a) Output voltage response with and without dc offset. (b) Output voltage response over DAC code. (c) PDET DCOC Calibration description.

Fig. 19. Simulated power detector output voltage over the temperature variation at 1.9 GHz. Fig. 17. Die photograph of a fabricated power detector.

333 m 450 m. The power consumption is 5.8 mW when mode and 11.8 mW when mode.

The input matching stage has a shunt 50- resistor to provide wideband matching from 700 MHz to 4 GHz. The p ploy

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHOI et al.: WIDE DYNAMIC-RANGE CMOS RMS POWER DETECTOR

9

Fig. 21. Power detector output voltage versus dashed lines: simulation).

(solid lines: measurement;

Fig. 22. Power detector input referred variation simulation by modulation signal sources.

Fig. 20. Measured power detector output voltage over the temperature vari. (a) Before TCC optimization. (b) After TCC ation at 1.9 GHz for optimization.

resistor is used for the input matching resistor to minimize the resistance variation over temperature. Twenty of 1-K resistors are connected in parallel to get an accurate 50- resistor. The proposed power detector has four different PS modes ( ) and total output has four transfer functions for the input range. They have an equal slope and are placed with the same placement step ( 8 dB). One segment can detect 12 dB and all stages cover more than 40-dB DR. Fig. 18 shows the power detector output voltage variation on the different operation frequencies such as 1, 1.9, and 2.7 GHz. To compensate

for cable and printed circuit board (PCB) losses by operating frequencies, power detector output voltage was set to the same target level at the same input power with the different operating frequencies. As can be seen in Fig. 18, measured power detector output voltage is insensitive to the operating frequencies and the DRs are more than 40 dB. The measurement results are well matched to the simulation results. Fig. 19 shows the simulated power detector output voltage responses over the different temperatures at 1.9-GHz operating frequency. The simulated output voltage goes from 0.1 to 1.8 V within 12-dB DR. The responses of each segment show different temperature performance. The segment with the largest gain (four amplifiers are all turned on) shows bigger temperature variation 0.4 dB. The segment with smallest gain (just one amplifier is turned on) shows the least temperature variation 0.1 dB. Fig. 20 shows the temperature variation measurement. The measurement result of the mode ( ) at 1.9 GHz is selected for the worst case, and the output error is referred to 30 C.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 23. Measured output voltage of the power detector for different modulation signals.

Fig. 24. Power detector output voltages and its detection errors before DCOC in three randomly selected samples. (a) Detector output voltage. (b) Detection error.

Fig. 25. Power detector output voltages and its detection errors after DCOC in one of three randomly selected samples. (a) Detector output voltage. (b) Detection error.

Five different temperature cases ( 30 C, 0 C, 30 C, 60 C, 90 C) are measured and compared based on the TCC slope

optimization. Before TCC is optimized, it shows the maximum 3.5-dB error over the full temperature variation. After TCC is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHOI et al.: WIDE DYNAMIC-RANGE CMOS RMS POWER DETECTOR

11

TABLE I PERFORMANCE COMPARISON WITH RECENT PUBLISHED RMS POWER DETECTOR

optimized, detection errors are reduced and it shows maximum 0.8-dB error over the full temperature variation. The proposed detector can also adjust output voltages with the fine step ( 1 dB) using the variable resistor of TIA ( ) to compensate for the process variation. The measurements of the fine step are shown in Fig. 21 with the simulation results. The measurement results are well matched to the simulation results. TIA fine gain is used to adjust the output voltage of the proposed power detector. TIA resistors are swept automatically using an SW driver until detector output voltage is generated to the target voltage for the pre-defined input power. Once the TIA resistor is determined, the TIA resistor is set in a normal operation. TIA gain can be adjusted up to 4 dB. As mentioned earlier, a rms detector has to be insensitive to the signal shape and modulation type for multi-mode application such as cellular RFICs. To mimic the modulation signal using continuous wave (CW) signal sources, a designed power detector was simulated with multi-tone signals. One, two, and four tones were used to run the simulation for checking modulation variation. Fig. 22 shows input referred variation by multitone signal sources. Simulated detection error between 1T and 4T tones is 0.39 dB. Fig. 23 shows that the proposed power detector has consistent response for different modulation signals such as CW, 3G W-CDMA, 4G LTE 10-MHz full resource block (RB), and 4G LTE 10-MHz 1-RB. Figs. 24 and 25 compare the performances of the proposed power detector without and with DCOC. Three samples were randomly selected and measured for output voltages without DCOC. The measured output voltages were compared with ideal curves to provide detection errors for each power segment stage. As can be seen in Fig. 24, detector output voltages are deviated from the ideal curves and detection errors becomes larger, especially in the range where detector output voltages become small, leading to the limited DR. One of three samples was selected and calibrated for dc offset. The measurement results after DCOC are shown in Fig. 25. Detector output voltage of each stage becomes almost identical and DR placed in a certain detection error becomes wider. DCOC improves DR and power detection accuracy of the power detector. Table I summarizes the results of the performance comparison. The proposed power detector had the widest DR in which the linearity was maintained within the specified frequency with temperature variation, thanks to its use of power segment methods and temperature compensation bias circuits.

V. CONCLUSION In this paper, a wide DR CMOS rms power detector has been proposed. The proposed detector has cascading GAs and SQRs to achieve wide DR using the power level segmented detection method. GAs with a cross-coupled NMOS enhance the frequency response and they can achieve wideband operation for the detector. A temperature compensation bias circuit improves the performance of the detector with maximum 0.8-dB error over the temperature range 30 C 90 C. A current DAC DCOC block is added and utilized to improve DR of the SQR and power detection accuracy by removing residual dc. Measurement results show the proposed detector can achieve more than 40-dB DR with 5.8 mW (Max. 11.8 mW) power consumption. APPENDIX ANALYSIS OF TCDBL A detailed derivation of TCDBL output current is explained as follows: (11) (12) (13) (14) (15) (16)

(17) Equation (17) shows that the output current of the TCDBL has two times the coefficient of the PTAT. The name of the doubler came from (17). ACKNOWLEDGMENT The authors would like to thank J. Sangirov, HW Platform Team, for data gathering and J. H. Kim, LSI PE/TEST Team, for a chip photograph.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

REFERENCES [1] “User equipment (UE) radio transmission and reception (FDD),” 3GPP, TS25.101 V10.4.0, Jan. 2012. [2] R. Meyer, “Low-power monolithic RF peak detector analysis,” IEEE J. Solid-State Circuits, vol. 30, no. 1, pp. 65–67, Jan. 1995. [3] Y. Zhou and M. Y. W. Chia, “A low-power ultra-wideband CMOS true rms power detector,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 5, pp. 1052–1058, May 2008. [4] Q. Yin, W. Eisenstadt, R. Fox, and T. Zhang, “A translinear RMS detector for embedded TEST of RFICs,” IEEE Trans. Instrum. Meas., vol. 54, no. 5, pp. 1708–1714, Oct. 2005. [5] C. Kitchin and L. Counts, RMS to DC Conversion Application Guide. Norwood, MA, USA: Analog Devices Inc., 1986, vol. 45. [6] T. Zhang, W. Eisenstadt, R. Fox, and Q. Yin, “Biploar MICROWAVE RMS power detectors,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2188–2192, Sep. 2006. [7] “Schottky barrier diode video detector,” Agilent Technol., Santa Clara, CA, USA, Appl. Note 923, 1999. [8] J. Mulder, A. Van der Woerd, W. Serdijn, and A. Van Roermund, “An RMS–DC converter based on the dynamic translinear principle,” IEEE J. Solid-State Circuits, vol. 32, no. 7, pp. 1146–1150, Jul. 1997. [9] R. Levinger et al., “An E-band 40 dB dynamic range multi-tanh power detector in 0.13 m SiGe technology,” in 9th Eur. Microw. Integr. Circuit Conf., Oct. 6–7, 2014, pp. 170–173. [10] S. Ho, “A 450 MHz CMOS RF power detector,” in IEEE RFIC Symp. Dig., May 2001, pp. 209–212. [11] Y. Zhou and M. Chia Yan Wah, “A wide band CMOS RF power detector,” in Proc. IEEE Int. Circuits Syst. Symp., May 2006, pp. 4228–4231. [12] G. Ferrari, L. Fumagalli, M. Sampietro, E. Prati, and M. Fanciulli, “CMOS fully compatible microwave detector based on MOSFET operating in resistive regime,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 7, pp. 445–447, Jul. 2005. [13] K. Kim and Y. Kwon, “A broadband logarithmic power detector in 0.13- m CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 498–500, Sep. 2013. [14] B. Francois and P. Reynaert, “A transformer-coupled true-RMS power detector in 40 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2014, pp. 62–64. [15] C. Alexander and M. Sadiku, Fundamentals of Electric Circuits. New York, NY, USA: McGraw-Hill, 2012. [16] T. Zhang, W. Eisenstadt, and R. Fox, “A novel 5 GHz RF power detector,” in IEEE Int. Circuits Syst. Symp., May 2004, pp. 897–900. [17] K. Townsend and J. Haslett, “A wideband power detector system optimized for the UWB spectrum,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 371–381, Feb. 2009.

Yao Xi received the B.S. degree in electronic science and technology from the Harbin Institute of Technology, Harbin, China, in 2006, and the M.S. degree in electronic and electrical engineering from Sungkyunkwan University, Suwon, Korea, in 2009. From 2009 to 2014, he was an Engineer with Samsung Electronics, Hwaseong, Korea. His research interests include RF power amplifiers and transceiver integrated circuit (IC) design.

Seong-sik Myoung (S’02) received the B.S. degree in electronics engineering from Soongsil University, Seoul, Korea, in 2002, and the M.S. and Ph.D. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2004 and 2009, respectively. He was a Visiting Scholar with the Georgia Institute of Technology, Atlanta, GA, USA, from 2007 to 2008. In 2009, he joined the RF Development Team, Samsung Electronics, Hwaseong, Korea, where he is currently involved with the development of transceivers for mobile communications.

Sanghyun Baek received the B.S., M.S., and Ph.D. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2001, 2003, and 2009, respectively. Since then, he has been with Samsung Electronics, Hwaseong, Korea, where he designs RF circuits for cellular phones. His research interests include the design and implementation of RF integrated circuits and systems for cellular applications.

Jaewon Choi (S’09–GSM’12–M’13) received the B.S. and M.S. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2006 and 2008, respectively, and the Ph.D. degree in electrical and computer engineering from Carnegie Mellon University, Pittsburgh, PA, USA, in 2013. He is currently with Samsung Electronics, Hwaseong, Korea, where he is involved with cellular RF integrated circuit (RFIC) development. His research interests include RF CMOS transceiver architectures and circuits for wireless communication

Dae Hyun Kwon (S’08) received the B.S. degree in electronics engineering from Korea University, Seoul, Korea, in 2002, the M.S. degree in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 2004, and the Ph.D. degree in electrical and computer engineering from the University of Illinois at Urbana-Champaign, Urbana, IL, USA, in 2010. From 2010 to 2013, he was with the Broadcom Corporation, San Diego, CA, USA, where he designed RF transmitters with on-chip power amplifiers for wireless local area network (WLAN) products. Since 2013, he has been with Samsung Electronics, Hwaseong, Korea, where he has been involved with cellular RF transceivers.

Jongsoo Lee received the B.S. degree in physics from Chung-Ang University, Seoul, Korea, in 1999, and the M.S. and Ph.D. degrees in electrical engineering from The Ohio State University (OSU), Columbus, OH, USA, in 2003 and 2008, respectively. Since 2008, he has been with Samsung Electronics, Hwaseong, Korea, where he is currently involved with cellular RF integrated circuit (RFIC) development as a Principal Engineer. His research interests include the design of analog/RF integrated circuits and systems for a cellular application.

Quang-Diep Bui received the B.S. degree in electronics and telecommunications from the Hanoi University of Science and Technology, Hanoi, Vietnam, in 2001, and the M.S. and Ph.D. degrees in information and communications engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2007 and 2013, respectively. In 2013, he joined Samsung Electronics, Hwaseong, Korea, where he has been involved in the design and development of RF integrated circuits (RFICs) for wireless communications.

systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHOI et al.: WIDE DYNAMIC-RANGE CMOS RMS POWER DETECTOR

Jaehun Lee (S’11) received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2011 and 2013, respectively. In 2013, he joined the RF Development Team, Samsung Electronics, Hwaseong, Korea, where he is currently involved with the development of transceivers for mobile communications.

Dongjin Oh received the B.S. and M.S. degrees in electrical engineering and computer science from Ajou University, Suwon, Korea, in 2009 and 2011, respectively. From 2011 to 2015, he was an Engineer with Samsung Electronics, Hwaseong, Korea, where his research was focused was on CMOS RF/analog integrated circuits.

13

Thomas Byunghak Cho (S’89–SM’12) received the B.S. degree from the University of California at Los Angeles, Los Angeles, CA, USA, in 1989, and the M.S. and Ph.D. degrees from the University of California at Berkeley, Berkeley, CA, USA, in 1991 and 1995, respectively, all in electrical engineering. From 1995 to 1996, he was a Post-Doctoral Researcher with the University of California at Berkeley. From 1996 to 2000, he was with Level One Communications, San Francisco, CA, USA, where he developed CMOS RF transceiver products for cordless phone applications. In 2000, he cofounded Wireless Interface Technologies, Dublin, CA, USA, which develops CMOS RF transceivers for wireless personal area network (WPAN)/wireless local area network (WLAN) applications (the company was later acquired by Chrontel, San Jose, CA, USA). In 2004, he joined Marvell Semiconductor, Santa Clara, CA, USA, where he developed CMOS RF and analog integrated circuit (IC) products for various wired and wireless connectivity applications. Since 2012, he has been the Vice President of the RF Development Team, at Samsung Electronics, Hwaseong, Korea, where he is focused on the development of CMOS RF/analog ICs and data converter IPs for multi-mode multi-band cellular modem applications. His research interests include CMOS analog ICs for high-speed analog-to-digital interfaces and wireless communication systems. Dr. Cho was a corecipient of the International Solid-State Circuits Conference Jack Kilby Award for Outstanding Student Paper in 1997.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

881

A 6-bit Segmented DAC Architecture With up to 56-GHz Sampling Clock and 6-V Differential Swing Andreea Balteanu, Student Member, IEEE, Peter Schvan, Member, IEEE, and Sorin P. Voinigescu, Senior Member, IEEE

Abstract—A distributed power digital-to-analog converter (DAC) architecture with multi-level segmentation is proposed. Due to its large output voltage swing, it can be used as a large swing arbitrary waveform generator suitable for a variety of wireline, fiber optic, and instrumentation applications. A proof-of-concept 56-GS/s 6-bit implementation with most significant bits (MSBs) and least significant bits (LSBs) segmentation and full-rate clock was manufactured in a production 130-nm SiGe BiCMOS technology. The circuit features 14 independent data bits—seven for the three MSBs and seven for the three LSBs—each running at up to at least 44 Gb/s. The measured saturated output power and bandwidth are 17 dBm and 45 GHz, respectively. An output swing of 3.4 V per side is observed in 50- loads. Spectral measurements demonstrate multi-bit modulation at carrier frequencies as high as 56 GHz. To the best of our knowledge, this marks the highest output-bandwidth highest voltage-swing current-steering DAC in silicon. Index Terms—Current steering, digital-to-analog converter (DAC), distributed amplifiers (DAs), power DAC, segmented DAC, SiGe BiCMOS.

I. INTRODUCTION

I

T IS expected that global IP traffic will reach zettabytes levels by 2017, with an annual growth rate of over 20% [1]. To satisfy the market demands and make optimal use of the available channel bandwidth, future optical transceivers must allow for adjustable data rates and modulation formats, including multi-carrier orthogonal frequency division multiplexing (OFDM). Such software-defined optical transceivers have recently been proposed [2]–[4] in order to optimize network capacity by dynamically adapting to account for channel impairments and transmitter and receiver nonidealities. Today’s most advanced 110-Gb/s optical transmitters consist of large digital signal processor (DSP) engines feeding highManuscript received April 09, 2015; revised August 26, 2015, November 29, 2015, and December 26, 2015; accepted December 29, 2015. Date of publication February 23, 2016; date of current version March 03, 2016. This work was supported by the Ciena Corporation. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Montreal, QC, Canada, June 17–22, 2012. A. Balteanu and S. P. Voinigescu are with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada M5S 3G4 (e-mail: [email protected]). P. Schvan is with the Ciena Corporation, Ottawa, ON, Canada K2K 3C8. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2525825

Fig. 1. Traditional optical transmitter consisting of a DSP, DAC, and linear amplifier driving a Mach–Zehnder modulator and the proposed implementation that utilizes the large swing DAC (dashed box) discussed in this paper.

speed (HS) (56–60) GS/s nonreturn-to-zero (NRZ) digital-toanalog converters (DACs) [5]–[7], as shown in Fig. 1. Typically, the relatively small ( V differential) DAC output signal is boosted by a large-swing linear driver that can provide the high ( V ) voltages required by state of the art Mach–Zehnder modulators [8], [9] to achieve adequate extinction ratios. Although 1–2-V drive CMOS-based photonics modulators have been reported recently [10]–[13], they operate below 30 GBd. Higher voltage drive (4–6 V ) is needed by the fastest silicon photonics modulators operating at or above 50 GBd [14]. The linear driver must operate with several dB of back-off in order not to distort the DAC signal, resulting in poor transmitter efficiency. Moreover, given the bandwidth and large voltage swing requirements, the driver is often implemented in a III–V technology [15], [16] leading to a multi-chip solution. This work investigates possible solutions to integrate the DAC and linear amplifier into a saturated large swing power DAC, as illustrated in Fig. 1, capable of providing the large swing required to drive the optical modulator with the highest efficiency. Although the proposed power DAC architecture is technology agnostic, when implemented in 55-nm SiGe BiCMOS or sub-40-nm silicon-on-insulator (SOI) CMOS technologies, integration of the DSP also becomes feasible. With over 50-GHz output bandwidth, such a single-chip fully digital large swing transmitter solution becomes very attractive

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 3. Schematic of the distributed seven-stage DAC.

Fig. 4. Three possible topologies for the output stage in each cell: (a) current steering for NRZ DAC, (b) multiplexer for time-interleaved DAC, and (c) BPSK modulator for clocked envelope DAC. Fig. 2. Example of: (a) single-sideband optical transmitter based on a tuned power DAC and (b) example of a quadrature modulator for instrumentation applications.

for future 400-Gb/s and 1-Tb/s systems because it would avoid transferring terabytes of data between two chips. The proposed power DAC architecture allows for adjustable data rates and clock frequencies and can be applied to NRZ, 2 time interleaved [17] and tuned (i.e., carrier envelope modulation) power DACs. As will be shown in this paper, the latter can be used in single-sideband optical transmitters and in instrumentation, where complex modulated 1–60-GHz carriers can be synthesized, as needed to test fifth-generation (5G) millimeter-wave radio receivers operating at data rates of tens of gigabit per second. Examples of both proposed implementations are shown in Fig. 2. The first version of this DAC architecture was presented in [19]. The input driver, the clock distribution path, and the output binary to phase-shift keying (BPSK) stages, have been modified to extend the bandwidth. Medium voltage (MV) devices in a common base configuration were added to the output stage, which increased the maximum output swing from 4 V in [19] to 6.4 V differential. The proposed DAC architecture is introduced in Section II, which is followed by a detailed description of the design considerations and the transistor level implementation in Sections III and IV. The production 130-nm SiGe BiCMOS technology used to manufacture the chip is reviewed in Section V, while the experimental results are summarized in Section VI. II. PROPOSED DAC ARCHITECTURE Traditional HS DACs feature a lumped output stage, which typically limits the output voltage swing to less than 1-V differential and/or the output bandwidth to less than 30 GHz [5], [6]. For larger output bandwidths, analog mixing and filtering of lower bandwidth DACs has been suggested [19]. Alternatively, as proposed in [18], an entirely distributed DAC architecture can

be used to simultaneously extend both the output bandwidth and the output voltage swing of the DAC. Recently, a distributed output transmission line was employed as the output summer in a time-interleaved 100-GS/s DAC with 400-mV differential output swing manufactured in 28-nm LP CMOS [17]. However, most likely because of the thin dielectric and thin metal back-end, the output bandwidth remained below 20 GHz [17]. If the distributed DAC consists of identical cells, as illustrated in Fig. 3, full segmentation of the most significant bits (MSBs) is achieved by default. To minimize glitch energy when the MSB’s switch, should be as large as possible, ideally equal to , allowing for full segmentation of the -bit DAC. In practical cases, is limited to 2–3. If , the DAC will have 15 cells, which makes it impractical for integration in silicon due to the large die size and prohibitive loss along the output transmission line [20]. To further increase the bit resolution of the DAC to , each DAC cell can be realized as two current steering stages [see Fig. 4(a)] in a ratio, each driven by independent data streams and connected in parallel at the output nodes. Again, full segmentation of the least significant bits (LSBs) is a default benefit of the distributed topology. For example, if and , the resulting 6-bit distributed DAC will have seven cells and each cell will feature two current steering stages with a transistor and tail current ratio of 8:1. The three MSBs and three LSBs will be segmented and 14 independent data paths will be required, all synchronized by the same full rate sampling clock applied at the input of the distributed DAC. Even higher resolution, for example, 9 bits, could be achieved, if a third properly scaled current steering output stage (in a 1:64 ratio with the MSB stage) would be added to each DAC cell. Since the distributed DAC cells operate in switching mode, as long as the clock signal is large enough to saturate the current steering stages in all DAC cells, the frequency response of the input transmission line does not need to be constant as a function of frequency. Only the frequency response of the output transmission line needs to be flat and with minimal group-delay variation.

BALTEANU et al.: 6-bit SEGMENTED DAC ARCHITECTURE WITH UP TO 56-GHz SAMPLING CLOCK AND 6-V

It should be noted that each DAC cell can operate equally well in linear mode and in saturated power mode, with maximum efficiency, as in an HS current-steering output driver. Depending on the topology of the current-steering stages in each DAC cell, as shown in Fig. 4, NRZ, NRZ time-interleaved [21], and broadband saturated power DACs for carrier envelope modulation [18] can be implemented. Unlike the first two, the circuit in Fig. 4(c) has the added benefit that the output dc level and the output impedance remain constant, irrespective of the digital word. In all cases the input transmission line is used to distribute the clock to each cell, while the output transmission line acts as broadband summer for the output currents of the current-steering cells and also ensures that output matching is achieved over the broadest possible frequency range. III. TRANSMISSION-LINE DESIGN FOR THE DISTRIBUTED DAC As in a traditional distributed amplifier (DA), the cutoff frequency ( ) of the artificial transmission line is given by (1) and are the equivalent input and output cawhere pacitances of each cell, and and are the equivalent inductances of the input and output transmission-line sections of length . For a given transistor technology and output stage topology of the DAC cell, the minimum value for is determined by the size of the output device used, which, in turn, is determined by the output voltage swing. The maximum value for will be set by the bandwidth requirement and by the characteristic impedance of the transmission line, given by (2) for both lines, although the input line and is typically 50 impedance could be different. As noted in [22], each segment of transmission line has an inherent delay ( ) associated with it equal to (3) For example, if fF and , then pH, GHz and ps. Therefore, in order for the signals from each cell to be summed together correctly at the DAC output, the data paths for and associated with the th cell must be delayed by with respect to those of the preceding stage. This is typically implemented in the DSP engine. However, the presence of the retiming flip-flops in the data paths of each cell can relax this requirement. A delay mismatch between the data lanes of up to half the clock period can be corrected by the retiming function of the flip-flops. As already mentioned, the frequency-dependent loss along the output transmission line is an inherent limitation of the distributed power DAC architecture and it becomes more severe with increasing frequency. In a traditional DA, which operates in linear mode, the sum of the input and output transmission line losses encountered by the signal as it is distributed to each cell and collected at the output load is identical for each cell in the amplifier. The same applies for a distributed DAC operating in

DIFFERENTIAL SWING

883

Fig. 5. Proposed structure for the DAC cell.

linear mode. However, since the DAC is designed to operate in limiting mode, the output current from cells closer to the input will reach the output load with higher attenuation than the currents from those cells closer to the output. For example, in the seven-cell DAC discussed earlier, the contribution to the output voltage swing due to the current of the MSB associated with the first DAC cell will be attenuated by dB (where is the loss of the output line in dB/mm) compared to that of the MSB associated with the seventh DAC cell. This systematic integral nonlinearity (INL) and differential nonlinearity (DNL) error increases with the clock (carrier) frequency and can only be compensated from the LSBs. The backend of the technology will also play a significant role in reducing it. For example, if the output transmission-line loss is 0.1 dB/mm at 10 GHz and 0.4 dB/mm at 40 GHz, and the output transmission-line section length is 0.5 mm, the difference between the first cell and last cell MSBs is 0.3 and 1.2 dB, at 10 and 40 GHz, respectively. If not compensated with LSBs, the latter reduces the effective resolution of the saturated power DAC at 40 GHz to less than 3 bits. The following sections will focus on the implementation of a broadband power DAC for carrier envelope modulation. IV. TRANSISTOR-LEVEL IMPLEMENTATION In order to achieve 3 V per side in matched on-chip and off-chip 50- loads, the total output current must be at least 120 mA. Therefore, each of the seven DAC cells must provide a total output current of at least 17.2 mA. At the output of each 2-bit DAC cell shown in Fig. 5 are two direct binary phase-shift keying (BPSK) modulators, one for the MSB and one for the LSB. They are 8:1 scaled versions of each other, each driven by a retimed data path, which ensures synchronicity of all the data paths, thus minimizing glitches during data transitions. The tail current of the MSB output stage was set to 16 mA and that of the LSB stage to 2 mA, allowing each DAC cell to provide 18 mA to the output transmission line for a total of 126 mA. Once the data are retimed by the flip-flop, they must pass through two limiting differential inverters, which amplify the signal while simultaneously minimizing the clock feedthrough to the BPSK modulator. Since the input clock signal has 50–60-GHz bandwidth and may be provided to the chip in single-ended fashion, a lumped

884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 6. Schematic of the BPSK modulator. MOSFET gate widths and HBT emitter lengths are provided in the inset. All HBTs have 0.13- m emitter width and, unless otherwise stated, all MOSFETs have minimum gate length of 0.13 m.

preamplifier with very good common-mode rejection up to at least 50 GHz must be placed at the input of the DAC. Although the proposed DAC cell can be ported to various silicon and III–V technologies, the following sections will detail its implementation in a production 0.13- m SiGe BiCMOS technology whose characteristics will be discussed in Section V. More recent work on DAs [23] manufactured in a prototype 55-nm SiGe BiCMOS technology [24] indicates that the proposed DAC architecture is scalable in future technology nodes and to systems operating at over 100 GBd with aggregate data rates exceeding 1 Tb/s per carrier [25]. It is important to note that most of the bias current in this architecture is consumed on the retiming data path and less than 13% of the current per DAC cell contributes to the output swing. Moving to a more advanced technology node such as the 55-nm SiGe BiCMOS technology [24] would allow the retiming data path to operate at a larger fan-out for the same data rate, thus reducing the power consumption. The output power of the DAC output stage remains the same for the same output swing, but at a given data rate, the proportion of power consumption due to the digital lanes would be considerably reduced. A. BPSK Output Stages The BPSK modulator, Fig. 6, is implemented using a doublebalanced Gilbert cell, which maintains a constant dc level at each output of the cell and constant output impedance regardless of the digital word. Gilbert-cell topologies have been traditionally used in lumped and distributed variable gain amplifiers and equalizers [26]. The clock/carrier, which is the highest frequency signal in the DAC, is applied at the gates of the MOS transconductors, while the data bits are applied at to the HBT Gilbert-cell quad. The fundamental frequency of the data path signals is at most half of the carrier/clock frequency, therefore it is advantageous for the carrier/clock signal to drive the smaller load offered by one MOS gate versus two HBT bases. In order to achieve the highest possible bandwidth, the transistor sizes are chosen such that the drain/collector current density reaches the peak- current density ( ) for MOSFETs,

Fig. 7. Inverter and EF composite stage used to drive the BPSK modulators. All HBTs have 0.13- m emitter width and, unless otherwise stated, all MOSFETs have minimum gate length of 0.13 m.

and 1.5 for HBTs, respectively, when all the tail current is switched to one side [27]. For example, when is high, the entire tail current flows through , biasing it at 0.33 mA m, close to its [27]. If Data is also high, the tail current will then flow through and biasing them at 20 mA m (or 2 mA m of emitter length), which corresponds to 1.5 times the measured of the HBT [29]. An improvement over the implementation in [18] is the addition of common base transistors and , which allow for larger output swing while also providing higher output impedance and higher spurious-free dynamic range (SFDR). All HBTs are low-breakdown voltage ( V) HS devices. The use of MV HBTs for and was also investigated in order to further increase the output swing as in [30]. However, it was found that while they allowed for a higher output swing, the DAC bandwidth decreased by more than 33%. This is due to their lower of only 150 GHz and their approximately three times smaller peak- current density [29]. The latter leads to increased device size, higher output capacitance, and, therefore, lower bandwidth. In order to ensure a precise process- and strain-independent 1:8 ratio between the tail currents of the MSB and LSB modulators, 8 – units were connected in parallel in the MSB cell and their gate length was set to 0.18 m, larger than the 0.13- m minimum, in order to increase their output impedance. While a larger gate length for and would improve matching, this would require larger MOSFET devices, which would increase its output capacitance. The 500-fF capacitors are used for bias decoupling and formed with polysilicon capacitors because the preferred metal–insulator–metal (MIM) capacitors could not satisfy layer density rules in a tightly packed area of the layout. B. Clock Amplifier In order to reduce timing mismatches, both BPSK modulators are driven by the same clock signal provided by the cascaded differential inverter/emitter–follower (INV/EF) stages shown in Fig. 7. A minimum signal amplitude of 500 mV per side is needed to fully switch the MOSFETs in the BPSK modulator. The emitter–follower (EF) stage is important for providing the proper dc level to the BPSK modulator. However, since its voltage gain is only 0.6 V/V at 60 GHz, the preceding inverter

BALTEANU et al.: 6-bit SEGMENTED DAC ARCHITECTURE WITH UP TO 56-GHz SAMPLING CLOCK AND 6-V

Fig. 8. MOS-HBT cascode stage used at the input of each DAC stage. All HBTs have 0.13- m emitter width and, unless otherwise stated, all MOSFETs have minimum gate length of 0.13 m.

DIFFERENTIAL SWING

885

Fig. 9. Schematic of the 50- buffer used at the input of the data path. Only one side of the buffer is driven externally while the other is left floating.

is designed to have over 900-mV output logic swing to ensure a minimum of 500-mV swing at the gates of and . Resistors were used instead of current sources in the EF stage in order to reduce the parasitic capacitance seen at the output node and prevent oscillation. The 20-pH inductor represents parasitic layout interconnects and must be accurately modeled. As mentioned previously, the HBTs were biased at when in equilibrium, and sized accordingly. All stages employ shunt-peaking inductors for bandwidth extension. The MOS-HBT cascode buffer illustrated in Fig. 8 was placed at the input of each DAC cell. When compared to an HBT cascode with the same tail current, it offers a higher input impedance with a smaller input capacitance, which results in higher 3-dB frequency and lower loss for the input transmission line. Additionally, the MOS-HBT differential cascode offers better stability than an HBT-only cascode [27]. Double EFs were placed after the BiCMOS cascode to further increase the bandwidth as well as provide the proper dc level shifting for the subsequent stage. C. Data Path The inputs of the MSB and LSB data paths consist of two cascaded differential amplifiers, which provide single-ended to differential conversion and input matching. The schematic is shown in Fig. 9. In order to provide broadband 50matching, 50- resistors in series with 70-pH inductors were placed at the input. The logic swing was set to 300 mV per side. In order to reduce the number of pads, only one side of the buffer is driven externally while the other is left floating. Each data lane has several cascaded buffers at its input that provide sufficient common-mode rejection, even at 56 Gb/s, such that a balanced differential signal reaches the retiming flip-flop in each lane. The differential inverters are followed by the retiming flipflop, which uses the BiCMOS topology shown in Fig. 10. The LSB flipflop employs two 2-mA latches while the MSB one uses a scaled 6-mA latch followed by an 8-mA latch. The size scaling was needed to limit the fanout and maximize bandwidth of the MSB path, given the large load provided by the 16-mA BSPK modulator.

Fig. 10. Retiming latch schematic with the associated device sizes. All HBTs have of 0.13- m emitter width.

Two buffers were inserted after the flip-flops to eliminate clock feedthrough, as in [6], and to amplify the signal to 480 mV per side at the data input of the BPKS modulator. A common mode resistor to is used to reduce the output common mode level to 2 V and avoid breakdown of the MOS transistors in the modulator. The delay between the two LSB and MSB data paths in each cell was carefully monitored in simulations during the design phase to ensure that two synchronized data signals arrive at the output of the BSPK cells. The synchronization of the two data paths can be further improved with the addition of programmable delay elements.

886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 11. Schematic of the first two sages of the lumped input amplifier. All HBTs have 0.13- m emitter width and all MOSFETs have minimum gate length of 0.13 m.

D. Lumped Input Amplifier The distributed section of the DAC is preceded by a three-stage lumped amplifier whose role is to amplify the off-chip clock/carrier signal, provide input impedance matching, and single-ended to differential conversion. The schematic of the first two stages is reproduced in Fig. 11. The first stage employs an HBT cascode and double EFs for bandwidth extension [28], while the second stage uses a MOS-HBT cascode topology and double EFs. A common-mode resistor is introduced in series with the tail current source in order to improve its output resistance and increase the common mode rejection ration (CMRR) at high frequency. The output capacitance of the current tail shunts its output resistance, thus reducing the CMRR as the frequency increases, and can cause oscillations in common mode. The series resistor helps suppress the oscillation and improves the CMRR at millimeter-wave frequencies. However, the resistor introduces an additional dc drop, as well as its own capacitance, thus limiting the size of resistance value that can be used. The third amplifier stage in the chain is reproduced, as shown in Fig. 12. Once again, a composite INV-EF topology is employed. Normally, the output signal would be taken directly at the emitter of the HBT. However, in order to present a 50impedance to the DA, a series resistor was introduced in series with the output. The first two stages of the lumped amplifier are provide sufficient CMRR, thus a resistor in series with the tail current source is no longer needed in the third stage, which helps save voltage headroom.

Fig. 12. Schematics of the INV/EF pair that drives the DA. All HBTs have 0.13- m emitter width and, unless otherwise stated, all MOSFETs have minimum gate length of 0.13 m.

Fig. 13. Micrograph of the 3.1 SiGe BiCMOS technology.

1.8 mm DAC chip fabricated in a 0.13- m

V. TECHNOLOGY AND FABRICATION The circuit was manufactured in a production 130-nm SiGe BiCMOS process with SiGe HBT of 240/270 GHz, six metal layers, MIM capacitors, and polysilicon resistors. The top two copper layers are 3 m thick with 7-m sheet resistance [29]. A detailed diagram of the back-end cross section can be seen in [31]. The microphotograph of the 3.1 1.8 mm die is shown in Fig. 13. A more detailed micrograph of one of the DAC stages is shown in Fig. 14. When operating at peak output power, the DAC consumes 1.58 A from 2.5 V, 152 mA from 3.3 V, and 126 mA from 5.7-V supplies for a total of 5.2 W. Several considerations were taken into account when designing the transmission line used in the distributed section of

Fig. 14. Micrograph of an individual DAC stage.

the DAC. The 4- m minimum width of the transmission line was imposed by the electron migration rules. Similarly, the minimum length of the transmission-line section used between cells was dictated by the physical length of the layout of the DAC cell. In order to decrease the transmission-line segment length and its associated inductance, the first two inverters in the data paths were place on the outside of the input and output transmission lines, as illustrated in Fig. 15. The corresponding minimum transmission-line segment length is 660 m. Placing

BALTEANU et al.: 6-bit SEGMENTED DAC ARCHITECTURE WITH UP TO 56-GHz SAMPLING CLOCK AND 6-V

DIFFERENTIAL SWING

887

Fig. 17. Simulated attenuation constant of a 5- m-wide transmission line formed of Metal 6 over a Metal 3 ground plane.

Fig. 15. Possible implementations of inter-stage transmission line layout.

Fig. 16. Depiction of two adjacent transmission lines.

the entire data path on the outside of the transmission lines, and the BPSK modulators on the inside, would have resulted in a smaller minimum segment length of 350 m. However, this would increase the physical distance between the retiming flip-flops and their respective clock amplifiers, which would require an increase in the tail current and power consumption of the clock amplifier stages to compensate for the longer interconnect and its associated loss. The 350- m segment would indicate less coupling between the adjacent input and output transmission lines due to the shorter distance they travel together. However, in order to distribute the clock signal to the flip-flops, the shorter line would have to cross the clock signal one additional time versus the longer line, as well as cross the retimed data, which would result in additional coupling, making the 660- m line preferable. The inter-stage transmission lines were implemented using the top 6th metal (M6) over a ground plane formed using the third metal layer (M3), which is 7.5 m below. Power supply, biasing currents, and control lines were passed between grounded M1 and M3 shields, using the second metal layer. A cross section is shown in Fig. 16. The center-to-center distance between the adjacent input and output transmission lines is 40 m and includes a 5- m-wide section in which metals 3–6 are shunted to ground to improve isolation. The 660- m-long 5- m-wide transmission-line segment used between stages has a simulated loss of 0.35 dB at 70 GHz and a characteristic impedance of 69.3 . The simulated attenuation constant ( ) in Fig. 17 is in perfect agreement with measurements reported in [32] for this technology. The simulated isolation between physically adjacent transmission lines is shown in Fig. 18 and is better than 10 dB up to 60 GHz. The isolation puts an upper limit on the gain each cell in order to avoid oscillations. While the transmission lines are the main source of coupling between the input and output, layout parasitics provide additional feedback paths. As a rule of thumb, the isolation should be at least 10 dB higher than the

Fig. 18. Isolation between physically adjacent transmission lines.

Fig. 19. quency.

measurements for all 2

states as a function of DAC output fre-

gain from the input to the output to avoid oscillations. However, measurements of the DAC show that these isolations simulations are much too pessimistic, as is discussed in Section VI. VI. EXPERIMENTAL RESULTS All measurements were conducted on wafer in a 50- environment. The setup, consisting of an Agilent N5227 PNA, 1-mm cables, and dc-110 GHz probes, was calibrated down to the probe tips using line–reflect–reflect–match (LRRM) calibration on an impedance standard substrate (ISS). S-parameter measurements, performed over all 2 states, show a peak gain of 31.8 dB and a unity gain bandwidth larger than 60 GHz, as illustrated in Fig. 19. Port 1 is defined as the clock input, while port 2 is the DAC output.

888

Fig. 20. Measured small-signal clock input return loss ( digital words.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

) for all 2

1

Fig. 22. Measured saturated output power of the DAC for all 2 function of DAC output frequency.

states as a

Fig. 23. Measured static dynamic range using both small- and large-signal measurements. Fig. 21. Measured small-signal DAC output return loss ( digital words.

) over all 2

1

The input ( ) and output ( ) return loss are plotted in Figs. 20 and 21, respectively. Both are better than 10 dB up to 50 GHz. The lumped input amplifier provides sufficient isolation to make the input matching insensitive to the digital word settings. Similarly, only a small variation in output matching ( ) is observed over bit settings, confirming that the output impedance of each stage does not change with the digital word. The measured DAC is better than 44 dB up to 60 GHz. It appears that the simulated coupling between the transmission lines shown in Fig. 18 is too pessimistic. Since the DAC is meant to operate in saturated mode, a better measure of its performance is the output power ( ). Saturated output power measurements performed over all 2 states, show in Fig. 22 that the DAC can produce 14.5 dBm per side (17.5-dBm differential) up to 40 GHz. This corresponds to 3.36 V swing per side, for a 50- load. The dynamic range was calculated from both small- and large-signal measurements and plotted in Fig. 23. The theoretical large-signal dynamic range, in this case defined as the largest carrier power that could be transmitted to the leakage power of the clock/carrier signal when the digital word is set to 000000, is dB. The measurements deviate from this theoretical value due to several factors such as coupling between the input and output transmission line, mismatches in the tail currents of the BPSK stages and frequency-dependent loss in the output transmission line. At high

Fig. 24. Measured static DNL at various clock frequencies.

frequencies, the small-signal dynamic range becomes inaccurate due to the limited PNA sensitivity coupled with the low values of of the clock path and decreased matching. The segmentation of the LSB and MSB allows for 2 possible states to choose from to provide the best possible 2 digital words. The best combination of segments for each digital word was used to “calibrate” the DAC to obtain the best performance for each carrier/clock frequency. The measured DNL and INL at different carrier frequencies are shown in Figs. 24 and 25, respectively. Large-signal measurements of the DAC were performed using an Anritsu MP1800A signal quality analyzer with an MP18121A 56-Gb/s MUX to create two high-speed PRBS7 data bits. Another two PRBS7 signals were obtained using a Centellax OTB1P1A board. Two MSBs and two LSBs were

BALTEANU et al.: 6-bit SEGMENTED DAC ARCHITECTURE WITH UP TO 56-GHz SAMPLING CLOCK AND 6-V

Fig. 25. Measured static INL at various clock frequencies.

DIFFERENTIAL SWING

889

Fig. 28. Measured 56-GHz carrier (bottom) modulated over six amplitude levels, sufficient for 64-QAM radio. Cable and probe losses were not de-embedded.

Fig. 26. Single-ended 44-Gb/s output eye diagram (bottom) with 2 MSBs switching at 44 Gb/s. Cable and probe losses were not de-embedded.

Fig. 29. Measured spectra of a 45-GHz carrier with all seven MSBs switching at 1-Gb/s cable and probe losses were not de-embedded. The resolution bandwidth is 16 kHz.

TABLE I PERFORMANCE COMPARISON OF THE LARGE-SWING DAC

Fig. 27. Measured 45-GHz carrier (bottom) modulated over nine amplitude levels, sufficient for 128-QAM radio. Cable and probe losses were not de-embedded.

provided to the chip through HS probes at data rates up to 50 Gb/s, whereas the other five MSBs and five LSBs were controlled by lower speed ( 2.5 Gb s) data streams. Since the two bit error rate testers (BERTs) and digital signal analyzer (DSA) could not be synchronized with the same low-noise millimeter-wave signal source, their drift causes additional jitter in the observed eye diagrams. The output eye diagram is shown Fig. 26 when two MSBs are independently switching at 44 Gb/s The input signal to one of the MSBs is shown at the top, while the 2-bit 44-Gb/s output signal is shown at the bottom. The signal attenuation due to probe and cable losses is not de-embedded from the output. In Figs. 27 and 28, all seven MSBs and some LSBs were programmed to switch at 100 Mb/s to synthesize 45- and 56-GHz

carriers modulated in amplitude showing more than 3 bits of effective resolution. In Fig. 29, the spectrum of a 45-GHz carrier with seven MSBs switching at 1 GHz is shown. VII. CONCLUSIONS A novel large-swing distributed DAC-driver architecture was introduced suitable for next-generation software-defined fiber-

890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

optic systems with high-order quadrature amplitude modulation (QAM) and OFDM format. A proof-of-concept 6-bit implementation in a commercial 130-nm SiGe BiCMOS process has demonstrated 17.5-dBm output power (over 6-V differential voltage swing in 50 ) from dc to 40 GHz and over 13-dBm output power at 50 GHz. Operation at 44 GBd (limited by test equipment) and modulation of a 56-GHz carrier over six amplitude levels suggest that the DAC is suitable for 56-GBd systems with 64-QAM modulation. A comparison of the proposed large-swing DAC with other recently published work is summarized in Table I. ACKNOWLEDGMENT Equipment loans were generously provided by Prof. J. Poon, Anritsu Corporation, and Agilent Technologies. The EMX simulation software was provided by Integrand Software Inc. The authors would also like to thank J. Pristupa and CMC for CAD support. REFERENCES [1] M. Karl and T. Herfet, “Transparent multi-hop protocol termination,” in IEEE 28th Int. Adv. Inf. Netw. Appl. Conf., 2014, pp. 253–259. [2] O. Rival, G. Villares, and A. Morea, “Impact of inter-channel nonlinearities on the planning of 25–100 Gb/s elastic optical networks,” J. Lightw. Technol., vol. 29, no. 9, pp. 1326–1334, Sep. 2011. [3] W. Wei, C. Wang, and J. Yu, “Cognitive optical networks: Key drivers, enabling techniques, and adaptive bandwidth services,” IEEE Commun. Mag., vol. 50, no. 1, pp. 106–113, Jan. 2012. [4] B. T. Teipen, H. Griesser, and M. H. Eiselt, “Flexible bandwidth and bit-rate programmability in future optical networks,” in 14th Int. Transparent Opt. Netw. Conf., 2012, pp. 1–4. [5] Y. M. Greshishchev et al., “A 56 GS/S 6 b DAC in 65 nm CMOS with 256 6 b memory,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2011, pp. 194–196. [6] M. Nagatani, H. Nosaka, K. Sano, K. Murata, K. Kurishima, and M. Ida, “A 60-GS/s 6-bit DAC in 0.5- m InP HBT technology for optical communications systems,” in IEEE Compound Semicond. Integr. Circuits Symp., 2011, pp. 1–4. [7] J. Godin et al., “InP DHBT very high speed power-DACs for spectrally efficient optical transmission systems,” in IEEE Compound Semicond. Integr. Circuits Symp., 2011, pp. 1–4. InP IQ modulator [8] E. Rouvalis et al., “A low insertion loss and low for advanced modulation formats,” in Eur. Opt. Commun. Conf., 2014, pp. 1–3. [9] M. R. Watts, W. A. Zortman, D. C. Trotter, R. W. Young, and A. L. Lentine, “Low-voltage, compact, depletion-mode, silicon Mach–Zehnder modulator,” IEEE J. Sel. Top. Quantum Electron., vol. 16, no. 1, pp. 159–164, Jan.–Feb. 2010. [10] X. Wu et al., “A 20 Gb/s NRZ/PAM-4 1 V transmitter in 40 nm CMOS driving a Si-photonic modulator in 0.13 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2013, pp. 128–129. [11] T. Kato, “InP modulators with linear accelerator like segmented electrode structure,” in Opt. Fiber Commun. Conf. & Exhib., 2014, pp. 1–3. [12] N. Dupuis et al., “30-Gb/s optical link combining heterogeneously integrated III–V/Si photonics with 32-nm CMOS Circuits,” J. Lightw. Technol., vol. 33, no. 3, pp. 657–662, Mar. 2015. [13] M. Traverso et al., “25 GBaud PAM-4 error free transmission over both single mode fiber and multimode fiber in a QSFP form factor based on silicon photonics,” in Opt. Fiber Commun. Conf. Tech. Dig., 2015, Art. ID Th5B.3. [14] D. Mahgerefteh and C. Thompson, “Techno-economic comparison of silicon photonics and multimode VCSELs,” in OSA Opt. Fiber Commun. Conf. Tech. Dig., 2015, Art. ID Th5B.3. [15] J. Dupuy et al., “A 6.2-Vpp 100-Gb/s selector-driver based on a differential distributed amplifier in 0.7- m InP DHBT technology,” in EEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3.

[16] J.-Y. J. Godin et al., “InP DHBT Mux-Drivers for very high symbol rate optical communications,” in IEEE Compound Semicond. Integr. Circuit Symp., 2014, pp. 128–132. [17] H. Huang, J. Heilmeyer, M. Grozing, and M. Berroth, “An 8-bit 100GS/s distributed DAC in 28-nm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., 2014, pp. 65–68. [18] A. Balteanu, P. Schvan, and S. P. Voinigescu, “A 6-bit segmented RZ DAC architecture with up to 50-GHz sampling clock and 4 V differential swing,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3. [19] C. Laperle, N. Ben-Hamida, and M. O’Sullivan, “Advances in highspeed DACs, ADCs, and DSP for software defined optical modems,” in IEEE Compound Semicond. Integr. Circuit Symp., 2013, pp. 1–4. [20] Y. Li, G. W. Ling, and Y.-Z. Xiong, “A up to 100 GHz broadband mixer with cascaded distributed amplifier,” in IEEE Compound Semicond. Integr. Circuits Symp., 2014, pp. 33–37. [21] M. Nagatani, H. Nosaka, S. Yamanaka, K. Sano, and K. Murata, “Ultrahigh-speed low-power DACs using InP HBTs for beyond-100-Gb/s/ch optical transmission systems,” IEEE J. Solid-State Circuits, vol. 46, no. 10, pp. 2215–2225, Oct. 2011. [22] T. Y. K. Wong, A. P. Freundorfer, B. C. Beggs, and J. E. Sitch, “A 10 Gb/s AlGaAs/GaAs HBT high power fully differential limiting distributed amplifier for III–V Mach-Zehnder modulator,” IEEE J. SolidState Circuits, vol. 31, no. 10, pp. 1388–1393, Oct. 1996. [23] P. Hoffman, P. Schvan, A. Chevalier, A. Cathelin, and S. P. Voinigescu, “A low-noise, DC-135 GHz distributed amplifier for receiver applications,” in IEEE Compound Semicond. Integr. Circuit Symp., 2015, pp. 1–4. [24] P. Chevalier et al., “A 55 nm triple gate oxide 9 metal layers SiGe BiCMOS technology featuring 320 GHz fT/370 GHz fMAX HBT and high-Q millimeter-wave passives,” in IEEE Int. Electron Devices Meeting, 2014, pp. 77–79. [25] R. Rios-Müller et al., “1-Terabit/s net data-rate transceiver based on single-carrier nyquist-shaped 124 GBaud PDM-32QAM,” in Opt. Fiber Commun. Conf. Tech. Dig., 2015, Art. ID Th5B.1. [26] H. Wu et al., “Integrated transversal equalizers in high-speed fiber-optic systems,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2131–2137, Dec. 2003. [27] T. O. Dickson and S. P. Voinigescu, “Low-power circuits for a 2.5-V, 10.7-to-86-Gb/s serial transmitter in 130-nm SiGe BiCMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2077–2085, Oct. 2007. [28] S. Trotta et al., “An 84 GHz bandwidth and 20 dB gain broadband amplifier in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2099–2106, Oct. 2007. [29] G. Avenier et al., “0.13 m SiGe BiCMOS technology fully dedicated to mm-wave applications,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2312–2321, Sep. 2009. [30] R. A. Aroca and S. P. Voinigescu, “A large swing, 40-Gb/s SiGe BiCMOS driver with adjustable pre-emphasis for data transmission over 75 coaxial cable,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2177–2186, Oct. 2008. [31] E. Dacquay et al., “D -band total power radiometer performance optimization in an SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 813–826, Mar. 2012. [32] K. H. K. Yau, E. Dacquay, I. Sarkas, and S. P. Voinigescu, “Device and IC characterization above 100 GHz,” IEEE Microw. Mag., vol. 13, no. 1, pp. 30–54, Jan.–Feb. 2012. [33] A. Konczykowska et al., “42 GBd 3-bit power-DAC for optical communications with advanced modulation formats in InP DHBT,” Electron. Lett., vol. 47, no. 6, pp. 389–390, 2011. Andreea Balteanu (GSM’10–M’14) received the B.A.Sc. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 2007, the M.A.Sc degree from the University of Toronto, Toronto, ON, Canada, in 2010, and is currently working toward the Ph.D. degree at the University of Toronto. She has previously held internship positions with the IBM T. J. Watson Research Center, Altera Corporation, and Texas Instruments Incorporated. Her research interests include the design of high-speed and millimeter-wave integrated circuits. Ms. Balteanu was the recipient of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award.

BALTEANU et al.: 6-bit SEGMENTED DAC ARCHITECTURE WITH UP TO 56-GHz SAMPLING CLOCK AND 6-V

Peter Schvan (M’89) received the Ph.D. degree in electronics from Carleton University, Ottawa, ON, Canada, in 1985. After joining Nortel Networks, he was involved with the development of CMOS and BiCMOS technologies. Following that, he was involved in the design of high-speed circuits for fiber-optic and wireless communication using SiGe BiCMOS, InP, and CMOS technologies. He is currently the Director of analog design with the Ciena Corporation, Ottawa, ON, Canada, where he is responsible for the development of high-speed amplifiers and A/D and D/A converters. He has authored or coauthored several publications.

DIFFERENTIAL SWING

891

Sorin P. Voinigescu (S’91–M’95–SM’02) received the Ph.D. degree in electrical and computer engineering from the University of Toronto, Toronto, ON, Canada, in 1994. From 1994 to 2002, he was initially with Nortel Networks and then with Quake Technologies, Ottawa, ON, Canada, where he was responsible for projects concerned with high-frequency characterization and statistical scalable compact model development for Si, SiGe, and III–V devices. He also conducted research on wireless and optical fiber building blocks and transceivers in these technologies. In 2002, he joined the University of Toronto, where he is currently a a Full Professor. His research and teaching interests focus on atomic-scale semiconductor devices and their application in integrated circuits at frequencies beyond 300 GHz. In 2008, and 2015 he spent sabbatical leaves with Fujitsu Laboratories of America, Sunnyvale, CA, USA, and with Device Research Laboratories, NTT, Atsugi, Japan, respectively, where he conducted research on technologies and circuits for 100 Gb/s millimeter-wave radio and 1-Tb/s fiber-optic systems. Dr. Voinigescu is a Member of the ITRS RF/AMS Committee and of the Technical Program Committee (TPC), IEEE BCTM. From 2003 to 2013, he served on the TPC and ExCOM of the IEEE CSICS. He was the recipient of the Nortel President Award for Innovation in 1996. In 2013, he was recognized with the ITAC Lifetime Career Award for his contributions to the Canadian Semiconductor Industry

892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

A 4–32-GHz Chipset for a Highly Integrated Heterodyne Two-Port Vector Network Analyzer Johannes Nehring, Student Member, IEEE, Marco Dietz, Student Member, IEEE, Klaus Aufinger, Member, IEEE, Georg Fischer, Senior Member, IEEE, Robert Weigel, Fellow, IEEE, and Dietmar Kissinger, Senior Member, IEEE

Abstract—This work presents a chipset for a highly integrated heterodyne vector network analyzer (VNA) with two ports and a multi-octave bandwidth from 4 to 32 GHz. The chipset is comprised of a stimulus integrated circuit (IC) with two selectable single-ended output channels and a four-channel receiver IC. Both chips contain a frequency synthesizer with an average output power of 2.5 dBm and a single-sideband phase noise between 96.3 and 115.3 dBc/Hz at 1-MHz offset. The synthesizer consists of an array of three voltage-controlled oscillators with tuning ranges between 24.8% and 28.2% and a bandwidth extension stage. Wideband design techniques based on cascaded emitter followers are applied to a variable gain amplifier with a peak gain of 15.1 dB and to a wideband source switch with a minimum isolation of 23.6 dB. Single-ended receiver components such as a wideband low-noise amplifier with an average gain of 10 dB and a micromixer are used to compose the four-channel receiver with an average conversion gain of 14.4 dB. A hybrid microwave integrated circuit was fabricated in order to prove the functionality of a VNA utilizing the proposed chipset and wideband directional couplers. The measured scattering parameters of an arbitrary device-under-test showed an average deviation of 0.18 dB in magnitude and 1.8 in phase from the measurements obtained with a commercial VNA. Index Terms—Heterodyne, integrated circuit (IC), multi-octave bandwidth, vector network analyzer (VNA).

I. INTRODUCTION

V

ECTOR network analysis is one of the most important and powerful measurement techniques in the discipline of microwave engineering. It facilitates the analysis of various network types such as active circuitry, passive components, or sensors. Due to their versatility, vector network analyzers (VNAs) are not only used as measurement devices in the area of research and development, but also as test instruments in Manuscript received July 03, 2015; revised December 03, 2015; accepted January 10, 2016. Date of publication February 11, 2016; date of current version March 03, 2016. This work was supported by the German Federal Ministry of Education and Research (BMBF) through the research project SeLe under Contract 16SV6257. J. Nehring, M. Dietz, G. Fischer, and R. Weigel are with the Institute for Electronics Engineering, University of Erlangen–Nuremberg, 91058 Erlangen, Germany (e-mail: [email protected]; [email protected]; georg.fi[email protected]; [email protected]). K. Aufinger is with Infineon Technologies AG, 85579 Neubiberg, Germany (e-mail: klaus.aufinger@infineon.com). D. Kissinger is with Circuit Design Department, IHP, 15236 Frankfurt (Oder), Germany, and also with the Department of High-Frequency and Semiconductor System Technologies, Technische Universität (TU) Berlin, 10587 Berlin, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2520483

production sites, as well as for sensor read-out applications. Despite their large range of functions commercially available VNAs are typically utilized for the measurement of S-parameters, which correlate incident, reflected, and transmitted wave portions in both magnitude and phase. Modern VNAs are powerful instruments, which additionally allow frequency converting measurements, noise-figure measurements, and power sweeps [1]. Due to the high requirements for accuracy, repeatability and dynamic-range VNAs are built from high-performance discrete components, which result in high cost, large physical dimensions, and weight of such instruments. Consequently, most devices are not suitable for portable and mass-market applications in industrial or medical environments. However, numerous sensor types have been presented recently, which rely on the evaluation of a sensor’s scattering parameters [2]–[5]. Due to the necessity of a dedicated VNA these applications are not yet ready for a market introduction. For this reason a chipset for an integrated VNA is presented, which is well suited for sensor read-out applications. The high bandwidth makes the circuits universally applicable and paves the way for various self-sustained sensing applications. Up to now, a limited number of publications was addressing the topic of miniaturized network analysis and only a few of them followed an integrated approach. The coherent six-port architecture was utilized to implement vector reflectometers (one-port VNA) for millimeter waves. Integrated realizations with relative [6] and discrete realizations with absolute reflection coefficient measurements [7] were presented. Furthermore, coherent phase-shifter-based sampled standing-wave reflectometers were shown [8]–[10]. A direct-conversion concept with separate phase and magnitude detection was proposed in [11]. Quasi-optical two-port VNAs with antennas acting as measurement ports were used for the characterization of frequency-selective surfaces [12]. The technique of nonlinear transmission lines was exploited in order to realize network analyzer testsets with multi-octave bandwidth using external signal generators [13], [14]. The first integrated heterodyne one-port VNAs for the determination of scattering parameters were shown by the authors in [15] and [16]. This paper presents to the best of the authors’ knowledge the first chipset for a heterodyne full two-port VNA with a multi-octave bandwidth from 4 to 32 GHz, which can be utilized for scattering parameter measurements of arbitrary devices-under-test (DUTs). Due to the high integration factor it replaces cost and area-intensive measurement instruments and, consequently, is very well suited for portable mass-market applications in medical or industrial scenarios.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

893

The heterodyne architecture was chosen even though the system complexity is increased as two separate signal sources are required. Due to component re-use possibilities the extra design effort remains low. Therefore, the quadrature signal generation can be omitted so that the VNA bandwidth is from now on only limited by the signal source and the testset bandwidth. In addition, the requirements for the system’s spectral purity are relaxed as different stimulus harmonics do not interfere with the fundamental frequency. The heterodyne topology also provides the highest dynamic range of the investigated architectures. B. Testset Topologies

Fig. 1. Block diagram of a two-port heterodyne VNA utilizing the proposed chipset. The dashed lines indicate the boundaries of the ICs.

II. WIDEBAND INTEGRATED VNA ARCHITECTURE In principle, a VNA consists of a signal source, a directive testset for wave separation, and a multi-channel receiver. The signal source stimulates the DUT at a certain frequency or over a bandwidth. The testset is composed of directional couplers or measurement bridges and separates the incident wave from the wave that is reflected from the DUT and can be used for the derivation of reference channels. A multi-channel receiver is used to evaluate the DUT’s complex frequency response and to convert it down to low frequencies for further processing (e.g., calibration). The presented chipset was designed for a heterodyne two-port VNA with single-ended measurement ports and the corresponding four-channel receiver, as shown in Fig. 1. However, different topologies were investigated in advance. A. Receiver Topologies Different receiver architectures were investigated regarding their suitability for integrated wideband VNAs. The homodyne topology has the benefit that a single integrated signal source can fulfill the tasks of a stimulus and of a local oscillator (LO) in the receiver simultaneously, but requires quadrature signals. Broadband quadrature signal generation techniques, which were investigated in [15], are not applicable for multi-octave bandwidth. Frequency dividers can be utilized as broadband quadrature signal generators. However, this results in the need of the double input frequency and increases system complexity [16]. In addition, the presence of harmonic frequencies leads to a superposition of the fundamental frequency and its multiples. This falsifies the DUT’s measured scattering parameters. A VNA implementation using six-port technology is significantly based on a large number of passive components. Those large physical dimensions are even increased with the need for wideband directional couplers, which are chip-area extensive. Despite the low complexity, the six-port technology is not an option for an integrated VNA.

Two-port VNAs can be realized with both three- and four-channel receivers. A three-channel receiver itself is less complex and it requires only three directional couplers in the testset as the reference channel derivation is common for both ports. However, it shows severe drawbacks in terms of calibration as source switch imperfections cannot be corrected. For this reason, the four-channel architecture is advantageous as the switch properties are included in most common calibration models. In principle, a realization with four channels and two couplers is possible, but it results in significantly increased calibration error parameters and in a less stable calibration. C. VNA Port Interface The VNA chipset should be designed with single-ended measurement ports even though the integrated circuits (ICs) were realized differentially due to the well-known advantages. Therefore, a solution for the single-ended to differential conversion of the measurement ports had to be found. However, there are no appropriate topologies for baluns that cover the required bandwidth. Passive approaches are too area intensive [17] and active methods introduce imbalances and additional noise [18]. Consequently, a dedicated differential to single-ended converting output stage with switch capabilities for two-port excitation, as well as a single-ended to differential converting receiver frontend architecture, was chosen. The corresponding circuits will be described in Section III. III. CIRCUIT DESIGN A. Wideband Frequency Synthesizer A signal source is a central component of the VNA chipset. An appropriate synthesizer architecture is required in order to cover the desired multi-octave bandwidth. Due to its inherently high bandwidth the concept of the direct digital synthesis (DDS) has to be considered [19]. This approach requires a good rampto-sine converter in order to provide high spectral purity. However, this element is difficult to realize with both a high performance and a high bandwidth. Furthermore, an additional highfrequency clock is required, which determines the upper frequency limit of the system. Thus, the concept of the DDS is especially suitable for the lower microwave region down to frequencies of a few kHz or MHz. Another approach for the octave-wide frequency synthesis is based on a single voltage-controlled oscillator (VCO) core and the single-sideband mixing of its fundamental frequency with its fourth subharmonics [20].

894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 2. Block diagram of the proposed frequency synthesizer covering a bandwidth of three octaves.

Due to the imperfection of the required components, the second sideband image cannot be suppressed completely and consequently leads to an in-band spurious frequency. Additionally, the active and passive components are area exhaustive especially if this concept is applied to the microwave region. In contrast to the described synthesizers, in this paper a VCO array followed by a bandwidth extension stage is utilized. This concept was introduced by the authors and preliminary results were shown in [21]. The block diagram is shown in Fig. 2. The three VCOs of which only one is running at a time provide sequential tuning ranges from 16 to 32 GHz. The VCO outputs are combined by a multiplexing amplifier. The octavewide bandwidth of the VCO array allows a seamless increase of bandwidth by the following extension stage, which consists of three paths. One path feeds the fundamental VCO frequencies through a buffer for increased isolation and an optional filter for a highly linear output. The other two paths incorporate prescalers with the factors of four and two, respectively. In this way the synthesizer bandwidth is extended by two more octaves. Both paths include third-order Chebyshev low-pass filters, which attenuate the harmonic frequencies caused by the prescalers. Of course, more octaves can be generated by adding further or programmable divider stages, however, the chip area consumed by the filters is significantly increased at lower frequencies. A second multiplexing stage combines the three paths providing a bandwidth of three octaves at a single output. A wideband variable gain amplifier (VGA) at the output is extremely useful for many VNA measurement tasks as it increases the dynamic range. 1) VCO Array: The VCOs were implemented in a fully differential cross-coupled architecture with sequential tuning ranges from 16 to 32 GHz. In the used technology the cross-coupled topology showed better results within the targeted frequency range than Colpitts oscillators, which are frequently used at mm-wave frequencies. Furthermore, a scalable VCO tank model could be developed, which is useful for the design of oscillator arrays. The average relative tuning range of the VCOs required to guarantee an octave-wide bandwidth of an array consisting of oscillators is given by (1)

Fig. 3. Schematic of the oscillator core VCO-1 and the following buffering amplifier.

where and are the array’s maximum and minimum frequencies, respectively [22]. The desired octave-wide bandwidth requires so that a number of leads to relative tuning ranges ( ) of 34%, 23%, and 17%. Even though single VCOs with tuning ranges of more than 30% have been successfully demonstrated (e.g., [17] and [25]) it is not advisable to realize an array with as process deviations, as well as other parasitics, which could easily cause a gap in the array’s output spectrum. For this reason, three oscillators with slightly overlapping tuning ranges were designed. The schematic of VCO-1 and the following buffer is shown in Fig. 3. The oscillator core consists of an active part and a passive LC resonator. The active part is formed by the transistors T1, which are cross-coupled by the feedback capacitors C1 and, thus, provide an input impedance with a negative real part to the tank. The tank resonator consists of purely passive components and is inevitably lossy. It is the task of the active device to equalize energy losses within the tank and to guarantee a stable steady-state oscillation. One main design challenge results from the physical dimensions and the required number of the varactor diodes, which are applied to tune the oscillation frequency. Several varactor pairs have to be used in order to set the oscillation frequency within the upper microwave frequency range. Additionally, the length and width of the components extents to dimensions that are not negligible in comparison to the wavelength in silicon. Due to this, the interconnects between the varactors have to be taken into account by careful modeling. For this purpose, a scalable tank model including the varactors and its interconnects was developed and applied to the three designed VCOs. The resulting schematics of VCO-2 and VCO-3 can be seen in Fig. 4. While lengthier interconnects are realized as transmission lines, short and thin connections towards and between the varactors

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

Fig. 4. Schematics of the other two realized oscillator Cores. (a) VCO-2. (b) VCO-3.

are modeled as inductances. In addition to the inductive interconnects, tank transmission lines were used in order to set the oscillator center frequency to the required value. The highly distributed character of all passive tank components required extensive modeling of transmission lines as well as of vertical and horizontal connections by 2.5-D electromagnetic field simulations. This leads to a highly accurate design procedure for resonant tanks of oscillators with subsequent tuning ranges. The oscillator cores are isolated from the following components by a buffering cascode amplifier, which is also shown in Fig. 3. As the oscillator output node is located between the active part and the tank the phase-noise performance as well as the tunability are strongly affected by the loading circuit. For this reason the cascode was optimized regarding its input impedance. Due to a low bias current of 4.2 mA per side, small transistor sizes (emitter length: 6.1 m) could be utilized so that the tuning range was not reduced by large static capacitances. On the other hand, a sufficient output power was maintained. As a result of the high backward isolation of the cascode the oscillator cores are robust versus load-pulling mechanisms. The three differential oscillator outputs are combined to a single-output by a 3-to-1 multiplexing amplifier, which is shown in excerpts in Fig. 5. The circuit consists of a triplet of differential pairs as input stages with a shared collector load at the output. The channel selection is applied by current sources, which are directly coupled to the oscillator supply so that only one channel and one VCO are selected at a time. This leads to a reduced power consumption and additionally parasitic injection locking, as well as unwanted mixing products caused by a finite multiplexer isolation, are prevented. 2) Post-Synthesis Bandwidth Extension: The octave-wide bandwidth of the VCO array allows a seamless bandwidth extension by frequency division. Each division by multiple factors of two delivers another octave of bandwidth. Consequently,

895

Fig. 5. Excerpt of the schematic of the designed multiplexing amplifier showing two of three identical cells.

the realized post-synthesis bandwidth extension stage consists of three paths of which one can be selected to generate the desired frequency band. Along with the three VCOs, nine subsequent frequency ranges can be synthesized. The frequency dividers were realized with two latches in a feedback loop. The latches themselves were realized in current steering technology containing a differential and a regenerative pair, as shown in [16]. The low-pass filters were realized in a third-order Chebyshev topology containing two capacitors and one spiral inductor. Due to the differential circuit design the requirements for the filter steepness are relaxed as only odd harmonics have to be removed. The combining multiplexing amplifier was realized in a similar manner as shown in Fig. 5. Due to the presence of frequencies as low as 4 GHz, a broadband biasing scheme has to be applied from the multiplexer towards the synthesizer output. Instead of series capacitors, level shifters are utilized in order to set the desired bias points. As a result, special care has to be taken regarding the circuit stability. For this purpose the S-probe technique was applied [23]. 3) Wideband VGA: The synthesizer output stage was realized as a wideband VGA. Especially for the network analysis of sensitive active devices it is necessary to reduce the stimulus output power. The schematic of the amplifier is shown in Fig. 6 and can be divided in several functional blocks. The first stage is built by a highly linear differential pair, which acts as a broadband level shifter to provide an appropriate biasing to the following stage of common collectors. Due to the appearance of low frequencies, series capacitors would reach dimensions, which are hardly realizable on a chip. The cascade of two dual common collector stages fulfills an important task within the amplifier as it compensates the low-pass transfer functions of the level shifter and the following cascode amplifier. Due to the capacitive loading of both common collector circuits, a high-pass transfer function is generated [24]. By choosing

896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 7. Excerpt of the schematic of the designed SPDT source switch. Fig. 6. Schematic of the designed broadband VGA.

proper bias currents and transistor sizes a flat gain up to frequencies of 32 GHz and higher can be achieved. Stability investigations are especially important at this point as common collector circuits tend to instability, which can be caused by parasitic inductive interconnects. The variable gain capability is implemented within the common base of the following cascode. An additional parallel pair of transistors, which is isolated from the circuit’s output, reduces the amplifier gain by current steering. If a control voltage higher than the bias level is applied to the base nodes of the parallel pair the current through the load is reduced and, consequently, the gain is decreased. The biasing was chosen to provide good output matching at low frequencies. A separate matching network consisting of spiral inductors and differential capacitors provides very good output matching up to the operation frequency of 32 GHz. As poor source matching directly affects the VNA’s error parameters, this point is of central interest when designing network analyzer components. 4) Source Switch: All the previously shown circuits were realized in a fully differential topology. However, a single-ended interface to off-chip DUTs is advantageous as most measurement objects (e.g., biosensors) are implemented single endedly. For this reason the broadband variable gain output stage shown in Fig. 6 was modified in order to provide two separate singleended output channels, whereas each channel can either be selected for the stimulation of the DUT or turned off, respectively. In this way, the single-pole double-throw (SPDT) switch, which is required for a two-port VNA (see Fig. 1), can be realized efficiently. The switch topology is based on the previously shown VGA. Again, a capacitively loaded two-stage cascade of emitter followers guarantees a broadband behavior. In order to provide the differential to single-ended conversion, as well as a high switch isolation, the following cascode stage was modified, as shown in Fig. 7. The circuit basically consists of two identical interlaced cascode amplifiers. Each of these cascodes represents one of the two single-ended output channels (CH A, CH B) and

can be selected or turned off with current-steering techniques by applying the supply voltage or ground potential, respectively, to the corresponding nodes (Sel CH A, Sel CH B) in the current sources. During typical VNA operation one can assume that only one channel is selected at a time. Consequently, at any time only one cascode is supplied so that the power consumption is not increased compared to the single VGA with only one cascode. Furthermore, the differential input impedance provided to the emitter follower cascade is independent from the switch state, which is fundamental for the broadband transfer function of the switch. Both cascodes contain one path, which is terminated on-chip and one path, which is fed to the output via a matching network to provide a good source matching of the VNA ports. Furthermore, the gain of both channels can be controlled by applying appropriate voltages ( , ) to two additional parallel common base stages. B. Wideband Four-Channel Receiver In the same manner as with the synthesizer the interface to the measurement object was realized single endedly. In Sections III-B–III-B3, the receiver components will be described. 1) Low-Noise Amplifier: In order to increase the dynamic range of the VNA, a single-ended low-noise amplifier (LNA) with multi-octave bandwidth was designed. The amplifier schematic basically consists of a cascode followed by a common collector circuit and is illustrated in Fig. 8. Besides the obvious designs goals like high gain at a low noise figure over the full bandwidth, special care was taken to provide a good input matching over the full bandwidth, as this factor directly contributes to the VNA’s error parameters. Different measures were taken to eliminate the typical lowpass characteristic of the conventional cascode amplifier. The effect of the applied wideband design techniques is illustrated in Fig. 9. This graph shows a comparison of the full LNA compared to the results obtained when one of the applied measures is omitted. One can see clearly that the shunt–shunt feedback

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

897

Fig. 8. Schematic of the designed LNA.

Fig. 10. Schematic and most important component values of the designed downconversion mixer.

Fig. 9. Simulated effects of the broadband design techniques applied to the LNA.

network composed by and contributes to a flat broadband gain as it lowers the gain at low frequencies and shifts it towards high frequencies. The capacitor not only allows a biasing, which is independent from the feedback transfer function, but also improves the input return loss at low frequencies considerably. As the presented amplifier is realized by a cascaded architecture, its noise figure is mainly determined by the gain and noise performance of the first stage, which is the common emitter circuit of the cascode in this case. As the gain is attenuated at lower frequencies and shifted towards higher frequencies, the feedback network slightly increases the noise figure, especially at low frequencies. However, it provides an overall flat noise figure of the LNA. Additionally, both series and shunt peaking techniques were applied to contribute to a broadband gain of the amplifier. Therefore, the inductors and , as well as the transmission line were used whereas the dimensions of the latter were mainly determined by layout restrictions.

Both inductances were realized as spiral inductors and designed with the aid of 2.5-D electromagnetic field simulations. As the gain of the cascode depends on its output impedance, the shunt inductor is utilized in order to provide a high impedance also at higher frequencies. In this way the low-pass characteristic caused by the transistor capacitances can be compensated partially. Furthermore, the series inductor is used for interstage matching and to prevent a simple addition of the subcircuits’ input and output capacitances. Both peaking methods significantly increase the gain (Fig. 9) and thus also lower the noise figure. The input matching is also improved at lower frequencies. The second stage of the LNA is implemented by an emitter follower. Similar as with the previously shown VGA its high-pass transfer function is exploited to compensate the low-pass characteristic of the cascode. The subcircuit is necessary for the broadband functionality. Despite also improving the input return loss, it adds some noise to the overall circuit. However, this tradeoff can be accepted. For an overall good input matching the network consisting of the spiral inductor and the capacitor was applied. The emitter degeneration components and also contribute to a better input matching and higher linearity. 2) Downconversion Mixer: A downconversion mixer is required in order to convert the frequency response of the DUT to an IF. For this purpose the micromixer shown in Fig. 10 was implemented. The micromixer is based on a conventional Gilbert cell, but possesses a different RF input stage. Instead of the differential pair it is equipped with a class-A/B translinear input stage [25]. The main advantage in the context of this contribution is the single-ended RF input of this topology. Furthermore, it generally provides a higher linearity and lower gain than the Gilbert cell.

898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

The transistors – form a class-A/B input stage with a single-ended input and differential output. The four transistors provide identical emitter areas and configurations and are biased with a low current of 460 A in each path. In this way, the transistors are biased slightly above their cutoff point as long as no RF input signal is present. The transistor , which is biased by the transdiode , implements a common emitter stage, which is conductive for the positive half-cycle of the RF input signal and closes during the major part of a negative half-cycle. The common base stage formed by stays conductive for the negative half-cycle of the input signal and mainly closes during the positive half-cycle. Due to the inverted gain of the common emitter architecture the class-A/B stage provides a differential output signal to the switching quad formed by – . The transdiode is required in order to not exceed the collector–emitter breakdown voltage of . The input impedance can be adjusted by the resistors , , and , whereas all of the transistors show identical values in order to maintain the symmetry of both paths. The switching quad – , which is well known from the Gilbert cell, is loaded with a high resistance ( , ) followed by an emitter follower ( , ) for impedance transformation and, finally, a differential pair ( , ) in order to maximize the gain. 3) Four-Channel Receiver: The four-channel receiver required for VNA applications is composed of the described building blocks. The wideband frequency synthesizer acts as an LO and drives the four frequency down-conversion elements. An absolutely symmetrical LO distribution was designed and laid out so that an equal power split is guaranteed. Thus, almost identical properties of the four channels can be provided. The presented wideband VGA is utilized as an LO buffer. IV. MEASUREMENT RESULTS The fabricated chipset consists of a stimulus and a receiver IC and is shown in Fig. 11. The chips consume areas of 2870 m 1870 m and 3006 m 1870 m, respectively. However, the actual chip area demand of the stimulus is as low as 2500 m 1300 m, but due to chip-size restrictions a larger die was fabricated. Depending on the selected frequency band the stimulus IC draws a current of 209–218 mA from a 3.3-V supply (690–719 mW), the receiver draws 351–360 mA (1.16–1.19 W). In addition, a version of the receiver without the LNAs, but with the mixers only, was realized. A. Technology Overview The presented circuits were fabricated in the commercially available B7HF200 0.35- m SiGe bipolar technology by Infineon. This process provides high-speed heterojunction npn transistors (HBT) with cutoff frequencies of GHz and GHz at an optimum bias current density of 5 mA m . The transistors feature a minimum emitter width of 0.18 m and typical collector–emitter breakdown voltages of V for an open base and V for a shorted base. Furthermore, high-performance varactors and three types of resistors are available. Interconnects, as well as larger passive RF components, can be realized on four copper metal layers.

Fig. 11. Micrographs of the fabricated chipset: (a) stimulus MMIC and (b) four-channel receiver MMIC.

B. Synthesizer The designed synthesizer was implemented for two purposes. In its function as a LO for the four-channel receiver it is used with a differential output. In its function as stimulus for the DUT it is used with the presented differential to single-ended converting SPDT switch. The measured tuning characteristic of the VCO array included in both variants is illustrated in Fig. 12. The three frequency bands overlap slightly and the relative tuning ranges reach values of 26.6%, 24.8%, and 28.2% for tuning voltages from 2.5 to 8.5 V. The fact that the tuning sensitivity ( ) reaches its maximum values at the lower ends of the tuning ranges is caused by the tuning characteristic of the used varactors. The effect on the in-loop phase-noise performance can be compensated by adjusting the charge pump gain to the at each frequency when the synthesizer is embedded in a PLL. The absolute tuning ranges for the lower frequency bands can be derived from the fundamental ones by division with the factors of two and four. In the same manner the is reduced by the corresponding factor. The phase-noise performance of the synthesizer was determined by measurements with a signal source analyzer at the low-frequency PLL interface. The phase noise at the fundamental VCO frequencies (HIGH) was calculated by adding 18.02 dB. Thus, the effect of the frequency prescalers with the factor of eight is taken into account. In the same manner the phase noise of the frequency bands MED and LOW was determined by adding 12.04 and 6.02 dB, respectively. The

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

899

Fig. 12. Measured tuning characteristic of the three designed VCOs.

Fig. 14. Measured differential synthesizer output power for the nine selectable frequency bands.

Fig. 13. Measured single-sideband phase noise at 1-MHz offset from the carrier versus oscillation frequency for the nine selectable frequency bands.

measured single-sideband phase noise at 1-MHz offset from the carrier frequency is illustrated in Fig. 13 for the selectable frequency bands. The phase noise increases towards higher frequencies over all bands and VCOs, whereas the characteristic curve of each VCO is mainly affected by the varactor properties. Within the fundamental frequency band (HIGH) the phase noise is between 96.3 and 103.2 dBc/Hz. The overall minimum is 115.3 dBc/Hz at a frequency of 4.95 GHz. The output power over the full bandwidth was measured using ground–signal–ground–signal–ground (GSGSG) probes with one port connected to a spectrum analyzer and one port terminated with the system impedance of 50 . The measured values were corrected by adding 3 dB in order to obtain the differential output power. In addition, the losses of the measurement setup including coaxial cables, adapters, etc. were characterized and taken into account over the full measurement bandwidth. The control voltage of the VGA was adjusted in order to achieve the maximum available output power. The measurement results are shown in Fig. 14. The synthesizer provides an average output power of 2.5 dBm over the full bandwidth with a minimum of 0.7 dBm at 17.0 GHz and a maximum of 4.8 dBm at 27.0 GHz. The ripples in the measured output power are caused by the third-order Chebyshev

Fig. 15. Measured and simulated scattering parameters of the broadband variable gain output stage in differential mode with the gain control voltage V.

Fig. 16. Measured gain control functionality of the broadband variable gain stage in differential operation for control voltages from 1.85 to 2.3 V in steps of 50 mV.

filters implemented in the post-synthesis bandwidth extension stage.

900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 17. Measured output referred 1-dB compression point versus input frequency of the differential VGA.

Fig. 19. Measured and simulated S-parameters and noise figure of the designed LNA.

Fig. 18. Measured unbalanced gain ( ) of the activated port, unbalanced at) of the deactivated port, and unbalanced input matching ( , tenuation ( ) of the SPDT VGA with one channel turned on and one channel off. Fig. 20. Measured conversion gain of the fabricated receiver circuits for an IF of 500 MHz.

1) VGA: The multistage VGA was fabricated additionally as a separate circuit in order to verify its functionality and the applied design procedure. In its function as a differential amplifier it is used as a final stage of the synthesizer in the receiver in order to drive the downconversion mixers. The measured and simulated S-parameters are shown in Fig. 15 for differential operation and a gain control voltage of V. Up to 33.8 GHz, the amplifier maintains a gain of more than 10 dB, whereas it reaches a peak gain of 15.1 dB at 27.1 GHz. The output matching is better than 20 dB for frequencies lower than 25.3 GHz and is lower than 10 dB below 30 GHz. The input was matched to the output of the precedent stage, which differs from the system impedance of 50 . The variable gain capability is demonstrated by the measurements shown in Fig. 16. The gain can be diminished by minimally 10.3 dB at 4 GHz and by maximally 16.1 dB at 27.7 GHz by applying control voltages between 1.85 and 2.3 V. The amplifier’s dynamic range at the upper design frequency of 32 GHz is 13.4 dB. Furthermore, the amplifier provides a maximum 1-dB compression point referred to the output of 8.4 dBm (12 GHz) and a minimum of 0.9 dBm at 32 GHz, as shown in Fig. 17. 2) Source Switch: The variant of the VGA with differential-to-single-ended conversion and switch capabilities was fabricated on a separate die as well. It was characterized as a three-port device with one differential input (Port 1) and two

Fig. 21. Measured receiver conversion gain versus the IF at an input frequency of 15 GHz.

single-ended outputs of which one port was selected (Port 2) and one port was deactivated (Port 3). The measurements were performed with a four-port VNA with two ports set to the true stimulus mode to provide a true differential excitation of the input. The resulting S-parameters, which were obtained with the switch set to maximum gain, are illustrated in Fig. 18. The single-ended gain of the SPDT VGA is between 9.0 dB at

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

901

Fig. 22. Measured gain compression of the two fabricated receiver circuits at frequencies of 4, 15, and 28 GHz. (a) RF input frequency: 4 GHz. (b) RF input frequency: 15 GHz. (c) RF input frequency: 28 GHz.

Fig. 24. Hybrid integrated VNA incorporating the proposed chipset and a testset of wideband couplers.

an output matching of lower than 20 dB up to 24.1 GHz and lower than 10 dB up to 33.4 GHz. The deactivated port is matched better than 20 dB up to 22.7 GHz and better than 10 dB up to 29.7 GHz. Both parameters contribute to a low magnitude of the error parameters of the VNA chipset. C. Receiver

Fig. 23. Measured and simulated input matching of the four-channel receivers. (a) Configuration: micromixer. (b) Configuration: micromixer and LNA.

4 GHz and 12.8 dB at 29.7 GHz. Due to the high attenuation of the deactivated port of 43.3 dB at 4 GHz and 10.8 dB at 29.7 GHz, a minimum isolation of 23.6 dB can be guaranteed. At lower frequencies the isolation between the two output ports is as high as 52.2 dB. As the source switch mainly determines the VNA’s source match, the single-ended output impedance of both ports is of central importance. The activated port provides

Three different receiver components were fabricated and characterized separately. Besides the wideband LNA, two four-channel receiver circuits including the designed wideband frequency synthesizer were realized. A high-linearity version contains the mixer and the LO only, whereas the low noise variant contains the LNA as a first receiver stage. 1) LNA: The designed wideband LNA was fabricated as a standalone component for verification purposes. The circuit draws a current of 23 mA from a 3.3-V supply voltage of which 14 mA are consumed by the cascode stage. The simulated and measured scattering parameters show good agreement, as illustrated in Fig. 19. Due to the flat gain, as well as the good input impedance matching, the amplifier is very well suited as a first stage of the receiver for the integrated VNA. The input matching is lower than 10 dB from 2.2 to 30.6 GHz and lower than 9 dB over a multi-octave bandwidth. Especially good input matching of 16.9 and 15.0 dB is achieved at 6.9 and 22.7 GHz, respectively. The measured gain is higher than 10 dB from 2.4 to 26.4 GHz. Over the frequency range

902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 25. Measured complex reflection coefficient of an arbitrary DUT compared to the results from a commercial VNA. (a) Magnitude of reflection coefficient. (b) Phase of reflection coefficient.

from 4 to 32 GHz, an average gain of 9.97 dB is achieved. The simulated noise figure reaches its minimum of 3.7 dB at 9.9 GHz and increases up to 7.0 dB at 32 GHz. 2) Four-Channel Receiver: The receivers contain four identical channels with identical circuits and layouts. The conversion gain for an IF of 500 MHz was measured with a signal generator at the RF input and a spectrum analyzer at the low-frequency output. The losses within the measurement setup were determined by calibration measurements and were taken into account for the measured results. The wideband frequency synthesizer was tuned to a fixed offset of 500 MHz from the signal generator’s frequency. The conversion gain over the full bandwidth is shown in Fig. 20 for both fabricated variants. The effect of the LNA clearly leads to a higher conversion gain of the receiver circuit. Both variants show a decreasing gain versus the input frequency, which is caused by the low-pass transfer function of the micromixer circuit. The measured gain ranges from 18.0 dB at 5 GHz to 5.5 dB at 32 GHz and from 9.7 dB at 3 GHz to 2.8 dB at 31 GHz for the high-gain and the low-gain variant, respectively. The corresponding average gain over the input frequency is 14.4 and 7.7 dB. Thus, receivers with high gain containing an LNA and a mixer are more suitable for the measurement channels, whereas the version with a mixer only is appropriate for the reference channel due to a higher linear input range. The measured conversion gain versus the IF at a fixed input frequency of 15 GHz is shown in Fig. 21. The high-gain variant provides conversion gains from 16.3 dB at an IF of 100 MHz to 10.6 dB for an IF of 3 GHz. The low gain variant ranges between 8.2 and 2 dB. Not only because of the higher conversion gain, but also due to the relaxed requirements for the following analog-to-digital converter (ADC) low IFs are advantageous. The linearity of the circuits was measured in terms of the input referred 1-dB compression point, as the operation within the linear range is essential for VNA applications (see Fig. 22). The high-gain receiver allows a maximum input power of 18.9, 15.8 dBm, and 14.0 dBm at 4, 15, and 28 GHz. At the same frequencies, the low-gain variant provides higher 1-dB compression points of 9.3, 8.4, and 6.5 dB. Consequently, the mixer-only version is a good choice for high-linearity applications and for the

characterization of DUTs with high output powers such as amplifiers. Furthermore, they can be utilized as reference channel receivers in order to prevent compression in these channels. In the same manner as the output matching of the stimulus, the input matching of the receivers directly affects the VNA error parameters. The measured input matching of both variants is shown in Fig. 23 for the four-channel receivers. Minor deviations between channel 1/4 and channel 2/3 are caused by slightly different transmission-line lengths at the inputs. The mixer only version provides an input matching of better than 18 dB up to 32 GHz. The LNA version shows a slightly decreased input matching of 10 dB from 2.6 to 26.7 GHz. Consequently, both versions contribute to a calibration with low error parameters using the proposed chipset. V. HYBRID INTEGRATED VNA DEMONSTRATOR CIRCUIT A fully functional VNA was implemented according to the architecture shown in Fig. 1. In addition to the proposed chipset, wideband directional couplers are required for the wave separation and for the derivation of a reference channel. The design of directional couplers covering the aimed multi-octave bandwidth is challenging and requires special design techniques, which are based on a nonuniform characteristic of the coupling coefficient versus the coupler length of multiples of a quarter-wavelength [26]. The resulting geometries show large physical dimensions and cannot be integrated due to their length of 40.4 mm. Consequently, the couplers were fabricated on a multi-layer microwave printed circuit board (PCB) onto which the chipset was mounted and connected via bond-wires (see Fig. 24). The coupled lines are vertically stacked so that the stimulus IC and the receiver IC were placed on opposite sides of the board. One port of each coupler was terminated by high-frequency capable 50- SMD resistors. The measurement ports can be interfaced by 2.4-mm coaxial connectors so that arbitrary devices can be characterized. The IFs are fed via SMA connectors to the subsequent ADCs and the signal —processing unit. The two integrated signal sources were phase locked to the same reference frequency by two separate PLLs.

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

903

TABLE I MEASURED NOISE LEVEL AT THE IF WITH AN IF RBW OF 100 kHz

Fig. 26. Ratio between the measurement results of the miniaturized and commercial VNA.

The noise floor of the miniaturized VNA is listed in Table I for selected RF stimulation frequencies and shows an average value of 85.1 dBm/Hz at a resolution bandwidth (RBW) of 100 kHz. The amplitude and phase stability was investigated by means of the linear magnitude and phase standard deviation obtained by a set of measurements per frequency point. The results are illustrated in Fig. 27. Due to the increased substrate and conductor losses, as well as decreased receiver gain, the phase and standard deviation increase with frequency. VI. CONCLUSION

Fig. 27. Measured standard deviation of the normalized linear magnitude and phase obtained from 1000 measurements per frequency point.

The setup can be utilized for two-port measurements by using a digital backend with a sufficient number of coherently sampled ADC channels. In addition, the two-port calibration algorithms have to be implemented and the corresponding types and numbers of mechanical calibration standards have to be available. However, in this case, the system was utilized as a one-port VNA as a proof-of-concept. From a functional point of view, the main difference consists in the evaluation of two more differential measurement channels. Thus, coaxial calibration standards were used to apply a three-term error calibration and to set the reference plane to the end of a coaxial cable. Finally, the complex reflection coefficient of an arbitrary DUT was determined and compared to the results, which were obtained with a commercial VNA (see Fig. 25). The ratio between the measurement results of the miniaturized and the commercial VNA was calculated and illustrated in Fig. 26. The average deviation between the two measurements is 0.18 dB in magnitude and 1.8 in phase. These deviations are within a range that can be attributed to the repeatability of coaxial connectors, the phase variation of the cables, and minor deviations between the used calibration standards. Consequently, an excellent agreement between the measurements of two independent measurement systems can be stated, which is a validation of the concept of integrated VNAs.

A chipset for a highly integrated heterodyne two-port VNA from 4 to 32 GHz was presented. A VCO-array-based wideband frequency synthesizer with an average output power of 2.5 dBm and a single-sideband phase noise between 96.3 dBc/Hz and 115.3 dBc/Hz was utilized as an LO and as a stimulating signal source. The octave-wide VCO array is comprised by three cross-coupled oscillators with tuning ranges of 26.6%, 24.8%, and 28.2%. Design techniques for a wideband VGA with a gain of more than 10 dB, as well as an SPDT source switch with a minimum isolation of 23.6 dB were applied. Circuits for a single-ended wideband LNA with an average gain of 9.97 dB and a micromixer circuit were shown and used for the composition of a four-channel receiver. The concept of integrated VNAs was validated by a hybrid integrated demonstrator. The complex reflection coefficient of an arbitrary DUT was measured and showed an excellent agreement with the measurements obtained with a commercial VNA. The average difference is 0.18 dB in magnitude and 1.8 in phase. ACKNOWLEDGMENT The authors would like to thank M. Hiebel, University of Applied Sciences Munich, for the valuable discussions about vector network analysis. REFERENCES [1] M. Hiebel, Fundamentals of Vector Network Analysis, 2nd ed. Munich, Germany: Rohde & Schwarz GmbH&Co. KG, 2011. [2] K. Grenier et al., “Integrated broadband microwave and microfluidic sensor dedicated to bioengineering,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3246–3253, Dec. 2009. [3] T. Yilmaz, R. Foster, and Y. Hao, “Towards accurate dielectric property retrieval of biological tissues for blood glucose monitoring,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3193–3204, Dec. 2014. [4] M. Hofmann, G. Fischer, R. Weigel, and D. Kissinger, “Microwave based non-invasive concentration measurements for biomedical applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2195–2204, May 2013. [5] Y. Cui and P. Wang, “The design and operation of ultra-sensitive and tunable radio-frequency interferometers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3172–3182, Dec. 2014.

904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[6] B. Laemmle, K. Schmalz, J. C. Scheytt, R. Weigel, and D. Kissinger, “A 125-GHz permittivity sensor with read-out circuit in a 250-nm SiGe BiCMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2185–2194, May 2013. [7] S. Ulker and R. Weikle, “A millimeter-wave six-port reflectometer based on the sampled-transmission line architecture,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 8, pp. 340–342, Aug. 2001. [8] M. Abou-Khousa, M. Baumgartner, S. Kharkovsky, and R. Zoughi, “Novel and simple high-frequency single-port vector network analyzer,” IEEE Trans. Instrum. Meas., vol. 59, no. 3, pp. 534–542, Mar. 2010. [9] M. Abou-Khousa, M. Baumgartner, S. Kharkovsky, and R. Zoughi, “Ka-band vector reflectometer based on simple phaseshifter design,” IEEE Trans. Instrum. Meas., vol. 60, no. 2, pp. 618–624, Feb. 2011. [10] M. Fallahpour, M. Baumgartner, A. Kothari, M. Ghasr, D. Pommerenke, and R. Zoughi, “Compact Ka-band one-port vector reflectometer using a wideband electronically controlled phase shifter,” IEEE Trans. Instrum. Meas., vol. 61, no. 10, pp. 2807–2816, Oct. 2012. [11] J. Yoon, R. Fox, and W. Eisenstadt, “Integrated BiCMOS 10 GHz S-parameter module,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2006, pp. 1–4. [12] A. Grichener and G. Rebeiz, “A 15–50-GHz quasi-optical scalar network analyzer scalable to terahertz frequencies,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2622–2633, Aug. 2012. [13] O. Wohlgemuth et al., “An integrated circuit for network analysis within 50–200 GHz,” in Proc. Int. Terahertz Electron. Conf., Sep. 1998, pp. 113–116. [14] R. Yu, J. Pusl, Y. Konishi, M. Case, M. Kamegawa, and M. Rodwell, “A time-domain millimeter-wave vector network analyzer,” IEEE Microw. Guided Wave Lett., vol. 2, no. 8, pp. 319–321, Aug. 1992. [15] I. Nasr, B. Laemmle, K. Aufinger, G. Fischer, R. Weigel, and D. Kissinger, “A 70–90 GHz high-linearity multi-band quadrature receiver in 0.35 m SiGe technology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4600–4612, Dec. 2013. [16] B. Razavi, RF Microelectronics, 2nd ed. Upper Saddle River, NJ, USA: Prentice-Hall, 2011. [17] T. Canning, J. Powell, and S. Cripps, “Optimal design of broadband microwave baluns using single-layer planar circuit technology,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1183–1191, May 2014. [18] A. Awny, C. Wipf, J. C. Scheytt, and A. Thiede, “Broadband 31–65 GHz inductorless active balun with 12.4 dB gain in 0.13 m SiGe:C BiCMOS technology,” in Proc. Eur. Microw. Conf., Oct. 2011, pp. 1213–1216. [19] B. Laemmle, C. Wagner, H. Knapp, H. Jaeger, L. Maurer, and R. Weigel, “A differential pair-based direct digital synthesizer MMIC with 16.8-GHz clock and 488-mW power consumption,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1375–1383, May 2010. [20] I. Nasr, H. Knapp, K. Aufinger, R. Weigel, and D. Kissinger, “A 50–100 GHz highly integrated octave-bandwidth transmitter and receiver chipset in 0.35 m SiGe technology,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 9, pp. 2118–2131, Sep. 2014. [21] J. Nehring, G. Fischer, R. Weigel, and D. Kissinger, “An 8–32 GHz frequency synthesizer based on a wideband VCO array with bandwidth extension stage,” in Proc. IEEE German Microw. Conf., Aachen, Germany, Mar. 2014, pp. 1–4. [22] S.-A. Yu et al., “A single-chip 125-MHz to 32-GHz signal source in 0.18 m SiGe BiCMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 3, pp. 598–614, Mar. 2011. [23] R. Schmid, C. Coen, S. Shankar, and J. Cressler, “Best practices to ensure the stability of SiGe HBT cascode low noise amplifiers,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2012, pp. 1–4. [24] S. Trotta et al., “An 84 GHz bandwidth and 20 dB gain broadband amplifier in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2099–2106, Oct. 2007. [25] B. Gilbert, “The micromixer: A highly linear variant of the Gilbert mixer using a bisymmetric class-AB input stage,” IEEE J. Solid-State Circuits, vol. 32, no. 9, pp. 1412–1423, Sep. 1997. [26] J. Nehring, M. Hofmann, C. Münker, R. Weigel, G. Fischer, and D. Kissinger, “A universal method for the design and synthesis of wideband directional couplers using non-uniform coupled transmission lines,” in Proc. Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2012, pp. 475–478.

Johannes Nehring (S’12) was born in Erlangen, Germany, in 1984. He received the Bachelor of Engineering degree in electrical engineering (with distinction), Diploma degree in electrical engineering (with distinction), and Master of Science degree in electrical engineering (with a focus on communications and high-frequency technology) from the University of Applied Sciences, Munich, Germany in 2009, 2011, and 2011, respectively. In 2012, he joined the Radio Frequency Integrated Systems Group, Institute for Electronics Engineering, University of Erlangen–Nuremberg, Erlangen, Germany, and in 2015, became the head of the group. Later in 2015, he joined Alcatel-Lucent (merger with Nokia in 2016), as a Design Engineer involved with high-speed optical communication systems. His research interests include active and passive hybrid microwave integrated circuits and systems with multi-octave bandwidth for sensing applications with a special focus on miniaturized network analysis techniques. Mr. Nehring was the recipient of the 1st Prize of the Student Challenge-European Microwave Week (EuMW), Amsterdam, The Netherlands, in 2012 and the 1st Prize of the IEEE Biomedical Wireless Technologies (BioWireless) Student Paper Competition, San Diego, CA, USA, in 2015.

Marco Dietz (S’15) was born in Huenfeld, Germany, in 1985. He received the Bachelor of Engineering degree in communications engineering from the Ulm University of Applied Science, Ulm, Germany, in 2011, and the Master of Science degree in electrical engineering (with a focus on high-frequency engineering and microelectronics) from the University of Erlangen–Nuremberg, Erlangen, Germany, in 2013. In 2014, he joined the Institute for Electronics Engineering, University of Erlangen–Nuremberg, as a Research Assistant, where he is also a member of the Joint Laboratory for RF Integrated Systems. His research interests include high integrated silicon-based microwave circuits and systems with multi-octave bandwidth, as well as wireless sensors and communication systems for ultra-low power industrial and biomedical applications.

Klaus Aufinger (M’09) was born in Kirchbichl, Austria, in 1966. He received the Diploma and Ph.D. degrees in physics from the University of Innsbruck, Innsbruck, Austria, in 1990 and 2001, respectively. From 1990 to 1991, he was a Teaching Assistant with the Institute of Theoretical Physics, University of Innsbruck. In 1991, he joined Corporate Research and Development, Siemens AG, Munich, Germany, where he investigated noise in submicrometer bipolar transistors. He is currently with Infineon Technologies AG (the former semiconductor group of Siemens), Neubiberg, Germany, where he is involved in the field of device physics, technology development, and modeling of advanced SiGe technologies for high-speed digital and analog circuits.

Georg Fischer (M’01–SM’08) was born in the Lower Rhine Region, Germany, in 1965. He received the Diploma degree in electrical engineering (with a focus on communications and microwave) from RWTH Aachen University, Aachen, Germany, in 1992, and the Dr.-Ing. degree in electrical engineering from the University of Paderborn, Paderborn, Germany, in 1997. From 1993 to 1996, he was a Research Assistant with the University of Paderborn, where he was involved with adaptive antenna array systems for mobile satellite communications. From 1996 to 2008, he performed research with Bell Laboratories, Lucent (later Alcatel-Lucent), where he focused on the RF and digital architecture of mobile communication basestations (GSM), Universal Mobile Telecommunications System (UMTS), and features for network coverage and capacity enhancements. In 2000, he became a Bell Labs Distin-

NEHRING et al.: 4–32-GHz CHIPSET FOR HIGHLY INTEGRATED HETERODYNE TWO-PORT VNA

guished Member of Technical Staff (DMTS), and in 2001, a Bell Labs Consulting Member of Technical Staff (CMTS). He was also a Chairman with the European Telecommunications Standards Institute (ETSI) during the physical layer standardization of the GSM-EDGE system. From 2001 to 2007, he was a Part-Time Lecturer with the University of Erlangen–Nuremberg, Erlangen, Germany. Since April 2008, he has been a Professor of electronics engineering with the University of Erlangen–Nuremberg. He holds over 50 patents concerning microwave and communications technology. His research interests are transceiver design, analog/digital partitioning, converters, enhanced amplifier architectures, duplex filters, metamaterial structures, GaN transistor technology and circuit design, and RF microelectromechanical systems (MEMS) with a specific emphasis on frequency-agile, tunable, and reconfigurable RF systems for software-define radio (SDR) and cognitive radio (CR) applications. His current research interests concentrate on medical electronics like using microwaves for detection of vital parameters. Dr.-Ing. Fischer is a Senior Member of the IEEE Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Communications Society (COMSOC)/ Vehicular Technology Society (VTC). He is a Member of VDE-ITG and the European Microwave Association (EUMA). He was the 2007 co-chair of the European Conference on Wireless Technology (ECWT), European Microwave Week Conference (EUMW 2007). He was the General Technical Program Committee (TPC) Chairman for EUMW 2013, Nuremberg, Germany.

Robert Weigel (S’88–M’89–SM’95–F’02) was born in Ebermannstadt, Germany, in 1956. He received the Dr.-Ing. and Dr.-Ing.habil. degrees in electrical engineering and computer science from the Munich University of Technology, Munich, Germany, in 1989 and 1992, respectively. From 1982 to 1988, he was a Research Engineer, from 1988 to 1994, a Senior Research Engineer, and from 1994 to 1996, a Professor of RF circuits and systems with the Munich University of Technology. In Winter 1994–1995, he was a Guest Professor of surface acoustic wave (SAW) technology with the Vienna University of Technology, Vienna, Austria. From 1996 to 2002, he was the Director of the Institute for Communications and Information Engineering, University of Linz, Linz, Austria. In August 1999, he cofounded DICE Danube Integrated Circuit Engineering, Linz, Austria, an Infineon Technologies Design Center (DICE) and an Intel company (DMCE), which are devoted to the design of, respectively, industrial electronics and mobile radio electronics. In 2000, he has became a Professor of RF engineering with Tongji University, Shanghai, China. Also in 2000, he cofounded the Linz Center of Competence in Mechatronics. Since 2002, he has been Head of the Institute for Electronics Engineering, University of Erlangen–Nuremberg, Erlangen, Germany. In 2009 and 2012, respectively, he cofounded eesy-id, Erlangen, Germany, and eesy-ic, Nuremberg, Germany, both of which are concerned with electronics engineering. He has authored or coauthored over 700 papers and has given approximately 300 international presentations. His review work includes European and Asian research projects and international journals. He has been engaged in research and development on microwave theory and techniques, integrated optics, high-temperature superconductivity, surface acoustic wave (SAW) technology, digital and microwave communication systems, and automotive electromagnetic compatibility (EMC).

905

Dr. Weigel is a Member of the Electromagnetics Academy, the German VDE, and the AustrianÖVE. He has served on several Editorial Boards, such as for the PROCEEDINGS OF THE IEEE, and Advisory Boards of companies and research institutes. He is the elected Speaker of the Electrical Engineering Faculty of the German Research Foundation (DFG) and an elected Member of the German National Academy of Science and Engineering (acatech). Within the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), he has been Founder and Chair of the Austrian COM/MTT Joint Chapter, Region 8 Coordinator, and from 2001 to 2003, a Distinguished Microwave Lecturer. He is an Administrative Committee (AdCom) Member, Chair of MTT-2 Microwave Acoustics, and the 2014 IEEE MTT-S President. He was the General Chairman of the 2013 European Microwave Week, Nuremberg, Germany. He was the recipient of the German ITG Award in 2002 and the IEEE Microwave Applications Award in 2007.

Dietmar Kissinger (S’08–M’11–SM’14) received the Dipl.-Ing., Dr.-Ing., and habil. degree in electrical engineering from the FAU Erlangen–Nürnberg, Erlangen, Germany, in 2007, 2011, and 2014, respectively. From 2007 to 2010, he was with Danube Integrated Circuit Engineering, Linz, Austria, where he was a System and Application Engineer with the Automotive Radar Group. From 2010 to 2014, he was a Lecturer and Head of the Radio Frequency Integrated Sensors Group, Institute for Electronics Engineering, Erlangen, Germany. Since 2015, he has been a Full Professor with the Technische Universität Berlin, Berlin, Germany, and Head of the Circuit Design Department, IHP, Frankfurt (Oder), Germany. He is a Co-Director of the Joint Lab for Radio Frequency Integrated Systems, FAU Erlangen–Nürnberg. He has authored or coauthored more than 100 technical papers. He holds several patents. His research interests include silicon high-frequency and high-speed, as well as low-power integrated systems for communication and automotive, industrial, security, and biomedical sensing applications. Prof. Kissinger is a Member of the European Microwave Association (EuMA) and the German Information Technology Society (ITG) and Society of Microelectronics, Microsystems and Precision Engineering (VDE/VDI GMM). He also serves as the Chair of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committee Microwave and Millimeter-Wave Integrated Circuits (MTT-6) and as a Member of Biological Effects and Medical Applications (MTT-10). He is an Executive Committee Member of the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF) and Steering Committee Member of the IEEE Radio Wireless Symposium (RWS). He was a two-time Chair of the IEEE Topical Conference on Wireless Sensors and Sensor Networks (WiSNet), a two-time Chair of the IEEE Topical Conference on Biomedical Wireless Technologies, Networks and Sensing Systems (BioWireless), and a Member of the 2013 European Microwave Week (EuMW) Organizing Committee. He was a five-time Guest Editor for IEEE Microwave Magazine and served as an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2013–2015).

906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

A Low-Power 136-GHz SiGe Total Power Radiometer With NETD of 0.25 K Tumay Kanar, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents a low-noise SiGe radiometer at 136 GHz developed in an IBM 90-nm SiGe BiCMOS technology. The radiometer consists of a three-stage cascode low-noise amplifier with a gain of 36 dB, and a differential output square-law detector, all on a single chip. The detector results in responsivity of at 11 kV/W and a noise equivalent power (NEP) of 0.6 pW/Hz D-band frequencies. The radiometer chip consumes 45 mW and with a peak responresults in a minimum NEP of 1.4 fW/Hz sivity of 52 MV/W at 136 GHz. The single-chip radiometer is suitable for high-resolution imaging systems having a noise bandwidth 10 GHz and a low corner frequency 100 Hz . For an mS , the temperature integration time of 3.125 mS resolution [noise equivalent temperature difference (NETD)] is determined to be 0.25 K using several different independent methods, and is the lowest NETD demonstrated in silicon technologies at D-band frequencies. This state-of-the-art performance is comparable to the best III–V imaging systems and proves that the advanced SiGe technology is a reliable option for imaging and radiometry applications.

Fig. 1. Sea-level atmospheric attenuation spectrum [8].

Index Terms—Cascode amplifier, D-band, detector, low-noise amplifier (LNA), millimeter wave, noise equivalent power (NEP), noise equivalent temperature difference (NETD), passive imaging, radiometry, SiGe, thermal resolution, total power radiometer.

I. INTRODUCTION

T

HE USE of passive imaging systems at millimeter-wave frequencies results in high-resolution detection due to the relatively small wavelength and low-loss propagation in different frequency windows. The main application areas are passive surveillance sensors, concealed weapon detection, and navigation and landing systems for low-visibility conditions [1]–[6]. The low atmospheric attenuation windows at 35, 94, and 135 GHz allow propagation through large obstacles such as fog, dust, and clothing and, therefore, these frequencies are especially appealing for low-visibility navigation systems and concealed weapon detection (Fig. 1). W-band imaging systems, which utilize the window centered around 94 GHz, are already available in III–V technologies [7], and have recently been commercially introduced in SiGe technology as well. D-band imaging systems have a high potential for better spatial resolution and more compact size than the W-band systems

Manuscript received August 19, 2015; revised December 21, 2015; accepted January 21, 2016. Date of publication February 12, 2016; date of current version March 03, 2016. This work was supported by the University of California at San Diego under Prof. Gabriel M. Rebeiz Chair funds. The authors are with the Electrical and Computer Engineering Department, University of California at San Diego (UCSD), La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2522966

Fig. 2. Overview of SiGe total power radiometer system.

due to their smaller wavelength (2.2 mm instead of 3.2 mm). A SiGe D-band radiometer takes advantage of the low atmospheric loss window at 135 GHz and can provide an inexpensive and robust solution for high-resolution imaging systems. This has been an active research area in CMOS and SiGe technologies, and some systems with promising noise and temperature resolution have been shown [9], [10]. In this paper, we present a low-power SiGe single-chip total-power radiometer at 136 GHz, which demonstrates state-of-the-art performance for D-band passive imaging applications. The chip consists of a high gain single-ended cascode low-noise amplifier (LNA) and a differential square-law detector (Fig. 2). A Dicke switch is not integrated on this chip due to the low noise properties of SiGe, and this function can be done externally using periodic calibration [11]. A high-efficiency on-chip wideband antenna can also be integrated together with the radiometer [12]. These antennas, when built using a quartz superstrate, can have an efficiency 60% at D-band, and would allow for a complete radiometer system on silicon. This paper is organized as follows. Section II discusses radiometry basics and explains the key radiometer parameters. Section III introduces the SiGe technology used and presents the design, optimization, and experimental characterization of radiometer blocks. Section IV presents the measurements for ra-

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

KANAR AND REBEIZ: LOW-POWER 136-GHz SiGe TOTAL POWER RADIOMETER WITH NETD OF 0.25 K

Fig. 3. Total power radiometer spectral densities at certain nodes in the system.

907

Fig. 4. (a) Metal stack-up showing 50- transmission line and metal–insulator–metal (MIM) capacitor layers. (b) HBT layout with connections up to the top metal layer.

diometer characterization both at low and D-band frequencies, and Section V summarizes the results with a conclusion. II. TOTAL POWER RADIOMETRY Total power radiometers are very sensitive receivers, which can detect the emitted or reflected thermal radiation (noise) from objects. The main goal of a radiometer is to generate a linear relationship between the input noise power and the output dc voltage, and its thermal resolution is dictated by the radiometer system noise for a given integration time and input noise bandwidth. Fig. 3 presents voltage spectral densities at various nodes in a typical total power radiometer [13]. The white noise at the input is due to the combination of the ambient black-body radiation and the noise of the radiometer circuits . This noise is amplified and filtered to a certain bandwidth by the RF pre-amplification stages. The amplified and filtered noise power is converted to a dc voltage along with the second harmonic fluctuations by the square-law detector. The op-amp and the integrator further amplify the detector output and filter the harmonic content into a much narrower bandwidth , which is determined by the integration time . The radiometer final output dc voltage is proportional to the thermal input power. The minimum resolvable temperature for a radiometer (resolution or sensitivity) is quantified by the noise equivalent temperature difference (NETD) and is given by [14]–[16]

where is the radiometer output noise voltage density V Hz at the offset frequency of and is the radiometer dc output voltage. is the radiometer responsivity and is the conversion ratio from the RF input power to the output dc voltage level. NEP is a figure-of merit for detectors and dictates the minimum RF input power required to generate a dc voltage, which is at the same level as the output noise.

(1)

III. RADIOMETER BLOCKS: DESIGN AND CHARACTERIZATION

Fig. 5.

and

measurements for a 3- m HBT device.

Another key parameter for signal detection is the noise equivalent power (NEP) and is defined as

(3)

A. Technology where amplifier,

is the low-frequency fluctuations of the high-gain is the radiometer’s RF noise bandwidth, and . Assuming that the LNA gain fluctuations can be removed in radiometer systems by mechanical scanning or periodic reference-target exposure, the NETD expression can be simplified to

(2) This equation shows a tradeoff between the radiometer system noise and the scanning speed. In order to achieve faster scanning systems with lower integration times, a very low noise radiometer with a wide RF bandwidth is required.

SiGe HBT technology is suitable for radiometer systems since it enables high-gain LNAs at millimeter-wave frequencies noise and gain fluctuations along with wide RF with low bandwidths. It also allows the on-chip integration of high-responsivity detectors and high-gain op-amps, both with very low noise [9], [16], [17]. The 136-GHz radiometer is designed in a 90-nm IBM SiGe BiCMOS process with ten metal layers. Fig. 4 presents the process metal stack-up and a typical transistor layout with the interconnects up to the top metal. The nominal peak is stated by IBM as 300 GHz at a current density of 2 mA m, whereas a peak of 260 GHz is measured for a 3- m device with all the interconnects to the top metal (Fig. 5). The measured peak is 300 GHz at 2.5 mA m current density.

908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 6. LNA input matching network electromagnetic (EM) simulation setup showing MOM capacitors and transmission-line inductor.

Transmission lines are implemented in the top metal layer as a microstrip configuration with a ground layer at M1_4B. A 10- m-wide line in the LD layer results in a characteristic impedance of 50 and a simulated loss of 0.56 dB/mm at 135 GHz. The matching inductors are based on 8- m-wide transmission lines and result in a simulated of 36. Note that our experience indicates that the measured line loss at 80 GHz is 20%–25% higher than the simulations. The IBM 90-nm SiGe process offers MIM capacitors, which are used as decoupling capacitors (RF shorts) when relatively large capacitors are required. The matching network capacitors, where smaller capacitance values are necessary, are implemented as metal–oxide–metal (MOM) capacitors with M2_4B and M1_4B metal layers without a ground metal layer underneath them. Capacitors and all the matching circuits are simulated using Sonnet EM Suites [18]. As an example, Fig. 6 presents the input matching network for the LNA. Similar capacitors and inductors are used for all the matching networks throughout the design.

Fig. 7. Schematics of: (a) three-stage cascode LNA and (b) detector with differential output.

B. LNA Design and Measurements The schematic for the three-stage LNA is presented in Fig. 7(a). In order to achieve a minimum temperature resolution of 0.2 K, a gain of 30–35 dB is required for the LNA to overcome the detector noise [19], and this can be achieved using three cascode stages. Fig. 8 presents the minimum noise figure (NF) , available gain , and minimum noise measure of 3- and 6- m cascode and common-emitter (CE) stages at 135 GHz. is calculated by plugging in the simulated and to [20, eq. (3)]. The cascode stage is inherently more prone to stability issues, but provides significantly higher gain. Even though its minimum NF is 1.5 dB higher than the CE stage, the minimum noise measure for the cascode and common emitter stage is very close. Therefore, a careful use of cascode amplifiers enables similar gain and noise performance at a much lower power consumption without any stability issues. The design of the three stage-cascode amplifier is optimized for high gain and low power consumption. The first two stages are realized using 3- m devices since they offer 3 dB higher gain than 6- m devices without a significant difference in . A 6- m cascode is used as the last stage to result in a more relaxed matching network between the LNA and the detector. The cascode stages are biased using bipolar junction transistor (BJT) current mirrors, and the bias current is optimized at 1.5 mA m for the highest with a low .

Fig. 8. Simulated , transistors at 135 GHz.

, and

of 3- and 6- m cascode and CE

Series-shunt peaking is used at the cascode collector nodes for the maximum gain with a wider bandwidth [21], [22]. In order to further improve the cascode stage gain and bandwidth, the parasitics at the common-base transistor emitter nodes are resonated out using series inductors [23]. A low inductance ac ground at the commmon-base device base nodes is necessary

KANAR AND REBEIZ: LOW-POWER 136-GHz SiGe TOTAL POWER RADIOMETER WITH NETD OF 0.25 K

Fig. 9. Micrograph of the LNA test-cell (740

909

480 m including pads).

Fig. 11. Measured and simulated

and

for the D-band LNA.

noted that the matching condition is different for the radiometer as the LNA and detector are designed to be conjugately matched around 136 GHz. C. Detector Design and Measurements Fig. 10. Measured and simulated LNA gain and

versus frequency.

for the cascode stage stability. This ac ground is realized by large size MOM capacitors 60 60 m , which are built using lower metal layers (M1_2B and M2_2B) so that they can be implemented very close to the transistor base. The geometry and location of these capacitors are optimized by EM simulations in order to provide a low impedance ground at the base. Another stability concern is the coupling through the bias network since only a single bias pin is used for all three stages. Therefore, an array of MIM capacitors with a simulated of 1.5 at 135 GHz are placed right after the series-shunt peaking inductors. Additional decoupling vncap capacitors are placed along the distribution line for a lower decoupling. Fig. 9 shows the micrograph of the LNA test-cell with a size of 500 300 m without the pads. The LNA is biased at 2.4 V using 100- m probes and without any probe-tip capacitors and draws 18.7-mA current as per simulations. The S-parameter measurements are performed using the Agilent N5230C VNA along with WR-6 extender modules. An on-chip through-reflect-line (TRL) calibration kit is used for de-embedding the cables, probes, and on-chip RF pads. The three-stage LNA has a peak gain of 36 dB at 138 GHz with a 3-dB bandwidth of 135.5–141 GHz (Fig. 10). The reverse isolation is 37 dB around the peak gain region. The amplifier’s -factor is 1 for the test-cell with 50- termination. No stability issues have been observed with the single-chip radiometer mesurements either (monitoring the bias current, output spectrum, etc.). Fig. 11 presents the LNA input and output return loss. The LNA input is well matched at 134–138 GHz. The output is decently well matched to 50 from 130 to 136 GHz. It should be

The detector is designed according to the method presented in [24]. Instead of introducing an RF short at the collector at the fundamental frequency, a high impedance is introduced at the collector using a quarter-wavelength transformation from an ac ground. This design has been shown to result in higher responsivity by increasing the input impedance and the corresponding voltage swing at the detector input. The transistor size and bias current are optimized in terms of responsivity and NEP using Cadence simulations. Simulations show that a wide range of bias current of 80–140 A results in a constant NEP of 0.6 pW Hz with a responsivity of 11–14 kV/W since the responsivity and noise increase simultaneously (see the measurement section). An on-chip load resistor of 606 is chosen in order to result in a high responsivity while keeping the output noise at a low level and is realized with wide resistors so as to minimize the noise contribution. The detector core without the pads occupies an area of 170 m by 300 m [see Fig. 12(a)]. The matching elements and quarter-wave lines are optimized by simulating the whole detector using Sonnet EM suites [see Fig. 12(b)]. The measured of the standalone detector is presented in Fig. 12(c). The detector is designed to be conjugate matched to the LNA output impedance at 136 GHz. However, in a standalone test cell, it is well matched to 50 at 160 GHz. Therefore, all responsivity and NEP measurements are done at 160 GHz for detector characterization. Fig. 13 presents the measured detector responsivity. The input signal is generated by a VDI multiplier chain and is AM modulated at 1 kHz. The input power level is monitored using an Erickson PM4 power meter and a 3-dB coupler. The output voltage is measured using a lock-in amplifier [25] at 1 kHz. A peak responsivity of 11 kV/W is obtained for an input power of 38 dBm at 160 GHz and agrees well with simulations. It is observed that, at 25 dBm, the responsivity at 160 GHz drops

910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 14. Detector noise measurement setup and simulated and measured detector output noise voltage.

Fig. 12. Detector: (a) test-cell micrograph (465 505 m including the pads), versus frequency. (b) EM simulation setup, and (c) measured and simulated

Fig. 15. Measured and simulated detector responsivity and NEP versus detector bias current (at 160 GHz when input power is 38 dBm).

TABLE I DETECTOR PERFORMANCE SUMMARY AND COMPARISON

Fig. 13. Responsivity measurement setup for detector responsivity and dedBm, A per tector responsivity versus frequency ( branch).

to 7 kV/W. Note that, at 136 GHz, the detector responsivity is 5 kV/W, and this degradation is due to the mismatch. The detector output noise voltage is measured using a 13-dB error-to-noise ratio (ENR) D-band noise source in the off-state as a wideband matched load and an LNA (SR-552) placed before the spectrum analyzer (Fig. 14). The gain of the LNA is de-embedded from the measurements, and the measured detector output noise voltage is 7 nV Hz at 1 kHz. Noise measurements show that the detector corner frequency is 120 Hz. It should be noted that all the measured noise voltages are multiplied by 1.05 dB due to the averaging characteristics of the spectrum analyzer for noise-like input signals [26].

Fig. 15 presents the measured detector responsivity and NEP values at 160 GHz for various bias currents. The detector results in an NEP of 0.7 pW/Hz and a responsivity of 11 kV/W at a bias current of 135 A/branch. The detector demonstrates stateof-the-art performance at D-band, as shown in Table I. IV. RADIOMETER MEASUREMENTS AND CHARACTERIZATION The SiGe D-band radiometer is realized by the integration of the three-stage LNA and the low-noise detector. The interface between the LNA and detector is optimized for conjugate matching and maximum power transfer. This is shown in Fig. 16 where the power transfer at 136 GHz is 4 dB higher

KANAR AND REBEIZ: LOW-POWER 136-GHz SiGe TOTAL POWER RADIOMETER WITH NETD OF 0.25 K

911

Fig. 18. Measured and simulated input return loss for the D-band radiometer.

Fig. 16. (a) De-embedded detector and LNA measurements on a Smith chart (markers at 136 GHz) and (b) maximum power transfer between the LNA and the detector versus frequency (generated from the LNA and detector test-cell measurements).

Fig. 17. Chip micrograph for the SiGe D-band radiometer (995 including the pads).

525 m

than at 155 GHz. The active area for the SiGe radiometer is 995 525 m without the pads (Fig. 17). The radiometer is characterized experimentally in both the millimeter-wave and the low-frequency domain using on-wafer probes. Measurements are performed for key radiometer performance parameters, such as responsivity, output noise voltage, NEP, dc temperature sensitivity, and eventually, NETD. It is shown that the results from both millimeter-wave and low-frequency methods agree well with each other. A. High-Frequency Radiometer Measurements The input impedance match for the radiometer is an important parameter as it dictates the antenna loss due to mismatch. As Fig. 18 presents, the on-chip SiGe radiometer is matched to 50 at 134–160 GHz dB .

The radiometer responsivity is measured using a VDI D-band multiplier chain and a PM4 calorimeter with couplers for tracking the input power level. The radiometer dc output is measured with a lock-in amplifier (SR-830) for accurate results [see Fig. 19(a)]. The sampling frequency of the lock-in amplifier is set to 1 kHz in order to avoid any flicker-noise effects on the responsivity measurements. A peak responsivity of 52 MV/W is measured at 136 GHz with a 3-dB bandwidth of 133.5–138.5 GHz [see Fig. 19(b)]. The measured bandwidth is narrower than the simulations due to the sharper LNA gain response. The responsivity is also measured versus input power, and the radiometer operates without significant compression ( 10%) for input power levels up to 57 dBm [see Fig. 19(c)]. The power sweep is limited by the available power settings, attenuators, and measurement ranges of the D-band test equipment. The setup for the radiometer noise measurement is presented in Fig. 20(a). A D-band noise source with an ENR of 13 dB K is used for -factor measurements, and the setup introduces 4.5-dB loss at the input including the WR-6 probe loss. The output noise spectrum is measured using a spectrum analyzer. The output noise is amplified using a low-noise pre-amplifier, and the gain of this amplifier is de-embedded from the measured results [see Fig. 20(b)]. The harmonics of 60 Hz dominate the lower frequency noise, but it is clear that the corner frequency for the radiometer is lower than 100 Hz. This low flicker-noise characteristic of the radiometer shows that it suitable for high-resolution imaging applications. The radiometer output noise levels out at 65 nV Hz when the noise source is in its cold state K and increases to 140 nV Hz for its hot state K input loss dB . The radiometer NEP is calculated from the measured output noise and the responsivity values, and is presented in Fig. 21. The minimum measured NEP is 1.4 fW Hz at 135.5 GHz and agrees with simulations. The NEP is 3 fW Hz within the radiometer 3-dB responsivity bandwidth. B. Low-Frequency Radiometer Measurements Low-frequency measurements for the radiometer noise characterization are performed in two different methods to accurately verify the radiometer performance. Fig. 22(a) presents the measurement setup for the thermal responsivity of the radiometer. The effective ENR for the noise source is changed

912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 21. Measured and simulated single-chip radiometer NEP.

Fig. 19. (a) Responsivity measurement setup, (b) measured and simulated radBm , and (c) measured diometer responsivity versus frequency GHz . responsivity versus input power

Fig. 22. Radiometer dc measurement for

characterization.

Fig. 23. Radiometer lock-in amplifier measurements for bandwidth characterization.

Fig. 20. (a) Radiometer noise measurement setup and (b) output noise voltage spectrum for radiometer and detector only.

and noise

using a D-band attenuator, and the output dc voltage is measured with an accurate multimeter and AD620, a low-noise operational amplifier (op-amp) by ADI. As usual, the gain of the op-amp is de-embedded from the measurements. Fig. 22(b) presents the radiometer dc response for various noise input levels. It should be noted that the input loss is 7.5 dB for the attenuator lowest loss state and results in a maximum input noise temperature of 1320 K. The dc output voltage slope versus input noise temperature is 4 V/K and is called the “thermal responsivity of the radiometer.” This value can be

KANAR AND REBEIZ: LOW-POWER 136-GHz SiGe TOTAL POWER RADIOMETER WITH NETD OF 0.25 K

913

TABLE II RADIOMETER PERFORMANCE SUMMARY AND COMPARISON

greatly increased by using a low-noise op-amp after the on-chip detector (i.e., not deembedding the AD620). Another low-frequency noise measurement setup is presented in Fig. 23. The radiometer output dc voltage is measured using a lock-in amplifier (locked at 100 Hz) for the hot and cold state of the radiometer without using the attenuator. In this case, K, K, and the dc voltage difference is nearly double that of the previous setup in Fig. 22. C. Radiometer System Characterization 1) Effective Noise Bandwidth: The radiometer effective noise bandwidth can be determined from the LNA gain, also called the pre-detector gain in Tiuri’s paper [14]. Using the LNA measured and integrating the gain for the whole D-band, the radiometer effective noise bandwidth is calculated as

(4) The three-stage amplifier forms a three-pole filter system due to the interstage networks. According to [14], the effective noise bandwidth can be approximated by multiplying the 3-dB gain bandwidth with 1.76. This approximation for the on-chip radiometer results in 10 GHz, which is close to the calculated value of 11.8 GHz from the measurements. 2) System Noise Temperature and NF: The -factor calculations are performed using the output noise voltage measurement shown in Fig. 20,

and

the validity of the measurements. First, using (2), the -factor measurement results, and the effective noise bandwidth calculated above GHz , the NETD is determined to be 0.25 K for ms. The NETD can also be expressed as [9] (6) is the radiometer output noise voltage density where V Hz at the offset frequency of (noise source off), and is the radiometer dc output voltage difference (noise source on). Plugging in the results from the dc measurements from Fig. 23) and the output noise measurements (Fig. 20), the NETD is determined to be K ms . The NETD can also be determined using the NEP measurements. The relationship between the NETD and the NEP for the radiometers is [16] (7) The measured average NEP in the noise bandwidth is 3.35 fW/Hz , and using (7), the NETD is calculated as 0.26 K. All three methods result in NETD values of 0.25 K. Table II presents a comparison of recent SiGe and CMOS radiometers, together with the classical work from HRL on III–V radiometer chip-sets. It is seen that the D-band SiGe radiometer results in state-of-the-art performance, even when compared to W-band systems.

(5a)

V. CONCLUSION

(5b)

A low-noise 136-GHz on-chip radiometer with compact size and state-of-the-art performance was demonstrated in a commercial 90-nm SiGe BiCMOS process. The radiometer NETD is 0.25 K, and is, to the best of our knowledge, the lowest thermal resolution reported at D-band using silicon technologies. The low flicker-noise and thermal resolution characteristics show that the SiGe radiometer is suitable for high-resolution imaging applications. The SiGe BiCMOS technology al-

The -factor is determined to be 2.15. Assuming an ENR of 13 dB and 4.5-dB setup loss at the input K K , the radiometer NF is calculated as 7.9 dB, and the corresponding system noise temperature is K. 3) NETD: The NETD is calculated by various methods using both the low- and high-frequency measurement results to verify

914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

lows highly integrated imaging systems at millimeter-wave frequencies with on-chip antennas and data processing units. It is expected that it can replace III–V systems due to lower cost and higher integration opportunity. ACKNOWLEDGMENT The authors thank Dr. J. Lynch, HRL, Prof. S. Voinigescu, University of Toronto, and M. Chang, University of Michigan, for technical discussions. The authors also thank D. Harame, IBM, for the access to the advanced SiGe process, and Prof. S. Voinigescu for the D-band noise source. REFERENCES [1] R. Appleby and R. Anderton, “Millimeter-wave and submillimeterwave imaging for security and surveillance,” Proc. IEEE, vol. 95, no. 8, pp. 1683–1690, Aug. 2007. [2] H.-B. Liu et al., “Terahertz spectroscopy and imaging for defense and security applications,” Proc. IEEE, vol. 95, no. 8, pp. 1514–1527, Aug. 2007. [3] D. Sheen et al., “Three-dimensional millimeter-wave imaging for concealed weapon detection,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 9, pp. 1581–1592, Sep. 2001. [4] G. V. Tryon, “Millimeter wave case study of operational deployments: Retail, airport, military, courthouse, and customs,” Proc. SPIE, vol. 6948, pp. 694802-1–694802-16, 2008. [5] D. A. Wikner, “Passive millimeter-wave imagery of helicopter obstacles in a sand environment,” Proc. SPIE, vol. 6211, pp. 621103–621103-8, 2006. [6] T. Luthi and C. Matzler, “Stereoscopic passive millimeter-wave imaging and ranging,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 8, pp. 2594–2599, Aug. 2005. [7] N. Alexander et al., “IMAGINE project: A low cost, high performance, monolithic passive mm-wave imager front-end,” Proc. SPIE, vol. 8544, pp. 854404–854404-14, 2012. [8] J. Wells, “Faster than fiber: The future of multi-G/s wireless,” IEEE Microw. Mag., vol. 10, no. 3, pp. 104–112, May 2009. [9] E. Dacquay et al., “D-band total power radiometer performance optimization in an SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 813–826, Mar. 2012. [10] Q. Gu et al., “A D-band passive imager in 65 nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 5, pp. 263–265, May 2012. [11] M. Hersman and G. Poe, “Sensitivity of the total power radiometer with periodic absolute calibration,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 1, pp. 32–40, Jan. 1981. [12] Y. Atesal et al., “Millimeter-wave wafer-scale silicon BiCMOS power amplifiers using free-space power combining,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 954–965, Apr. 2011. [13] F. Ulaby and D. G. Long, Microwave Radar and Radiometric Remote Sensing, 1st ed. Ann Arbor, MI, USA: Univ. Michigan Press, 2014. [14] M. Tiuri, “Radio astronomy receivers,” IEEE Trans. Antennas Propag., vol. AP-12, no. 7, pp. 930–938, Dec. 1964. [15] J. Lynch et al., “Passive millimeter-wave imaging module with preamplified zero-bias detection,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1592–1600, Jul. 2008. [16] J. May and G. M. Rebeiz, “Design and characterization of W-band SiGe RFICs for passive millimeter-wave imaging,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1420–1430, May 2010. [17] L. Gilreath et al., “Design and analysis of a W-band SiGe direct-detection-based passive imaging receiver,” IEEE J. Solid-State Circuits, vol. 46, no. 10, pp. 2240–2252, Oct. 2011. [18] Sonnet. ver. 13.54, Sonnet Softw. Inc., Syracuse, NY, USA, 2011. [19] J. May, “SiGe integrated circuits for millimeter-wave imaging and phased arrays,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. California at San Deigo, La Jolla, CA, USA, 2009. [20] K. Kurokawa, “Actual noise measure of linear amplifiers,” Proc. IRE, vol. 49, no. 9, pp. 1391–1397, Sep. 1961. [21] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. New York, NY, USA: Cambridge Univ. Press, 2004.

[22] F. Golcuk et al., “A 90–100-GHz 4 4 SiGe BiCMOS polarimetric transmit/receive phased array with simultaneous receive-beams capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 3099–3114, Aug. 2013. [23] M. Khanpour et al., “A high-gain, low-noise, 6 dBm PA in 90 nm CMOS for 60-GHz radio,” in IEEE CSIC Symp., Oct. 2007, pp. 1–4. [24] M. Uzunkol et al., “A 0.32 THz SiGe 4 4 imaging array using highefficiency on-chip antennas,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2056–2066, Sep. 2013. [25] Model SR830: DSP Lock-in Amplifier. Sunnyvale, CA, USA: Stanford Res. Syst., 2011, p. 94089. [26] Agilent Spectrum and Signal Analyzer Measurements and Noise Application Note. Santa Rosa, CA, USA: Keysight Technol., 2012. [27] M. Uzunkol and G. M. Rebeiz, “A low-Noise 150-210 GHz detector in 45 nnm CMOS SOI,” IEEE Microw. Wireless Compon. Lett, vol. 23, no. 6, pp. 309–311, Jun. 2013. [28] R. Jonsson et al., “Design and results of W-band power detectors in a 130 nm SiGe BiCMOS process technology,” in EuMIC, Oct. 2014, pp. 289–292. Tumay Kanar (GSM’10–M’15) received the B.S. degree in electrical and computer engineering from Cornell University, Ithaca, NY, USA, in 2010, the M.S degree in electrical and computer engineering from the University of California at San Diego, La Jolla, CA, USA, in 2012, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of California at San Diego. His doctoral study includes analog, RF, and millimeter-wave integrated circuits in silicon technologies for phased-array systems, wireless communications, and imaging systems. Mr. Kanar was a recipient of the Analog Devices Outstanding Student Designer Award and a Powell Fellow of the University of California at San Diego. Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena, CA, USA. He is currently a Professor of electrical and computer engineering with the University of California at San Diego, La Jolla, CA, USA. Prior to this appointment, from 1988 to 2004, he was with the University of Michigan. From 1988 to 1996, he contributed to planar millimeter-wave and terahertz antennas and imaging arrays and his group has optimized dielectric-lens antennas, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed 6–18- and 40–50-GHz 8- and 16-element phased arrays on a single silicon chip, making them one of the most complex RF integrated circuits (RFICs) at this frequency range. His group also demonstrated high- RF microelectromechanand the new ical systems (MEMS) tunable filters at 1–6 GHz angular-based RF MEMS capacitive and metal-contact switches. As a consultant, he helped develop the USM/ViaSat 24-GHz single-chip automotive radar, phased arrays operating at X-, Ku-, and W-band for defense and commercial applications, the RFMD RF MEMS switch and the Agilent Technologies’ RF MEMS switch. He is the Director of the University of California at San Diego (UCSD)/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). Prof. Rebeiz is a National Science Foundation (NSF) Presidential Young Investigator. He was an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was a Distinguished Lecturer for the IEEE MTT-S and IEEE AP-S. He has graduated over 40 Ph.D. students, and currently leads a group of 20 Ph.D. students and 5 Post-Doctoral Fellows in the area of millimeter-wave RFICs, microwaves circuits, RF microelectromechanical systems (MEMS), planar millimeter-wave antennas, and terahertz systems. He was the recipient of an URSI Koga Gold Medal Recipient, the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) 2003 Distinguished Young Engineer, the IEEE MTT-S 2000 Microwave Prize, and the IEEE MTT-S 2010 Distinguished Educator Award. He was also the recipient of the 1998 Eta Kappa Nu Professor of the Year Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at the University of Michigan, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have been the recipients of a total of 19 Best Paper Awards of IEEE MTT-S, RF Integrated Circuit (RFIC), and Antennas and Propagation Society (AP-S) conferences.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

915

Open-Ended Coaxial Dielectric Probe Effective Penetration Depth Determination Paul M. Meaney, Senior Member, IEEE, Andrew P. Gregory, Jan Seppälä, and Tapani Lahtinen

Abstract—We have performed a series of experiments, which demonstrate the effect of open-ended coaxial diameter on the depth of penetration. We used a two-layer configuration of a liquid and movable cylindrical piece of either Teflon or acrylic. The technique accurately demonstrates the depth in a sample for which a given probe diameter provides a reasonable measure of the bulk dielectric properties for a heterogeneous volume. In addition, we have developed a technique for determining the effective depth for a given probe diameter size. Using a set of simulations mimicking four 50- coaxial cable diameters, we demonstrate that the penetration depth in both water and saline has a clear dependence on the probe diameter, but is remarkably uniform over frequency and with respect to the intervening liquid permittivity. Two different 50- commercial probes were similarly tested and confirm these observations. This result has significant implications to a range of dielectric measurements, most notably in the area of tissue property studies. Index Terms—Dielectric, open-ended coaxial probe, penetration depth, probe diameter, tissue properties.

I. INTRODUCTION

T

ISSUE dielectric properties have been studied for many decades for multiple possible uses [1]–[3]. Tissues with large proportions of polar molecules—primarily water, generally have high permittivity, while nonpolar compounds—such as adipose tissue—have low permittivity [2]. These properties are often remarkably instructive with respect to identifying unwanted pathologies such as breast cancer or for the identification of edema in post surgical care [4]–[10]. Research groups have been interested in exploiting tissue contrast between benign and malignant breast cancer for years with several systems recently translating to actual clinical use [11], [12]. At a basic level, this contrast is largely a water effect because the predominant adipose tissue has much lower dielectric properties than the higher water content tumors [4], [5], [13]. However, more sophisticated analyses have suggested that mechanisms such as bound

Manuscript received November 09, 2015; revised January 11, 2016; accepted January 11, 2016. Date of publication January 29, 2016; date of current version March 03, 2016. This work was supported by the National Institutes of Health/ National Cancer Institute (NIH/NCI) under Grant RO1 CA191227-01. P. M. Meaney is with the Thayer School of Engineering, Dartmouth College, Hanover, NH 03755 USA (e-mail: [email protected]). A. P. Gregory is with the Materials Division, National Physical Laboratory, Teddington, Middlesex TW11 0LW, U.K. (e-mail: [email protected]). J. Seppälä is with the Cancer Center, Kuopio University Hospital, Kuopio 70210, Finland (e-mail: jan.seppala@kuh.fi). T. Lahtinen is with Delfin Technologies, Kuopio 70210, Finland (tapani.lahtinen@delfintech.com). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519027

water effects may also play a role over particular frequency ranges [14], [15]. Expanding on the water theme, a new series of coaxial probes has been developed that can monitor cutaneous and fat water levels based on elevated dielectric property levels [16]. A modestly priced device is now commercially available and has been applied to a range of diagnostic indications such as lymphedema monitoring and wound healing [8], [17]–[20]. Early work tended to focus on lower frequency studies because of test equipment limitations and the tissue preparation necessary [21]. Nelson [22] presented a thorough summary of measurement hardware and algorithms used over a broad frequency range including single and multi-frequency, time-domain, and transmission and reflection modes. In spite of these challenges, important insights were developed with respect to tissue property dispersions and the effects of various phenomena such as bound water [15]. For most measurement techniques, the basic rationale was to interrogate the tissue with microwave fields and deduce what the dielectric properties must have been to perturb the field measurements from when nothing was present to when the sample was in place [22]. One of the more important innovations in this field came with the advent of the open-ended coaxial dielectric probe [23], [24]. In this instance, the field interrogation occurred at the end of an open-ended coaxial cable where changes in the reflected signal are uniquely tied to the tissue dielectric properties. Numerous algorithms have been developed for deducing the properties from measurements depending on the models and approximations used to represent the fields at the end of the coaxial line [25]–[28]. These range from simple methods using fringing capacitance at the probe tips to more sophisticated techniques that match the range of coaxial and free-space propagation modes [26], [29], [30]. These have been widely used for testing a range of tissue types over very large frequency ranges [31]–[33]. One of the more challenging issues for the open-ended coaxial probe is the effective penetration depth in a heterogeneous sample. A report by Hagl et al. [34] demonstrated that, for a 2.3-mm-diameter dielectric probe, a homogeneous sample size needed to be 3 mm deep to ensure an accurate measurement. However, that result has been extrapolated to measurements for a range of homogeneous and heterogeneous tissue samples [35], [36] without validation in a systematic study on a heterogeneous phantom. Examples exist where small probes have been used to measure in vivo breast tissue through the skin while applying the assumption that the skin was sufficiently thin as to only slightly perturb the breast tissue property measurement [37]. Not surprisingly, the measurements produced values consistent with that of skin. Practitioners

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

of this technique are well aware of the importance of probe contact to the sample and the concomitant problems associated with measuring solids [29]. Application notes published by Keysight Technologies Inc., Santa Clara, CA, USA, explicitly discourage use with solids because even the slightest air gaps can dramatically skew the desired measurements [38], [39]. Both of these examples suggest that the volume closest to the probe surface is especially important with respect to a bulk measurement. In a recent publication by Meaney et al. [40], the authors demonstrated in a controlled two-layered phantom experiment that the first 0.3 mm of the sample closest to the probe tip has a disproportionally large influence on the tissue properties compared to the subsequent 2.7 mm (for a total of a 3-mm-deep sensing volume) for the 2.3-mm-diameter probe used in the Lazebnik studies [35], [36]. This result was for a single probe diameter and illustrated the fact that the sample zone influence was essentially constant over a broad frequency range. Exact knowledge of the penetration depth can have significant ramifications in certain applications. For instance, Meaney et al. [41] have utilized a small diameter probe to acquire superficial measurements of teeth surfaces to distinguish between actual tooth enamel and restorative dental resins for use in electron paramagnetic resonance (EPR) radiation dose experiments. For this situation it was critical that the measurement be predominantly superficial and not include contributions from the underlying dentin and other tissue types. Karni et al. [42] reported clinical results using a 7-mm-diameter probe to effectively sense the margins in breast cancer conservation surgeries to minimize re-excisions. The probe can sense to within 1-mm depth, which is an acceptable distance for detecting tumor margins. This penetration depth is consistent with measurements discussed in this paper. Other reports have applied small probes for superficial measurements such as for skin hydration [43] and various thin packaging materials [44], [45]. In related multi-layer studies, researchers explored ways to acquire multiple measurements in efforts to deconvolve the exact properties at different levels [46], [47]. The former of these two developed their own technique for determining an effective penetration depth, which is similar in some respects to that described in this paper. This effort was primarily geared towards differentiating the properties of skin and subcutaneous fat. It did indicate that the penetration depth was primarily a function of the probe diameter, but the results were limited to simulations and only performed at a quite low frequency—300 MHz. From a scientific perspective, our results are an important validation of this earlier report and emphasize where more recent instances did not consider these earlier analyses [35], [36]. The latter study [47] developed a clever way to accurately determine the dielectric properties of a homogeneous material in cases where there was a thin intervening layer of unknown thickness. It is an important result in that it deals with the multi-layer property measurement problem, but provides only minimal insight into discerning an effective penetration depth. In the present study, we utilize the same measurement configuration, but with a range of different diameter open-ended coaxial probes to illustrate that the effective penetration depth is closely related to the probe diameter. We have developed a

technique for systematically determining an effective penetration depth that explicitly attempts to identify a region below the probe surface where both the intervening liquid and solid sample have representative influence on the bulk tissue property measurements. The first results illustrate the electrical fields for two different layered medium cases to demonstrate how quickly the fringing fields fall off from the probe surface. The second results are a set of simulations for four different commercially available diameter coaxial cables performed at 2 and 4 GHz in both water and physiological saline, respectively, for correlation with the results presented in Meaney et al. [40]. The next set is a combination of simulation and actual measurements performed at 300 MHz for a set of different diameter probes manufactured as a clinical product for assessing cutaneous edema [48] and the standard Slim Form Dielectric Probe manufactured by Keysight Technologies Inc. Given the broadband nature of this phenomenon, these results are representative of the behavior over a broader spectrum. Finally, we present simulation data demonstrating that the penetration depths are virtually constant over frequency and with respect to the permittivities of the intervening liquids, but are linearly dependent on probe diameter. The following sections describe the measurement procedure in detail, the associated results for the different diameter probes, and the analysis for determining the effective penetration depth. II. METHODS A. Simulations of the Dielectric Probes CST Microwave Studio software (CST, Framingham, MA, USA) was used to measure the fields in the proximity of the dielectric probes used in these experiments. For these situations, the differences in the fields and how they were impacted by the presence of objects other than the homogeneous medium were examined. In particular, tests were performed for a large dielectric cylinder as it approached the probe surface. Simulations were examined for low frequencies (300 MHz) for the larger Delfin probes and higher frequencies (2 and 4 GHz) for the different RG-designated cables, respectively. Dimensions of the probes tested match those for two probes manufactured by Delfin Technologies [see Fig. 1(a) and Table I] and for four commercially available 50- probes fabricated from semi-rigid coaxial cables—radio guide designations UT-047-M17 (does not have an RG designation), RG-405 (essentially equivalent to the Keysight Technologies Inc. Slim Form Dielectric Probe), RG-402, and RG-401, respectively (Table II). The reflection coefficients were computed using methods developed by Hodgetts [49] and later validated experimentally by Gregory et al. [50]. These values are ultimately used to determine the perceived dielectric properties at the probe interface. The technique assumes a large surrounding cylinder, which is sufficiently large to have minimal impact on the measurements. However, in the case of the largest probe (M25) tested at 300 MHz, because the losses of the liquid and that of the acrylic cylinder are quite low, it is difficult to completely dampen the minor standing waves and the associated errors increased to roughly 2%–3%. For the

MEANEY et al.: OPEN-ENDED COAXIAL DIELECTRIC PROBE EFFECTIVE PENETRATION DEPTH DETERMINATION

917

B. Calculation of the Dielectric Properties From Actual Values For the actual measurements, the dielectric probes in this case were tested at 300 MHz. The technique for extracting the dielectric properties from the measurements utilized a variational approximation of the coaxial probe, which is considered as an electrostatic circuit element whose capacitance is computed using a stationary functional. The fundamental TEM modes and evanescent wave modes within the cable are used as basis functions while the fields outside the probe are represented with Hankel functions. The probes were calibrated using a common set of calibration standards including air, water:ethanol mixtures, and water. measurements of these known standards were taken with the Delfin probes and the corresponding measurement system along with an open-ended coaxial probe in conjunction with an HP8753B vector network analyzer (VNA). The Delfin probes were calibrated against the VNA measurements utilizing a standard curve-fitting procedure. The overall approach and validation tests are described thoroughly by Alanen et al. [29]. The static approximations limit the model’s use to frequencies below 500 MHz, but are more than sufficient for these 300-MHz experiments. The method has been proven to be accurate and easy to implement. In the case of the simulated results, the inversion technique to recover the complex permittivity from the data utilized a gradient-descent method with first order differentiation developed by Grant et al. [30]. It has been validated with respect to probe dimensions over a range of dielectric properties and associated frequencies. C. Effective Penetration Depth Determination

Fig. 1. Photographs of the: (a) two probes used in this experiment and (b) complete measurement system.

TABLE I DIAMETERS OF THE TWO DELFIN TECHNOLOGIES’ PROBES (FIG. 1)

DIAMETERS OF THE FOUR 50-

TABLE II PROBES SIMULATED IN THESE EXPERIMENTS

purposes of illustrating the effects of the probe diameter on the penetration depth, this approach is adequate.

Dielectric probe measurements are most useful when they provide relatively even weight to contributions to the bulk properties from different depths from the probe. This implies that for a two-layer problem, the properties should vary almost linearly from that of one material to that of the other for the region within the effective penetration depth as the solid object is moved away from the probe. This is illustrated in the sample experiment using a 2.16-mm-diameter probe (Keysight Technologies Inc.’s slim form dielectric probe) and plotting the perceived relative permittivity as a function of distance for a Teflon cylinder submerged in water (Fig. 2). Note that Teflon was used only for this experiment while an acrylic cylinder was used for all remaining experiments. In this example the curve is virtually linear until a separation of 0.22 mm, after which it tails off and asymptotically approaches the properties of water. We have defined the effective penetration depth as the distance when the perceived properties at that separation distance drop 20% below that of the ideal straight line extrapolated from the straightest section of the curve beginning at exact contact. In this case, we define the right position of the straight section for where the fit to a straight line for the section below it has a straight line correlation coefficient of 0.99. (As more data points at greater distances are included, the curve deviates progressively from a straight and the correlation coefficient decreases.) For this calculation, the straight line was represented as , where is the slope and is the -intercept. Since the actual curve is comprised of discrete points, it is unlikely that any point

918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 2. Example of calculation used to determine the penetration depth. Data is from measurements for a 2.16-mm-diameter slim form dielectric probe (Keysight Technologies Inc.) submerged in water with a Teflon cylinder for the second layer.

Fig. 3. Measurement system used to test the two-layer problem showing the water tank, dielectric probe, and dielectric cylinder. (a) Photograph and (b) schematic diagram.

would fall exactly 20% below the straight line. To overcome this problem, a linear interpolation process is applied using the two points on the curve closest to the 20% reduction from the straight line location. The equation for these two points can be constructed for that line segment represented by , where is the slope and is the -intercept, respectively. Combining these two equations and using the 20% permittivity reduction definition, the penetration depth is defined as (1) In this case, the slope of the line was 249 relative permittivity units/mm with an value of 0.993. The point at which the perceived value drops 20% below the fitted curve value occurs at a separation of 0.33 mm (interpolated from the nearest data points). The associated perceived relative permittivity values for the actual curve and fitted straight line were 60.6 and 75.9, respectively. It should be noted that, for this test, the acrylic cylinder was replaced with a Teflon one. The overall curve is offset slightly to the right because the Teflon is slightly compressible allowing for the probe to partially press into the block at the first measurement location. Therefore, the first actual offset location was roughly 0.05 mm to the right of the true zero. In this situation, the penetration depth must be adjusted lower to 0.28 mm to account for this. D. Description of Physical Experiment A two-layer problem was used to test the penetration depth concept. Fig. 3 shows the setup with an open-ended coaxial probe mounted inside a water filled tank with the probe facing upwards. The opposite end of the coaxial line was connected to an HP8753B network analyzer (Hewlett Packard, Santa Clara, CA, USA) to record the return-loss measurements. A movable acrylic piston was positioned above the probe and formed the second portion of the two-layer structure. Since the acrylic piston was relatively thick (10 mm), the second region was considered to have an infinite extent. The capacitance of the probe as a function of the first layer thickness was calculated from the reflection coefficient measured with the network analyzer. All measurements were performed at 300 MHz and the acrylic

Fig. 4. 2-D contour plots of the axial plane electric field magnitudes from a coaxial probe. (a) Probe in water and (b) probe in water with an acrylic layer positioned 1 mm from the surface.

dielectric constant was 3.0. The tests were performed for the two Delfin Technologies probes. The liquids were allowed to equilibrate overnight to room temperature, which was 23.0 C, and our measurements indicate that the temperature variation was within 0.1 C over a 2-h measurement period. III. RESULTS A. Simulations 1) Probe Electric Fields in Layered Dielectric Media: Fig. 4(a) and (b) shows close-up axial magnitude contour plots of the fields at 900 MHz within the coax and the area outside of the probe for the RG401 coaxial cable with the former medium being entirely water and the latter comprised of water for the closest layer and an acrylic layer starting 1 mm from the probe surface. For the homogeneous case, the magnitude contour pattern basically declines monotonically extending away from the open-

MEANEY et al.: OPEN-ENDED COAXIAL DIELECTRIC PROBE EFFECTIVE PENETRATION DEPTH DETERMINATION

919

ended coax and the high strength fields appear tightly packed in around the probe tip with field strength ranging from roughly 12 to 25 dB across the probe face. At a distance of 1 mm from the probe face, the field strengths range roughly between 18 and 35 dB. For the heterogeneous case, there appears to be an example of a standing wave between the acrylic and the coax and offset closer to the acrylic. The circular contours are disrupted and essentially start their more normal pattern to the left of the water:acrylic interface. In both cases, there is a concentration of the fields around the edge of the center conductor at the probe edge. In addition, the magnitude profiles across the probe faces only differ slightly in values and shape illustrating the feature that field values at the probe interface are only marginally different for the situation when an object is within the penetration depth and when one is not. 2) 2- and 4-GHz 50Coaxial Probe Studies: Fig. 5(a)–(d) shows the 2- and 4-GHz simulation plots of the perceived relative permittivity as a function of separation distance for the four different coaxial probe sizes. For the shorter distances of each plot there is a straight line extending from the value of 3 when the probe is touching the acrylic to roughly a value of 55–60 where it rolls off and asymptotically approaches the relative permittivity values of water, which are 78.8 and 75.8 at 2 and 4 GHz, respectively. The penetration depths were calculated using the same technique described in Section II-D. Table III shows the penetration depths for the four probes at 2 and 4 GHz. In addition, Table III also presents the values when physiological saline (0.9%) is used instead of water. The relative permittivity values for 0.9% saline are 78.1 and 75.2 for 2.0 and 4.0 GHz, respectively. The penetration depths demonstrate a clear dependence with probe diameter with maximum differences of 7.1 and 6.8% between the water and saline cases at 2 and 4 GHz, respectively. In general, the values are consistent as a function of frequency, but as the probe gets larger, especially for the RG-401 cable, the depths for the higher frequency do gradually increase compared to that for the 2-GHz case. 3) Summary of Probe Diameter, Frequency, and Medium Permittivity Effect on Penetration Depth: Simulations were performed using the RG-402 coaxial probe to compute the penetration depths as a function of frequency using water as the intervening liquid. Fig. 6 shows the penetration depth plotted as a function of frequency over the 0.5–10.0-GHz span. The values are virtually flat at 0.489 mm with only a slight suggestion of an increasing trend at the higher frequencies. The fit of the data to a second-order polynomial yields

Penetration Depth

(2)

where is the frequency in GHz. The correlation coefficient for the fit was 0.999. Simulations were also performed using the RG-402 coaxial probe to compute the penetration depths for the case where the intervening liquids covered a broad range of permittivities. In this case we performed the simulations with an intervening liquid having relative permittivities ranging from 10 to 80 in intervals of 10 with a constant conductivity of 1.0 S/m (Table IV).

Fig. 5. Plots of the 2- and 4-GHz perceived relative permittivity values from simulations for the two-layer problem as a function of separation distance for the four coaxial cables: (a) UT-047-M17, (b) RG-405, (c) RG-402, and (d) RG-401.

Fig. 7 shows the progression in penetration depth with respect to liquid permittivity values at 2 GHz.

920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

TABLE III PENETRATION DEPTHS (IN MILLIMETERS) FOR THE UT-047-M17, RG-405, RG-402, AND RG-401 COAXIAL PROBES FOR 2 AND 4 GHz WHEN TESTED IN WATER AND 0.9% SALINE

Fig. 8. Penetration depths for a water sample as a function of probe diameter. Data for the RG designated probes at 2 GHz and Delfin probes at 300 MHz are plotted.

Fig. 6. Plot of the penetration depth as a function of frequency from simulations for the RG-402 coaxial probe.

LIST

TABLE IV PERMITTIVITY AND CONDUCTIVITY VALUES 2 GHz FOR DIFFERENT INTERVENING LIQUIDS

OF

AT

where is the liquid permittivity. The correlation coefficient for the fit was 0.95. Finally, in examining the influence of the probe diameter on penetration depth, the extremes are bounded by the smallest reasonable probe diameter on the low end and the point at which the coaxial line will no longer support a single TEM wave when the diameter becomes electrically large. This imposes a practical limit as to the number of actual probe diameters that can be tested at a given frequency. To explore the consistency of these measurements over a broad diameter range, the penetration depths for the simulated probe measurements at 2 GHz in water from Section III-A2 are plotted with the two depths for the Delfin probes in water at 300 MHz in Section III-B(Fig. 8). It is clear that there is a linear influence of penetration depth with probe diameter with a correlation coefficient of 0.998. In this case, the relationship is Penetration Depth where

(4)

is the probe insulator outer diameter (in millimeters).

B. Measurements

Fig. 7. Plot of the penetration depth as a function of the relative permittivity of the intervening liquid for the RG-402 coaxial probe.

The depth is relatively flat as a function of permittivity while rising slightly at the lower values similar to an exponential curve. When fitted to an exponential equation, the result was Penetration Depth

(3)

Fig. 1(a) shows the two Delfin Technologies probes used in this experiment—S15 and M25. Each probe has been independently tested with a series of liquid dielectric property measurements to provide accurate property values [29]. Fig. 9(a) and (b) shows plots of the perceived permittivity curves at 300 MHz as a function of the water layer thickness. All cases demonstrate similar characteristic curves, which are virtually straight for the smaller separation distances and gradually fall off and asymptotically approach the actual values of water properties at larger separation distances. Similarly to the example presented in the previous section, Table V shows the perceived penetration depths of each probe for both the measured and simulated cases. The penetration depths demonstrate a clear probe diameter dependence and show consistency between measurement and simulations. It should be noted that, for the purposes of the Delfin Technologies literature [48], a different definition was used for defining the penetration depth. For that case, they determined the separation distance at which the perceived permittivity

MEANEY et al.: OPEN-ENDED COAXIAL DIELECTRIC PROBE EFFECTIVE PENETRATION DEPTH DETERMINATION

921

analysis confirms the challenges involved with measuring solid materials because even small amounts of air at the probe interface can have disproportionally large influences on the measurements. It also indicates that for the process of deconvolving the dielectric properties at different depths, the most likely method will inevitably involve applying probes of different diameters. We present data for a relatively low frequency and multiple higher frequencies, which showed that the penetration depth for a single diameter probe did not vary appreciably over the extended range from 0.5 to 10 GHz. This data confirms that penetration depth varies with probe diameter, but is essentially constant with frequency and intervening material permittivity. While there are an infinite number of possible heterogeneous property distributions, the multi-layer configuration is quite common and representative with respect to challenges encountered using the open-ended coaxial probes. Further investigation is certainly warranted to assess the effects of material heterogeneities extending out radially from the main axis of the coaxial probe. REFERENCES

Fig. 9. Plots of the 300-MHz perceived relative permittivity values for the twolayer problem as a function of separation distance: (a) S15 and (b) M25.

TABLE V MEASURED AND SIMULATED PENETRATION DEPTHS FOR TWO 50- DELFIN TECHNOLOGIES PROBES AT 300 MHz

values reached 75% of the actual water properties—in this case, 60 at 300 MHz. The values for the S15 and M25 models were 1.59 and 2.43 mm, respectively, corresponding to only 14% and 11% lower values than those computed with this technique. IV. CONCLUSIONS Dielectric probes of different diameters can be used for a number of applications involving different penetration depths. We have developed a robust method of determining the effective penetration depth, which allows for meaningful measurements in heterogeneous material mixtures. These results demonstrate that the penetration depth is clearly a function of probe diameter. While there is some influence to the property measurements from the second layer beyond the prescribed depth developed in this analysis, the influence is minimal. When requiring accurate measurements of homogeneous samples, the measurement sample thicknesses should be extended beyond the penetration depths described here. There is only a mild variation with respect to both frequency and intervening liquid permittivity. This

[1] H. P. Schwan, “Electrical properties of tissue and cell suspensions,” Adv. Biol. Med. Phys., vol. 5, pp. 147–209, 1957. [2] R. Cooke and I. D. Kuntz, “The properties of water in biological systems,” Annu. Rev. Biophys. Bioeng., vol. 3, pp. 95–126, 1974. [3] H. P. Schwan and K. R. Foster, “Microwave dielectric properties of tissue: Some comments on the rotational mobility of tissue water,” Biophys. J., vol. 17, no. 2, pp. 193–197, Feb. 1977. [4] W. T. Joines, Y. Zhang, C. Li, and R. L. Jirtle, “The measured electrical properties of normal and malignant human tissue from 50 to 900 MHz,” Med. Phys., vol. 41, no. 4, pp. 547–550, Apr. 1994. [5] S. S. Chaudhary, R. K. Mishra, A. Swarup, and J. M. Thomas, “Dielectric properties of normal and malignant human breast tissues at radiowave and microwave frequencies,” Indian J. Biochem. Biophys., vol. 21, no. 1, pp. 76–79, Feb. 1984. [6] A. Surowiec, S. Stuchly, J. Barr, and A. Swarup, “Dielectric properties of breast carcinoma and the surrounding tissues,” IEEE Trans. Biomed. Eng., vol. 35, no. 4, pp. 257–263, Apr. 1988. [7] L. Petäjä, J. Nuutinen, A. Uusaro, T. Lahtinen, and E. Ruokonen, “Dielectric constant of skin and subcutaneous fat to assess fluid changes after cardiac surgery,” Physiol. Meas., vol. 24, no. 2, pp. 383–90, Apr. 2003. [8] H. N. Mayrovitz, S. Davey, and E. Shapiro, “Local tissue water assessed by tissue dielectric constant: Anatomical site and depth dependence in women prior to breast cancer treatment-related surgery,” Clin. Physiol. Funct. I, vol. 28, no. 5, pp. 337–342, Sep. 2008. [9] K. Johansson, T. Lahtinen, and T. Björk-Eriksson, “Breast edema following breast conserving surgery and radiotherapy,” Eur. J. Lymphol., vol. 24, pp. 1–5, 2014. [10] T. Lahtinen, J. Seppälä, T. Viren, and K. Johansson, “Experimental and analytical comparisons of tissue dielectric constant (TDC) and bioimpedance spectroscopy (BIS) in the assessment of early arm lymphedema in breast cancer patients after axillary surgery and radiotherapy,” Lymphat. Res. Biol., vol. 10, no. 2, pp. 176–185, Sep. 2015. [11] S. P. Poplack et al., “Electromagnetic breast imaging: Pilot results in women with abnormal mammography,” Radiology, vol. 243, no. 2, pp. 350–359, May 2007. [12] M. Klemm, I. Craddock, J. Leendertz, A. Preece, and R. Benjamin, “Experimental and clinical results of breast cancer detection using UWB microwave radar,” in IEEE Int. Antennas Propag. Symp. , San Diego, CA, USA, 2008, pp. 1–4. [13] J. L. Schepps and K. R. Foster, “The UHF and microwave dielectric properties of normal and tumour tissues: Variation in dielectric properties with tissue water content,” Phys. Med. Biol., vol. 25, no. 6, pp. 1149–1159, Nov. 1980. [14] P. M. Meaney, A. H. Golnabi, N. Epstein, S. D. Geimer, M. W. Fanning, and K. D. Paulsen, “Integration of a microwave tomographic imaging system with MR for improved breast imaging,” Med. Phys., vol. 40, no. 10, pp. 103101-1–103101-13, Oct. 2013.

922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[15] K. R. Foster and J. L. Schepps, “Dielectric properties of tumor and normal tissues at radio through microwave frequencies,” J. Microw. Power Elect. Eng., vol. 16, no. 2, pp. 107–119, Feb. 1981. [16] E. Alanen, T. Lahtinen, and J. Nuutinen, “Measurement of dielectric properties of subcutaneous fat with open-ended coaxial sensors,” Phys. Med. Biol., vol. 43, pp. 475–485, 1998. [17] H. N. Mayrovitz, S. Davey, and E. Shapiro, “Skin tissue water assessed via tissue dielectric constant measurements in persons with and without diabetes mellitus,” Diabetes Technol. Theory, vol. 15, pp. 60–65, 2013. [18] H. N. Mayrovitz, D. Weingrad, and L. Lopez, “Patterns of temporal changes in tissue dielectric constant (TDC) as indices of localized skin water changes in women treated for breast cancer: A pilot study,” Lymphat. Res. Biol., vol. 13, pp. 20–32, 2015. [19] S. Birkballe, M. R. Jensen, S. Noerregaard, F. Gottrup, and T. Karlsmark, “Can tissue dielectric constant measurement aid in differentiating lymphoedema from lipoedema in women with swollen legs,” Brit. J. Dermatol., vol. 170, no. 1, pp. 96–102, Jan. 2014. [20] M. Guihan, B. M. Bates-Jenson, S. Chun, R. Parachuri, A. S. Chin, and H. McCreath, “Assessing the feasibility of subepidermal moisture to predict erythema and stage 1 pressure ulcers in persons with spinal cord injury: A pilot study,” J. Spinal Cord Med., vol. 35, no. 1, pp. 46–52, Jan. 2012. [21] H. P. Schwan, “The determination of biological impedances,” Phys. Tech. Biol. Res., vol. 6, pp. 323–407, 1963. [22] S. O. Nelson, “Fundamentals of dielectric properties measurements and agricultural applications,” J. Microw. Power Elect. Eng., vol. 44, no. 2, pp. 98–113, 2010. [23] M. A. Stuchly and S. S. Stuchly, “Coaxial line reflection method for measuring dielectric properties of biological substances at radio and microwave frequencies—A review,” IEEE Trans. Instrum. Meas., vol. IM-29, no. 9, pp. 176–183, Sep. 1980. [24] T. W. Athey, M. A. Stuchly, and S. S. Stuchly, “Measurement of radio frequency permittivity of biological tissue with an open-ended coaxial line: Part 1,” IEEE Trans. Microw. Theory Techn., vol. MTT-30, no. 1, pp. 82–86, Jan. 1982. [25] G. B. Gajda and S. S. Stuchly, “Numerical analysis of open-ended coaxial lines,” IEEE Trans. Microw. Theory Techn., vol. MTT-31, no. 5, pp. 380–384, May 1983. [26] C. Gabriel, T. Y. A. Chan, and E. H. Grant, “Admittance models for open ended coaxial probes and their place in dielectric spectroscopy,” Phys. Med. Biol., vol. 39, no. 12, pp. 2183–2200, Dec. 1994. [27] T. Y. A. Chan, C. Gabriel, and E. H. Grant, “Modeling of the reflection coefficient of an open ended coaxial line and its use for accurate complex permittivity measurements at frequencies up to 20 GHz,” in IEEE Int. Dielectr. Mater., Meas., Appl. Conf., 1992, vol. 1992, pp. 366–369. [28] J. M. Anderson, C. L. Sibald, and S. Stuchly, “Dielectric measurements using a rational function model,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 2, pp. 199–204, Feb. 1994. [29] E. Alanen, T. Lahtinen, and J. Nuutinen, “Variational formulation of open-ended coaxial line in contact with layered biological medium,” IEEE Trans. Biomed. Eng., vol. 45, no. 10, pp. 1241–1248, Oct. 1998. [30] J. P. Grant, R. N. Clarke, G. T. Symm, and N. M. Spyrou, “A critical study of the open-ended coaxial line sensor technique for RF and microwave complex permittivity measurements,” J. Phys. E, Sci. Instrum., vol. 1989, no. 9, pp. 757–770, Sep. 1989. [31] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: II. Measurements in the frequency range 10 Hz to 20 GHz,” Phys. Med. Biol., vol. 41, no. 11, pp. 2251–2269, Nov. 1996. [32] S. Gabriel, R. W. Law, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, no. 11, pp. 2271–2293, Nov. 1996. [33] A. P. Gregory, R. N. Clarke, T. E. Hodgetts, and G. T. Symm, “RF and microwave dielectric measurements upon layered materials using coaxial sensors,” Nat. Phys. Lab., Teddington, U.K., Rep. MAT13, 2008. [34] D. M. Hagl, D. Popovic, S. C. Hagness, J. H. Booske, and M. Okoniewski, “Sensing volume of open-ended coaxial probes for dielectric characterization of breast tissue at microwave frequencies,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1194–1206, Apr. 2003. [35] M. Lazebnik et al., “A large-scale study of the ultrawideband microwave dielectric properties of normal breast tissue obtained from reduction surgeries,” Phys. Med. Biol., vol. 52, no. 10, pp. 2637–2656, 2007.

[36] M. Lazebnik et al., “A large-scale study of the ultrawideband microwave dielectric properties of normal, benign and malignant breast tissues obtained from cancer surgeries,” Phys. Med. Biol., vol. 52, no. 20, pp. 6093–6115, 2007. [37] E. C. Burdette, “Electromagnetic and acoustic properties of tissues,” in Physical Aspects of Hyperthermia, G. H. Nussbaum, Ed. New York, NY, USA: Amer. Inst. Phys., 1982, pp. 105–150, no. 8. [38] “Keysight 85070E dielectric probe kit: Technical overview,” Keysight Technol., Santa Clara, CA, USA, Appl. Note 5989-0222EN, 2014. [39] “Basics of measuring the dielectric properties of materials,” Keysight Technol., Santa Clara, CA, USA, Appl. Note 5989-2589EN, 2014. [40] P. M. Meaney, A. Gregory, N. Epstein, and K. D. Paulsen, “Microwave open-ended coaxial dielectric probe: Interpretation of the sensing volume re-visited,” BMC Med. Phys., vol. 14, no. 3, Jun. 2014, Art. ID 1756-6649. [41] P. M. Meaney, B. B. Williams, S. D. Geimer, A. B. Flood, and H. M. Swartz, “A coaxial dielectric probe technique for distinguishing tooth enamel from dental resin,” Adv. Biomed. Eng. Res., vol. 3, no. 1, pp. 8–17, Dec. 2015. [42] T. Karni et al., “A device for real-time, intraoperative margin assessment in breast conservation surgery,” Amer. J. Surgery, vol. 194, pp. 467–473, 2007. [43] L. L. Li, N. H. Ismail, L. S. Taylor, and C. C. Davis, “Coaxial microwave probes for measuring thin moisture layers,” IEEE Trans. Biomed. Eng., vol. 39, no. 1, pp. 49–57, Jan. 1992. [44] Y. Y. Lim, M. D. Rotaru, A. Alphones, and A. P. Popov, “Simple and improved dielectric parameter extraction of thin organic packaging materials using open-ended coaxial line technique,” Proc. Inst. Electr. Eng.–Microw., Antennas, Propag., vol. 152, no. 4, pp. 214–220, Aug. 2005. [45] A. Cenanovic, S. Martius, A. Kilian, J. Schur, and L. Schmidt, “Non destructive complex permittivity determination of glass material with planar and convex surface,” in Proc. 6th German Microw. Conf., Darmstadt, Germany, 2011, pp. 1–4. [46] N. Stevens and L. Martens, “Dimensioning of open-ended coaxial probes for the dielectric characterization of thin-layered materials,” in IEEE Instrum. Meas. Tech. Conf., Budapest, Hungary, May 2001, pp. 1288–1290. [47] G. Chen, K. Li, and Z. Ji, “Bilayered dielectric measurement with an open-ended coaxial probe,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 6, pp. 966–971, Jun. 1994. [48] M. Kiiskinen, J. Nuutinen, and E. Alanen, “Measurement depths of a skin-water analyzer (MoistureMeter-D),” Skin Res. Technol., vol. 11, p. 292, 2005. [49] T. E. Hodgetts, “The calculation of the equivalent circuits of coaxialline step discontinuities,” Her Majesty’s Stationary Office, London, U.K., Roy. Signals Radar Establishment Memo. 3422, 1981. [50] C. Gabriel, S. Gabriel, and E. Courthout, “The dielectric properties of biological tissues: I. Literature survey,” Phys. Med. Biol., vol. 41, no. 11, pp. 2231–2249, Nov. 1996.

Paul M. Meaney (M’92–SM’10) received the A.B. degree in computer science and electrical engineering from Brown University, Providence, RI, USA, in 1982, the M.S. degree in electrical engineering from the University of Massachusetts, Boston, MA, USA, in 1985, and the Ph.D. degree in biomedical engineering from Dartmouth College, Hanover, NH, USA, in 1995. From 1996 to 1997, he was a North Atlantic Treaty Organization/National Science Foundation Postdoctoral Fellow with the Royal Marsden Hospital, Sutton, U.K. He is currently a Research Professor with Dartmouth College, where, since 1997, he has been a faculty member. His research interests include the development of microwave imaging for biomedical applications, especially breast imaging and hyperthermia monitoring.

Andrew P. Gregory received the B.Sc. degree in physics from the University of Manchester, Manchester, U.K., in 1984. Since 1984, he has been with the National Physical Laboratory (NPL), Teddington, Middlesex, U.K., where he is involved with dielectric measurements on liquids and solids at RF and microwave frequencies using a wide range of techniques. These include transmission line, coaxial sensor, resonant cavity, and quasi-optic methods. He has also been involved in establishing facilities for the

MEANEY et al.: OPEN-ENDED COAXIAL DIELECTRIC PROBE EFFECTIVE PENETRATION DEPTH DETERMINATION

923

measurement of specific absorption rate (SAR) at the NPL. His current research interests include traceable measurement of the dielectric properties of liquids, dielectric measurements at temperatures up to 1000 C, and measurement of the dielectric properties of surfaces on the micrometer scale with a resonant near-field scanning microwave microscope.

In 2010, he joined the Kuopio University Hospital Cancer Center, Kuopio, Finland, as a Medical Physicist and, in 2014, became the Acting Chief Physicist of the Department of Radiotherapy. His current research interests include intensity modulated radiotherapy techniques, molecular imaging, electrical machines, electronics, and radiobiology.

Jan Seppälä was born in Kotka, Finland, in 1973. He studied medical physics at Kuopio University Hospital, Kuopio, Finland. He received the Master’s degree and Licentiate of Philosophy degree, and from the University of Kuopio, Kuopio, Finland, in 2000 and 2004, respectively, and the Doctor’s degree in medical physics from the University of Turku, Turku, Finland, in 2012. His Master’s degree research concerned the subject of dosimetry of endovascular brachytherapy. His Licentiate of Philosophy research was based on IMRT and direct optimization. In 1999, he became a Junior Medical Physicist with the Radiotherapy Department, South Karelia Central Hospital, Lappeenranta, Finland. In 2005, he became a Medical Physicist with the Radiotherapy Department, Turku University Hospital, Turku, Finland. He was with Turku University for five years where he learned about medical imaging, especially PET imaging with a close collaboration with the Turku PET Centre. In 2010, he continued his research in IMRT.

Tapani Lahtinen was born in 1950. He received the M.Sc. degree in physics from the University of Jyväskylä, Jyväskylä, Finland, in 1973, and the Ph.D. degree in medical physics from the University of Kuopio, Kuopio, Finland, in 1981. In January 1976, he joined Kuopio University Hospital, Kuopio, Finland, where he remained up until his retirement in 2014. He is currently with Delfin Technologies Ltd., Kuopio, Finland. Prior to this, he has been a Researcher in medical physics in Switzerland in 1987 and a Visiting Professor of electrical engineering with Sophia University, Tokyo, Japan, from 1990 to 1991. His current interests include biomedical applications of electromagnetic waves, development of new technology for human tissue water measurement, and the combination of radiation and magnetic fields to enhance radiation response in cancer radiotherapy.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Gap-Corrected Thin-Film Permittivity and Permeability Measurement With a Broadband Coaxial Line Technique Yunqi Wang, Ian Hooper, Eleanor Edwards, and Patrick S. Grant

Abstract—A technique for measuring the high-frequency broadband complex permittivity and permeability of thin films from 50 MHz up to 18 GHz without the need for a reference sample for calibration is presented. A film for measurement is wound into a torus and inserted into a coaxial line. As a consequence, this method is suitable for measuring flexible self-supported films and coatings fabricated on flexible substrates. With the torus axis of symmetry along the coaxial direction, the electromagnetic properties of the wound torus can be deduced from the perturbed reflection and transmission coefficients of an incident wave. However, when permittivity and permeability are then obtained, there is a contribution from the effect of air gaps that cannot be avoided between layers of the wound film, which results in an underestimation of the measured permittivity and permeability. An analytical air-gap correction model has been developed to account for this air-gap effect that is then validated by experiments and shown also to be consistent with finite-element simulations. The relative effects of film thickness and air gap on a range of measured permittivity and permeability have been also elucidated. Index Terms—Broadband electromagnetic measurements, gap correction, permeability, permittivity, thin-film characterization.

I. INTRODUCTION

T

HIN films with tailored permittivity and permeability properties are used in microwave communication devices [1], [2] for applications such as electromagnetic interference shielding [3], [4], electromagnetic compatibility [5], field sensors [6], and magnetic recording [7], [8]. Consequently, convenient techniques for broadband electromagnetic characterization of these films in the microwave domain are required. However, broadband measurements on films above 1 GHz can sometimes be relatively restrictive [9], [10]. Resonant cavities whose resonance frequency and quality factor are Manuscript received May 30, 2015; revised August 20, 2015 and December 19, 2015; accepted December 24, 2015. This work was supported by the U.K. Engineering and Physical Sciences Research Council under Programme Grant EP/I034548/1, “The Quest for Ultimate Electromagnetics using Spatial Transforms (QUEST)” and by the U.K. Defence Science and Technology Laboratory. Y. Wang and P. S. Grant are with the Department of Materials, University of Oxford, Oxford OX1 3PH, U.K. (e-mail: [email protected]; [email protected]). I. Hooper is with the Department of Physics and Astronomy, University of Exeter, Exeter EX4 4QL, U.K. (e-mail: [email protected]). E. Edwards is with the Department of Engineering Science, University of Oxford, Oxford OX1 3PJ, U.K. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519915

changed by introduction of a thin-film sample, and from which the permittivity or permeability tensor can be derived, are straightforward, but are limited to discrete frequencies [11]. An open-ended coaxial probe method [12] has been developed for measurements between 200 MHz to 20 GHz, in which the fields at the probe end fringe into the material, becoming modified as they come into contact with the material under test. Techniques based on an open-ended coaxial probe method have also been developed for measuring thin multilayer structures [13]–[15]. Recently, a permittivity measurement on an indium tin oxide (ITO) film (100 nm) placed on a 0.5-mm-thick glass substrate was demonstrated [15]. However, this method is only suitable for measuring the permittivity of the material. In the standard waveguide technique, the sample under test is inserted into a segment of waveguide, and both the permittivity and the permeability of the sample are derived from the measured reflection and transmission coefficients. A permittivity measurement (from 4.2 to 5.8 GHz) of Teflon with thickness down to 0.5 mm was reported [16]. However, when the thickness of the sample is much less than the wavelength, the uncertainties in the extracted properties become large [17], making it unsuitable for measurements on thinner films. Methods that make use of transmissive planar circuits such as coplanar waveguides and microstripline techniques have been introduced for broadband thin-film measurements. The film under test is measured as part of the transmission line and, hence, the measured result is a function of both the film and metallic conductor that make up the transmission line [18], [19]. Therefore, the method used to separate the properties of the film from those of the metallic conductor becomes crucial for accurate extraction of the permittivity and permeability of the film. It has been shown in coplanar-waveguide measurements (from 45 MHz to 40 GHz) that a calibration comparison method [20], in which there two identical sets of coplanar waveguides with and without the material under test, is needed to separate the properties of the coplanar-waveguide conductor from those of the film. Similarly, for a shorted microstripline perturbation method (from 100 MHz to 5 GHz), a reference sample that is similar to the sample under study in both size and response is needed for accurate measurements [21]–[24] and significant errors may be introduced [25]. The coaxial transmission-line technique is a convenient approach that provides both permittivity and permeability measurement up to 18 GHz with no need for a reference sample. It is based on the measurement of the complex reflection and

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

transmission amplitude coefficients of a coaxial line loaded with material that are recorded by a vector network analyzer (VNA). From the changes in the coaxial line reflection and transmission coefficients upon material loading, the relative complex permittivity ( ) and permeability ( ) can be deduced by solving the Nicholson, Ross, and Weir (NRW) equations [26], [27]. Measurement of the permeability of a thin film has been demonstrated in the case of one layer of film covering the inner conductor of a coaxial line or the outer surface of a dielectric torus [28], but significant errors when films of relatively small permittivity and permeability, which provide only small changes in the reflected and transmitted signal, can arise. Instead, the films can be wound onto the torus, generating many revolutions of winding and many concentric layers [29]. However, the films must be tightly wound with no air gaps, but in practice the elimination of air gaps is very difficult to achieve so that the deduced permittivity and permeability of the thin film may be underestimated by an unknown amount. In this paper, an equivalent circuit model for gap correction of the coaxial line thin-film measurement technique is developed in Section III. In Section IV, this analytical approach is then validated by comparison with experimental results, and by comparing reflection and transmission data to that calculated using a simple finite-element-based model solved using Comsol Multiphysics software. Finally, the relative impact of the air gap and other parameters on the permittivity and permeability are discussed.

Fig. 1. Coaxial line measurement setup with ring-shaped sample under test inserted in between inner and outer conductor of the coaxial line.

II. EXPERIMENTAL A coaxial airline terminated with standard APC-7 connectors was used to characterize the thin-film samples. This had an outer conductor diameter of 7 mm and inner of 3 mm and was fabricated following the design of Lederer [30], supporting a transverse electromagnetic (TEM) waveguide mode up to 18 GHz. The coaxial line was designed and fabricated with a characteristic impedance of 50 . The coaxial cell was connected to a calibrated VNA (Anritsu MS4644A) that quantified the scattering parameters of the segment of coaxial line filled with the material under test, as shown in Fig. 1. For demonstration, a polytetrafluoroethylene (PTFE) thin film (536–4006 RS Components Ltd.) of 76- m thickness was finger-tight wound onto an inner ring of 3-mm diameter, with sufficient revolutions to give a final external diameter of 7 mm, which was then inserted snugly into the coaxial cell. Using the standard approach of NRW based on transmitted and reflected coefficients, Fig. 2(a) shows the apparent complex permittivity and permeability of the PTFE film as a function of frequency in the range from 500 MHz to 10 GHz. Although there was no observable air gap in the wound film in the cell by eye, as shown in the inset of Fig. 2(a), the air fraction of the sample was calculated to be around 12 vol. % according to the known length of the film used and the precisely known volume of the coaxial cell (1) and where respectively; ,

are the volume of the film and sample cell, , and are the film length, thickness

Fig. 2. (a) Measured frequency dispersions of the complex permittivity and permeability for a 76- m PTFE film with 12 vol. % air gap. The inset shows the photograph of the wound PTFE sample inside the coaxial cell. (b) Simulation results of the measured permittivity error ( ) as a function of permittivity for a film with a 12 vol% air gap. The inset shows the electric field magnitude from the Comsol Multiphysics model.

(76 m), width (7 mm), respectively. The measured real permittivity of the PTFE film with no air-gap adjustment sample was 1.78 over the range, compared with a data sheet value of close to 2 over this frequency range

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: GAP-CORRECTED THIN-FILM PERMITTIVITY AND PERMEABILITY MEASUREMENT WITH BROADBAND COAXIAL LINE TECHNIQUE

3

(3) where and ( ) are capacitances considering one layer of air and one layer of film, respectively, and is the measured effective capacitance of the arrangement as a whole. The capacitance for a cylindrical geometry is Fig. 3. Schematic representation of a wound film with film layers depicted as series of concentric rings, each separated by an air gap of constant thickness. The , where denotes the sequence number of radius dimension is defined as being the first inner air layer. denotes layer (either air or film) with the radius considered from center to the inner boundary of the th layer, and is the radius to the outer boundary of the th layer.

A 3-D model of the experimental coaxial line measurement arrangement was established using Comsol Multiphysics RF Module software. Wound films with thickness of 76 m were established in the model along with the air volume fraction of 12 vol. % obtained by experiment, assumed to be distributed equally between each concentric layer. As in experiment, transmission and reflection parameters were retrieved from the model and converted to a real permittivity and real permeability. Fig. 2(b) shows the difference in the simulated permittivity and the actual permittivity of films, as a function of the actual permittivity of the film: the difference increased significantly as the permittivity of the film increased, with an error of almost 50% for a material with a real permittivity of 20. This shows the necessity for applying a correction for the air content if this convenient thin-film measurement technique is to be used, but, to the best of our knowledge, a suitable approach is not available in the literature. III. ANALYTICAL MODEL The background to the correction model is based on a layered capacitor model by Baker-Jarvis [31], which is used to mitigate the uncertainties caused by the air gaps when a bulk toroidal or ring sample is measured in a coaxial cell, but where there will generally be an air gap between the sample and the inner conductor, and between the sample and outer conductor. We now extend this approach model to the more complex case of wound thin films, which we simplify as a number of concentric rings, each separated by a small air gap of constant thickness, as shown in Fig. 3. With reference to the schematic arrangement in Fig. 3, for the calculation of the gap correction for permittivity, the arrangement can be considered as alternating layers of air and material each acting as a capacitor in series [32], [33]. For capacitors connecting in series, (2) so that

(4)

where and are the radius of the inner conducting cylinder and outer conducting cylindrical shell, respectively, is the cylindrical capacitor length, and is the dielectric constant of the capacitor medium. Substituting (4) into (3) yields

(5) where and are the permittivities of air and material, respectively. The radius dimension is defined as , where denotes the layer as either air or film, with at the inner conductor. denotes the radius considered from the center to the inner boundary of the th layer, and is the radius to the outer boundary of the th layer. Equation (5) can be rearranged to (6)

where is the material actual complex relative permittivity with the air gap corrected, is the measured uncorrected complex relative permittivity that includes the effect of the air gaps, and (7) (8) where and are inner and outer dimensions of the coaxial line, which are 3 and 7 mm, respectively; and are the layer thicknesses of the air layer and film layer, respectively. Similarly, for an air-gap correction for the permeability, the total inductance is considered, (9) and ( ) are inductance considwhere ering one layer of air and one layer of film, respectively, and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

is the measured effective inductance of the arrangement as a whole, yielding (10) where is the permeability of the inductor medium. Substituting (10) into (9) gives

(11) that can be rearranged to (12) is the material actual complex relwhere ative permeability with the air-gap corrected, is the measured uncorrected complex relative permeability that includes the effect of air gaps, and and are defined in (7) and (8). IV. RESULTS AND DISSCUSSION The validity of the air-gap correction approach for thin-film measurement was assessed by application to measurements of previously well-characterized PTFE films with . As well as the analytical air gap approach based on (2) to (12) above, simulations using the Comsol Multiphysics RF module were also performed with the air-gap geometry explicitly represented. An additional measurement of the permittivity of our thin PTFE films was also obtained using a split post dielectric resonator (SPDR) [34]–[36] at 15 GHz, resulting in a permittivity of . Even though this value has been obtained at a higher frequency than used in our coaxial measurements, it is well known that the permittivity of PTFE is nondispersive in this frequency region. Thus, the SPDR measurement provides further validation of our technique. Although only isotropic materials are discussed here, the technique is not restricted to measurements on isotropic materials, and can also be used to determine the in-plane anisotropic permeability of a film by rolling the film in different orientations since the magnetic field is in the azimuthal direction in the measurement arrangement. Conversely, due to the electric field being in the radial direction, only the permittivity in the direction normal to the film surface can be determined. The 76- m-thick PTFE films were wound onto a core to form a ring with a range of different tensions in order to produce deliberately different air-gap contents. The wound ring length is 7 mm in order to fit into the sample cell. The measured permittivities were approximately constant over the frequency range from 50 MHz up to 10 GHz. Fig. 4(a) shows a comparison between the corrected extracted permittivity as determined from the experiment and the equivalent simulated extracted permittivity for different air-gap volume fractions, indicating that the measured permittivities were lower with higher air fractions. Residual errors following a conventional

Fig. 4. Measured and simulated: (a) permittivity of wound PTFE thin films with different air-gap volume fractions by experiment and simulation, and permittivity corrected for air gaps using (6) and (b) permittivity adjustment required for a range of air-gap volume fractions for films with intrinsic permittivities of 2 and 3.

short-open-load-through (SOLT) calibration of our VNA (Anritsu MS4644A with an Anritsu 3652A calibration kit) lead to uncertainties of approximately 1.5% in the permittivities and permeabilities obtained using the NRW algorithm, while errors in the measurement of the specimen length of 0.3 mm result in 1% measurement uncertainties. Other uncertainties could arise from possible defects in the jig (i.e., impedance match, copper losses of the conductors) and also the flatness of the wound specimen surface. The Comsol simulated permittivities were almost exactly coincident with the experimental data. Equation (6) was then applied to the measured permittivites to account for the air gaps and recovered the permittivities in excellent agreement with for PTFE at all air fractions below 50%. At 54 vol. % air, the agreement was reduced because the assumption that the air and film layers were uniformly separated becomes increasingly unrealistic as the air-gap fraction increases. Fig. 4(b) demonstrates the difference in the simulated permittivity and the intrinsic permittivity as a function of air-gap volume fraction for two films with an assumed permittivity of 2 and 3. It shows that is larger for films with higher intrinsic permittivity. To validate the air-gap correction method in the case of more lossy materials, additional simulations were performed using the measured complex permittivity of a polymer composite containing both carbon nanotubes and carbon black at 8 GHz, which

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: GAP-CORRECTED THIN-FILM PERMITTIVITY AND PERMEABILITY MEASUREMENT WITH BROADBAND COAXIAL LINE TECHNIQUE

Fig. 5. Frequency dispersions of complex permeability for a wound elastomer Fe particulate composite 100- m-thick magnetic film with a 35 vol. % air gap. The black solid and dotted lines are the corrected permeability from the measured permeability. Corrected permeability was used in simulations that explicitly included the air gap effect, shown as the open/closed black squares.

was reported as in [37]. Assuming this material was again in the form of a 76- m-thick wound film with a 20.2 vol. % air gap, the identical Comsol coaxial line model was used to calculate the resulting S-parameters, and gave a “measured” complex permittivity of : the permittivity was again depressed due to the presence of the air gaps. This “measured” permittivity was then corrected using (6) to give an air-gap corrected permittivity of , in excellent agreement with the measured bulk permittivity [37]. Although validation of the air-gap correction was straightforward for permittivity, the range of available standard films with known complex permeability and frequency dispersion for validation of the permeability correct approach is very limited. A 100- m-thick sheet of a dispersion of Fe flake powders in an elastomeric matrix (RS Components Ltd.) was again wound into a ring on a central axial core and fitted into the coaxial measurement fixture. The measured frequency dispersion of permeability for a measured air gap of 35 vol. % is shown in Fig. 5. Following a similar procedure to permittivity, the measured data were then introduced into (12) to account for the air gaps to obtain a corrected permeability, which is also shown in Fig. 5. While this corrected permeability lies in the range that might be expected [38], the “true” values of the permeability for the specific film were unknown, leaving simulations as the only way to validate the technique. The corrected values of the permeability from the measurements were used to describe the film properties within the Comsol model and the S-parameters were calculated, from which the permeability without using any correction for the air gaps was extracted. If the measurement and correction technique worked correctly, these values would be expected to match the uncorrected values from the measurement. These values are also plotted in Fig. 5 and fall exactly on the measured complex permeability curves. Thus, these data shown in Fig. 5 demonstrate that the experimental measurements, their correction for contained gaps using an analytical approach, and the simulations show excellent self-consistency, and when taken with the excellent agreement provided by the same approach for

5

Fig. 6. Permittivity correction as a function of air-gap volume fractions for PTFE films of various thicknesses, based on experiment, analysis, and simulation.

permittivity, suggest a convenient and robust method for permeability correction. To investigate the effect of film thickness in this technique, PTFE films with various thicknesses at different air fractions were studied analytically and by simulation, and values of again obtained, which are shown in Fig. 6 for the various cases. Fig. 6 also shows a polynomial best fit of for a 76- m-thick PTFE, based on the experiments in Fig. 4(a). Despite changes in the film thicknesses of 25, 35, 50, 100, and 150 m, the resulting values of for a constant air fraction lay closely on the best fit line through the experimental data, demonstrating that film thickness does not have a significant effect on the measured permittivity. In other words, for the same film material, regardless of film thickness, the measured permittivity will be the same for the same overall air volume fraction. Fig. 7(a) and (b) shows the “correction factors” for permittivity and permeability as a function of the air-gap volume fraction for different values of intrinsic permittivity and permeability. Here the correction factor is the ratio of the corrected value to the measured uncorrected value, i.e., and . Fig. 7(a) and (b) can be used as “maps” to estimate a film corrected permittivity and permeability from measured values when the air volume fraction is known. The air-gap effect has a more significant effect on permittivity than permeability in the coaxial line arrangement, which is shown in more detail in Fig. 8. At the same air-gap volume fraction, and for the same measured uncorrected values, the significance of the correction on the extracted permittivity is greater than that on the permeability i.e., at 30 vol. % air gap, , ; , . For permittivity correction, the air gap has a dramatically increasing effect as the air-gap fraction increases, especially for the measurement of materials with relatively high intrinsic permittivity. In the coaxial arrangement, the azimuthal magnetic field is parallel to the plane of the discontinuity of the air gap between concentric windings of the film and, therefore, the air-gap effect is relatively small. In contrast, the radial electric field is normal to the air gap, resulting in a strong undermining of the measured permittivity and larger correction factor.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

V. CONCLUSIONS A simple broadband (50 MHz–18 GHz) permittivity and permeability measurement technique for thin films has been developed based on an analytical air-gap correction approach for wound samples in a coaxial arrangement. The technique has been validated for permittivity for well-characterized PTFE films, and by comparison with finite-element simulations, over a broad frequency range. The effect of film thickness and air-gap volume fraction on the measured permittivity and permeability have been investigated and discussed. This technique may be extended to account for temperature-dependent properties of wound films, and for characterization of materials deposited on flexible substrates. ACKNOWLEDGMENT The authors would like to acknowledge Dr. B. Tang for helpful discussion on theoretical model derivation, and the Electromagnetic and Acoustic Materials Group, University of Exeter, for access to equipment. REFERENCES

Fig. 7. Air-gap correction factor for various values of: (a) permittivity and (b) permeability.

Fig. 8. Air-gap correction factor for permittivity and permeability as a function of air-gap volume fraction.

The air-gap correction for thin-film permittivity and permeability measurement using a coaxial line method discussed in this paper could be further extended, for example, to films that are supported on a flexible substrate such as Mylar. In this case, the three medium system (substrate, coated film, air) could be characterized by a similar extended equivalent circuit. Alternatively, for measurements made as a function of temperature, the air-gap fraction may change with temperature because of the different thermal properties of air and film, which again could be accounted for readily in the analysis.

[1] M. J. Lancaster, J. Powell, and A. Porch, “Thin-film ferroelectric microwave devices,” Supercond. Sci. Technol., vol. 11, no. 11, pp. 1323–1334, Nov. 1998. [2] N. Gupta, A. Verma, S. C. Kashyap, and D. C. Dube, “Microstrustrural, dielectric and magnetic behavior of spin-deposited nanocrystalline niclek-zinc ferrite thin film for microwave applications,” J. Magn. Magn. Mater., vol. 308, no. 1, pp. 137–142, Jan. 2007. [3] E. Hakansson, A. Amiet, S. Nahavandi, and A. Kaynak, “Electromagnetic interference shielding and radiation absorption in thin polypyrrole films,” Eur. Polym. J., vol. 43, no. 1, pp. 205–213, Jan. 2007. [4] S. M. Abbas, M. Chandra, A. Verma, R. Chatterjee, and T. C. Goel, “Complex permittvity and microwave absorption properties of a composite dielectric absorber,” Composites, A, vol. 37, no. 11, pp. 2148–2154, Nov. 2006. [5] S. S. Kim, S. T. Kim, J. M. Ahn, and K. H. Kim, “Magnetic and microwave absorbing properties of Co-Fe thin films plated on hollow ceramic microspheres of low density,” J. Magn. Magn. Mater., vol. 271, no. 1, pp. 39–45, Apr. 2004. [6] A. Shalabnety and I. Abdulhalim, “Electromagnetic fields distribution in multilayer thin film structures and the origin of sensitivity enhancement in surface plasmon resonance sensors,” Sens. Actuators A, Phys., vol. 159, no. 1, pp. 24–32, Apr. 2010. [7] Y. Yang, L. Ma, and J. Wu, “Organic thin-film memory,” MRS Bull., vol. 29, no. 11, pp. 833–837, Nov. 2004. [8] D. A. Thompson, L. T. Romankiw, and A. F. Mayadas, “Thin film magnetoresistors in memory, storage, and related applications,” IEEE Trans. Magn., vol. MAG-11, no. 4, pp. 1039–1050, Jul. 1975. [9] C. A. Grimes and J. V. Prodan, “Swept frequency permeameters for measuring the complex, off-diagonal permeability tensor compnents of anisotropic, thin magnetic films,” J. Appl. Phys., vol. 73, no. 10, pp. 6889–6991, May 1993. [10] H. Koyama, H. Tsujimoto, and R. Shirae, “Permeability of CoNbZr amorphous thin films over a wide frequency range,” (in Japanese) Transl.: IEEE J. Magn., vol. 2, no. 9, pp. 815–820, Sep. 1987. [11] R. C. Taber, “A parallel plate resonator technique for microwave loss measurements on superconductors,” Rev. Sci. Instrum., vol. 61, no. 8, pp. 2200–2206, Apr. 1990. [12] J. Baker-Jarvis et al., “Measuring the permittivity and permeabiiity of lossy materials: Solids, liquids, metals, building materials, and negative-index materials,” NIST, Boulder, CO, USA, NIST Tech. Note, Feb. 2005, vol. 1536. [13] L. S. Anderson, G. B. Gajda, and S. S. Stuchly, “Analysis of an openended coaxial line sensor in layered dielectrics,” IEEE Trans. Instrum. Meas., vol. IM-35, no. 1, pp. 13–18, Mar. 1986. [14] F. M. Mbango, F. Ndagijimana, J. Chilo, and P. Saguet, “A coaxial probe fixture used for extracting complex permittivity of thin layers,” in IEEE Annu. Wireless Microw. Technol. Conf., Dec. 2006, pp. 1–4.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: GAP-CORRECTED THIN-FILM PERMITTIVITY AND PERMEABILITY MEASUREMENT WITH BROADBAND COAXIAL LINE TECHNIQUE

[15] E. A. Alwan, A. Kiourti, and J. L. Volakis, “Indium tin oxide film characterization at 0.1–20 GHz using coaxial probe method,” IEEE Access, vol. 3, pp. 648–652, May 2015. [16] B.-K. Chung, “Dielectric constant measurement for thin material at microwave frequencies,” Progr. Electromagn. Res., vol. 75, pp. 239–252, 2007. [17] R. Luebbers, “Effect of waveguide wall grooves used to hold samples for measurement of permittivity and permeability,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 11, pp. 1959–1964, Nov. 1993. [18] R. Marks and D. Williams, “A general waveguide circuit theory,” J. Res. Natl. Bur. Stand., vol. 97, no. 5, pp. 533–535, Sep. 1992. [19] M. D. Janezic, D. F. Williams, V. Blaschke, A. Karamcheti, and C. S. Chang, “Permittivity characterization of low- thin films from transmission-line measurements,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 132–2136, Jan. 2003. [20] M. D. Janezic and D. F. Williams, “Permittivity characterization from transimission-line measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. TH2D-5, pp. 1343–1346. [21] Y. Liu, L. Chen, C. Y. Tan, H. J. Liu, and C. K. Ong, “Broadband complex permeability characterization of magnetic thin films using shorted microstrip transmission-line perturbation,” Rev. Sci. Instrum., vol. 76, no. 6, Jun. 2005, Art. ID 063911. [22] V. Bekker, K. Seemann, and H. Leiste, “A new strip line broad-band measurement evaluation for determining the complex permeability of thin ferromagnetic films,” J. Magn. Magn. Mater., vol. 270, no. 3, pp. 327–332, Apr. 2004. [23] J. Jiang et al., “A new perturbation method for determining the broadband complex permeability of magnetic thin films,” J. Magn. Magn. Mater., vol. 320, pp. 750–753, 2008. [24] M. Ledieu, F. Schoenstein, J.-H. Le Gallou, O. Valls, S. Queste, F. Duverger, and O. Acher, “Microwave permeability spectra of ferromagnetic thin films over a wide range of temperatures,” J. Appl. Phys., vol. 93, no. 10, pp. 7202–7204, May 2003. [25] S. N. Starostenko, K. N. Rozanov, and A. V. Osipov, “A broadband method to measure magnetic spectra of thin films,” J. Appl. Phys., vol. 103, no. 7, Oct. 2008, Art. ID 07E914. [26] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [27] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [28] A.-L. Adenot et al., “Broadband permeability measurement of ferromagnetic thin films or microwires by a coaxial line perturbation method,” J. Appl. Phys., vol. 87, no. 9, pp. 5965–5967, May 2000. [29] O. Acher, J. L. Vermeulen, P. M. Jacquart, J. M. Fontaine, and P. Baclet, “Permeability measurement on ferromagnetic thin films from 50 MHz up to 18 GHz,” J. Magn. Magn. Mater., vol. 136, no. 3, pp. 269–278, Sep. 1994. [30] P. G. Lederer, “A transmission line method for the measurement of microwave permittivity and permeability,” RSRE, Malvern, U.K., Memo, Dec. 1990, vol. 4450. [31] J. Baker-Jarvis, M. D. Janezic, J. H. J. Grosvenor, and R. G. Geyer, “Transmission/reflection and short-circuit line methods for measuring permittivity and permeability,” NIST, Boulder, CO, USA, NIST Tech. Note, Dec. 1993, vol. 1355, pp. 49–50. [32] W. P. Westpal, “Techniques of measuring the permittivity and permeability of liquids and solids in the frequency range 3 c/s to 50 kMc/s,” Lab. Insul. Res., MIT, Cambridge, MA, USA, Tech. Rep., 1950. [33] R. L. Jesch, “Dielectric measurements of five different soil textural types as functions of frequency and moisture content,” U.S. Nat. Bur. Stand., Gaithersburg, MD, USA, Tech. Rep. NBSIR 78-896, Dec. 1978. [34] T. Nishikawa, K. Wakino, H. Tanaka, and Y. Ishikawa, “Precise measurement method for complex permittivity of microwave dielectric substrate,” in Precision Electromagn. Meas. Conf. Dig., Jun. 1988, pp. 155–156. [35] R. G. Geyer, J. Baker-Jarvis, and J. Ceremuga, “Measurements of the complex permittivity of microwave circuit board substrates using split dielectric resonator and reentrant cavity techniques,” in Dielect. Mater., Meas., Appl. Conf., Sep. 1996, pp. 21–24.

7

[36] J. Krupka, R. N. Clarke, O. C. Rochardt, and A. P. Gregory, “Split post dielectric resonator technique for precise measurements of laminar dielectric specimens-measurement uncertainties, conference on microwaves,” in Radar Wireless Commun. Conf., May 2000, pp. 305–308. [37] I. M. De Rosa, F. Sarasini, M. S. Sarto, and A. Tamburrano, “EMC impact of advanced carbon fiber/carbon nanotube reinforced composites for next-generation aerospace applications,” IEEE Trans. Electromagn. Compat., vol. 50, no. 3, pp. 556–563, Aug. 2008. [38] F. S. Wen, L. Qiao, H. B. Yi, D. Zhou, and F. S. Li, “Calculation of high frequency complex permeability of carbonyl iron flakes in a nomagnetic matrix,” Chin. Phys. Lett., vol. 25, no. 2, pp. 751–754, Feb. 2008.

Yunqi Wang received the B.Eng degree in materials engineering from the City University of Hong Kong, Hong Kong, in 2009, the M.S. degree in materials science and engineering from Stanford University, Stanford, CA, USA, in 2011, and the D.Phil degree in materials from the University of Oxford, Oxford, U.K., in 2015. Her research has examined novel processing of composites to create materials with controlled and anisotropic electrical and magnetic properties and arranging these according to designs that allow unusual and previously unattainable manipulations of microwaves.

Ian Hooper received the B.Sc degree in physics and Ph.D. degree from the University of Exeter, Exeter, U.K., in 1998 and 2002, respectively. From 2002 to 2014, he was a Research Fellow involved in the study of the interaction of electromagnetic and acoustic waves with materials. In 2014, he became the Technical Director of the EPSRC Centre for Doctoral Training in Metamaterials, University of Exeter. His current research is focused on plasmonics and metamaterials throughout the electromagnetic spectrum.

Eleanor Edwards received the M.Eng and D.Phil degrees in engineering from the University of Oxford, Oxford, U.K., in 2000 and 2004, respectively. She is currently a Post-Doctoral Researcher with the Engineering Science Department, University of Oxford. Her research interests are the electromagnetic properties of materials, both in the optical and microwave regions of the electromagnetic spectrum.

Patrick S. Grant received the B.Eng degree in materials engineering from Nottingham University, Nottingham, U.K., in 1987, and the D.Phil degree in materials from the University of Oxford, Oxford, U.K., in 1991. From 1994 to 2002, he was a Royal Society University Research Fellow; from 2002 to 2004, a Reader; since 2004, Vesuvius Professor of materials; and since 2015, Head of Materials with the University of Oxford. His research interests are in the invention and use of novel processes to manufacture advanced materials with controlled properties for applications in structural, energy storage, and functional applications including dielectric and magnetic materials. Dr. Grant has been a Fellow of the U.K. Royal Academy of Engineering since 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

931

Broadband Thin-Film Transmission-Line Characterization for Accurate High-Frequency Measurements of On-Wafer Components Hyewon Kim, Student Member, IEEE, Joonhyun Kim, and Yungseon Eo, Senior Member, IEEE

Abstract—A high-frequency fine-pitched (i.e., very narrow line width) thin-film transmission line (TL) characterization technique is presented. A new dielectric permittivity determination technique for thin-film TL structures is developed. Multi-layered mixed dielectric materials are then accurately characterized in a broad frequency band, to be followed by the TL characterization. For experiments, various lengths of TL and extra patterns were designed and fabricated by using both a 0.18- m SK Hynix CMOS process and 0.13- m Samsung CMOS process. S-parameters for the test patterns were measured by using a vector network analyzer from 10 MHz to 50 GHz. It is shown that not only the inherent de-embedding problems associated with wafer-level high-frequency characterizations can be conveniently eliminated, but also the frequencyvariant characteristic impedance of a thin-film TL can be readily determined using the proposed technique. It can be exploited for accurate high-frequency wafer-level component characterizations including miniaturized transistors, frequency-variant interconnect lines, and other circuit components. Index Terms—De-embedding, interconnect, measurements, scattering parameters, transmission line (TL).

I. INTRODUCTION

D

URING THE last several decades, integrated circuits and systems have drastically improved in their performance. With aggressive technology scaling, diverse system-level integration technologies have greatly improved the integrated electronic system performance through the increase of the level of integration [1]. Moreover, numerous computer-aided design (CAD) tools have accelerated the technical development. In result, the design of ultra-high performance integrated systems that integrate high-speed digital circuits, high-resolution analog circuits, and high-frequency microwave circuits into a single silicon die or package substrate became possible. However, as the circuit components become miniaturized up to several tens of Manuscript received May 14, 2015; revised August 05, 2015 and January 10, 2016; accepted January 11, 2016. Date of publication January 29, 2016; date of current version March 03, 2016. This work was supported by the Korea Research Institute of Standards and Science “Development of Technologies for Next-Generation Electromagnetic Wave Measurement Standards” project under Grant 12011016. H. Kim was with the Department of Electrical and Computer Engineering, Hanyang University, Ansan, Gyeonggi-do 425-791, Korea. She is now with SK Hynix, Icheon, Gyeonggi-do 467-701, Korea. J. Kim and Y. Eo are with the Department of Electrical and Computer Engineering, Hanyang University, Ansan, Gyeonggi-do 425-791, Korea (e-mail:[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519018

nanometer and they operate at unprecedented high speed [i.e., over 30 Gb/s for input/output (I/O)] or high frequency (i.e., over 60-GHz band for millimeter-wave application), system designers are faced with significant barriers in their progress due to the difficulty of accurate characterization and modeling of circuit components. In order to cope with the obstacles, detailed physical understanding and accurate characterization of finepitched thin-film transmission lines (TLs) are crucial. In integrated systems, interconnect lines that should be treated as TLs play a decisive role of the overall system performance since they have considerable effects on the power dissipation, signal loss, timing violation, and electromagnetic coupling noises [2], [3]. Moreover, since the frameworks of all the CAD tools are based on accurate physical models or circuit representation of various components, the level of measurement accuracy has a vital effect on the accuracy of CAD tools. For accurate wafer-level characterization of various circuit components, parasitic de-embedding (i.e., the elimination of the parasitic effects) is very important. In reality, parasitic de-embedding is directly correlated with high-frequency characterization of thin-film TLs. Therefore, technical issues associated with next-generation integrated system designs are closely correlated with accurate wafer-level TL characterizations. Although there are many wafer-level high-frequency characterization techniques including de-embedding, they can be classified into three categories. The first category is to represent the parasitic effects with equivalent circuits and then the equivalent circuit model parameters are separately determined [4]–[11]. The parasitic effects of the device-under-test (DUT) are eliminated. The next category is to represent the whole network that includes both DUT and parasitics with a cascaded network. With the use of network theory, the network parameters of the DUT is determined with matrix algebra [12]–[14]. However, these two categories, although useful at moderate frequencies, are not theoretically rigorous at high frequencies because the parasitic effects are modeled as lumped circuit elements and discontinuities are not sufficiently reflected. Thus, these techniques may have inherent limitations. The third category is to calibrate the measurement system with a thru-reflectline (TRL) calibration method [15] that regards the parasitic de-embedding as an integral part of the measurement equipment calibration [16]–[25]. Since the technique is theoretically rigorous even at high frequencies, the most accurate de-embedding can be achieved over a broad frequency band. However, the TRL-based characterization technique requires prior

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

knowledge for the characteristic impedance of the line standard. Therefore, without the accurate characteristic impedance determination of the line standard, the technique cannot be employed for de-embedding. In general, it is well known that the characteristic impedance determination is very difficult if the dielectric loss of the thin-film TL substrate is not negligible [16], [18], [26], [27]. In practice, the dielectric loss is in general frequency-variant and then accentuated as the frequency increases [28]. In this work, a new accurate high-frequency characterization technique for fine-pitched thin-film TLs is presented. Next, the wafer-level measurement techniques are reviewed, addressing the problems of accurate TL characterization fabricated on a lossy substrate. The TL parameter determination technique based on a new dielectric material characterization is then presented. It is shown that the conventional de-embedding problems or problematic issues pertinent to high-frequency on-wafer measurements can be overcome. Finally, the proposed technique is summarized and concluded. II. PROBLEMS OF HIGH-FREQUENCY WAFER-LEVEL CHARACTERIZATION In general, contact pads for the probing and access lines are inevitable for the experimental data acquisition on the wafer level. Since the contact pads and access lines may have a substantial effect on the DUTs, they have to be completely de-embedded. Otherwise, the measured DUT data is contaminated with parasitic effects. The de-embedding techniques concerned with short-open-load-thru (SOLT) calibration are based on lumped circuit models that may have inherent problems since the lumped circuit models may not be theoretically rigorous at high frequencies. On the contrary, the on-wafer TRL calibration [15] makes it possible to accurately de-embed the parasitic effects. The measurement reference plane (MRP) of the on-wafer TRL calibration is established at the center of the thru, not the end of the probe tip. Since the MRP can be shifted right next to the DUT using the propagation constant of the line, one can obtain accurate S-parameters without de-embedding. However, it requires customized TRL standards on the same substrate as the DUT. Moreover, since the calibration reference impedance (CRI) is set to the characteristic impedance of the line. If the S-parameters are taken with reference to the arbitrary line characteristic impedance, it is very difficult to interpret the measured data [16]. Thus, “the S-parameters with reference to an arbitrary CRI” should be converted into “S-parameters with reference to standard 50 .” The reference impedance transformation can be done by the following equations [29]: (1) (2) where and are the 50- -reference-based S-parameters and the arbitrary CRI-based measured S-parameters, respectively. The impedance mismatching factor is given by (3)

where

is the standard reference impedance (e.g., 50 ) and is the CRI. Therefore, as long as TRL calibration is employed, the accurate CRI determination becomes extremely important. For a low-loss substrate, the characteristic impedance can be determined by providing an accurate capacitance parameter [16]. Note that the accuracy of the measured low-frequency capacitance value directly affects the overall measurement accuracy of this method. For a lossy substrate, the TRL-based calibration comparison method [26] or SOLT-based Eo and Eisenstadt method [27] can be employed for the thin-film TL characterization. The former requires a two-tier calibration process, i.e., the first-tier calibration with well-defined reference lines on a low-loss substrate and second-tier calibration with DUT lines on a lossy substrate. The TL characteristic impedance can be derived from a calibration comparison procedure. Since the pad parasitic effect was not considered in [26], the relationship between the error boxes and the line impedance had been improved in [18] and [19]. However, simple impedance comparison model without reflecting the series parasitic impedance effects and the mismatch due to the two heterogeneous wafers (one for calibration and the other for the DUT) may cause an inherent measurement error [19]. Above all, however, the technique is not only too complicated to be employed in practice, but also requires calibration expertise. Unlike the calibration comparison method, the Eo and Eisenstadt method is simple and straightforward. Although it may not be accurate near resonance frequencies, the technique yields very useful information at a relatively low-frequency band. III. NEW EXPERIMENTAL TL CHARACTERIZATION TECHNIQUE A broad frequency band planar TL can be readily characterized if it is fabricated on a lossless substrate. In contrast, if the TL is fabricated on a lossy substrate, its characterization becomes extremely difficult as described in the two-tier calibration comparison method [26]. In many thin-film TLs, however, not only is the dielectric loss not negligible over the 10 GHz, but also all the TL parameters are frequency-variant. A. Experimental Setup The test structures for the experiments were designed and fabricated using a 1-poly 6-metal 0.18- m SK Hynix CMOS process. The microstrip lines were designed with a top thick metal (thickness of 2.07 m). The signal line lengths are 100, 500, 1000, and 1700 m and the width is 4 m. Metal 5 was assigned as the ground plane, which includes the minimum sized slots. The contact pad size is 80 by 80 m and the pitch is 150 m. In order to minimize the evanescent modes due to the probe tip to the pad transition, a triangle-shaped metal was added between the pad and the line. The relative dielectric constants that the manufacturer provided are 3.9087 for inter-metaldielectric (IMD) and 6.7323 for passivation dielectric (PAS). The photograph of the line with the contact pads and the crosssection dimensions are shown in Fig. 1(a). For the S-parameter measurements, each port of the two-port vector network analyzer (VNA) (Agilent E8361A) was connected to the probe tip (Cascade I50-A-GSG-150). For the SOLT calibration, an impedance standard substrate (Cascade

KIM et al.: BROADBAND THIN-FILM TL CHARACTERIZATION FOR ACCURATE HIGH-FREQUENCY MEASUREMENTS OF ON-WAFER COMPONENTS

933

Fig. 2. Propagation constant. The SOLT-based S-parameters are de-embedded and then the propagation constant is determined by using [27]. For the MTRL algorithm [17], 500-, 1000-, and 1700- m-long lines, and two identical open pads for reflect are employed.

Fig. 1. Experimental setup. (a) Top view (photograph) and cross section of a microstrip line test structure. (b) Measurement system setup.

101–190) was employed. The SOLT-based S-parameters were de-embedded using the open-short de-embedding technique proposed by Koolen et al. [5]. For the on-wafer TRL calibration, the test patterns (500- m-long line for thru, dummy opens for reflect, and 1000, 1700- m-long lines for line) were used, and the external software (Cascade WinCal XE) was applied. The measurement system is shown in Fig. 1(b). B. TL Parameter Determination Using Conventional Methods TL characterization can be done with the determination of its propagation constant and characteristic impedance. An accurate propagation constant, , of the TL is the by-product of the TRL calibration algorithm. In this work, the multiline TRL (MTRL) [17] that improves conventional TRL calibration is used as a benchmark for determining . Alternatively, can be determined from the de-embedded S-parameters based on the SOLT calibration [27]. The attenuation and the phase constant (i.e., real and imaginary parts of , respectively) are determined by employing two calibration methods (i.e., SOLT and MTRL). They show good agreement up to 50 GHz, as shown in Fig. 2. This supports the position that the propagation constant is relatively insensitive to the resonance effect due to the impedance discontinuity regardless of the calibration method. Unlike , the characteristic impedance, , determined using the SOLT-based S-parameters [27] may not be accurate near the resonance frequencies. and can be represented by the per-unit-length (PUL) TL circuit model parameters (i.e., , , , and ) as (4) (5)

Thus, if either or is determined, can also be determined from , and vice versa. Although all of the PUL-TL circuit model parameters are functions of frequency, is negligible at low frequencies and is not a strong function of the frequency. On the other hand, both and are a strong function of the frequency. Thus, the determination of is much more difficult than the determination of . In practice, once the complex dielectric permittivity of the thin film can be accurately determined over the measurement frequency band, the frequency-variant can be determined. C. Dielectric Material Characterization Although there are several techniques to determine dielectric permittivity in bulk dielectric materials [30], they cannot be used for the broadband characterization of a fine-pitched thin-film TL that is fabricated on a lossy multi-layered mixed material. As shown in Fig. 1, the TL is surrounded by multiple dielectrics (i.e., IMD, PAS, and air). The effective complex permittivity can be represented as follows: (6) where

is associated with the dielectric polarization and represents the dielectric energy loss. Neglecting the contact resistance, the effective complex permittivity can be determined from the S-parameter measurement data of the contact pads that are fabricated on the same wafer as the DUT, i.e., (7) is the CRI (50 for SOLT) and is the impedance where of the dummy open. Note that if the SOLT calibration is employed, the MRPs become the very end of the probe tip. However, since the open pad is regarded as the DUT itself, the de-embedding is not necessary. By converting the measured S-parameter data for the open pad into Y-parameters, the pad capacitance and conductance can be determined from the shunt admittance . However, if the series impedance of the pad has an effect on the determination of the loss tangent, the open pad including contact resistance need to be considered, as shown in Fig. 3 (see

934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 3. Circuit model for open pad and effective loss tangent determined using the open pad measurements of various dies.

Fig. 4. Comparison of the effective loss tangent using open pads with those using the various lines.

the internal box for the open pad), where and are the pad admittance and contact resistance, respectively. The loss tangent including the contact resistance (i.e., ) can be defined as (8) and the loss tangent removing the contact resistance (i.e., ) can be determined as

(9) The sheet resistances of the top (signal) and bottom (ground) while the maximum contact metal are 0.0186 and 0.0820 resistance of the probe is 0.05 . This makes the total contact resistance equal to 0.1506 , approximately. Note that since the different weighting of dielectric materials may have an effect on the loss tangent, the loss tangent is drawn from the TL parameters,

Fig. 5. Pad capacitance and effective loss tangent extracted from the one-port S-parameter measurements.

that the variation due to the different weighting of the dielectric material may not be so significant (11) Note that since the pad has the shortest line length (i.e., only 80 m), it is least sensitive to the resonances. However, since there are process variations, will be used with the average value of the measurement data as follows: (12)

(10) indicates dc conductance, which is negligibly small. Since the pads also have process variations, the average value was selected (see Fig. 3). is then compared with . Note that they are similar to each other at low frequencies, as shown in Fig. 4, whereas at high frequencies, they show large discrepancy due to resonances. In fact, the resonance is considered an unavoidable problem as long as the data are obtained through high-frequency measurements. In result, the data are physically reasonable only when they are less than the resonance frequencies, which are marked with arrow lines in Fig. 4. Based on these data, it is considered

The extracted pad capacitance and the effective loss tangent are shown in Fig. 5. Note that both parameters are frequencyvariant. The low-frequency capacitance can be represented by (13) where is the geometry-related parameter. Thus, eliminating , the frequency-variant characteristic of the real part of the effective complex dielectric permittivity can be represented as (14)

KIM et al.: BROADBAND THIN-FILM TL CHARACTERIZATION FOR ACCURATE HIGH-FREQUENCY MEASUREMENTS OF ON-WAFER COMPONENTS

935

where can be considered the normalized effective permittivity that reflects only the frequency-variant characteristics of . As shown in Fig. 5, the experimentally determined effective loss tangent is 0.0004 at 1 GHz and 0.0017 at 10 GHz. The value is very similar to that of SiO , which constitutes the major part of the mixed-dielectric materials, although it is negligibly small. However, as the frequency increases, it drastically increases and saturates at 30 GHz. Such frequency dependency of dielectric permittivity is considered due to various polarization mechanisms [31]. It is noteworthy that thin-film dielectric material characteristics (e.g., dielectric constant, temperature dependence, and resistivity) may be different from those of a bulk [32]. With previously determined normalized effective permittivity, , the frequency-variant line capacitance can be determined as (15) can be where the low-frequency line capacitance readily determined using [27] since the resonances do not occur at the low frequencies of which the corresponding wave length is less than . In this work, is taken by averaging the values that are determined in the frequency range of 100 MHz to 2 GHz, which is definitely out of the resonance frequencies. Once the frequency-variant line capacitance and the effective loss tangent are determined, the frequency-variant line conductance can also be determined as

Fig. 6. Overview of the proposed high-frequency TL characterization method.

(16) The frequency-variant line resistance and the line inductance can be then determined. Finally, the thin-film TL characteristic impedance can be accurately determined as (17) The characteristic impedance determination procedures for the thin-film TL are summarized in Fig. 6. The important steps that are concerned with this work are numbered with a circle. Note that the grey area indicates the technical fields where the work can be exploited. IV. RESULTS AND DISCUSSION In this section, the experimentally determined TL parameters and the characteristic impedance are investigated in more detail. TL parameters that are obtained with two conventional methods are compared with those using the proposed method in Figs. 7 and 8. One of the conventional techniques is the Eo and Eisenstadt method [27] based on the SOLT calibration, and the other is the Marks’ method [16] based on the MTRL calibration. Up to 10 GHz, although either of the two methods can be used, they yield similar values. However, the parameters using the conventional techniques show a large discrepancy as the frequency exceeds about 10 GHz. This is mainly due to the resonance effect for the Eo and Eisenstadt method, whereas the discrepancy of Marks’ method is mainly concerned with the negligible dielectric loss assumption. In TLs, the losses are modeled with series resistance (i.e., conductive loss) and parallel conductance (i.e., dielectric loss). Although the total loss is associated

Fig. 7. Conductance and capacitance parameters for the TL of Fig. 1.

with the attenuation constant, the dielectric loss and the conductor loss are associated with mutually independent loss mechanisms. They need to be observed separately. In many practical circuits, the dielectric loss cannot be neglected at high frequencies exceeding 10 GHz. If the dielectric loss is neglected and capacitance is assumed as constant, the frequency-variant characteristic of the resistance can be incorrectly estimated as shown in Fig. 8. Note that the inductance is frequency-variant in the low-frequency region due to the proximity effect, but converges to a constant value with an increase in frequency. In Fig. 9, the characteristic impedances extracted with various methods are compared. Unlike (see Fig. 2), the characteristic impedance is very sensitive to the resonance, dielectric material characteristics, conductive loss, and line lengths. Nonetheless, can be accurately determined with the proposed technique in a broad frequency band. Therefore, the proposed technique is useful for on-wafer TRL-based high-frequency components characterizations.

936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 10. Layout for open pads and the cross section of a micostrip line.

Fig. 8. Resistance and inductance parameters for the TL of Fig. 1.

Fig. 11. Pad capacitance and effective loss tangent for the open pad of Fig. 10.

0.18- m SK Hynix CMOS process). The microstrip lines were designed with metal 5 (thickness of 0.5 m . The signal line lengths are 3 and 0.5 mm long and 2 m wide. Metal 4 was assigned as the ground plane, which includes the minimum sized slots. The contact pad size is 80 by 80 m . The relative dielectric constants within the figure are nominal values that were provided by the manufacturer. The pad capacitance and dielectric loss tangent for the test patterns are shown in Fig. 11. Note that the propagation constant can be represented by (18) Now letting

, (19)

represents PUL line resistance based on the Marks’ Here, method. Moreover, the attenuation constant can be decomposed into the conductive loss constant and the dielectric loss constant Fig. 9. Characteristic impedance determined using various techniques for the . TL of Fig. 1 (a) Real and (b) imaginary parts of

In order to further investigate the effects of the dielectric loss, we measured the other test patterns that may show the problem that occurred when the dielectric loss was neglected. The test structures for the experiments (see Fig. 10) were designed and fabricated using a 1-poly 6-metal 0.13- m Samsung CMOS process (note that test patterns of Fig. 1 are fabricated using a

(20)

(21)

KIM et al.: BROADBAND THIN-FILM TL CHARACTERIZATION FOR ACCURATE HIGH-FREQUENCY MEASUREMENTS OF ON-WAFER COMPONENTS

937

measurements of integrated circuit components was solved. Thereby, accurate wafer-level S-parameter measurement data can be obtained using the proposed method. In a broad frequency band, the frequency-variant dielectric material properties were experimentally characterized. The conductor loss and dielectric loss of a TL can be characterized separately by using the proposed technique. Since the technique is simple and straightforward, it can be usefully exploited for accurate on-wafer high-frequency component characterizations in industry. ACKNOWLEDGMENT The authors would like to thank Dr. J.-S. Kang, Korea Research Institute of Standards and Science (KRISS), for supporting the measurements and helpful discussions. Fig. 12. Conductance and capacitance parameters for the TL of Fig. 10.

Fig. 13. Resistance and inductance parameters for the TL of Fig. 10.

where is the velocity of light. Clearly, the line capacitance is not constant and dielectric loss is not negligible, as shown in Fig. 12. Further, the line resistance variation due to the dielectric loss and frequency-variant capacitance should not be overlooked, as shown in Fig. 13. This implies that neglecting the dielectric loss and frequency-variant characteristic of the permittivity, the thin-film TL parameters cannot be accurately determined at high frequencies. The dielectric loss is a strong function of the frequency. V. CONCLUSION In this paper, a new experimental characterization technique for fine-pitched thin-film TLs that are fabricated on a lossy substrate is proposed for accurate on-wafer measurements. The determination of the frequency-variant characteristic impedance of the TL is the key to solve the de-embedding problem for on-wafer component characterization. In this work, by characterizing the mixed dielectric materials using the contact pads that are fabricated on the same wafer as the DUT, frequency-dependent capacitance and the effective loss tangent were determined. It was then shown that the characteristic impedance of the thin-film TL can be accurately determined by combining dielectric characterization data with the propagation constant, which is the by-product of MTRL calibration. The major contributions of the work are considered as follows. The inherent de-embedding problem during high-frequency

REFERENCES [1] The International Technology Roadmap for Semiconductors (ITRS) Reports, , 2011 [Online]. Available: http://www.itrs2.net/2011-itrs.html [2] N. Magen, A. Kolodny, U. Weiser, and N. Shamir, “Interconnect-power dissipation in a microprocessor,” in Proc. ACM Syst. Level Interconnect Prediction, Feb. 2004, pp. 7–13. [3] D. Goren et al., “On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices,” in Proc. ACM Design Automation Conf., Jun. 2003, pp. 724–727. [4] P. J. van Wijnen, H. R. Classen, and E. A. Wolsheimer, “A new straightforward calibration and correction procedure for ‘on wafer’ high frequency S-parameter measurements (45 MHz–18 GHz),” in Proc. IEEE Bipolar Circuits Technol. Meeting, Sep. 1987, pp. 70–73. [5] M. Koolen, J. Geelen, and M. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar Circuits Technol. Meeting, Sep. 1991, pp. 188–191. [6] H. J. Cho and D. E. Burk, “A three-step method for the de-embedding of high-frequency S-parameter measurements,” IEEE Trans. Electron Devices, vol. 38, no. 6, pp. 1371–1375, Jun. 1991. [7] T. E. Kolding, “A four-step method for de-embedding gigahertz on-wafer CMOS measurements,” IEEE Trans. Electron Devices, vol. 47, no. 4, pp. 734–740, Apr. 2000. [8] I. M. Kang et al., “Five-step (pad-pad short-pad open-short-open) de-embedding method and its verification,” IEEE Electron Device Lett., vol. 30, no. 4, pp. 398–400, Apr. 2009. [9] H. Ito and K. Masu, “A simple through-only de-embedding method for on-wafer S-parameter measurements up to 110 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 383–386. [10] K. Takano, S. Amakawa, K. Katayama, M. Motoyoshi, and M. Fujishima, “Characteristic impedance determination technique for CMOS on-wafer transmission line with large substrate loss,” in Proc. 79th ARFTG Conf. Dig., Jun. 2012, pp. 1–4. [11] X. S. Loo, K. S. Yeo, and K. W. J. Chew, “Thru-based cascade de-embedding technique for on-wafer characterization of RF CMOS devices,” IEEE Trans. Electron Devices, vol. 60, no. 9, pp. 2892–2899, Sep. 2013. [12] A. M. Mangan, S. P. Voinigescu, M.-T. Yang, and M. Tazlauanu, “Deembedding transmission line measurements for accurate modeling of IC design,” IEEE Trans. Electron Devices, vol. 53, no. 2, pp. 235–241, Feb. 2006. [13] C.-I. Lee, W.-C. Lin, and Y.-T. Chew, “An improved cascaded-based noise deembedding method for on-wafer noise parameter measurements,” IEEE Electron Device Lett., vol. 36, no. 4, pp. 291–293, Apr. 2015. [14] X. S. Loo et al., “A new millimeter-wave fixture deembedding method based on generalized cascade network model,” IEEE Electron Device Lett., vol. 34, no. 3, pp. 447–449, Mar. 2013. [15] G. F. Engen and C. A. Hoer, “‘Thru–reflect–line’: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [16] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991.

938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[17] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [18] D. F. Williams, “Accurate characteristic impedance measurement on silicon,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, pp. 1917–1920. [19] D. F. Williams, U. Arz, and H. Grabinski, “Characteristic-impedance measurement error on lossy substrates,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 7, pp. 299–301, Jul. 2001. [20] A. Rumiantsev, P. L. Corson, S. L. Sweeney, and U. Arz, “Applying the calibration comparison technique for verification of transmission line standards on silicon up to 110 GHz,” in Proc. 73rd ARFTG Conf. Dig., Jun. 2009, pp. 1–6. [21] J. A. Reynoso-Hernandez et al., “Using lines of arbitrary impedance as standards on the TRL calibration technique,” in Proc. 81st ARFTG Conf. Dig., Jun. 2013, pp. 1–4. [22] D. F. Williams et al., “Calibration-kit design for millimeter-wave silicon integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2685–2694, Jul. 2013. [23] D. F. Williams et al., “Calibrations for millimeter-wave silicon transistor characterization,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 658–668, Mar. 2014. [24] C.-C. Huang, “Broadband complementary metal-oxide semiconductor interconnection transmission line measurements with generalized probe transition characterization and verification on multiline thru–reflect–line calibration,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 9, pp. 1564–1569, Sep. 2013. [25] V. S. Shilimkar, S. G. Gaskill, and A. Weisshaar, “Broadband characterization of on-chip RF spiral inductor using multi-line TRL calibration,” in Proc. 82nd ARFTG Conf. Dig., Nov. 2013, pp. 1–4. [26] D. F. Williams, R. B. Marks, and A. Davidson, “Comparison of on-wafer calibrations,” in Proc. 38th ARFTG Conf. Dig.–Winter, Mar. 1991, pp. 68–81. [27] Y. Eo and W. R. Eisenstadt, “High-speed VLSI interconnect modeling based on S-parameter measurements,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 16, no. 5, pp. 555–562, Aug. 1993. [28] R. Torres-Torres, “Extracting characteristic impedance in low-loss substrates,” Electron. Lett., vol. 47, no. 3, pp. 191–193, Feb. 2011. [29] J. C. Tippet and R. A. Speciale, “A rigorous technique for measuring the scattering matrix of a multiport device with a two-port network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-30, no. 5, pp. 661–666, May 1982. [30] B. Clarke et al., “A guide to characterization of dielectric materials at RF and microwave frequencies,” Inst. Meas. Control, Nat. Phys. Lab., London, U.K., Rep., 2003. [31] S. H. Hall and H. L. Heck, “Electrical properties of dielectrics,” in Advanced Signal Integrity for High-Speed Digital Designs. Hoboken, NJ, USA: Wiley, 2009, ch. 6, pp. 249–295. [32] S. Franssila, “Thin-film materials and processes,” in Introduction to Microfabrication, 2 ed. Chichester, U.K.: Wiley, 2010, ch. 5, pp. 47–67.

Hyewon Kim received the B.S. and Ph.D. degrees in electrical and computer engineering from Hanyang University, Ansan, Gyeonggi-do, Korea, in 2009 and 2016, respectively. She is currently with SK Hynix, Icheon, Gyeonggi-do, Korea. Her research interests are high-frequency characterization, modeling, and simulation concerned with the signal integrity verification of high-speed integrated circuits, system-in-a-package (SIP) design, and 3-D integrated system design methodology.

Joonhyun Kim received the B.S. degree in electrical and computer engineering from Hanyang University, Ansan, Gyeonggi-do, Korea, in 2013, and is currently working toward the Ph.D. degree in electrical and computer engineering at Hanyang University. His research interests are high-frequency characterization, modeling, and simulation concerned with the signal integrity verification of high-speed integrated electronic systems.

Yungseon Eo (M’93–SM’02) received the B.S. and M.S. degrees in electronic engineering from Hanyang University, Ansan, Gyeonggi-do, Korea, in 1983 and 1985, respectively, and the Ph.D. degree in electrical engineering from the University of Florida, Gainesville, FL, USA, in 1993. From 1986 to 1988, he was with the Korea Telecommunication Authority Research Center, Seoul, Korea, where he performed telecommunication network planning and software design. From 1993 to 1994, he was with the Applied Micro Circuits Corporation, San Diego, CA, USA, where he performed S-parameter-based device characterization and modeling for high-speed circuit design. From 1994 to 1995, he was with the Research and Development Center, LSI Logic Corporation, Santa Clara, CA, USA, where he was involved with signal integrity characterization and modeling of high-speed CMOS circuits and interconnects. From 2004 to 2005, he was with the High-Speed Microelectronics Group, as a Guest Researcher with the National Institute of Standards and Technology (NIST), Boulder, CO, USA. From 2011 to 2012, he was with the Infrastructure Design Center, as a Consulting Professor, Samsung Electronics, Gyeonggi-do, Korea. He is currently a Professor with the Department of Electrical and Computer Engineering, Hanyang University. His research interests are high-frequency characterization, modeling, and simulation concerned with integrated circuits interconnects, integrated circuit packaging, and system-level integration technology.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Six-Port Reflectometer Providing Enhanced Power Distribution Kamil Staszek, Slawomir Gruszczynski, Member, IEEE, and Krzysztof Wincza, Member, IEEE

Abstract—A six-port reflectometer providing an improved power distribution is proposed. The developed six-port network allows for a significant enhancement of the measurement accuracy without increasing the complexity of the reflectometer’s network. The proposed six-port has been theoretically analyzed, designed in a stripline technique with the use of single-section coupled-line directional couplers, and fabricated. For the experimental verification, the developed six-port has been utilized in reflection coefficient measurements in a wide frequency range, 2.5–3.5 GHz. The obtained results are very close to the reference values measured using a vector network analyzer. Furthermore, the same measurements have been performed utilizing the classical six-port reflectometer operating within the same frequency range. The comparison clearly shows that the proposed six-port reflectometer provides a significantly higher measurement accuracy with respect to the classical solutions. Index Terms—Measurement accuracy, power detectors, power dynamic range, reflection coefficient measurement, six-port reflectometer.

I. INTRODUCTION

S

IX-PORT reflectometers have been introduced for the measurements of complex impedance in the microwave frequency range. They consist of a passive linear power division network, providing an appropriate signal distribution in terms of magnitude and phase, which enables determination of the complex value solely by scalar power measurements [1]. Due to its simplicity, the six-port technique has found a wide range of applications. Today, six-ports are utilized in angle-of-arrival detection in automotive radars [2], precise wireless displacement sensors [3], and microwave receivers [4]. Other interesting six-port applications have been reported in [5] and [6], where a six-port network is utilized for complex permittivity measurements and for remote respiration rate and heartbeat vital-sign monitoring, respectively. Moreover, the six-port measurement technique can be utilized in 3-D profilometry for the reconstruction of the geometry of the tested objects [7]. Apart from the application, six-ports can be realized in various techniques, e.g., in Manuscript received June 17, 2015; revised August 07, 2015, September 04, 2015, January 07, 2016, and January 11, 2016; accepted January 11, 2016. This work was supported in part by the National Science Centre under Grant DEC2013/09/N/ST7/01219 and in part by the statutory research of the Faculty of Electronics, AGH University of Science and Technology. The authors are with the AGH University of Science and Technology, 30-059 Cracow, Poland (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518681

microstrip technique [3] or substrate integrated waveguide technology [8]. Monolithic integrated realizations of six-ports have also been reported in [2] and [9]. Additionally, in [10], an interesting concept of a differential six-port reflectometer has been introduced, which features a high immunity to external interference signals. Moreover, six-ports can feature a broad operation bandwidth, which can exceed one decade [11]. It is known that the practical realization of a six-port reflectometer features some imperfections with respect to the designed structure. Therefore, a calibration procedure is necessary to derive the real parameters of the six-port-based system. These parameters are inevitable in subsequent measurements of the reflection coefficient. In the literature a great number of calibration procedures has been reported. Initially, direct analytical methods have been proposed [12], [13]. Further development has led to more sophisticated numerical algorithms incorporating spatial Fourier analysis [14], [15] or artificial neural networks [16]. Moreover, additional numerical algorithms, such as the one presented in [17], can be applied to enhance the measurement accuracy. A simple analysis of the relation between the measured complex value and the obtained power readings shows, that six-port measurements have a very useful geometrical interpretation, in which the measurement result is the intersection point of three circles on a complex plane [1], [18], [19]. Location of the circle centers results strictly from the circuit topology of a given six-port reflectometer, whereas their radii are proportional to the measured power. Such an interpretation allows for a convenient analysis of six-port reflectometers in terms of their performance [19]. On the other hand, a fully analytical approach, presented, for example, in [20] or [21], not referring to geometrical interpretation, can be also utilized. Nevertheless, using the geometrical interpretation the correctness of an investigated six-port reflectometer can be rapidly assessed by verification of the mutual arrangement of its circle centers. Due to the rapid development of the six-port technique a large variety of six-port networks can be found in the literature. Initially, the concept of such measurements has been proposed by Engen, in which a six-port reflectometer, called today “a classical six-port reflectometer” composed of four directional couplers and a single power divider [1], [22], [23], has been applied. The circuit presented in [22] features circle centers having nonequal magnitudes (1, , and ) and angular separation (135 , 135 , and 90 ), which allows for a correct measurement, however, it does not provide optimal measurement conditions. An interesting alternative for the classical six-port can be found in [24]–[26], where six-ports realized as symmetrical five-port waveguide junctions with directional couplers are

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

investigated. Such a solution provides uniformly distributed circle centers on a circle having radius of 2 with the angular distance of 120 . The same theoretical distribution of circle centers can be obtained for six-port reflectometers reported in [27] and [28], where four modified rat-race couplers are utilized to assembly the six-port network. Another group of six-port reflectometers are multistate reflectometers, in which only two power detectors are utilized and for achieving different circle centers variable phase shifters or impedance tuners are applied. In [29], the six-port is composed of three directional couplers, an isolator, and a variable phase shifter. Such a structure also provides a highly uniform circle centers’ distribution (the three points are arranged on a circle having radius equal to 2 with angular distances of 120 ). Such a technique is advantageous due to the possibility of choosing the optimal phase shifts for each frequency independently, however, the major disadvantage of multistate reflectometers results from the necessity of changing the network’s parameters at least three times for a single measurement of the reflection coefficient. Recent research has led to a new class of reflectometers consisting of a 4 4 Butler matrix [30], [31], which provide circle centers’ distribution composed of three points located on the unitary circle with the angular separation of 90 . As can be observed, such mutual arrangement of circle centers is nonuniform. However, it can be overcome by an extension of the measurement setup by additional directional couplers, as shown in [32]–[34], or by the application of an 8 8 Butler matrix [35]. Such measurement systems can provide a highly uniform circle centers’ distribution, i.e., four circle centers with the distance from the complex plane origin of one, and the mutual angular separation of 90 . The most sophisticated example of the use of Butler matrices in microwave reflectometers can be found in [36], where the ten-port reflectometer composed of three 4 4 Butler matrices is described. Such a solution provides a very high number of eight circle centers, located on two circles having radii of 0.5 and 1. and the angles between circle centers are equal to 45 . In general, the measurement systems based on Butler matrices feature a high accuracy, however, the main drawback of such systems is their complexity in comparison to the classical six-port networks. Moreover, systems reported in [32]–[36] require a higher number of power detectors. Although the circle centers’ distribution composed of three points arranged uniformly on the circumference of the circle having radius of 2 provides uniform conditions for reflection coefficient measurements, it may not be the optimal one. As it has been mentioned in [23], such a distance between circle centers and the complex plane origin significantly decreases the dynamic range of the measured power, hence the power readings contain a limited information about the measured value, which may impair the measurement accuracy. This thesis has been confirmed by the analysis of several six- and seven-port reflectometers on the basis of their circle centers’ distributions, published recently in [19]. It has been shown that the maximum measurement accuracy can be achieved if all circle centers are distributed uniformly (angular separation of 120 for six-ports) and their distance from the complex plane origin is close to one. Although such a distribution of circle centers can be achieved in case of so-called “sampled-line reflectometers,” realized as

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Schematic diagram of the proposed six-port reflectometer.

a number of probes arranged along a transmission line terminated by the measured reflection coefficient [10], [16], these reflectometers suffer from a very narrow operational bandwidth, resulting from the fixed separation between adjacent probes. In this paper, a novel six-port reflectometer is proposed. In contrast to the reflectometers considered above, the presented six-port reflectometer provides optimally distributed circle centers on a circle having unitary radius with the angular separation of 120 . Due to the decreased distance between the circle centers and the complex plane origin, the proposed six-port topology ensures higher measurement accuracy than other reported reflectometers. Moreover, the proposed structure can be realized with the use of coupled-line directional couplers providing the advantageous circle centers’ distribution within a broad frequency range. A theoretical analysis of the proposed structure is presented in Section II. Section III reveals the investigation of the optimal distance between circle centers and the origin of a complex plane. In Section IV, the design of the proposed six-port reflectometer in the stripline technique with the use of single-section coupler-line directional couplers is reported. Section V presents results of the measurements performed in a wide frequency range, 2.5–3.5 GHz, of several reflective one-port elements and show a very good agreement with the reference values obtained using a commercial vector network analyzer (VNA). Moreover, the same measurements have been performed with the use of the classical six-port realized in the same structure and operating in the same frequency range. The comparison shows significantly enhanced measurement accuracy of the proposed six-port with respect to the classical solution. II. THEORETICAL ANALYSIS The proposed six-port reflectometer is presented in Fig. 1. It is composed of three quadrature directional couplers and two equal-split in-phase power dividers. The network is excited at port #1, the device-under-test (DUT) is connected to port #2, the reflected power combined with a reference signal is measured at ports #3–#5, whereas port #6 is used for reference power measurement. Assuming perfect impedance match and isolation of all utilized components, the power values measured at ports #3–#6 are equal to (1a) (1b)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. STASZEK et al.: SIX-PORT REFLECTOMETER PROVIDING ENHANCED POWER DISTRIBUTION

3

(1c) (1d) and are transmission and coupling coefficients of where coupler , respectively, is the transmission coefficient of power divider and is the power delivered from signal source connected to port #1. Analyzing the above equations it can be observed that the power values measured at ports #3–#5 are sums of two signals, among which one is the signal related to the measured reflection coefficient , whereas the second one is a reference signal. On the other hand, at port #6 the measured reflection coefficient does not influence the measured power. Hence, the necessary conditions for six-port measurement technique are fulfilled. As has been mentioned in Section I, the key issue related to six-port measurements is the distribution of the circle centers, which results from a given six-port structure and defines the quality of the reflectometer. For their derivation, (1a)–(1c) can be rewritten in the form

Fig. 2. Ideal circle centers’ distribution of the proposed six-port reflectometer.

and the transmission coefficients of the power dividers are equal to (8) Assuming that

is a quadrature 3-dB directional coupler, i.e., (9)

(2a) (2b)

the coefficient

is equal to (10)

(2c) Furthermore, (4a) can be expressed as

Furthermore, (2) can be expressed as (3) where

are the circle centers given by (4a)

(11a) It can be observed that, for comes

, circle center

(11b)

(4b) (4c) and the coefficient equal to

be-

Moreover, if (4c) become

, circle centers

and

given by (4b) and

being common for each circle center is

(12a)

(5)

(12b)

Moreover, are real coefficients relating the distance between a given circle center and the measured reflection coefficient

As a result, three circle centers located at the unitary circle with the angular distance of 120 are obtained, as presented in Fig. 2. Moreover, it can be observed in (4) and (5) that the distance between circle centers and the complex plane origin (called further magnitude of circle centers’ distribution) can be adjusted by the choice of the coupling coefficient . The chosen value of is discussed in the following section.

(6a) (6b) (6c) In order to obtain the circle centers’ distribution of the proposed six-port reflectometer, the coupling coefficients have to be found. In the following analysis it is assumed that the directional couplers have the following transmission and coupling coefficients: (7a) (7b)

III. ANALYSIS OF THE MEASUREMENT ACCURACY The measurement inaccuracy in six-port measurements results in general from two major factors: power measurement uncertainty of the applied power detectors and circle centers’ distribution of the utilized six-port reflectometer. Whereas the former results only from the type of the utilized power meters, the latter is related strictly to a circuit topology of the six-port

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I DYNAMIC RANGE OF THE POWER MEASUREMENT VERSUS THE MAGNITUDE OF CIRCLE CENTERS’ DISTRIBUTION FOR A SIX-PORT REFLECTOMETER

Therefore, the maximum and the minimum value of the power measured at the th port can be expressed as (14a) (14b) Fig. 3. Measurement of the reflection coefficients providing the extreme power values measured at port #3 of the proposed six-port reflectometer for calculation of the power measurement dynamic range.

Having the extreme values of the measured power, the dynamic range of power measurement can be calculated as follows: (15)

reflectometer. It is known that the highest accuracy can be obtained for three circle centers distributed uniformly on the circumference of the circle having its center in the origin of a complex plane (as shown in Fig. 2). Moreover, the distance between each circle center and the complex plane origin should be the same . This distance, called the magnitude of circle centers’ distribution , has to be chosen in order to ensure the highest possible measurement accuracy. According to the general principle of six-port measurements, the power measured at the th port of the six-port reflectometer is proportional to the distance between circle center and the measured reflection coefficient . It can be, therefore, said that the dynamic range of the power measurement is restricted by the circle centers’ distribution of a given six-port. Due to finite resolution and uncertainty of the utilized power detectors, a high dynamic range of the power measurement can provide more accurate measurement results in a six-port reflectometer. The mentioned dynamic range can be calculated using only the circle centers’ distribution of a given six-port reflectometer. To calculate the power measurement dynamic range, the minimum and the maximum power that can be measured in reflection coefficient measurement have to be derived. The following procedure is illustrated in Fig. 3 only for circle center (and thus for port #3 of the proposed reflectometer), however, the same results can be obtained for other ports of the reflectometer at which the power is measured. In order to derive the extreme values of power that can be measured, two points (reflection coefficients and ) belonging to the unitary circle marked in bold in Fig. 3, which provide the minimum and the maximum distance from the investigated circle center , have to be found. It can be observed that the distance between the th circle center and the measured reflection coefficient is restricted to the interval (13)

The dynamic range of power measurement calculated for several magnitudes of circle centers’ distribution is listed in Table I. To derive the optimal magnitude of the circle centers’ distribution the calculated values of dynamic range have to be compared to the dynamic range of commercially available power detectors, being not smaller than 30 dB. It can be observed that the utilization of a six-port reflectometer having the magnitude of the circle centers’ distribution dB allows for using of not more than 30% of the power detectors’ dynamic range, which impairs the resulting measurement accuracy. To provide more efficient use of the applied power detectors, the magnitude of the circle centers’ distribution should be closer to unity. For instance, the 30-dB dynamic range of power measurement can be achieved for . Greater dynamic ranges can be obtained for being closer to unity. It can be said that the fully efficient use of the applied power detectors can be ensured if is equal or greater than the power dynamic range of the power detectors. Hence, it can be concluded that the magnitude of the circle centers’ distribution being equal to can be considered as the optimal one since it does not limit the dynamic range of arbitrary power detectors. It is worth underlining that the power values being lower than the sensitivity of utilized power detectors introduce the error insignificantly deteriorating the measured reflection coefficient since the remaining power values are considerably larger and are inherently in the optimal measuring range (assuming the proper circle centers’ distribution). Moreover, if the applied power detectors do not provide linear – characteristics in a sufficiently wide dynamic range, a linearization algorithm, such as the one presented in [37], can be applied. Due to the linearization procedure, the linear dynamic range of power detectors can easily exceed 40 dB. It must be noted that the infinite dynamic range of power measurement can be achieved for the six-ports having the magnitude of circle centers’ distribution being lower than one as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. STASZEK et al.: SIX-PORT REFLECTOMETER PROVIDING ENHANCED POWER DISTRIBUTION

5

Fig. 5. Cross-sectional view of the stripline structure in which the proposed six-port reflectometer has been designed.

Fig. 4. Influence of the power measurement uncertainty on the measured reflection coefficient.

well. However, such circle centers’ distributions are not advantageous for the measurement of reflection coefficients having magnitude greater than the magnitude of the circle centers’ distribution due to increasing phase measurement error [19]. On the other hand, if the measurement system is to be developed with power detectors having a narrow range of linear – characteristic and no linearization algorithm is applied, the proposed six-port reflectometer can be designed in such a way that the power dynamic range is adjusted to the required range. By the appropriate choice of the coupling coefficient , the magnitude of circle centers can be adjusted, preserving their 120 phase separation and equal distances from the complex plane origin. As a result, the six-port providing the same power dynamic range for each power detector can be obtained. Moreover, that range can be fitted to the linear range of – characteristic of the applied diode detectors. However, it is worth mentioning that, in general, diode detectors feature the highest inaccuracy for the measurement of the low power values, which occurs if the reflection coefficient being close to the one of the circle centers is measured, as illustrated in Fig. 4. Here, three dashed lines present the circles corresponding to the ideal power readings, and their intersection is the genuine reflection coefficient . Assuming the power measurement uncertainty being equal (in dB scale) for each power detector (and therefore for each circle), the circles can be transformed into rings having the widths resulting from the circles’ radii (measured power values) and the power measurement uncertainty . In case of a distinct disproportion of the mentioned rings, as shown in Fig. 4, it can be seen that the smallest ring is the thinnest. Therefore, even if the power measurement uncertainty of the smallest power value (smallest circle) is higher than the uncertainty of higher power readings (larger circles) its contribution to the reflection coefficient measurement error is significantly limited. Hence, the lower power value is measured, the higher power measurement uncertainty is acceptable since the increased uncertainty of the smallest power reading does not impair the measurement result. On the other hand, it can be observed that the influence of the remaining (larger) circles on the measurement result is significantly larger due to increased widths of these rings. It must be emphasized that the proposed six-port features the magnitude of the circle centers’ distribution being equal to 1, therefore, the radius of any circle cannot be larger than . To compare, that limit for the classical six-port is equal to and for the six-ports, for which is equal to . As seen in Fig. 4, for the assumed power measurement uncertainty the smaller circle radii provide the thinner rings, which

Fig. 6. -parameters of the directional couplers and power dividers and utilized for assembling the proposed six-port reflectometer: (a) coupling and transmission coefficientsand (b) return losses and isolation. Results of EM calculations.

decreases the influence of the uncertainty on the accuracy of the reflection coefficient measurement. Hence, the proposed six-port reflectometer featuring a uniform circle centers’ distribution having the magnitude of 1 provides an increased measurement accuracy. Simultaneously, in the view of the above consideration it can be said that in the proposed six-port reflectometer providing an increased dynamic range of the measured power, simple diode detectors can be applied. IV. DESIGN OF THE SIX-PORT REFLECTOMETER The proposed six-port is composed of three directional couplers and two power dividers. The coupling coefficients of the couplers and are equal to dB , whereas the coupling coefficient of the coupler is equal to dB . As the couplers and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. (a) Layout and (b) photograph of the proposed six-port reflectometer.

Fig. 8. Photograph of the classical six-port reflectometer reported in [38].

single-section directional couplers described in [38] have been utilized and are identical to the ones used in the classical six-port reflectometer. A cross-sectional view of the utilized dielectric structure is shown in Fig. 5. The remaining coupler , as well as single-section Wilkinson power dividers and , have been designed in the same dielectric structure. The center frequency of all components is GHz and their

Fig. 9. -parameters of the manufactured six-port reflectometer: (a) magnitudes and (b) phases of the -parameters coincident with port #1 and (c) magnitudes and (d) phases of the -parameters coincident with port #2. Results of EM calculations.

-parameters, calculated electromagnetically using AWR Microwave Studio software, are illustrated in Fig. 6.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. STASZEK et al.: SIX-PORT REFLECTOMETER PROVIDING ENHANCED POWER DISTRIBUTION

7

Fig. 11. Photograph of the measurement system with the proposed six-port reflectometer.

frequency range from 2.5 to 3.5 GHz, which has been assumed as the operational bandwidth of the proposed six-port. The couplers and power dividers have been assembled according to the schematic diagram shown in Fig. 1. The layout of the designed reflectometer is shown in Fig. 7(a) and a photograph of the inner laminate, on which the metallization layers have been etched, is presented in Fig. 7(b). Furthermore, for comparison, a photograph of the classical six-port reflectometer reported in [38] is illustrated in Fig. 8. The entire structure of the designed six-port reflectometer has been electromagnetically analyzed with the mentioned AWR Microwave Studio software. The most relevant -parameters, which play the main role in the measurement of reflection coefficients with the use of the developed six-port, are presented in Fig. 9. The corresponding -parameters of the manufactured six-port reflectometer have been measured with the use of a VNA and the SMA connectors have been de-embedded. The obtained results are shown in Fig. 10. As seen, the -parameters of the fabricated six-port are in a very good agreement with the ones obtained in electromagnetic (EM) simulation. It can be observed that the manufactured network features a good impedance match of ports #1 and #2, i.e., the port at which the six-port is excited and the port, to which the DUT is connected, respectively. Moreover, the isolation between the measuring port and the port for the reference power measurement is better than 38 dB, which is far more than sufficient. Finally, the transmissions from port #1 and port #2 to ports at which the reflected power is measured (#3–#5) have constant magnitude and mutual phase shift in the entire bandwidth, which allows for obtaining the desired circle centers’ distribution. V. CALIBRATION AND MEASUREMENTS Fig. 10. Measured -parameters of the manufactured six-port reflectometer with de-embedded SMA connectors: (a) magnitudes and (b) phases of the -parameters coincident with port #1 and (c) magnitudes and (d) phases of the -parameters coincident with port #2.

As can be observed, all the components feature flat frequency responses and good impedance match as well as isolation in the

A. Calibration and Measurements With Power Meters The performance of the developed six-port reflectometer has been examined by reflection coefficient measurements in the frequency range of 2.5–3.5 GHz. The measurement system has been excited by the frequency-swept signal generator 8350B with RF plug-in 83595A by Hewlett-Packard, which provides

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Measured reflection coefficients of short-circuited attenuators with the use of the proposed six-port reflectometer: (a) magnitude and (b) phase.

Fig. 12. Circle centers’ distribution of the proposed six-port reflectometer compared to the circle centers’ distribution of the classical six-port : circle centers’ distribution on: (a) complex plane, (b) magnitudes of circle centers, and (c) phases of circle centers.

a 10-dBm signal. For power measurement, four USB Mini-Circuits Power Sensors PWR-8GHS have been applied. They feature power measurement uncertainty being equal to 0.1 dB within 50-dB dynamic range from 30 to 20 dBm. The mentioned uncertainty does not include source mismatch, however, since the same signal source excites the reflectometer during calibration and measurements, its impedance mismatch is taken

Fig. 14. Photograph of N5224A VNA by Agilent Technologies utilized in measurements of the -parameters of the manufactured six-port network and in reference measurements for experimental verification of the proposed six-port reflectometer.

into account by calibration procedure and, therefore, it does not affect the measurement accuracy. The photograph of the measurement setup is shown in Fig. 11. The described measurement system has been calibrated following the procedure reported in [39]. It utilizes several known calibration standards, namely,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. STASZEK et al.: SIX-PORT REFLECTOMETER PROVIDING ENHANCED POWER DISTRIBUTION

Fig. 15. (a) Magnitude and (b) phase measurement errors calculated as a differences between the values measured using the proposed six-port reflectometer and reference values obtained with the use of Agilent Technologies’ N5224A VNA.

open-circuit, short-circuit, and female–male SMA adaptor utilized as the phase shifter and a matched load. In order to verify the performance improvement of the proposed six-port with respect to the classical reflectometers, the classical six-port reflectometer reported in [38] has been utilized. It has been designed in the same dielectric structure and is composed of the same directional couplers as and , and power dividers as and . Hence, both measurement systems operate in the same frequency range are realized in the same dielectric structure, most of the components are the same as well, which allows for a convenient comparison of these six-port reflectometers. The circle centers’ distributions obtained during the calibration for both measurement systems are plotted in Fig. 12. It can be observed that the proposed six-port provides a highly uniform distribution of the circle centers within the operational frequency range. The magnitudes of all circle centers are very close to one, whereas their angular separation is close to 120 . On the other hand, the classical six-port provides nonuniform distribution of circle centers: the magnitudes of circle centers are close to 1 and 1.4, whereas their phase difference are close to 90 and 135 . It must be noted that both circle centers’ distributions feature comparable deviations from the ideal values, which are due to imperfect parameters of the utilized components and the

9

Fig. 16. (a) Magnitude and (b) phase measurement errors calculated as a differences between the values measured using the classical six-port reflectometer [38] and reference values obtained with the use of Agilent Technologies’ N5224A VNA.

limited accuracy of the fabrication process; however, their impact on the measurement accuracy is not significant. Comparing the obtained results, it can be seen that the proposed six-port reflectometer provides significantly more uniform distribution of circle centers, which can ensure higher measurement accuracy with respect to the classical reflectometer. To verify the measurement accuracy, the reflection coefficients of a set of broadband SMA attenuators terminated with a short circuit have been measured. They feature attenuation from 1 to 20 dB, having the magnitudes of the measured reflection coefficients from 2 to 40 dB. The measurement results obtained for the proposed six-port reflectometer are presented in Fig. 13. Due to similar phase characteristics in Fig. 13(b), the phase response is shown only for 1- and 20-dB attenuators. Reference measurements have been done using N5224A VNA by Agilent Technologies shown in Fig. 14. It has been calibrated according to the short-open-load technique (SOLT) using the 85052D calibration kit by Agilent Technologies. Moreover, the source power of the VNA has been set to 5 dBm and the IF bandwidth has been set to 100 Hz. The measurement uncertainty of the VNA, according to the manufacturer’s data sheet, is equal to 0.15-dB typical 0.4-dB spec. The differences between the reflection coefficients measured with the use of the proposed

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II MAXIMUM MEASUREMENT ERROR VERSUS MAGNITUDE OF THE MEASURED REFLECTION COEFFICIENT CALCULATED FOR THE PROPOSED SIX-PORT AND THE CLASSICAL ONE FOR MEASUREMENTS WITH POWER METERS

Fig. 17. Maximum: (a) magnitude and (b) phase measurement error versus magnitude of the measured reflection coefficient calculated for the proposed six-port and for the classical one.

six-port reflectometer and the reference values obtained using the VNA are illustrated in Fig. 15. It is seen that a very good agreement between the measured and reference values has been obtained over the entire operational frequency range in terms of magnitude and phase. For further comparison of the proposed six-port to the classical one, the same measurements have been performed with the use of the above discussed classical six-port reflectometer [38]. The obtained measurement errors, calculated as described above for the proposed six-port, are plotted in Fig. 16. Comparing the measurement errors plotted in Figs. 15 and 16, it can be seen that the proposed six-port reflectometer provides significantly higher measurement accuracy than the classical one. However, more convenient comparison of the accuracy can be done using the maximum error values in terms of the magnitude of the measured value. Therefore, for each measured short-circuited attenuator the maximum magnitude and phase measurement errors within the investigated frequency range of 2.5–3.5 GHz have been selected for both the proposed and classical six-ports. Those error values are presented in Fig. 17 and in Table II. It is seen that the proposed six-port provides the magnitude error not exceeding 0.2 dB and the phase error not greater that 1 for reflection coefficients having magnitude in the range from 0 to

Fig. 18. (a) Magnitude and (b) phase measurement errors calculated as a differences between the values measured using the proposed six-port reflectometer with HP423B power detectors and reference values obtained with the use of Agilent Technologies’ N5224A VNA.

26 dB, whereas such accuracy for the classical six-port can be obtained only for reflection coefficients having magnitude greater than 12 dB. On the other hand, for the smallest measured reflection coefficient having magnitude of 40 dB, the maximum magnitude and phase measurement error for the proposed six-port are 0.86 dB and 4.6 , respectively, whereas these values for the classical six-port are equal to 2.6 dB and 14.3 . Such a significant improvement of the measurement accuracy in case of the proposed six-port reflectometer has been

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. STASZEK et al.: SIX-PORT REFLECTOMETER PROVIDING ENHANCED POWER DISTRIBUTION

Fig. 19. (a) Magnitude and (b) phase measurement errors calculated as a differences between the values measured using the classical six-port reflectometer [38] with HP423B power detectors and reference values obtained with the use of Agilent Technologies’ N5224A VNA.

achieved due to the uniform distribution of circle centers, as well as lower distances between circle centers and the measured reflection coefficients.

11

Fig. 20. Maximum: (a) magnitude and (b) phase measurement error versus magnitude of the measured reflection coefficient calculated for the proposed six-port and for the classical one. For both reflectometers the power measurement has been performed using HP423B power detectors. TABLE III MAXIMUM MEASUREMENT ERROR VERSUS MAGNITUDE OF THE MEASURED REFLECTION COEFFICIENT CALCULATED FOR THE PROPOSED SIX-PORT AND THE CLASSICAL ONE FOR MEASUREMENTS WITH DIODE DETECTORS

B. Calibration and Measurements With Diode Power Detectors For further verification of the proposed six-port reflectometer the measurements presented in Section V-A have been repeated with the diode detectors instead of the previously used power meters. In both investigated six-port systems, for the power measurement, low barrier Schottky diode detectors 423B by Agilent Technologies have been applied. Reflection coefficients of the same set of the SMA attenuators terminated with a short circuit have been measured and compared to the measurements done using a VNA. The calculated difference in terms of magnitude and phase are shown in Fig. 18 for the proposed six-port reflectometer, and in Fig. 19 for the classical six-port reflectometer. Furthermore, similarly as described in Section V-A, the maximum error values from the frequency range, 2.5–3.5 GHz, have been selected for each measured reflection coefficient. The comparison of these maximum errors for both reflectometers is illustrated in Fig. 20 and listed in Table III. It can be observed that the overall error distributions

are distinctly higher in comparison to the values presented in Fig. 17, which refers to the measurements with more accurate power meters. Nevertheless, it is seen that also in case of higher nonlinearity of the power measurements the proposed six-port reflectometer ensures higher measurement accuracy than the classical six-port reflectometer. It allows to state that the proposed six-port can be used in low-cost applications with diode detectors featuring a distinct nonlinearity.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE IV POWER MEASUREMENT DYNAMIC RANGE VERSUS MAGNITUDE OF THE MEASURED REFLECTION COEFFICIENT FOR THE PROPOSED SIX-PORT REFLECTOMETER

TABLE V POWER MEASUREMENT DYNAMIC RANGE VERSUS MAGNITUDE OF THE MEASURED REFLECTION COEFFICIENT FOR THE CLASSICAL SIX-PORT REFLECTOMETER

VI. CONCLUSION In this paper, a novel six-port reflectometer has been presented. The proposed six-port network provides the optimal circle centers’ distribution, which results in increased measurement accuracy. The theoretical analysis has revealed that such circle centers’ distribution can be achieved in a network composed of three directional couplers and two power dividers, therefore, the number of required components is the same as in classical six-ports. Moreover, it has been shown that maximum accuracy can be obtained if the distances between circle centers and the complex plane origin are close to one. The proposed six-port reflectometer has been designed and manufactured with the use of single-section directional couplers and power dividers. To experimentally verify its performance, reflection coefficients of several reflective elements have been measured in a wide frequency range, 2.5–3.5 GHz. The obtained results are very close to the reference values measured with the use of the VNA. For further examination, the same measurements have been performed with the use of the classical six-port realized in the same dielectric structure and operating within the same bandwidth. The comparison of the measurement results clearly shows significant enhancement of the measurement accuracy of the proposed six-port with respect to the classical six-port reflectometer. REFERENCES

C. Measurements of the Power Dynamic Range To complete the experimental verification of the proposed six-port reflectometer, the dynamic range of the measured power at ports #3–#5 has to be investigated. As has been mentioned, the measured power dynamic range depends on the circle centers’ distribution, and the magnitude and phase of the measured reflection coefficient. As can be observed in Fig. 12, the circle centers fluctuate slightly versus frequency. Therefore, to present a reliable comparison of the measured power dynamic range, for further examination a single frequency GHz has been chosen. The measurements have been performed with the same SMA attenuators, however, to determine the power dynamic range these attenuators have been terminated with a sliding short, the position of which has been adjusted to obtain the maximum and minimum power readings for each power meter. Utilizing the collected values the power dynamic range for each power meter has been calculated. The achieved results for the proposed six-port reflectometer and for the classical one are listed in Tables IV and V, respectively. It can be observed that the proposed six-port provides higher power dynamic ranges in comparison to the classical six-port reflectometer. Moreover, the dynamic ranges calculated for all investigated ports of the proposed six-port are very close to each other in contrary to the power dynamic ranges calculated for the classical six-port.

[1] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-25, no. 12, pp. 1075–1080, Dec. 1977. [2] B. Laemmle, G. Vinci, L. Maurer, R. Weigel, and A. Koelpin, “A 77-GHz SiGe integrated six-port receiver front-end for angle-of arrival detection,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 1966–1973, Sep. 2012. [3] F. Barbon, G. Vinci, S. Lindner, R. Weigel, and A. Koelpin, “A six-port interferometer based micrometer-accuracy displacement and vibration measurmenet radar,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [4] A. O. Olopade, A. Hasan, and M. Helaoui, “Concurrent dual-band six-port receiver for multi-standard and software defined radio applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4252–4261, Dec. 2013. [5] K. Kim, N. Kim, S.-H. Hwang, Y.-K. Kim, and Y. Kwon, “A miniaturized broadband multi-state reflectometer integrated on a silicon MEMS probe for complex permittivity measurement of biological material,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2205–2214, May 2013. [6] G. Vinci et al., “Six-port radar sensor for remote respiration rate and heartbeat vital-sign monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2093–2100, May 2013. [7] V. Adler and K. Hoffman, “Six-port spatial electromagnetic wave measurement,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3161–3171, Dec. 2014. [8] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 7, pp. 2267–2273, Jul. 2005. [9] B. Huyart and A. Khy, “Integration of six-port circuit using MMIC technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [10] S. Julrat, M. Chongcheawchamnan, T. Khaoraphapong, and I. D. Robertson, “Analysis and design of a differential sampled-line six-port reflectometer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 244–255, Jan. 2013. [11] K. Staszek, S. Gruszczynski, and K. Wincza, “Ultra-broadband sixport reflectometer,” in Proc. 14th Mediterranean Microw. Symp., Marrakesh, Morocco, 2014, pp. 1–4. [12] S. Li and R. G. Bosisio, “Calibration of multiport reflectometers by means of four open/short circuits,” IEEE Trans. Microw. Theory Techn., vol. MTT-30, no. 7, pp. 1085–1090, Jul. 1982.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. STASZEK et al.: SIX-PORT REFLECTOMETER PROVIDING ENHANCED POWER DISTRIBUTION

[13] J. D. Hunter and P. I. Somlo, “An explicit six-port calibration method using five standards,” IEEE Trans. Microw. Theory Techn., vol. MTT-33, no. 1, pp. 69–72, Jan. 1985. [14] K. Haddadi and T. Lasri, “Formulation for complete and accurate calibration of six-port reflectometer,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 574–581, Mar. 2012. [15] K. Haddadi, M. M. Wang, K. Nouri, D. Glay, and T. Lasri, “Calibration and performance of two new ultra-wideband four-port-based systems,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3137–3142, Dec. 2008. [16] J. Monzo-Cabrera, J. L. Pedreno-Molina, A. Lozano-Guerrero, and A. Toledo-Moreo, “A novel design of a robust ten-port microwave reflectometer with autonomous calibration by using neural networks,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 2972–2978, Dec. 2008. [17] K. Staszek, S. Gruszczynski, and K. Wincza, “Measurement accuracy enhancement in six-port reflectometers,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 8, pp. 553–555, Aug. 2015. [18] L. Brunetti, C. Fornero, and G. Rietto, “Six-port reflectometer: Influence of Q-points position in -plane on sidearm power detector error propagation,” IEEE Trans. Instrum. Meas., vol. 38, no. 2, pp. 484–487, Apr. 1989. [19] K. Staszek, S. Gruszczynski, and K. Wincza, “Theoretical limits and accuracy improvement of reflection coefficient measurements in sixport reflectometers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2966–2974, Aug. 2013. [20] M. D. Rafal and W. T. Joines, “Optimizing the design of the six-port junction,” in IEEE MTT-S Int. Microw. Symp. Dig., 1980, pp. 437–439. [21] B. M. Kats, A. A. Lvov, V. P. Meschanov, and E. M. Shatalov, “Synthesis of a wideband multiprobe reflectometer,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 507–514, Feb. 2008. [22] G. F. Engen, “A (historical) review of the six-port measurement technique,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 12, pp. 2414–2417, Dec. 1997. [23] G. F. Engen, “An improved circuit for implementing the six-port technique of microwave measurements,” IEEE Trans. Microw. Theory Techn., vol. MTT-25, no. 12, pp. 1080–1083, Dec. 1977. [24] S. P. Yeo and A. L. Ang, “Six-port reflectometer,” Electron. Lett., vol. 23, no. 21, pp. 1160–1161, Oct. 1987. [25] S. P. Yeo and K. H. Lee, “Six-port reflectometer capable of optimum performance,” in 6th IEEE Instrum. Meas. Technol. Conf. Dig, Washington, DC, USA, Apr. 1989, pp. 518–521. [26] A. L. Cullen and S. P. Yeo, “Six-port-reflectometer theory for symmetrical five-port junction with directional coupler,” Proc. Inst. Elect. Eng., vol. 133, no. 47, pt. H, pp. 277–287, Aug. 1986. [27] J. J. Yao, Y. Chen, and S. P. Yeo, “Modifying hybrid coupler design to enhance six-port reflectometer performance,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1–3. [28] J. J. Yao and S. P. Yeo, “Six-port reflectometer based on modified hybrid couplers,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 493–498, Feb. 2008. [29] S. P. Yeo and S. T. Tay, “Improved design for multistate reflectometer (with two power detectors) for measuring reflection coefficients of microwave devices,” IEEE Trans. Instrum. Meas., vol. 49, no. 1, pp. 61–65, Feb. 2000. [30] K. Staszek, S. Gruszczynski, and K. Wincza, “Broadband measurements of S-parameters utilizing 4 4 Butler matrices,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1692–1699, Apr. 2013. [31] K. Staszek, S. Gruszczynski, and K. Wincza, “Application of the 4 4 Butler matrix consisting of tapered-coupled-line directional couplers in an ultra-broadband multiport reflectometer,” in Proc. Int. Technol. Adv. Elect., Electron., Compu. Eng. Conf., Kuala Lumpur, Malaysia, 2014, pp. 1–6. [32] K. Staszek, S. Gruszczynski, and K. Wincza, “Accurate broadband multiport reflectometer,” Microw. Opt. Technol. Lett., vol. 56, no. 12, pp. 2884–2887, Dec. 2014. [33] K. Staszek, S. Gruszczynski, and K. Wincza, “Compact multiport system for broadband measurement of S-parameters,” in Proc. 9th German Microw. Conf., Nurenberg, Germany, 2015, pp. 386–389.

13

[34] K. Staszek, S. Gruszczynski, and K. Wincza, “Tunable multiport system for measurement of two-port scattering parameters,” Int. J. Microw. Wireless Technol. 2015, available via CJO2015, doi:10.1017/S1759078715001312, 14 pp, FirstView Art. [35] K. Staszek, S. Gruszczynski, and K. Wincza, “Broadband measurements of S-parameters with the use of a single 8 8 Butler matrix,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 2, pp. 352–360, Feb. 2014. [36] K. Staszek, S. Gruszczynski, and K. Wincza, “Broadband ten-port reflectometer with enhanced measurement accuracy,” in Proc. 16th IEEE Wireless Microw. Technol. Conf., Cocoa Beach, FL, USA, 2015, pp. 1–4. [37] F. M. Ghannouchi and A. Mohammadi, The Six-Port Technique With Microwave and Wireless Applications. Norwood, MA, USA: Artech House, 2009. [38] K. Staszek, S. Gruszczynski, and K. Wincza, “Design and accuracy analysis of a broadband six-port reflectometer utilizing coupled-line directional couplers,” Microw. Opt. Technol. Lett., vol. 55, no. 7, pp. 1485–1490, Jul. 2013. [39] K. Staszek, P. Kaminski, A. Rydosz, S. Gruszczynski, and K. Wincza, “A least-squares approach to the calibration of multiport reflectometers,” in Proc Int. Microw. RF Conf., Delhi, India, 2013, pp. 1–4. Kamil Staszek received the M.Sc. and Ph.D. degrees in electronics engineering from the AGH University of Science and Technology, Cracow, Poland, in 2011 and 2015, respectively. He is currently with the Department of Electronics, AGH University of Science and Technology. He has coauthored 19 journal and 20 conference scientific papers. His main scientific interests are multiport measurement techniques and design of the broadband passive components. Slawomir Gruszczynski (M’06) received the M.Sc. and Ph.D. degrees in electronics and electrical engineering from the Wroclaw University of Technology, Wroclaw, Poland, in 2001 and 2006, respectively. From 2001 to 2006, he was with the Wroclaw Division, Telecommunications Research Institute, and from 2005 to 2009, he was with the Institute of Telecommunications, Teleinformatics and Acoustics, Wroclaw University of Technology. In 2009, he joined the Faculty of Informatics, Electronics and Telecommunications, AGH University of Science and Technology, Cracow, Poland, where, in 2012, he became a Head of the Department of Electronics. He has coauthored more than 40 journals and more than 50 conference scientific papers. Dr. Gruszczynski is a Member of the Young Scientists’ Academy, Polish Academy of Sciences (PAN), and Committee of Electronics and Telecommunications, PAN. Krzysztof Wincza (GSM’06–M’07) received the M.Sc. and Ph.D. degrees in electronics and electrical engineering from the Wroclaw University of Technology, Wroclaw, Poland, in 2003 and 2007, respectively. In 2007, he joined the Institute of Telecommunications, Teleinformatics and Acoustics, Wroclaw University of Technology. In 2009, he joined the Faculty of Electronics, AGH University of Science and Technology, Cracow, Poland, and then became an Assistant Professor. He has coauthored more than 40 journal papers and more than 50 conference scientific papers. Dr. Wincza was the recipient of The Youth Award presented at the 10th National Symposium of Radio Sciences (URSI) and the Young Scientist Grant awarded by the Foundation for Polish Science in 2001 and 2008, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Validation of EVM Method for Filter Test Using Butterworth and Chebyshev Filters Walaa Sahyoun, Member, IEEE, Jean-Marc Duchamp, Member, IEEE, and Philippe Benech, Member, IEEE

Abstract—The error vector magnitude (EVM), used generally to quantify digital communication performances, will be used in this paper in order to test RF device performances. This RF test particularly developed for industries will respond to time and cost-reduction requirements. The method is based on the simplest digital modulation, quadrature phase-shift keying modulation on an RF carrier frequency. Primary results based on this method have been obtained using Butterworth and Chebyshev RF filters. In this work we improve the sensitivity of the EVM parameter to filter characteristics as the center frequency, the bandwidth, the insertions losses, and the ripples in the passband. Simulations with ADS Ptolemy and measurements are done for both filter types with the aim of defining their EVM signatures in the passband. Index Terms—Error vector magnitude (EVM), filters, test.

I. INTRODUCTION

C

LASSICALY, RF devices industrial tests can employ two methods. One them providing a frequency response of the device-under-test (DUT), while the other is based on a timedomain analysis of the device response. Today RF transmission systems are composed of an assembly of a large number of devices. Performances of a digital communication system are estimated with the bit error rate (BER) [1], the signal-to-noise ratio (SNR) or the error vector magnitude (EVM) [2]–[4]. It is a complex task to estimate the impact of DUT frequency- or time-domain responses on the EVM of the whole digital transmission system. In [5], Kikkert tried to analyzed the effect of the filter type as Butterworth or Chebyshev on the BER for wideband code division multiple access–Universal Mobile Telecommunications System (WCDMA-UMTS) mobile radio systems. Moreover, it will be a great deal to follow in real time and in situ the variation of performances of the entire transmission system. The two classical test methods will be described hereafter. On the one hand, the first method is mainly based on the vector network analyzer (VNA). Introduced in the late 1960s and Manuscript received January 16, 2015; revised April 13, 2015, August 19, 2015, and January 03, 2016; accepted January 04, 2016. W. Sahyoun was with the Institute of Microelectronics, Electromagnetisms and Photonics (IMEP-LAHC Laboratory), University Grenoble Alpes, 38016 Grenoble Cedex 1, France. She is now with the Canon Research Center, 35510 Cesson Sévigné, France J.-M. Duchamp and P. Benech are with the Institute of Microelectronics, Electromagnetisms and Photonics (IMEP-LAHC Laboratory), University Grenoble Alpes, 38016 Grenoble Cedex 1, France (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518170

now fully automatic, it provides high-precision measurement capabilities around 130 dB [6] (Agilent N5242-90007). The VNA generates a continuous sine wave with variable frequency sweeping over a range of frequencies with step functionality. A calibration phase is required at the beginning of the RF test in order to correct the errors arising from the VNA and cables [7]. The calibration should be done periodically to suppress thermal variation. This method provides good results in term of accuracy for characterization purposes. However, for wideband tests, a large number of frequency points is required, thereby increasing the time and the cost of RF measurement. A reduction of frequency point acquisitions in order to optimize filter RF tests has been discussed in [8]. On the other hand, the second method is based on a time-domain reflectometer/transmitter (TDR/T) mainly used to locate discontinuities and defaults in the device [9]. A short rise-time pulse is injected through the device and then the reflected or transmitted signal is analyzed by calculating its magnitude, its period, and its shape. The TDR/T can also provide a DUT frequency response like the VNA by using fast Fourier transform (FFT). This test requires a fast sampling rate and the generation of a short rise-time pulse in accordance with the frequency band needed, hence requiring an instrument that can be expensive. However TDR/T measurements have less dynamic range and less repeatability than frequency measurements. The presented works go further by investigating the effect of these types of filters on the EVM in their passband and by defining EVM signatures or specifications that help us to test filter RF performances using this parameter. Measured EVM can be compared to standard high-quality filter EVM, thereby giving information on the filter behavior. This method does not require expensive instruments with high performances compared to TDR/T and allows a complete analysis of the device response without calibration phase on the contrary to the VNA. Our method puts forward an analysis of the deformed constellation of the digital modulation at the output of the device. The digital modulation chosen for RF test is a simple quadrature phase-shift keying (QPSK) with four points in the constellation diagram. This choice must be justified by a constant power for each symbol and low, but sufficient, number of points to get phase information. Upon comparison of the reference constellation and the deformed one, we can calculate the EVM parameter. EVM signature in the filter passband will now be presented for Butterworth and Chebyshev RF filter types. The sensitivity that could exist between the EVM and each filter characteristics as insertion losses (ILs), bandwidth (BW), center frequency shifting, and passband ripples will also be presented.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

This approach of industrial RF test must give a binary response (yes or no) of the device functionality as compared to minimum requirements. It must also provide an idea about its performance when employed in a transmission chain by relating the EVM parameter to SNR and BER for a modulation type. This paper is divided into four parts. In the first part, the justifications of the modulation choice and the reasons to use the EVM parameter instead of SNR or BER are presented. In the second part, the method, the environment of simulation with ADS Ptolemy from Agilent Technologies, as well as the measurement setup are then presented in detail. In the third part, results for the validation of this new method for industrial RF test on both types of RF filters are presented with the analysis of the EVM behavior in the passband. Finally, conclusions and perspectives on this study will be exposed.

Fig. 1. Ideal and received corrected symbols and the corresponding error vector.

II. I/O CHOICES FOR INDUSTRIAL RF TEST The main objective of this novel RF test is to verify the device performances and its impact on the transmission quality when placed in a digital communication system. In order to achieve this goal, the output parameter chosen for our RF test should be of type system parameter such as SNR, EVM, or BER. Among these parameters the EVM seemed to be the most relevant. However, the modulation type also needs to be analyzed. The chosen parameter should respond to requirements in terms of time and in terms of cost reduction [10], [11]. It should also provide a high sensitivity to the device performance. The necessary equipment is commonly used to test complete transmission systems with emitter and receiver. Moreover, if this equipment is already available in a production line, no supplementary apparatus are required.

(1) where and are, respectively, the ideal and received corrected signals in the – plane. is the total number of transmitted symbols. is the normalized factor of received symbols and is the total power of the received symbols. For the -ary modulation type, the EVM can be related to BER and SNR for the AWGN channel and ( is the unique ideal constellation points). Thus, we can approximate SNR and BER values once we calculate the EVM as follows [1]:

A. Output Parameter Choice The commonly used parameter to characterize a transmission system is BER. It compares the received bits to the transferred ones. Although the BER is highly reliable for assessing transmission quality, it suffers from many restrictions such as the need of using the whole system of radio communication (transmitter receiver) and the need of a large number of bits that may involve a huge estimation time. SNR compares the signal transmission quality to the noise level and could be linked to BER for additive white Gaussian noise (AWGN) in the channel. SNR measurement is rarely possible as noise level can be hard to estimate. Therefore, SNR cannot be used as the analysis parameter in the proposed RF test. The last parameter remains the EVM, a measure of the way several received points are distributed around theoretical position in the appropriate constellation (Fig. 1). In order to perform this calculus, the first steps are the magnitude (called normalization) and the phase (rotation) correction of the received constellation compared to the ideal one. Once the corrections are done, the error vector of each symbol obtained with the difference between the ideal vector and the received one can be obtained. The EVM [12] is finally the root mean square of all error vectors,

(2)

(3) where is the number of levels, or the number of root mean square ( ) values of the constellation points, in each dimension of the -ary modulation system. The EVM measurement can be done at any level of the transmission system unlike the BER. Moreover, it does not require the transmitted sequence to calculate the errors because the received constellation is compared to an ideal one. Furthermore, the EVM parameter is more sensitive to errors occurring in the system than the BER or SNR. All these advantages enable us to think that the EVM is the best choice for RF test as it provides a simple test with a higher sensitivity to error. Other requirements such as time reduction will be answered in the experimental part. B. Modulation Type Once we have defined the metrics to be used, we should choose the adequate digital modulation. For this purpose, the modulation should respect different criteria:

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAHYOUN et al.: VALIDATION OF EVM METHOD FOR FILTER TEST USING BUTTERWORTH AND CHEBYSHEV FILTERS

1) easy to be implemented, minimum number of states; 2) with a spectral occupancy covering all the frequency ranges to be tested; 3) the transmitted power is independent of the transmitted symbols (to prevent any nonlinear effect); 4) representative of real digital signals transmitted through the device in its final use. Many modulations could be used, but the one offering the best compromise between all these criteria is the QPSK digital modulation [13], [14]. For the same value of the BER, QPSK transmits twice the data rate (2 bits per symbol) than the BPSK while maintaining the same BW of the signal. There are only four points in the constellation with equal magnitude and different phase values ( where ). However, the symbols of the constellation around a circle give the same power level for all symbols. One can add that the received power does not change with the number of symbols transmitted contrarily to QAM modulations with . With all these advantages, QPSK is a simple modulation applied in many communication standards and, thus, it is the most appropriate to be used with the RF test of interest.

3

Fig. 2. Measurement signals.

Fig. 3. Diagram of the principle of the method.

C. Principle of the Method Applied to a BPF This method requires noise. The constellation dispersion of the QPSK signal is directly linked to the noise. In the case of a white noise, the SNR, EVM, or BER could be easily estimated. However, the EVM may be more useful because it contains information about the amplitude and phase of the signal and, therefore, information on the various imperfections of a device. If a device is inserted in the measurement setup, the difference between the SNR at the input and the output is due to the convolution of the white noise and the frequency response of the device. In the case of a device with a passive circuit, the output is related to this equation (the noise figure of the ideal passive filter could be approximated by its attenuation), (4) Thanks to the comparison between the EVM [related to the SNR (2)] at the input and at the output, it is possible to get information on the device noise figure on a filter transmission. The relation between the transmission filter ( parameter) and the EVM is not obvious, as described in [5], but we demonstrated that a link exists between the two. III. DESCRIPTION OF THE INDUSTRIAL RF TEST Sections III-A–C are devoted to the principle of the method, the simulation environment with ADS Ptolemy, and the measurement setup. The digital modulation QPSK will be implemented and the EVM will be measured. To carry out this method in simulation and measurement, we began with two simple and well-known filter types: Butterworth and Chebyshev RF bandpass filters (BPFs) with different orders (roll-off slopes) and different responses in their passband (ripples).

Fig. 4. Representation of ideal and received constellations.

A. Getting the EVM As shown in Figs. 2 and 3, we send a QPSK modulated signal through the filter of interest. The signal is composed of symbols transmitted at symbol rate . This signal is carried at a frequency in the RF range with a spectral occupancy of , where is the roll-off factor of the root raised cosine (RRC) filter. These cosine filters are mandatory to reduce intersymbols crosstalk and are placed at the output of the signal generator and at the input of the receiving circuit. The BPF to be tested has a center frequency and a BW. First of all, two measurement conditions should be respected in this industrial RF test. 1) The roll-off slopes of the filter attenuating severely the transmitted QPSK signal at the filter input should be avoided; otherwise the output signal will have an insufficient amplitude to accurately calculate the EVM. Thus, the carrier frequency has to sweep in the filter passband with . 2) The occupied BW of the QPSK signal should cover a large part of the filter passband. Hence, only few measured EVM

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Time-domain simulation in ADS Ptolemy.

points are needed to give the global behavior of the filter in its passband. In other words, four EVM points for filter test requires a symbol rate bigger than . Once the output signal is demodulated, we get the received constellation at the filter output. The latter one observed in Fig. 4 has been subjected to a rotation of its initial phase and an attenuation of its amplitude. These two modifications of QPSK ideal constellation correspond to the filter phase and the IL at the carrier frequency . The EVM value is calculated using the received constellation as follows. 1) Normalization: correction of the received constellation amplitude. For this purpose the normalization factor is evaluated. This factor is obtained by defining a mean point of the received constellation. It can thus be used to correct the magnitude of all received points as compared to the ideal constellation. Moreover, this factor is inversely proportional to the magnitude value of the transmission coefficient at the carrier frequency , it will be verified later. The ideal constellation is known before the test. It is determined for a QPSK by a normalized distance of 1 from the center of the graph and each symbol. The first symbol of the constellation exhibits a positive phase angle of . 2) Phase correction: ideal and received constellations should be in-phase. When subtracting the difference, we get the transmission phase value . This can only be achieved with a signal reference that will not cross the tested filter. 3) EVM calculation: once the received constellation is corrected, we can estimate the dispersion of the received symbols around their ideal position by using the EVM parameter (1). The EVM depends on filter characteristics and symbol rate value.

These three steps give us three output parameters: , , and the EVM value at . The first two values are directly related to the frequency transmission response of the filter, while the last one of type system parameters considers all the deformations that could occur on the QPSK signal when crossing the filter. These deformations can be caused by one or more variations of the filter characteristics: the ILs, the ripples existing in the signal spectral occupancy, the center frequency, or the BW. Thus, the EVM time-domain parameter can give the frequency response of a filter, an important property of our RF test. Finally, the principle of the proposed RF test is presented in Fig. 4. Several defects can induce a higher value of EVM than allowed by this test (threshold value), and thus we can assume that the filter cannot be used. B. Simulation Environment With ADS Ptolemy Simulations within ADS were made as a first step to validate and develop our method. As is described in the principle of the method, these simulations should combine time and frequency aspects, as we need to evaluate the radio-communication system in the time domain while co-simulating with RF components (BPF in our case). In order to reach this goal, we use the “Digital Signal Processing” and “Analog/RF” networks, respectively, for system and RF component simulations. To link those two networks, we will employ ADS Ptolemy as a time-domain simulator of ADS. Fig. 5 represents the method implemented in the ADS Ptolemy simulator. The data flow controller (DF) in Fig. 5 is used for all digital signal-processing simulations within ADS with the aim of controlling the flow of mixed digital and timed signals. The random data generated and split are then modulated with the block MOD-QPSK at the carrier frequency . Afterwards, the QPSK signal is sent through the BP filter

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAHYOUN et al.: VALIDATION OF EVM METHOD FOR FILTER TEST USING BUTTERWORTH AND CHEBYSHEV FILTERS

5

Fig. 6. Experimental setup.

co-simulated with the “Circuit Envelope Subnetwork” in the analog/RF network. The EnvOutShort box is the envelope output selector used for the interfacing between the circuit subnetwork output (filter) and the signal processing under Ptolemy-circuit envelope co-simulation. The mixed digital and timed signal is then demodulated with DEM-QPSK and the baseband signal is given as and in the – plane. For modulation/demodulation, we use an RRC filter with a roll-off factor to avoid the inter symbol interference (ISI). The first simulations were done on Butterworth filters of orders 3 and 4, and then compared to measurement results in order to validate our simulations within ADS Ptolemy. C. Experimental Procedure and Setup The method of test described above in Section III-A is implemented in this paragraph using the following instruments: 1) a vector signal generator ESG 4438C; 2) a digital scope digital storage oscilloscope (DSO) (DSO 54855A) using vector signal analyzer (VSA) (VSA 89600) software. When launching the VSA, DSO measures the real-time signal with a maximum sample rate of 20 GSa/s. Once the choice of the demodulation type (QPSK) and the roll-off factor of the Nyquist filter (RRC with ) is done, we specify the number of symbols “ ” (1) used in the EVM calculation and the number of points per symbol. In our case, we choose symbols with one measured point per symbol in order to reduce memory allocation while ensuring that the number of points per symbol has no influence on the EVM value. This big number of symbols ensures a uniform repartition of the symbols on the four possible states of QPSK (“00,” “01,” “10,” and “11”) while covering all the possible transitions between the constellation points. Fig. 6 shows the experimental setup. The power divider splits the modulated signal generated by the ESG in two signals, which are then measured at DSO channels. The filter modifies the first signal (1), while the other signal (2) is considered as the reference one. The amplitude and the phase of are calculated by measuring, respectively, the time delay and the attenuation between both transmitted signals. The EVM is then calculated using the VSA software. The cable introduces a time delay that should be taken into account to accurately calculate the filter phase. Thus, we should add the same length of cables connecting the power divider to both channels 1 and 2.

Fig. 7. Butterworth and Chebyshev filters in zigzag format.

Fig. 8. Butterworth filter with stubs.

A preliminary test, done without a filter, shows a null phase and no attenuation between the measured signals with the EVM value equal to 3%, representing the minimum value obtained with the setup without a filter and considered as the minimum EVM for a symbol rate of 40 Mbauds. To implement this technique, we start by testing simple filters as Butterworth and Chebyshev types of different orders. Different analyses of the results are presented below. IV. EXPERIMENT AND ANALYSIS OF MEASUREMENT RESULTS A. Filter Designs and Characteristics For Butterworth filters, the response is almost flat in the passband and, thus, its main characteristics remain the center frequency, BW, and ILs while Chebyshev filters also exhibit ripple in the passband. The influence of these four characteristics on the EVM parameter will later be studied. Figs. 7 and 8 represent planar filters designed with parallelcoupled line resonators in a zigzag format or with stubs. These filters are realized on a Rogers RO4003 substrate of which characteristics are: relative permittivity , thickness m, and loss tangent . Due to the limitation of the quality factor of planar filters, the center frequency was chosen to be less than 1 GHz. The bandpass of the filters was chosen to be around 10% of the center frequency in order to get enough BW, which may be of interest with small-signal BW and with large-signal BW. However, the method can be used whatever the value of the filter BW. Table I gives the different characteristics of the filters. Two different orders for Butterworth filters were chosen to illustrate the variation of the EVM in the roll-off. To validate the method, the symbol rate was set arbitrary to 30% of the filter BW. This choice remains an intermediate solution between the VNA and TDR/T. With a roll-off factor of 0.35, the spectral occupancy of the QPSK signal is around 40.5% of the BW.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I CHARACTERISTICS OF REALIZED FILTERS

Fig. 10. Phase of transmission coefficient compared for both techniques: VNA and the proposed method.

Fig. 9. Magnitude of transmission coefficient compared for both techniques: VNA and the proposed method.

The passband of filters is swept with the carrier frequency, varying between 100% BW. The first results displayed are the transmission coefficient in magnitude and phase. These measurements are consistent with the VNA ones. Next, the EVM was calculated for different values of in the passband. The term is on the -axis describing the distance between the carrier frequency and the center frequency normalized to the BW and defined as follows: . This quantity, called normalized frequency shift, will be used to plot the variations of the transmission coefficient and the EVM variation. B. First Results: Transmission Coefficient Tested on Butterworth Filter The first results displayed are the transmission coefficient in magnitude and phase, as shown in Figs. 9 and 10, respectively, for an occupied BW of QPSK of 40.5% BW. The method reproduces faithfully the VNA results in the filter passband. When sweeping out of band, the method gives an approximation of VNA measured data with an error of 2 dB in magnitude and 10 in phase. These first results are depicted hereafter in order to prove the accuracy of this novel method for results in the frequency domain beside the output EVM system parameter. Thus, the method can be called a pseudo-time-domain method combining simultaneously frequency- and time-domain responses.

Fig. 11. Simulated and measured EVM compared to transmission magnitude filter. for

After normalization and phase correction, the EVM values are calculated within ADS Ptolemy simulations and measurements using the VSA software. The results are presented below. C. EVM Results for Butterworth Filters The QPSK modulated signal sent through Butterworth filters has a spectral occupancy covering 40.5% of the filter BW. Thus, a modulated signal with symbol rate of 40 Mbauds for and 21 Mbauds for is generated. Fig. 11 presents the EVM responses compared to the transmission magnitude for Butterworth filters of third order ( ). The results given by simulation and measurement are displayed for normalized frequency shift going from 100% to 100%. First of all, we observe that simulations within ADS Ptolemy and measurements are consistent with Butterworth filters of orders 3 and 4 (Figs. 11 and 12). The absolute difference between simulated and measured values of EVM is of less than 2%. Consequently, the ADS Ptolemy environment is able to simulate

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAHYOUN et al.: VALIDATION OF EVM METHOD FOR FILTER TEST USING BUTTERWORTH AND CHEBYSHEV FILTERS

7

TABLE II EVM MINIMUM AND MAXIMA VALUES FOR BUTTERWORTH FILTERS ORDERS 3 AND 4 AT A NORMALIZED SYMBOL RATE OF 30% BW

OF

Fig. 12. Simulated and measured EVM compared to transmission magnitude filter. for

EVM variations in the passband and its sensitivity to defected filter response. Looking at Fig. 11, the minimum EVM value of 3% is found for the center frequency of the filter where is equal to 0. This value corresponds to the errors generated by the setup without the filter for a symbol rate of 40 Mbauds. Hence, it implies that the filter does not introduce any extra errors to the transmitted data when used at its center frequency. When changing the carrier frequency, the EVM value increases to reach a maximum value at 85% of . At this maximum, the carrier frequency is already in the roll-off slopes with different EVM values. Indeed, the left rejection slope is slightly steeper than the right one (asymmetrical filter) and thereby generates a bigger EVM maximum with a value of 23% while the right maximum is at 20%. These distinct maxima highlight the sensitivity of the EVM parameter to the filter rejection slopes. Going further than 85% of the BW will lead to a decrease of the EVM value. This is due to the fact that the transmitted data is highly attenuated by the filter slopes and leads to an incorrectly calculated EVM. As a result, the test will be limited to the neighboring of the filter passband. Fig. 12 presents the EVM variations versus the normalized frequency shift for fourth-order Butterworth filter ( ). Compared to Fig. 11, we obtain the same EVM signature, but with a minimum EVM value of 2% ( Mbauds Mbauds), however, with different maxima values. As is an asymmetrical filter, different maxima at 82% of the BW are observed. The left one has a value of 33%, while the right one has an EVM of 30%. Compared to Fig. 11, the EVM maxima values increase with the filter order (20% for to 30% for ) and the corresponding decreases (from 85% for to 82% for ). In fact, has steeper roll-off slopes than , giving a bigger value of the EVM for lower values. Table II summarizes the critical values of the EVM for both filters for a symbol rate of 30% of the BW.

Fig. 13. Measured EVM compared to transmission magnitude for

.

Finally, the symbol rate and the frequency shift normalization to the filter BW offer a unique EVM signature for each filter order. Consequently, Figs. 11 and 12 present, respectively, the EVM global responses of Butterworth filters of orders 3 and 4 for an occupied BW of QPSK around 40.5% of the BW. The influence of the center frequency (minimum ILs), the BW, as well as the filter order on the EVM value was depicted in this paragraph. The last parameter to be explored is the passband ripple. D. EVM Results for Chebyshev Filter The second filter tested is a Chebyshev type with ripples in its passband. The EVM sensitivity to ripples will now be studied. Keeping the same spectral occupancy of the QPSK signal as previously, the Chebyshev filter is a BPF of fourth order ( in Table I) with passband ripples of 1 dB. Fig. 13 presents the measured EVM values compared to the transmission magnitude. Zooming to ripples zone for , we observe a of 5% when IL varies 1 dB. This thereby implies that the EVM shows sensitivity to ripples in the passband. We detect maximal EVM values at 78%. Compared to the Butterworth filter of the same order, the Chebyshev filter has steeper roll-off slopes that lead to a decrease of from 82% ( ) to 78% ( ). We obtain EVM values of 30% and 38%, respectively, for the left and right maxima due to the asymmetric response of . The agreement with VNA measurements is about the same for Butterworth filters and for Chebyshev filters.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

an important time reduction can be obtained with a full wafer test of 2500 filters [15]. Thereby this method of test can widely be used for industrial purposes in order to determine the main filter characteristics and to detect any defects in its response. Using signals like orthogonal frequency division multiplexing (OFDM) with six sub-carrier frequencies could further reduce this time of measurement. As the test method can be used for RF filters; it can be applied to other RF functions such as power dividers, phase shifters, circulators, amplifiers, or antennas. REFERENCES

Fig. 14. Dynamic of EVM versus normalized symbol rate for QPSK modulated signal and Butterworth filter of third order.

E. Symbol Rate Choice of the QPSK modulated signal to We set the symbol rate 30% of the BW. This latter value should respond to the following requirements. • The dynamic range should be sufficiently wide to determine the filter characteristics and to detect any defects in the filter response. Fig. 14 shows an increase of the EVM dynamic range with the normalized symbol rate ( ). Low values of lead to low dynamics of the EVM that may not give sufficient information about the filter of interest. • A large means a wide spectral occupancy of the modulated signal covering a wide window of the filter response. The transmitted signal will average all the variations produced in the covered part of filter response and, thus, it will reduce the sensitivity of the EVM to detect minor variations or defects in the filter passband. The best choice remains the intermediate solution where the dynamic range and the sensitivity of the EVM are sufficient to depict the filter characteristics and to locate any defects in its response. V. CONCLUSION A novel method of test able to discriminate filters as compared to the characteristic of a transmission protocol and responding to industrial requirements in terms of time and cost is presented. This technique is based on digital modulation signal QPSK with 40.5% of spectral occupancy sent through the RF device. The output data are the transmitted coefficient (frequency domain) and the EVM (time domain) that will serve as the parameter of analysis for our test. It is also, for the first time, demonstrated how to link DUT parameters (center frequency, BW, ILs, ripples) to a single measured system parameter the EVM with a sensitivity of several percent. This sensitivity defines the main frequency points that should be tested for each RF device. RF filters of type Butterworth and Chebyshev were the first RF devices to be tested with this method and six points of EVM are required for a full test of the filter response. Compared to S-parameters measurements,

[1] R. A. Shafik, S. Rahman, and R. Islam, “On the extended relationships among EVM, BER and SNR as performance metrics,” in Proc. Int. Electr. Comput. Eng. Conf., Dhaka, Bangladesh, 2006, pp. 408–411. [2] D. Lee, R. Senguttuvan, and A. Chatterjee, “Efficient testing of wireless polar transmitters,” in Proc. IEEE Int. Mixed-Signals, Sensors, Syst. Test Workshop, Vancouver, BC, Canada, 2008, pp. 1–5. [3] M. P. Fitz and L. Christen, “Transmitter performance characterization with amplitude and phase EVM,” in Proc. IEEE Radio Wireless Symp., Long Beach, CA, USA, 2007, pp. 467–470. [4] E. Acar and S. Ozev, “Low cost characterization of RF transceivers through IQ data analysis,” in Proc. IEEE Int. Test Conf., Santa Clara, CA, USA, 2007, pp. 1–10. [5] C. J. Kikkert, “The effect of filter type on BER of WCDMA-UMTS mobile radio systems,” in Proc. IEEE Int. Electron., Circuits, Syst. Conf., St. Julien’s, Malta, 2008, pp. 966–969. [6] L. Xinmeng and H. Hui, “Analyses of VNA noise floor,” in Proc. ARFTG Conf., Broomfield, CO, USA, 2009, pp. 1–7. [7] A. Rumiantsev and N. Ridler, “VNA calibration,” IEEE Microw. Mag., vol. 9, no. 3, pp. 86–99, Jun. 2008. [8] W. Sahyoun, P. Benech, and J.-M. Duchamp, “Pseudo-time domain filter characterization using EVM parameter,” in Proc. Eur. Microw. Conf., Paris, France, 2010, pp. 1281–1284. [9] N. A. M. Mackay and S. R. Penstone, “A high-sensitivity narrow-band time-domain reflectometer,” IEEE Trans. Instrum. Meas., vol. IM-23, no. 2, pp. 155–158, Jun. 1974. [10] E. Yilmaz, A. Nassery, and S. Ozev, “Built-in EVM measurement with negligible hardware overhead,” IEEE Des. Test., vol. 31, no. 1, pp. 75–82, Jan. 2014. [11] A. Halder and A. Chatterjee, “Low-cost alternate EVM test for wireless receiver systems,” in Proc. IEEE VLSI Test Symp., Palm Springs, CA, USA, 2005, pp. 255–260. [12] M. Lin, Q. Zhang, and Q. Xu, “EVM simulation and its comparison with BER for different types of modulation,” in Proc. IEEE Int. Tech. Conf., Taipei, Taïwan, 2007, pp. 1–4. [13] W. Sahyoun, J. Duchamp, and P. Benech, “Industrial combining RF and system test of microwave devices using QPSK modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, 2011, pp. 1–4. [14] M. Helfenstein, E. Baykal, K. Muller, and A. Lampe, “Error vector magnitude (EVM) measurements for GSM/EDGE applications revised under production conditions,” in Proc. IEEE Int. Circuits Syst. Symp., Kobe, Japan, 2005, pp. 5003–5006. [15] W. Sahyoun, P. Benech, J.-M. Duchamp, G. Parat, and P. Ancey, “A method reducing the time of RF test for coupled resonator filters,” in Proc. IEEE Int. Electron., Circuits, Syst. Conf., Yasmine Hammamet, Tunisia, 2009, pp. 731–734. Walaa Sahyoun (GSM’09–M’11) was born in Kalamoun, Lebanon, in 1984. She received the B.E.Sc. degree in telecommunications and informatics engineering from Lebanese University, Beirut, Lebanon, in 2007, the M.Sc. degree in optics and radio-frequencies from the National Polytechnic Institute (Grenoble-INP), Grenoble, France, in 2008, and the Ph.D. degree in optics and radio frequency from the Institute of Microelectronics, Electromagnetisms and Photonics (IMEP-LAHC Laboratory), University Grenoble Alpes, Grenoble, France, in 2011. She is currently an Engineer of optics and radio frequency with the Canon Research Center, Cesson Sévigné, France. Her field of interest is in the domain

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAHYOUN et al.: VALIDATION OF EVM METHOD FOR FILTER TEST USING BUTTERWORTH AND CHEBYSHEV FILTERS

of terahertz wideband communication, integrated circuits in CMOS technology, and passive and active RF components.

Jean-Marc Duchamp (M’08) was born in Lyon, France, in 1965. He received the M.Sc. degree from the University of Orsay, Orsay, France, in 1988, the Engineer degree from SUPELEC, Gif-sur-Yvette, France, in 1990, and the Ph.D. degree from the LAHC Laboratory, University of Savoie (UdS), Savoie, France, in 2004. From 1991 to 1996, he was a Research Engineer with TECHMETA, Metz-Tessy, France, a company that specialized in electron beams. From 1996 to 2005, he was a Professeur Agrege with the University of Savoie (UdS). Since 2005, he has been an Assistant Professor with the University of Joseph Fourier, Grenoble, France, where he teaches electronics and telecommunications. His current research interests include

9

passive microwave and millimeter-wave circuits analysis and characterization like matching impedance networks, filters, power dividers, microelectromechanical systems (MEMS), slow-wave periodical structures, and tunable and miniaturized RF sub systems.

Philippe Benech (M’08) received the M.S. degree in microelectronics from the University of Montpellier, Montpellier, France, in 1987, and the Ph.D. degree in instrumentation from the University Joseph Fourier, Grenoble, France, in 1990. Since 2000, he has been a Professor with the University of Grenoble, and a Researcher with the IMEP-LAHC Laboratory, Grenoble, France. His research interest is in the domain of integration of passive components and functions for telecommunications.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

High-Precision Motion Detection Using Low-Complexity Doppler Radar With Digital Post-Distortion Technique Changzhan Gu, Member, IEEE, Zhengyu Peng, Student Member, IEEE, and Changzhi Li, Senior Member, IEEE

Abstract—Owing to the low complexity and high level of system integration, the quadrature direct-conversion architecture is widely used in Doppler radar for noncontact detection of slow periodic motions such as mechanical vibrations and physiological motions of respiration and heartbeat. However, precise detection of the complete motion pattern has been challenging due to the high-pass characteristics of the ac-coupled baseband circuitry. A few techniques have been proposed to preserve the actual motion pattern in radar sensing based on hardware modifications that add system complexity and cost. In this paper, a digital post-distortion (DPoD) technique is proposed to compensate for the signal distortions in the digital baseband domain. Without any cumbersome hardware modification, the complete pattern of slow periodic motions can be detected using a simple quadrature direct-conversion architecture with ac-coupled baseband. Experimental results show that the proposed Doppler radar with the DPoD technique is robust to compensate signal distortions and can be used for precise detection of slow Doppler motions (near dc) where ac coupling typically attenuates the signal. Index Terms—Doppler radar, high precision, low complexity, motion detection, noncontact, quadrature.

I. INTRODUCTION

N

ONCONTACT detection based on radar technologies are attracting more and more research efforts within the microwave community due to the vast applications [1]–[7]. The continuous-wave Doppler radar has been widely used for noncontact detection of versatile periodic motions, such as vibration motions [8]–[10], and physiological activities [11]–[13]. For some applications, only the frequency information is desired, e.g., noncontact vital sign detection of respiration rate and heartbeat rate [12]. However, there are many situations where the complete motion pattern is important. For example, the thoracic wall displacement must be accurately detected in radar respiration monitoring to interpret the shallow breathing due to the Manuscript received May 11, 2015; revised July 16, 2015 and December 24, 2015; accepted January 01, 2016. This work was supported in part by the National Science Foundation (NSF) under Grant ECCS-1254838 and by the Cancer Prevention and Research Institute of Texas (CPRIT) under Grant RP120053. C. Gu is with the Technical Research Team of Project Soli, Advanced Technology and Projects (ATAP) Group, Google, Mountain View, CA 94043 USA (e-mail: [email protected]). Z. Peng and C. Li are with the Department of Electrical and Computer Engineering, Texas Tech University, Lubbock, TX 79409 USA (e-mail: zhengyu. [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2519881

apnea events [14]; in motion-adaptive cancer radiotherapy, the complete respiration pattern needs to be preserved to indicate the tumor location [15]; structural health monitoring requires accurate amplitude information to assess the safety and health conditions of the infrastructures [16]. The precise detection of versatile periodic motions requires the radar system be able to preserve the complete signal pattern. Among all the possible Doppler radar architectures, the quadrature direct-conversion architecture is perhaps the one that has been most widely used. Its popularity is due to the low architectural complexity, low hardware cost, and high level of system and chip integration [17]. Over the past few decades, researchers over the world have made tremendous efforts to promote Doppler radar research. Various techniques have been proposed on both the signal processing and the hardware to increase the robustness and the accuracy of radar motion detection [6], [7], [18], [19]. On the signal-processing side, small angle approximation was first introduced for quadrature radar so that either the in-phase (I) or quadrature (Q) channel can be used as the detected signal [18]. The arctangent demodulation was introduced to combine the I/Q signals to eliminate the null point problem and increase the linear region of phase demodulation [19]. Nevertheless, phase unwrapping may be necessary to avoid the phase discontinuity in arctangent demodulation [20]. An enhanced differentiate and cross-multiply (DACM) algorithm was employed to avoid phase unwrapping in quadrature radar phase demodulation [21]. Recently, a phase-demodulation approach was proposed to extend the linear phase demodulation region to a few wavelengths [22]. To deal with the hardware demerits, compensation methods based on radar measured data and ellipse fitting were proposed to eliminate the impact of I/Q imbalance [23], [24], and dc-offset estimation approaches were investigated for accurate demodulation [17], [25]. Baseband equalization techniques were proposed for pulse radar ranging or imaging [26], [27], or for preserving the signal pattern [28]. In recent years, continuous wave (CW) Doppler radar is becoming an attractive approach for accurate motion detection, where high-precision detection of the motion amplitude at a low hardware cost is of vital importance. The ac coupling is still an important problem that challenges the Doppler radar to achieve high accuracy in displacement detection. Few signal-processing techniques have been proposed to tackle the signal distortion problem in ac coupling to ensure high accuracy in radar motion detection.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

In the quadrature direct-conversion receiver, the backscattered signal received at the radar RF front-end is amplified and mixed with the local oscillator (LO) signal to be converted directly to baseband. Therefore, dc offset is inevitable [15], [19]. To remove the dc offset, ac coupling is usually used between the radar mixer output and the radar baseband amplifier. However, the high-pass characteristics of the ac-coupled signal chain may lead to significant signal distortions when measuring low-frequency motions [29]. This demerit prohibits the Doppler radar from precisely measuring the complete signal pattern of the target motions [15], [29]. Researchers have proposed a few techniques on the hardware side to avoid signal distortion and preserve the signal integrity. For example, several dc-coupled receiver architectures have been proposed to calibrate the dc offset while preserving the dc information [15], [19]. The dc-coupled receiver has all-pass characteristics that avoid the distortion to low-frequency motions or slow motions with stationary moment. However, the dc-coupled structure requires extra effort of dc tuning and also adds to hardware complexity. Another approach to avoid signal distortion is to use the digital IF architecture. Instead of directly converting the RF signal down to baseband, the receiver digitizes the radar received signal at IF, thus avoiding the ac-coupled baseband structure [30], [31]. However, the IF architecture requires cumbersome hardware design and a high-speed digitizer, which increases both the system complexity and hardware cost. In this paper, a post-distortion technique is proposed to compensate for the signal distortion caused by ac coupling in Doppler radar sensing. The proposed post-distortion technique is performed in the digital domain and does not require any hardware modification. The ac-coupled Doppler radar system can use a simple quardature direct-conversion architecture to measure low-frequency motions without losing information on motion pattern. In contrast to the pre-distortion technique, which is widely used in power amplifiers’ linearization, the proposed digital post-distortion (DPoD) technique applies signal compensation in the digital baseband to recover the signal information that is lost in an ac-coupled receiver. The DPoD technique “linearizes” the high-pass characteristics of ac-coupling so that the ac-coupled baseband performs virtual “all-pass” characteristics to the input signals. Therefore, without requiring any hardware modifications, signal integrity can be largely preserved using the conventional low-cost ac-coupled radar hardware.

Fig. 1. (a) Block diagram of the 2.4-GHz quadrature direct-conversion Doppler radar with DPoD technique. Baseband is ac coupled to remove dc , DPoD offset. (b) Simplified diagram showing baseband response of , and the complete system response of . response of

converted to baseband I/Q signals using a quadrature mixer. The mixer output I/Q signals are [24]

(1)

(2) is the time-varying relative diswhere is the amplitude, placement of the subject, is the wavelength of the carrier signal, is the nominal distance from radar to the subject, is residual phase noise including the constant phase shift, is the amplitude imbalance factor, is the phase error, and and are dc offsets in I/Q channels. Owing to the advancement of the integrated circuit (IC) technologies, today’s mixers have good quadrature balance. For example, the quadrature mixer used in this work, i.e., Skyworks73009, has a maximum I/Q amplitude imbalance of 0.3 dB and a typical phase error of only 1 . In case there is large quadrature imbalance, it can be compensated by methods such as the ellipse-fitting technique [24] and the data-based technique [23]. Therefore, the phase imbalance and phase error are not considered in this work. The mixer output I/Q signals can be rewritten as [9]

II. THEORY

A. Signal Distortion Fig. 1(a) shows the block diagram of the 2.4-GHz quadrature direct-conversion radar system. In Doppler radar motion detection, a single-tone RF signal is transmitted to the moving target, which backscatters the RF signal to the radar receiver. According to the Doppler theory, the backscattered signal has motion information modulated in the phase. The RF signal received at the radar front-end is amplified, filtered, and directly

(3)

(4)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GU et al.: HIGH-PRECISION MOTION DETECTION USING LOW-COMPLEXITY DOPPLER RADAR WITH DPoD TECHNIQUE

3

Fig. 2. Fundamental and harmonics from nonlinear phase modulation are subject to different degrees of attenuation at ac-coupled radar baseband.

where , is the target motion with amplitude of and frequency of , is the th-order Bessel function of the first kind. It is seen from (3) and (4) that, due to the nonlinear phase modulation, the radar measured signal is not single tone, but has harmonics. Keeping the harmonic ratios is necessary for the signal integrity [29]. In order to reduce the impact of the quantization noise in analog-to-digital conversion, the mixer output I/Q signals are usually further boosted by the baseband amplifiers. Between the mixer output and the input of the baseband amplifier, ac coupling is widely used to deal with the dc offsets and , which may saturate the baseband amplifiers, as shown in Fig. 1. The capacitor and the operational amplifier of the baseband form a first-order high-pass filter with cutoff frequency of . It is known that, while measuring slow motions, signal distortion may happen if the harmonic ratios are changed when the target frequency and its harmonics are subject to different degrees of attenuation in the baseband high-pass filter [29]. Fig. 2 shows the attenuations for the fundamental tone and the harmonics at the ac-coupled baseband with F and k . It is seen that, at low motion frequency, the fundamental is subject to higher attenuation than the harmonics, which changes the harmonic ratios and leads to signal distortion. The lower the motion frequency, the higher attenuation the fundamental suffers from. The difference in attenuation decreases as the motion frequency increases. When the motion frequency is way beyond the cutoff frequency, e.g., 1.0 Hz, the fundamental and harmonics tend to endure the same degree of attenuation, which means no signal distortion. B. Error Vector Magnitude After dc-offset calibration, the I/Q signals shown in (3) and (4) are expected to form an ideal arch that fits with the circle with the radius of centered in in the I/Q plane [19]. The dc-offset calibration is necessary for accurate phase demodulation to recover the motion information [32]. However, due to the loss of the inherent harmonic ratios in ac coupling, the I/Q signals are distorted so that they no longer display an ideal arch, but exhibit a ribbon-like trajectory, as shown in Fig. 3. The ribbon-like trajectory makes it a challenging task to accurately calibrate the dc offsets and find the correct center , which may lead to inaccurate phase demodulation. The error vector magnitude (EVM) is proposed as a measure of the degree of distortion and the quality of the I/Q trajectory. Without signal distortion, all the I/Q points are expected to ideally fall on the unit circle. However, with signal distortion, the

Fig. 3. Simulated I/Q trajectory showing the error vector that is due to distortion at the ac-coupled baseband.

I/Q points may deviate from the unit circle with a vector of , as shown in Fig. 3. The reference vector is defined as the vector from the center to the intersection between the unit circle and . The error vector is then the difference between and , i.e., . The EVM for the whole I/Q trajectory is defined as

dB

(5)

is the number of signal points in the I/Q trajectory, is the vector from the circle center to the th measured signal point, and is the th reference vector from the center to the ideal circle. In order to accurately detect the subject’s motion, the EVM must be minimized so that the I/Q trajectory could better represent the precise phase information caused by the target movement rather than the circuit imperfections. The EVM is not only determined by the signal to noise ratio, but also by the deviation from the trajectory to the unit circle. For frequency-fixed motions, as the motion magnitude increases the ribbon shape tends to expand so that the EVM worsens.

where

C. Distortion Compensation The complex signal at the mixer output combing (3) and (4) is . The total system response between the mixer output and the phase demodulation algorithm is , which includes the baseband transfer function and the DPoD transfer function , as shown in Fig. 1(b). The complex signal before phase demodulation is , as shown in Fig. 1(a). Without DPoD, the system response attributes only to the analog baseband, i.e., . can be represented as the convolution of and (6) where , , and represented the signals without DPoD. The signals are digitized to discrete-time signals by the analog-to-digital converter. Applying the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Flowchart showing the procedure to obtain the DPoD coefficients.

Fig. 4. Signal distortion is due to the change of harmonic ratios in ac-coupled . The proposed DPoD techbaseband with high-pass characteristics of in the digital domain to recover the nique employs a system response harmonic ratios to compensate for the signal distortion.

-transform to -domain,

yields another representation in the (7)

where

, , and are the -transforms of , and , respectively. The system response representing the baseband circuit between the mixer output and the baseband amplifier can be expressed as ,

(8)

where is the gain factor. The coefficients determine the characteristics of the system response such as high pass or low pass that may cause distortion to the radar measured signal. In the proposed DPoD technique, the signal distortion is compensated in the digital domain by an algorithm whose system response is the inverse function of ,

(9)

amplifier of up to several MHz. The amplitude is calibrated out in arctangent demodulation [32]. The DPoD coefficients can be obtained in hardware calibration by characterizing the baseband high-pass filter. The transfer function of the analog baseband is firstly determined according to the hardware structure. For example, the analog baseband in Fig. 1 has F and k . Thus, the baseband cutoff frequency is 0.32 Hz. The baseband is a first-order high-pass RC filter with coefficients and . The baseband transfer function is then . The preliminary compensation function is then defined as the inversion of , i.e., . However, the coefficients may change due to the tolerance of the RC components in practical circuits. Fig. 5 shows a flowchart that illustrates the procedure to obtain the optimal DPoD coefficients. The Doppler radar is set up to measure a slow sinusoidal motion with frequency less than the cutoff frequency of the high-pass filter. The coefficients are swept in a predefined range around the ideal values in so as to minimize the EVM of the I/Q trajectory. The coefficients that achieve the best EVM are recorded as the DPoD coefficients. If the I/Q channels have different filter responses, DPoP with different coefficients could be applied to each channel individually. The frequency response of the fixed radar hardware is quite stable. Therefore, as long as the DPoD coefficients are properly calibrated, the radar with DPoD can accurately measure motions with different frequencies and patterns. III. SIMULATION

Fig. 4 shows the principle of the proposed DPoD technique. The second and third harmonics of the distorted signal are higher than those of the original signal. It is because they are subject to a less degree of distortion than the fundamental tone in the stopband of the baseband high-pass filter. By applying the compensation function , which adds more gain to the fundamental than the harmonics, the original harmonic ratios could be restored in the digital domain so as to avoid the distortion that happens in the analog domain. With DPoD, the system response represents the transfer functions in both analog and digital domains. The recovered signal is (10) As the radar measured motion information is modulated in the phase, the gain has no contribution to the signal distortion. It is flat in the frequency domain, as the movement speed of up to a few Hz is much smaller than the bandwidth of the baseband

A. DPoD Simulation The first simulation is to demonstrate the theory of the proposed DPoD technique. A 0.25-Hz sinusoidal motion ( cm) is simulated and measured by a 2.4-GHz Doppler radar having the same architecture as Fig. 1 with F and k . If no DPoD is applied, which means that the total system response attributes solely to the baseband high-pass filter, the system response is and the simulation results are shown in Fig. 6(a). Without DPoD, the system response shows high-pass characteristics. As the 0.25-Hz signal is less than the cutoff frequency of 0.32 Hz, the fundamental is located within the stopband of the high-pass filter. As seen in Fig. 6(a), the second and third harmonics of the distorted signal are higher than those of the original signal. It is because the fundamental and harmonics are subject to different degrees of attenuation, which changes the fundamental-to-harmonics ratios. The change of harmonic ratios leads to distorted I/Q trajectory shown as a ribbon-like shape [29]. The proposed DPoD

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GU et al.: HIGH-PRECISION MOTION DETECTION USING LOW-COMPLEXITY DOPPLER RADAR WITH DPoD TECHNIQUE

5

Fig. 6. Spectra of the simulation of radar measured 0.25-Hz sinusoidal motion is: (a) baseband response only , when the total system response , and (c) combined system response (b) DPD response only .

technique works to smooth out the different attenuations to virtually increase the linearity of the baseband circuitry. It works in such a way that the DPoD response is the inversion of the analog baseband response , which is shown in Fig. 6(b). Assuming that there is no signal distortion due to and the total system response is only from DPoD, the simulation results are shown in Fig. 6(b). It is seen that the DPoD technique tends to change the harmonic ratios in an opposite way as compared with the ac-coupled baseband. If the DPoD technique is applied to the radar baseband, the combined system response would ideally be flat in the frequency domain, as shown in Fig. 6(c). It means that the fundamental and harmonics will suffer from the same degree of attenuation, which can be interpreted as the baseband insertion loss. Therefore, the Doppler radar would be able to retain the harmonic ratios to keep the signal integrity in motion detection. In practical radar systems, due to the noise in the amplifier and other hardware impairments that worsen near dc, the baseband response would be difficult to be equalized back to a flat frequency response. That being said, the harmonics of the target slow motion, e.g., respiration, may not be completely compensated to restore the inherent ratios. However, the proposed DPoD technique would help to greatly reduce the different degrees of attenuations suffered by different harmonics. In this way, the EVM could be improved for more accurate motion detection and the key information of a motion pattern could be preserved. In the second simulation, the effectiveness of using the proposed DPoD technique to compensate signal distortions is evaluated. The simulated sinusoidal signal has a low frequency of 0.13 Hz ( mm). To simulate the distortion at ac-coupled baseband, the signal is filtered by a first-order high-pass RC filter with F and k , whose system response is

Fig. 7. Simulation results of distorted and compensated I/Q signals in time domain and in the I/Q plane: (a) distorted I/Q signals are showing ribbon-like I/Q trajectories. The distorted signals are compensated using different DPoD , (c) , and (d) . The distortion coefficients: (b) is compensated and the I/Q trajectory is recovered to an ideal arch in (d).

. Fig. 7(a) shows the distorted I/Q signals in the time domain and the ribbon-like trajectory in the I/Q plane. The EVM of the distorted signal is 11.60 dB. In the simulation, a system response of is applied to the distorted signal to compensate the distortion. The coefficients is swept from 0.99 to 0.96. Fig. 7(b) shows the results after applying the compensation with . Although the EVM is only improved by less than a dB, the ribbon-like shape tends to bend and acts more like an arch fitting with the circle. It is more obvious in Fig. 7(c), where , that the ribbon shape tends to shrink and fits better with the unit circle. The EVM is now improved to 16.91 dB. When that is close to the actual coefficient 0.961 of the high-pass filter, the ribbon shape completely disappears and the I/Q trajectory is recovered to an ideal arch on

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Complex spectra of I/Q signals when the signals are distorted at ac-coupled baseband and compensated with different DPoD coefficients.

Fig. 8. Radian of the I/Q trajectory varies with SNR: (a) 50 dB, (b) 27 dB, and (c) 20 dB. (d) Noise in DPoD impacts the accuracy of radar measurement.

the unit circle with EVM of 49.19 dB, as shown in Fig. 7(d). It should be noted that the system noises and circuit imperfections are not considered in simulation. It would be difficult to have EVM near 50 dB in the real radar system. Empirically, EVM in the range of 20 to 30 dB is achievable and is good enough for a quality I/Q trajectory that can be used for accurate phase demodulation. The distortion compensation can also be demonstrated in the frequency domain, as shown in Fig. 9. The ribbon-like I/Q trajectory is due to the loss of the intrinsic fundamental to harmonics ratios, i.e., the higher order harmonics suffer less attenuation as compared to the fundamental. In the real radar system, the second harmonic is the most important because: 1) its amplitude is not negligible compared to the fundamental and 2) the higher order harmonics, e.g., third and fourth order, are likely overwhelmed by the system noise. The DPoD compensation acts to adjust the harmonic amplitudes to restore the intrinsic harmonic ratios. As shown in Fig. 9, after applying DPoD with , the harmonic amplitude is restored to the original, which means the distortion is compensated. It is also seen from Fig. 7 that the radian length of I/Q trajectory changes as approaches to the actual coefficient value. The radian of I/Q trajectory determines the displacement amplitude of the demodulated signal [32]. Therefore, the proposed DPoD technique is beneficial for optimizing the trajectory radian in distortion compensation for accurate displacement tracking. B. Noise Analysis The system noise has impact on the effectiveness of using DPoD for high-precision radar measurement. In the simulation, the same sinusoidal signal as in Fig. 7 (0.13 Hz, mm) is contaminated with different noise levels and distorted by a first-order high-pass RC filter with F and k . A system response of

is then applied to the distorted signal to compensate for the distortion. As demonstrated in Fig. 7, without system noise, DPoD is able to recover the ideal I/Q trajectory with a fairly high EVM of 49.19 dB. Fig. 8 shows the simulation results with different noise levels. For 2.4-GHz radar, a sinusoidal motion with amplitude of 1/4 wavelength ( mm) occupies 360 on the I/Q graph [33]. The resolution is mm mm degree. Therefore, without noise consideration, the I/Q trajectory of the simulated sinusoidal motion with mm has a radian length of 86.4 . It is seen in Fig. 8(a) that with a high dB, the EVM is 27.8 dB and the trajectory radian of 86.7 is very close to the ideal radian of 86.4 . The 0.3 radian difference leads to an error of only 0.03 mm. As signal-to-noise ratio (SNR) drops to 27 dB, as seen in Fig. 8(b), the EVM also decreases to 16.5 dB. Due to the impact of noise, the trajectory radian becomes 97.1 , which corresponds to a measurement error of 0.9 mm. Higher noise level tends to further worsen both the EVM and the measurement accuracy, as can be seen from Fig. 8(c). The EVM is lowed to 12.9 dB and the trajectory radian is expanded to 117.6 , which corresponds to an error of 2.7 mm. Fig. 8(d) shows the variation of radar measurement error with different SNR levels. It is seen that, to have sub-millimeter accuracy for a mm sinusoidal motion, the SNR needs to be at least 27 dB. IV. EXPERIMENTS Experiments were carried out in a laboratory environment by using a 2.4-GHz ac-coupled Doppler radar sensor to measure periodic motions that include mechanical motions and the human respiration motions. Fig. 10 shows the experimental setup. The transmit power from the radar is 0 dBm, which is fed to the patch antenna having a gain of 5.8 dBi. The output I/Q signals are digitized by the data acquisition system (National Instruments USB-6009) with LabVIEW running in real time on the host laptop. The proposed DPoD technique was then applied to the recorded I/Q signals to compensate the potential signal distortions. The dc calibration method via compressed sensing was used to calibrate the dc offsets in I/Q signals [34]. For ac-coupled radar, the output of the baseband amplifier still has a dc-offset value because the amplifier itself

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GU et al.: HIGH-PRECISION MOTION DETECTION USING LOW-COMPLEXITY DOPPLER RADAR WITH DPoD TECHNIQUE

7

Fig. 10. Experimental setup using ac-coupled radar to measure mechanical motions.

should be properly dc biased. The calibration of dc information of the I/Q signals is important because the I/Q trajectory should be centered at (0,0) to fit the unit circle. The DACM algorithm was used to demodulate the motion information without phase discontinuity [21]. The radar sensor used in the experiments has the same architecture as Fig. 1 with a cutoff frequency of 0.32 Hz. The baseband acts as a first-order active high-pass filter. The DPoD coefficients were calibrated as and . Therefore, the DPoD transfer function of was employed in baseband signal processing to compensate the distortions.

Fig. 11. I/Q trajectory of radar measured signals for a 0.17-Hz slow sinusoidal motion: (a) without DPoD, and (b) with DPoD. (c) Complex spectra combing I/Q signals without and with DPoD. The second harmonics show discrepancy.

A. Exp. 1: Slow Mechanical Motion The ac-coupled Doppler radar was used to measure the mechanical movements of an actuator (Zaber T-NA08A50). As shown in Fig. 10, the actuator was placed about 0.5 m away from the Doppler radar and programmed to produce slow sinusoidal motions: the motion frequency is less than or close to the cutoff frequency of the high-pass filter. Two slow sinusoidal motions were measured by the Doppler radar: 1) mm, Hz and 2) mm, Hz. The experimental results are shown in Figs. 11–14. As shown in Fig. 11(a), the slow sinusoidal motion was distorted at ac-coupled baseband and is showing a ribbon I/Q trajectory. However, the proposed DPoD technique effectively restored harmonic ratios to offset the distortion. Fig. 11(c) shows the spectral difference without and with DPoD. The recovered I/Q trajectory fits well with the unit circle, as shown in Fig. 11(b). The effectiveness of using DPoD in distortion compensation can also be seen from EVM, which is 18.07 dB for the distorted signals, but improved to 26.44 dB after using DPoD. The higher EVM means more accurate calibration of dc offsets, which results in more accurate phase demodulation. The demodulated signals of the slow motion with and without using DPoD are shown in Fig. 12. It is seen that the signal recovered by the proposed DPoD technique matches well with the ground truth that is the movement information programmed to the actuator. With DPoD, the measured displacement (Disp. 1) is 7.95 mm, which means the error is only 0.05 mm as compared to the ground truth of 8 mm. However, without DPoD, the measured displacement (Disp. 2) of 5.2 mm shows 1.8-mm deviation from the ground

Fig. 12. Demodulated displacement signal of radar measured 0.17-Hz sinusoidal motion with and without DPoD is compared with the ground truth.

truth. The displacement difference can also be seen from the different trajectory radians of and . The radian of the I/Q trajectory, or the trajectory length, determines the magnitude of the demodulated displacement [32]. Without DPoD, I/Q signals are distorted so that the trajectory radian becomes smaller than the ideal one. With DPoD, the correct radian is recovered so that the phase demodulation is more accurate. In the second slow motion, the motion frequency of 0.32 Hz is equal to the cutoff frequency of the ac-coupled high-pass filter. As seen in Fig. 2, even if the motion frequency sits at the cutoff point, the fundamental and the harmonics from nonlinear phase modulation are still subject to different degrees of attenuation. It is largely due to the low order of the high-pass filter, e.g., the filter in this work is first order, that the transition band is quite wide. As shown in Fig. 13(a), the ribbon I/Q trajectory means that the signal distortion may still happen even if the subject’s motion is close to the cutoff frequency of the ac-coupled baseband. After applying the DPoD technique, the ribbon shape disappeared and the I/Q trajectory was recovered to an arch fitting well with the unit circle. The DPD technique also improved the EVM by 8 dB from 14.12 to 22.18 dB. The radian of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. Demodulated displacement signal of radar measured 0.32-Hz sinusoidal motion with and without DPoD is compared with the ground truth.

Fig. 13. I/Q trajectory of radar measured signals for a 0.32-Hz slow sinusoidal motion: (a) without DPoD, and (b) with DPoD. (c) Complex spectra combing I/Q signals without and with DPoD. The second harmonics show discrepancy.

I/Q trajectory in Fig. 12(a) is 63.9 and the radian with DPoD in Fig. 13(b) is 68.5 . The radian difference is less than that in Fig. 11 because the fundamental-to-harmonic ratio is less distorted as the motion frequency is closer to the cutoff frequency of the ac-coupled baseband, as shown in Fig. 12(c). The less radian difference means the closer the trajectory length without and with DPoD. The demodulated displacement without DPoD is 11.1 mm, which is 0.9 mm away from the ground truth of 12 mm, and the displacement with DPoD is 11.9 mm that has 0.1-mm error compared to the ground truth, as shown in Fig. 14. It should be noted that the dc-offset calibration algorithm plays an important role in conditioning the measured I/Q signals for phase demodulation. It eliminates the dc offsets, normalizes the amplitudes, and adjusts the location of the I/Q trajectory on the unit circle. The calibration algorithm utilizes the measured data to find the centroid for the I/Q trajectory [32]. For the distorted I/Q trajectory, the ribbon-like shape may lead different algorithms to generate different centroids and different trajectory radians, resulting in different demodulation results [32]. The proposed DPoD technique helps to eliminate the ribbon shape and effectively improve EVM. Therefore, the measured I/Q signals could form an ideal arch in the I/Q plane, which makes sure that different calibration algorithms could generate similar, if not the same, centroid for the same I/Q signals. B. Exp. 2: Fast Mechanical Motion It has been demonstrated that the proposed DPoD technique is effective to compensate the distortion that is due to the low motion frequency within the stopband of the ac-coupled baseband. In this experiment, the same radar sensor was used to measure a fast motion whose frequency is away beyond the baseband cutoff frequency of 0.32 Hz. As shown in Fig. 2, if the motion frequency is within the passband, it is expected

Fig. 15. I/Q trajectory of radar measured signals for a 1.05-Hz fast sinusoidal motion: (a) without DPoD and (b) with DPoD. (c) Demodulated displacement signal with and without DPoD is compared with the ground truth.

that the fundamental and the harmonics would suffer from very similar attenuation that is mainly the baseband insertion loss. Fig. 15 shows the experimental results for a fast motion with mm and Hz. It is seen in Fig. 15(a) that, without DPoD, the distorted ribbon shape no longer exits and the I/Q trajectory exhibits as an ideal arch sitting on the unit circle. It is because the fundamental-to-harmonics ratios are not distorted when the motion frequency is within the passband of the baseband high-pass filter. The DPoD response is flat in passband so that applying DPoD does not significantly affect the I/Q trajectory, but only improves EVM by 1.24 dB, as seen in Fig. 15(b). Empirical studies show that, as long as EVM is larger than 20 dB, further improved EVM does not help much to improve the demodulation accuracy for both the conventional arctangent demodulation [19] and the DACM demodulation [21]. There is little difference in the trajectory length without and with DPoD ( ). The demodulated displacement without DPoD is 6.03 mm, which is almost the same as that with DPoD (5.98 mm), and both are matching well with the ground truth of 6.0 mm with high accuracy, as shown in Fig. 15(c). Therefore,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GU et al.: HIGH-PRECISION MOTION DETECTION USING LOW-COMPLEXITY DOPPLER RADAR WITH DPoD TECHNIQUE

9

the proposed DPoD technique can be used in the signal-processing scheme for Doppler radar to measure motions with various speeds. It compensates the signal distortion for slow motions, but will not hurt the measurement accuracy for fast motions. C. Exp. 3: Mechanical Motion With Stationary Moment In Doppler motion sensing, if the subject stays still, the radar measured signal has no time-variant phase information, but constant phase shift, which means the radar output is the dc signal [15]. In order to keep the dc information, a dc-coupled radar sensor was proposed to measure the target motion that has stationary moment [15]. However, the dc-coupled radar requires complex dc tuning before it can measure the subject’s motion. In this experiment, the simple ac-coupled radar was used to measure the subject’s motion with stationary moment. The motion information programmed into the actuator was: a 3-s stationary moment, which was inserted between every two periods of a 0.3-Hz sinusoidal signal. The experimental results are illustrated in Fig. 16. Without DPoD, the distorted I/Q trajectory has an EVM of 13.9 dB. After applying DPoD, the I/Q trajectory fits better with the unit circle with an EVM of 22.02 dB, as shown in Fig. 16(b). Due to ac coupling at radar baseband, the information of the stationary moment was lost in the demodulated displacement signal, which can be seen in Fig. 16(c). The stationary moment is not the dc information from Bessel function [35], which can be compensated in dc calibration [32]. As any periodic signal can be expanded as representation of Fourier series, the sinusoidal signal with stationary moment can also be represented by multiple single sinusoidal motions with different frequencies. That being said, the stationary moment is determined by the summation of harmonics. The high-pass characteristics of ac coupling distort the harmonic ratios, which lead to the loss of the information of the stationary moment. The DPoD technique is able to remedy the harmonic ratios to restore the stationary moment. As shown in Fig. 16(d), the recovered signal has the stationary moment recovered and matches very well with the ground truth. The used DPoD coefficients were calibrated as and . Fig. 16 shows the demodulated displacement signals using different DPoD coefficients. As shown in Fig. 17(a), when , the distortion is reduced as compared to that in Fig. 16(c). However, there is still discrepancy as compared to the ground truth. In this case, the DPoD compensation is not enough to compensate the whole distortion. In other words, it is “under-compensation.” When , the displacement signal is over-compensated, as shown in Fig. 17(b). The discrepancy stays above the ground truth, while it is below the ground truth in under-compensation. Over-compensation happens because the magnitude of the fundamental tone is “over-amplified” by DPoD. Calibration of the radar system to generate the accurate DPoD coefficients is critical in distortion compensation. D. Exp. 4: Human Respiratory Motion The typical signal pattern of respiratory motion for a healthy adult at rest includes a short period of stationary moment: the respiration tends to rest for a moment at the end of expiration

Fig. 16. I/Q trajectory of radar measured signals for a sinusoidal motion with stationary moment: (a) without DPoD and (b) with DPoD. The demodulated displacement signal without and with DPoD is compared with the ground truth: (c) without DPoD and (d) with DPoD.

Fig. 17. Demodulated displacement signal for a sinusoidal motion with stationary moment using DPoD coefficients that deviate from the calibrated one: and (b) . (a)

[15]. The same ac-coupled radar and the dc-coupled radar [25] were used to simultaneously measure the respiration of a subject person at rest. It has been demonstrated that the dc-coupled radar has high accuracy in motion detection [15] so that it is used as a reference. Fig. 18 shows the experimental results. It is seen from Fig. 18(a) that, without DPoD, the respiration signal measured by the ac-coupled radar shows distortion, which is similar to Exp. 3. The stationary moment is lost at the end of expiration. With DPoD, the stationary moment is recovered and the motion pattern matches well with the respiration measured by the dc-coupled radar, as shown in Fig. 18(b). Fig. 18(c) shows

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

shown that the DPoD technique could be used in measuring versatile periodic motions with high accuracy. REFERENCES

Fig. 18. Respiration motion measured by ac-coupled radar with/without DPoD is compared with that measured by dc-coupled radar: (a) without DPoD and (b) with DPoD. (c) Complex spectra of I/Q signals measured by ac-coupled radar with/without DPoD. Inset shows the zoom-in spectra near the second harmonic.

the spectral comparison of the ac-coupled radar measured respiratory motion without and with DPoD. It is shown that the spectrum is more complex than that of the sinusoidal motions in Figs. 11 and 13. It is because the breathing activity is not always constant over time, but may have varying magnitude and frequency. For example, a subject may take a deep breath at times. The inset in Fig. 18(c) shows the zoom-in spectra near the second harmonic of the respiratory signal. The DPoD technique is able to compensate the distortion to the spectral components to recover the complete signal pattern for the respiratory motion. Without adding any hardware complexity, the proposed DPoD technique allows the conventional Doppler radar with a simple ac-coupled structure to be able to measure the complete respiration pattern without losing significant information. V. CONCLUSION Signal distortion may happen in the conventional ac-coupled radar system using quadrature direct-conversion architecture. A DPoD technique has been proposed to compensate signal distortion in the baseband digital domain. Without any hardware modification or adding extra cost to the simple quadrature direct-conversion architecture, the proposed DPoD technique allows the ac-coupled radar to measure periodic motions without losing key information on motion pattern. Experiments have

[1] J. C. Lin, “Noninvasive microwave measurement of respiration,” Proc. IEEE, vol. 63, no. 10, pp. 1530–1530, Oct. 1975. [2] M. Mercuri et al., “Analysis of an indoor biomedical radar-based system for health monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2061–2068, May 2013. [3] V. M. Lubecke, O. Boric-Lubecke, A. Host-Madsen, and A. E. Fathy, “Through-the-wall radar life detection and monitoring,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 769–772. [4] C. S. Lin, S. F. Chang, C. C. Chang, and C. C. Lin, “Micro wave human vocal vibration signal detection based on Doppler radar technology,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2299–2306, Aug. 2010. [5] T. Jaeschke, C. Bredendiek, S. Kuppers, and N. Pohl, “High-precision D-band FMCW-radar sensor based on a wideband SiGe-transceiver MMIC,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3582–3597, Dec. 2014. [6] F.-K. Wang, T.-S. Horng, K.-C. Peng, J.-K. Jau, J.-Y. Li, and C.-C. Chen, “Single-antenna Doppler radars using self and mutual injection locking for vital sign detection with random body movement cancellation,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3577–3587, Dec. 2011. [7] S. Mann, F. Lurz, R. Weigel, and A. Koelpin, “A high-sensitivity radar system featuring low weight and power consumption,” IEEE Microw. Mag., vol. 16, no. 2, pp. 99–105, Mar. 2015. [8] T. Kao, Y. Yan, T. Shen, A. Chen, and J. Lin, “Design and analysis of a 60-GHz CMOS Doppler micro-radar system-in-package for vital-sign and vibration detection,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1649–1659, Apr. 2013. [9] C. Gu, T. Inoue, and C. Li, “Analysis and experiment on the modulation sensitivity of Doppler radar vibration measurement,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 10, pp. 566–568, Oct. 2013. [10] M. Pieraccini, M. Fratini, F. Parrini, and C. Atzeni, “Dynamic monitoring of bridges using a high-speed coherent radar,” IEEE Trans. Geosci. Remote Sens., vol. 44, no. 11, pp. 3284–3288, Nov. 2006. [11] K.-M. Chen, Y. Huang, J. Zhang, and A. Norman, “Microwave life-detection systems for searching human subjects under earthquake rubble or behind barrier,” IEEE Trans. Biomed. Eng., vol. 27, no. 1, pp. 105–114, Jan. 2000. [12] C. Li, J. Cummings, J. Lam, E. Graves, and W. Wu, “Radar remote monitoring of vital signs,” IEEE Microw. Mag., vol. 10, no. 1, pp. 47–56, Feb. 2009. [13] W. Massagram, V. M. Lubecke, and O. Boric-Lubecke, “Microwave non-invasive sensing of respiratory tidal volume,” in Proc. Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2009, pp. 4832–4835. [14] M. Kagawa, K. Ueki, H. Tojima, and T. Matsui, “Noncontact screening system with two microwave radars for the diagnosis of sleep apneahypopnea syndrome,” in Proc. Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2013, pp. 2052–2055. [15] C. Gu, R. Li, H. Zhang, A. Fung, C. Torres, S. Jiang, and C. Li, “Accurate respiration measurement using DC-coupled continuous-wave radar sensor for motion-adaptive cancer radiotherapy,” IEEE Trans. Biomed. Eng., vol. 59, no. 11, pp. 3117–3123, Nov. 2012. [16] S. Guan, J. A. Rice, C. Li, Y. Li, and G. Wang, “Dynamic and static structural displacement measurement using backscattering DC coupled radar,” Smart Struct. Syst., vol. 16, no. 3, pp. 521–535, 2015. [17] C. Li, V. M. Lubecke, O. Boric-Lubecke, and J. Lin, “A review on recent advances in Doppler radar sensors for noncontact healthcare monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2046–2060, May 2013. [18] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. A. Kovacs, “Range correlation and I/Q performance benefits in single-chip silicon Doppler radars for noncontact cardiopulmonary monitoring,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 838–848, Mar. 2004. [19] B. K. Park, O. Boric-Lubecke, and V. M. Lubecke, “Arctangent demodulation with DC offset compensation in quadrature Doppler radar receiver systems,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 5, pp. 1073–1079, May 2007. [20] S. Kim and C. Nguyen, “A displacement measurement technique using millimeter-wave interferometry,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 6, pp. 1724–1728, Jun. 2003.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GU et al.: HIGH-PRECISION MOTION DETECTION USING LOW-COMPLEXITY DOPPLER RADAR WITH DPoD TECHNIQUE

[21] J. Wang, X. Wang, L. Chen, J. Huangfu, C. Li, and L. Ran, “Non-contact distance and amplitude independent vibration measurement based on an extended DACM algorithm,” IEEE Trans. Instrum. Meas., vol. 63, no. 1, pp. 145–153, Jan. 2014. [22] C. Gu et al., “Noncontact large-scale displacement tracking: Doppler radar for water level gauging,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 12, pp. 899–901, Dec. 2014. [23] A. Singh et al., “Data-based quadrature imbalance compensation for a CW Doppler radar system,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1718–1724, Apr. 2013. [24] M. Zakrzewski et al., “Quadrature imbalance compensation with ellipse-fitting methods for microwave radar physiological sensing,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1400–1408, Jun. 2013. [25] C. Gu and C. Li, “DC coupled CW radar sensor using fine-tuning adaptive feedback loop,” IET Electron. Lett., vol. 48, no. 6, pp. 344–345, Mar. 2012. [26] R. Merched, “Roles of equalization in radar imaging: Modeling for superesolution in 3D reconstruction,” EURASIP J. Adv. Signal Process., vol. 2012, May 2012, 18 pp. [27] R. Chavanne, K. Abed-Meraim, and D. Medynski, “Target detection improvement using blind channel equalization OTHR communication,” in Proc. Sens. Array Multichannel Signal Process. Workshop, Jul. 18–21, 2004, pp. 657–661. [28] W. R. McGrath, “Remote sensing method and device,” U.S. Patent 7,811,234 B2, Sep. 18, 2007. [29] C. Gu and C. Li, “Frequency-selective distortion in continuous-wave radar displacement sensor,” IET Electron. Lett., vol. 48, no. 23, pp. 1495–1497, 2012. [30] I. Mostafanezhad, O. Boric-Lubecke, and V. Lubecke, “A coherent low IF receiver architecture for Doppler radar motion detector used in life signs monitoring,” in IEEE Radio Wireless Symp., 2010, pp. 571–574. [31] C. Gu, C. Li, J. Huangfu, J. Lin, and L. Ran, “Instrument-based noncontact Doppler radar vital sign detection system using heterodyne digital quadrature demodulation architecture,” IEEE Trans. Instrum. Meas., vol. 59, no. 6, pp. 1580–1588, Jun. 2010. [32] S. Guan, J. A. Rice, C. Li, and C. Gu, “Automated DC offset calibration strategy for structural health monitoring based on portable CW radar sensor,” IEEE Trans. Instrum. Meas., vol. 63, no. 12, pp. 3111–3118, Dec. 2014. [33] C. Gu, G. Wang, T. Inoue, and C. Li, “A hybrid radar-camera sensing system with phase compensation for random body movement cancellation in Doppler vital sign detection,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4678–4688, Dec. 2013. [34] W. Xu, C. Gu, C. Li, and M. Sarrafzadeh, “Robust Doppler radar demodulation via compressed sensing,” IET Electron. Lett., vol. 48, no. 22, pp. 1428–1430, 2012. [35] C. Li, Y. Xiao, and J. Lin, “Experiment and spectral analysis of a low-power Ka-band heartbeat detector measuring from four sides of a human body,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4464–4471, Dec. 2006. Changzhan Gu (S’07–M’13) received the B.S. and M.S. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 2006 and 2008, respectively, the M.S. degree in electrical engineering from the University of Florida, Gainesville, FL, USA, in 2010, and the Ph.D. degree in electrical engineering from Texas Tech University, Lubbock, TX, USA, in 2013. He is currently a Member of the Technical Research Team of Project Soli with the Advanced Projects and Technology (ATAP) Group, Google,

11

Mountain View, CA, USA. Prior to that, he was with Marvell Semiconductor Inc., where he was involved with wireless connectivity system-on-chip (SoC), and MaxLinear Inc., where he was involved with satellite TV tuner SoCs. His research interests include RF and microwave circuits/systems, RF SoCs, wireless sensing technologies, and the biomedical applications of RF/microwave. Dr. Gu was the recipient of seven IEEE-sponsored conferences Best Paper Awards as an author/coauthor. He was the recipient of the 2013 IEEE Microwave Theory and Techniques Society (MTT-S) Graduate Fellowship for Medical Applications, the 2013 Texas Tech Horn Professors Graduate Achievement Award, and the 2012 Chinese Government Award for Outstanding Self-Financed Students Abroad.

Zhengyu Peng (S’15) received the B.S. and M.Sc. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 2011 and 2014, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Texas Tech University (TTU), Lubbock, TX, USA. His research interests include antennas, microwave circuits, and biomedical applications of microwave/RF circuits and systems.

Changzhi Li (S’06–M’09–SM’13) received the B.S. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2004, and the Ph.D. degree in electrical engineering from the University of Florida, Gainesville, FL, USA, in 2009. During the summers of 2007–2009, he was with Alereon inc. Austin, TX, USA, and Coherent Logix Inc. Austin, TX, USA, where he was involved with ultra-wideband (UWB) transceivers and software-defined radio. In 2009, he joined Texas Tech University, Lubbock, TX, USA, as an Assistant Professor, and in 2014 became an Associate Professor. His research interests include biomedical applications of microwave/RF, wireless sensors, and analog circuits. Dr. Li is an Associate Editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS. He served as the Technical Program Committee (TPC) co-chair for the IEEE Wireless and Microwave Technology Conference (WAMICON) in 2012 and 2013. He was the recipient of the ASEE Frederick Emmons Terman Award in 2014, the IEEE HKN Outstanding Young Professional Award in 2014, the National Science Foundation (NSF) Faculty Early CAREER Award in 2013, and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award in 2008. He was also the recipient of nine Best Conference/Student Paper Awards as an author/advisor at IEEE-sponsored conferences.

972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Electromagnetic Field Analysis of a Dipole Coil Element With Surface Impedance Characterized Shielding Plate for 7-T MRI Zhichao Chen, Student Member, IEEE, Klaus Solbach, Daniel Erni, Member, IEEE, and Andreas Rennings, Member, IEEE

Abstract—In this paper, we systematically investigate the electromagnetic (EM) field of a stripline dipole coil element backed by various shielding plates, which are characterized by surface impedance. The initial analysis is based on a 2-D finite-elementmethod model, where the considered surface impedance was categorized in terms of magnitude and phase. It has been demonstrated that the shielding plate can be approximately modeled by the magnitude of a complex surface impedance if the absolute EM field distribution is considered. Additionally, as the magnitude of the surface impedance increases, the magnetic and electric fields excited by the stripline tend to distribute in a broader manner. Thus, the transversal homogeneity of the field of a stripline coil can be improved by a shielding plate with a high surface impedance, which has been verified by 3-D models based on single- and multi-coil elements. For the experimental validation, two shielding plates—a copper-plated substrate and a high-impedance surface, which exhibits a small and large surface impedance, respectively—are considered. An excellent agreement of field distributions between numerical simulation and measurement has been observed. Index Terms—Electromagnetic (EM) field analysis, RF shield, 7-T magnetic resonance imaging (MRI), stripline coil, surface impedance boundary.

I. INTRODUCTION

B

EING AN essential component of magnetic resonance imaging (MRI) systems, diverse RF coils have been studied and developed over decades. As one of the most widely used coil family in clinical systems, the surface coils are preferable to be utilized for the localized body regions due to the improved signal-to-noise ratio for tissues adjacent to the coil [1], [2]. For a larger region of interest, such as head and whole body, volume coils are commonly employed to excite a uniform magnetic field [2]–[5]. However, with the advent of ultra-high field (UHF) imaging ( T), the conventional RF coils

Manuscript received December 16, 2014; revised March 04, 2015, August 18, 2015, December 21, 2015, and December 25, 2015; accepted December 25, 2015. Date of publication January 26, 2016; date of current version March 03, 2016. This work was supported by the German Science Foundation [Deutsche Forschungsgemeinschaft (DFG)] under Grant RE 1684/3-2. Z. Chen, D. Erni, and A. Rennings are with the Faculty of Engineering, Laboratory for General and Theoretical Electrical Engineering (ATE), University of Duisburg–Essen, D-47048 Duisburg, Germany (e-mail: [email protected]). K. Solbach is with the Faculty of Engineering, Laboratory for High Frequency Engineering (HFT), University of Duisburg–Essen, D-47048 Duisburg, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518168

such as surface coils and volume coils challenge the limits of design and performance due to the higher magnetic resonance frequency. As one solution, multi-channel RF coils based on several longitudinally oriented stripline elements have been successfully applied in UHF MRI [6]–[14]. Among the different utilized stripline approaches, the symmetrically fed dipole RF coil element initially presented in [10], which was terminated by two meanders in [15], seems to be one promising candidate with sufficient decoupling between adjacent coil elements. For such a stripline coil element, a metallic plate is usually placed behind the stripline to prevent the interaction with the bore components of the MRI scanner, such as the gradient/shim coils and the cryostat’s shell. In most cases a compact coil design, which means a small separation from RF coil to shielding plate, is desired. However, an undesired current on the conventional metallic shielding plate is induced due to proximity of the RF coil. To date, the effect of different shielding plates on the electromagnetic (EM) field, which is generated by the stripline, has not been thoroughly examined. However, more and more attention has been drawn to the applications of shielding plates with some specific characteristics [16], [17]. Different from the direct lumped-element-connection between the stripline and the shielding plate in [10] and [15], a field-based coupling, which enables the shielding plate to resonate in its natural half-wavelength eigenmode, was introduced in [16]. In this case, the eigen-resonant current distribution on the shielding plate contributes to the total magnetic field considerably. Another approach based on an electromagnetic bandgap (EBG) structure is presented in [17], where the ratio of the absolute magnetic and electric fields along the longitudinal axis of the stripline element [15] has been compared for a metallic shielding plate and a 2-D EBG structure based on simulation. In [18], the EBG structure based approach is applied to a single dipole coil element, where the effect of the separation between the EBG structure and the dipole element is well studied. Here, we characterize the shielding plate as a surface impedance boundary condition (SIBC) [19], [20]. For MRI applications, several EM field properties inside the body are pursued, such as strong and homogenous magnetic field amplitude ( ), as well as a minimized peak specific absorption rate (SAR) [21], [22]. We investigate the effect of the shielding plate on those EM field properties of a stripline element in a systematic manner by considering various surface impedances categorized in terms of its magnitude and phase.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CHEN et al.: EM FIELD ANALYSIS OF DIPOLE COIL ELEMENT WITH SURFACE IMPEDANCE CHARACTERIZED SHIELDING PLATE FOR 7-T MRI

This paper is organized as follows. Section II provides the definition of the surface impedance, which characterizes the shielding plate and defines the categories of the surface impedance to be examined in the forthcoming sections. As theoretical background, an analytical 1-D model is established and utilized to investigate the effect of different SIBCs on EM fields in Section III. Section IV shows the EM field distribution of a stripline for the previously categorized surface impedance in Section II based on a 2-D finite-element method (FEM) simulation model. In Section V, we carry out the investigations of the field behavior for various shielding plates (with corresponding surface impedances) in a 3-D manner. Both the single-coil element and the multi-channel RF coil are considered. Section VI verifies the simulation results of the single-coil element with experimental measurements. Finally, conclusions are given in Section VII. II. SURFACE IMPEDANCE BOUNDARY This section gives a general definition of the surface impedance and defines the categories of the surface impedance to be considered in the forthcoming sections. Definition of Surface Impedance A field domain can be truncated by a surface impedance boundary, where the tangential components of both the electric and magnetic fields are associated through the surface impedance [19] (1) where the index refers to the tangential components. Further, the surface impedance in (1) can be expressed by the material parameters of the artificial domain behind the SIBC, (2) are the relative permeability, relative perwhere , , and mittivity, and electrical conductivity, respectively. In COMSOL Multiphysics, the EM simulator utilized in this study, the impedance boundary condition is used on exterior boundaries representing the surface of an artificial domain outside the boundary. The surface impedance is defined based on the material parameters of the domain behind the SIBC. A. Categorized Surface Impedance Trying to obtain a comprehensive overview into the effect of the corresponding SIBCs on the EM fields, the following three most representative cases of the surface impedance are considered: (I) : with set to zero, and being of the same sign, the complex surface impedance from (2) reads (3) (II)

: by setting to 1, to 0, the radicand is with 90 phase angle. Thus, the square root

973

TABLE I CONSIDERED SURFACE IMPEDANCES

operation leads to equal real and imaginary parts of

, (4)

(III)

: similarly to case (I), but with and being of opposite signs, a purely imaginary surface impedance can be achieved,

(5) As given in Table I, for each category only one material parameter is used to sweep the magnitude of surface impedance from a considerably small to a relatively large value with the remaining fixed. Thus, the SIBC can be simulated from perfect electrical conductor (PEC) to perfect magnetic conductor (PMC), approximately. In order to carry out the comparison in a fair manner, the magnitude of surface impedance for different cases is kept constant. III. 1-D ANALYTICAL MODEL According to the image theory, a PEC boundary in the vicinity of a current source can be removed by placing a virtual image current source, which is out-of-phase with respect to the original one; whereas for a PMC boundary, an in-phase image current can be utilized to replace the PMC boundary. Theoretically, a PMC boundary represented by could reinforce the absolute magnetic field above the original current source in comparison to a PEC boundary ( ) since the magnetic field excited by the original and image currents add up constructively instead of destructively (if the distance from the current source to the boundary is electrically small). In this section an analytical investigation is performed based on a simple 1-D model: the interface of two semi-infinite media coincides with the -axis, and is characterized by a complex surface impedance . A surface current density in the -direction is defined at a distance from that surface impedance boundary [cf. Fig. 1(a)]. The excited EM field in the upper half-space can be expressed as follows: (6) (7) (8) (9)

974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 1. 1-D model for analytical investigation: a surface current density in . (a) The lower boundary at is characthe -direction is defined at . (b) Computed absolute magnetic terized by a complex surface impedance for different magnitudes and phases of the surface impedance field at .

By evaluating the following boundary conditions: (10)

Fig. 2. 2-D simulation model: 1.5-cm-wide stripline with an impressed surface current density of 1 A/m in -direction models the RF coil element in a simple manner. A flat phantom is placed 2 cm above the stripline. The lower boundary, which serves as a shielding plate, is set to an SIBC, while the remaining are set to scattering boundary conditions.

(11) (12) the unknown parameters ( , , ) in (6) and (8) can be solved as a function of the given parameters ( , , , , ). In Fig. 1(b), the absolute magnetic field at , cm, is plotted for different magnitudes and phases of the surface impedance . It can be seen that the magnitude of the complex surface impedance has a stronger impact on the absolute magnetic field, indicated by a large-scale color change in horizontal direction [cf. Fig. 1(b)]; whereas the effect of the phase is comparatively weaker, especially for extremely small and large magnitudes. For the magnitude of surface impedance being around 350 , the absolute magnetic field reveals a maximum phase dependence. For the analytical calculation, the effect of the lossy phantom and the dimension of the excitation is not taken into account, which is, however, crucial for MRI applications. These effects will be considered in the forthcoming section based on 2-D and 3-D FEM-simulation models. IV. 2-D FEM SIMULATIONS In this section we establish a 2-D simulation model to investigate the EM field behavior based on the pre-classified SIBCs in Section II. A. 2-D Simulation Model Fig. 2 shows the 2-D model with the corresponding geometry. The coordinate system is aligned in such a way that the and axis coincides in the horizontal and vertical direction, respectively, and the axis points outward the figure. Here, a 1.5-cm-wide stripline with an impressed surface current density of 1 A/m in the -direction is used as the excitation of the EM field. In order to emulate the human body for 7-T MRI, a flat phantom ( , S m, kg m ) with the dimension of 20 15 cm is placed 2 cm above the stripline. The SIBC in -direction, which models the shielding plate, is located 2 cm below the stripline. The remaining boundaries, which are separated 5 cm away from the outer surfaces

of the phantom, are set to scattering boundary condition, which provides a sufficiently low reflection. B. EM Field Distribution for Various SIBCs Figs. 3 and 4 show the absolute magnetic and electric field distributions for the previously classified surface impedance from Table I. The corresponding field distributions are normalized to the accepted power via the following equation: (13) where and is the impressed surface current density and the resulting electric field on the stripline (cf. Fig. 2), respectively. Basically, the SIBCs for different cases ( , , and ), which possess the same magnitude of surface impedance, behave in a very similar manner. However, for the case , distinctive field behaviors [cf. Figs. 3(b-3) and (c-3), and 4(b-3) and (c-3)] can be observed in comparison to the other cases. These exceptions will be scrutinized in Section IV-C while addressing the concept of surface plasmons. According to the magnetic and electric field distributions shown in Figs. 3 and 4, as the magnitude remains constant, the variation of real and imaginary parts has no obvious impact on the EM field distribution. More cases ( with a phase angle of 30 and 60 ) have been investigated as well. The amplitude of surface impedance is swept in the same range as listed in Table I. The field distributions are consistent with the two other cases (first two rows) in Figs. 3 and 4. The results are not shown here due to lack of space. Thus, if the absolute EM field distribution is considered, the SIBC can be approximately characterized by the magnitude of a complex surface impedance. Avoiding the lengthiness of this paper, the forthcoming investigations will be carried out only for the purely real surface impedance. As the magnitude of surface impedance increases, the electric and magnetic fields both tend to expand in the horizontal direction, indicated by the outspread contour lines, while the vertical penetration of the absolute magnetic and electric field inside

CHEN et al.: EM FIELD ANALYSIS OF DIPOLE COIL ELEMENT WITH SURFACE IMPEDANCE CHARACTERIZED SHIELDING PLATE FOR 7-T MRI

Fig. 3. Simulated absolute magnetic field distribution model shown in Fig. 2 corresponds to the case of is swept from 1.2 [see (a-1), (a-2), and (a-3)] to 1.2 10 power and plotted in dB.

Fig. 4. Simulated absolute electric field distribution model shown in Fig. 2 corresponds to the case of is swept from 1.2 [see (a-1), (a-2), and (a-3)] to 1.2 10 power and plotted in dB.

975

,

based on the SIBCs given in Table I. From top to bottom, the SIBC for the lower boundary of the , and , respectively. For each case, the magnitude of surface impedance [see (d-1), (d-2), and (d-3)]. All the field distributions are normalized to the square root of accepted

,

based on the SIBCs given in Table I. From top to bottom, the SIBC for the lower boundary of the , and , respectively. For each case the magnitude of surface impedance [see (d-1), (d-2), and (d-3)]. All the field distributions are normalized to the square root of accepted

the phantom is only slightly increased. Another interesting tendency in vertical direction is that the area with strong magnetic field is “pushed” away from the SIBC by an increased surface impedance, whereas the situation for the electric field is contrary—the maximum electric field area tends to be “pulled” towards the SIBC. Considering now two extreme scenarios: the PEC and the PMC, which refers to the SIBC with extremely small and large surface impedance, respectively. Due to the fact that the magnetic field is tangential to the PEC and normal to the PMC, if the

shielding plate is assigned as a PEC, the magnetic field is mainly localized between the stripline and the shielding plate. As the surface impedance approaches to infinity, denoting a PMC, the magnetic field lines are spread away from the central axis while impinging normally on the shielding plate, as shown in Fig. 5. As a result, the magnetic field beneath the stripline is weakened and a broader magnetic field distribution in the horizontal direction is achieved. Since the PEC and PMC can be considered as a “short-circuited” and an “open” terminal, respectively, the voltage, here representing the electric field, at the terminal is

976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 5. Magnetic field lines ( ) of the simulation model in Fig. 2 with the lower boundary set to PEC (dashed line) and PMC (solid line).

proportional to the corresponding terminal (surface) impedance. Hence, the tangential electric field (i.e., the only component in our case) vanishes at the PEC boundary due to the zero surface impedance, whereas a large tangential electric field at the PMC boundary is supported by the infinitely large surface impedance. The simulated surface impedances in this study (cf. Table I) fall in between the two aforementioned limiting scenarios. The field pattern in Figs. 3 and 4 vary progressively from the case with an extremely small surface impedance to the case with a considerably large one. C. Surface Plasmon Resonance Surface plasmons are coherent collective electron oscillations that exist at the interface between any two materials where the real part of the dielectric function changes sign across the interface [23]. Here, in our case, one of the materials is air, and the other is simulated by the SIBC. In order to achieve a purely imaginary surface impedance, the relative permittivity is set to a negative value, which satisfies the existence condition of surface plasmons. For particular values of relative permittivity, here , the corresponding surface plasmon frequency [24] is around 700 MHz. For an operation frequency of 300 MHz, the corresponding surface plasmon polariton wavelength (i.e., below the surface plasmon resonance) is comparable to the width of the simulation box (cf. Fig. 2). Therefore, a typical surface plasmon phenomenon such as a localized surface plasmon may be observed. For the other values of relative permittivity that we specified in this study, the surface plasmon polariton wavelength is either considerably small or large compared to the dimension of the simulation box. As a result, the effect of surface plasmon can be neglected.

Fig. 6. Simulation setup of the single RF coil element with the geometry data. The bottom of the simulation box is assigned as SIBCs, whereas the remaining walls are set to scattering boundary conditions.

Fig. 7. Simulated absolute magnetic field distribution ( ) on the transversal cut of the phantom at 300 MHz with the 2-D model depicted in Fig. 2(a) and the 3-D model depicted in Fig. 6(b). The surface impedance is set to 1.2 . The field (in T) are normalized to the square root of peak SAR corresponding inside the phantom and plotted in dB.

comparison to [15]. In order to fine tune the current distribution on the strip line, high-dielectric substrates have been placed around the meander sections to increase their electrical length [25]. Similar to the 2-D model, a homogenous flat phantom is placed 2 cm above the stripline. The width, length, and height of the phantom is set to 20, 40, and 15 cm, respectively. The boundary 2 cm beneath the stripline coil element is assigned as an SIBC, whereas the remaining boundaries of the simulation box are set to scattering boundary conditions. 2) Normalized Magnetic Field: In MRI applications, the SAR inside the body is a critical limitation, which should be minimized. Thus, the magnetic field distribution, which is normalized to the square root of the maximum local SAR inside the phantom, is of our great interest and usually defined as the normalized field (14)

V. 3-D FEM SIMULATION In this section, we establish two 3-D models and evaluate the effect of the applied SIBCs on the EM fields. Firstly, the evaluation is carried out based on a single-coil element with a lumped port excitation. Subsequently, an eight-channel RF coil with circularly polarized magnetic field distribution is considered. A. Single-Coil Element 1) Full-Wave Simulation Model: Fig. 6 shows the 3-D FEM simulation model. A symmetrically fed stripline coil element [10], which is terminated by two meanders [15], has been employed as an excitation. The total length of the coil element is 25 cm. The geometry of the meander remains unchanged in

3) Simulation Results: In Section V-A2, a 2-D model was utilized to investigate the EM field characteristics of a stripline coil based on different SIBCs. In order to validate the equivalence of the 2-D and 3-D model, the normalized magnetic field distributions ( ) on the transverse plane are plotted in dB (cf. Fig. 7) for . An excellent agreement of the field distribution can be observed for the 2-D and 3-D models. The normalized magnetic field distribution ( ) in the transversal and horizontal planes of the 3-D model specified in Fig. 6 are investigated for different surface impedances as for the 2-D study in Section IV. Moreover, the horizontal field distribution are evaluated for different depths inside the

CHEN et al.: EM FIELD ANALYSIS OF DIPOLE COIL ELEMENT WITH SURFACE IMPEDANCE CHARACTERIZED SHIELDING PLATE FOR 7-T MRI

977

TABLE II CALCULATED FIELD PARAMETERS FOR DIFFERENT SURFACE IMPEDANCES ON THE TRANSVERSAL CUT

TABLE III CALCULATED FIELD PARAMETERS FOR DIFFERENT SURFACE IMPEDANCES AND PENETRATION DEPTHS ON THE HORIZONTAL CUTS

Fig. 8. Simulated absolute magnetic field distribution ( ) on the transversal and horizontal cut (3 cm inside the phantom) at 300 MHz with the 3-D full-wave model depicted in Fig. 6. Two cases, (a) the surface impedance set to 1.2 and (b) 1.2 10 , are compared. The corresponding field (in T) are normalized to the square root of peak SAR inside the phantom and plotted in dB.

phantom ( cm). The magnetic field distribution on the transversal and horizontal planes vary gradually according to the magnitude of surface impedance. Here only two extreme cases, where the surface impedance is set to 1.2 and 1.2 10 , are compared in Fig. 8. It has been noticed that the relative horizontal field distribution for different depths inside phantom behave in a similar manner. Hence, Fig. 8 shows only the field distribution located 3 cm inside the phantom, i.e., 5 cm above the stripline. As the magnitude of surface impedance increases, the maximal penetration of the magnetic field inside phantom is slightly strengthened. Moreover, the magnetic field is distributed in a broader manner along the -direction. For a small surface impedance [see Fig. 8(a)], the field is mainly concentrated near the axis and a rapid field decay can be observed when reaching the sideward boundaries of the phantom. While the surface impedance approaches to a large value, the magnetic field trends to decay away from the central axis more gently, as shown in Fig. 8(b). Thus, an improved homogeneity of magnetic field distribution inside the phantom can be achieved by using a shielding plate with large surface impedance. 4) Numerical Evaluation of EM Distributions: As an RF coil, the penetration depth and the homogeneity of the magnetic field inside the human body are two essential parameters to evaluate the performance of the coil. To some extent, the averaged penetrating ability of magnetic field can be correlated to the mean value of the normalized magnetic field, which is defined by (15) The homogeneity of the magnetic field inside the phantom is then represented by the coefficient of variation (CoV) of the absolute magnetic field inside the phantom according to the following definition: (16) where stands for the standard deviation. A small CoV indicates a low variance of the examined variable. For a good RF

coil, a sufficient penetration depth and, meanwhile, a homogenous magnetic field, are desired. Hence, the and CoV of the absolute magnetic field in (15) and (16) should be maximized and minimized, respectively. Based on the field data extracted from the central transversal cross section and the horizontal cross sections at different penetration depths, the corresponding and of the absolute magnetic field for various surface impedances are calculated and given in Tables II and III. Table II shows that with a larger magnitude of surface impedance, the averaged magnetic field over the transversal cross section is increased, additionally, a smaller of the absolute magnetic field is obtained, indicating a more homogenous field distribution. A consistent tendency can also be observed in Table III for various penetration depths. By increasing the magnitude of surface impedance, a larger and a smaller can be achieved. Additionally, the averaged magnetic field and the CoV both decrease with an increased penetration depth, where the field is naturally distributed in a more uniform and broader manner (cf. Fig. 3). B. Multi-Channel RF Coil 1) Full-Wave Simulation Model: For UHF MRI applications, instead of a single-coil element, multi-channel RF coils are implemented for a better circular polarization [2], [26]. Fig. 9 depicts an eight-channel model with the same coil element as displayed in Fig. 6. The eight-coil elements are equably arranged around a cylindrical phantom ( , S m, kg m ) with a radial separation of 2 cm between the coil element and the phantom. An octagonal prismatic simulation box is utilized here to adapt the particular arrangement of the coil elements. The lateral surface of the simulation box is assigned as SIBC, whereas the top and bottom interfaces are set to scattering boundary conditions. For a multi-channel RF coil, the circularly polarized magnetic field, namely, the field, inside the phantom is mostly considered [26], [27]. In order to excite a circularly polarized mode, the coil elements in our case are fed equally in magnitude and with a relative phase lag of 45 .

978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 9. Simulation setup of the eight-channel RF coil including the geometry data. The lateral surfaces of the simulation box are assigned as SIBCs, whereas the top and bottom interfaces are set to scattering boundary conditions. Fig. 11. (a) Multi-channel RF coil with a heterogeneous head model and distributions and (e) and (f) SAR distributions on (b) and (c) simulated cm) for different SIBCs: (b) and (e) 1.2 and (c) and the transverse cut ( . The distributions (in T) are normalized to the square (f) 1.2 10 root of peak SAR and plotted in dB. The SAR distributions are normalized to the total accepted power and plotted in dB.

Fig. 10. Simulated: (a) and (b) magnetic field distributions and (c) and (d) SAR distributions of the eight-channel RF coil depicted in Fig. 9 on the transversal cut of the phantom. Two cases—(a) and (c) the surface impedance set to 1.2 and —are compared. The field (in T) and SAR distri(b) and (d) 1.2 10 bution is normalized to the square root of accepted power and the power itself, respectively.

2) Simulation Results: The simulated field and local SAR distributions of the multi-channel RF coil (cf. Fig. 9) for various surface impedances are shown in Fig. 10. The and SAR distribution is normalized to the square root of accepted power and the power itself, respectively. Here only two cases, a relative small and large surface impedance, which corresponds to the PEC and PMC, respectively, are shown. A consistent tendency of the field distribution versus surface impedance can be observed, as in Fig. 8. By applying a shielding plate with a large surface impedance, the field distribution on the transversal cross section of the phantom is improved, in terms of homogeneity and penetration [cf. Fig. 10(a) and (b)]. With the surface impedance set to 1.2 and 1.2 10 , the CoV of the normalized field as defined in (14) on the transversal plane reads 0.31 and 0.28, respectively, indicating that the homogeneity of the field is improved by the SIBC with a large surface impedance. With the surface impedance set to 1.2 and 1.2 10 , the mean value of the normalized reads 0.28 and 0.3, respectively, indicating a stronger penetration ability of the field is obtained by using an SIBC with

a large surface impedance. Note that the SAR distributions for extremely small and large surface impedance reveal a similar tendency as the distribution. For , the coil exhibits an overall higher SAR distribution compared to the case , especially for the region deeper inside the phantom [cf. Fig. 10(c) and (d)]. However, the peak SAR, which arises near the surface of the phantom, for the two considered surface impedances are in a similar level. Specifically, the power-normalized peak SAR for the case of is 5.2 dB [cf. Fig. 10(c)], and the peak SAR with set to 1.2 10 is 5.8 dB [cf. Fig. 10(d)]. Additionally, a heterogeneous human head model has been utilized to validate the effect of the applied SIBCs on the and SAR distribution. The arrangement and the excitation of coil elements are kept unchanged in comparison to the case with a homogeneous phantom, i.e., no particular RF shimming is performed. The distribution on the transverse cut of the head model is compared for two SIBCs—the surface impedance set to 1.2 and 1.2 10 . As displayed in Fig. 11, the coil shielded by an SIBC with large surface impedance provides a better distribution, both in terms of homogeneity and absolute field strength. The SAR distributions for the two considered surface impedances (1.2 and 1.2 10 ) are in a similar manner. VI. EXPERIMENTAL MEASUREMENT This section provides the experimental investigation of the field behavior of an RF coil element based on different shielding plates based on near-field measurement. A. Experimental Setup The in-house experimental setup for near-field measurement is shown in Fig. 12. The symmetrically fed dipole coil element is printed on Rogers RO4003 substrate (250 100 cm ) with a thickness of 500 m. The high-dielectric substrates (Rogers RO3010) encloses the meanders to fine tune the current distribution on the stripline by enlarging the electrical length of the meanders. The separation between the dipole coil element and the sidewall of the phantom container is set to 2 cm. The

CHEN et al.: EM FIELD ANALYSIS OF DIPOLE COIL ELEMENT WITH SURFACE IMPEDANCE CHARACTERIZED SHIELDING PLATE FOR 7-T MRI

Fig. 12. Experimental setup for near-field measurement with a liquid based phantom, which emulates the human body around 300 MHz. The utilized EBG structure [28] on the top view is displayed on the bottom right corner.

shielding plate is located 2 cm apart from the coil element. Here, two types of shielding plates are considered: a copper-plated substrate and a 2-D multi-layer EBG structure [28], which exhibits extremely small and relative large surface impedances, respectively. In order to eliminate the impact of the eigen-resonant current on the shielding plate for the case of a copper-plated substrate [16], [29], a relative large copper-plated substrate with respect to the dipole coil is utilized here. The dimension of the applied EBG structure is similar to the dipole coil due to technological limitations in our workshop. For each case, a more than 10-dB return loss for the dipole coil is achieved by employing an appropriate matching network. Furthermore, we define two evaluation planes inside the phantom, where the absolute magnetic field distributions are measured with Speag field probes (H3DV7 for magnetic field, EX3DV4 for electric field). The transverse cut has a width of 14 cm ( direction) and a height of 10 cm ( direction), starting from the sidewall of the container. The coronal cut in the plane, which is located 3 cm inside the phantom, is 25 cm in length and 14 cm in width, as shown in Fig. 12. B. Measured Results The measured field distributions with the two aforementioned shielding plates on the pre-defined evaluation planes are shown in Fig. 13. The corresponding magnetic field is normalized to the square root of peak SAR inside the phantom and plotted in dB. Knowing that the electric field decays with deeper penetration into the phantom and the dimension of the phantom is large enough to eliminate the reflection effect of electric field at the open end of the phantom, we measure the absolute electric field close to the sidewall of the phantom container and use it for the maximum SAR calculation. Compared to Fig. 8, an excellent agreement of the field distribution between simulation and measurement is observed. The normalized magnetic field on the coronal cut produced by the dipole element backed with an EBG structure reveals an improvement in terms of homogeneity due to the broader field distribution and absolute penetration in comparison to a copper-

979

Fig. 13. Measured absolute field distributions on: (a) and (b) the coronal cut and (c) and (d) transverse cut at 300 MHz for different shielding plate: (a) and (c) copper-plated substrate and (b) and (d) 2-D EBG structure. The corresponding fields (in T) are normalized to the square root of peak SAR inside the phantom and plotted in dB.

plated substrate [cf. Fig. 13(a) and (b)]. The transverse cuts in Fig. 13(c) and (d) also indicate that a broader magnetic field distribution in the transversal direction can be achieved by using a shielding plate with large surface impedances, e.g., an EBG structure. Additionally, the absolute penetration of the magnetic field inside the phantom is slightly improved as well. VII. CONCLUSION The shielding plate of the stripline coil element for UHF MRI has been modeled by the SIBC. According to the simulation results, if the absolute EM field distribution is considered, the SIBC can be approximately characterized by the magnitude of a complex surface impedance. An increase of the surface impedance on the shielding plate leads to an improved homogeneity of the field distribution on the transversal plane inside the phantom and a slightly improved penetration depth. The EM fields of a dipole coil backed by a copper-plated substrate and a 2-D EBG structure have been measured and compared, where the FEM simulations and experimental results show excellent agreement. For ultra-high-field MRI applications ( T), the inhomogeneous and rapidly decayed field is a major challenge. The investigation carried out in this paper has demonstrated the feasibility to improve the homogeneity and the absolute penetration depth by utilizing a shielding plate with high surface impedance behind the coil element. For an eight-channel RF dipole coil, a 10% reduction on CoV of the transverse distribution, and a 7% increase on the averaged transverse can be achieved by using a shielding plate with a high surface impedance (1.2 10 ) compared to the case with a small one (1.2 ). Currently, the high surface impedance shielding plate for multi-channel RF coil is being built and the final image in an MRI system will be accomplished in the near future. REFERENCES [1] F. D. Doty, G. Entzminger, J. Kulkarni, K. Pamarthy, and J. P. Staab, “Radio frequency coil technology for small-animal MRI,” NMR Biomed., vol. 20, pp. 304–325, 2007.

980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[2] RF Coils for MRI, J. T. Vaughan and J. R. Griffiths, Eds. New York, NY, USA: Wiley, 2012. [3] J. Jin, Electromagnetic Analysis and Design in Magnetic Resonance Imaging. Boca Raton, FL, USA: CRC Press, 1998. [4] A. Haase et al., “NMR probeheads for in vivo applications,” Concepts Magn. Reson., vol. 12, pp. 361–388, 2000. [5] J. Mispelter, M. Lupu, and A. Briguet, NMR Probeheads for Biophysical and Biomedical Experiments: Theoretical Principles and Practical Guidelines, 2nd ed. London, U.K.: Imperial College Press, 2013. [6] J. T. Vaughan et al., “Efficient high-frequency body coil for high-field MRI,” Magn. Reson. Med., vol. 52, pp. 851–859, 2004. [7] G. Adriany et al., “Transmit and receive transmission line arrays for 7 tesla parallel imaging,” Magn. Reson. Med., vol. 53, pp. 434–445, 2005. [8] P.-F. Van de Moortele et al., “ destructive to interferences and spatial phase patterns at 7 T with head transceiver array coil,” Magn. Reson. Med., vol. 54, pp. 1503–1518, 2005. [9] X. Zhang, K. Ugurbil, R. Sainati, and W. Chen, “An inverted-microstrip resonator for human head proton MR imaging at 7 tesla,” IEEE Trans. Biomed. Eng., vol. 52, no. 3, pp. 495–504, Mar. 2005. [10] D. O. Brunner, N. De Zanche, J. Froehlich, D. Baumann, and K. Pruessmann, “A symmetrically fed microstrip coil array for 7T,” in 15th Proc. Int. Soc. MRM, 2007, p. 448. [11] G. Adriany et al., “A geometrically adjustable 16-channel transmit/ receive transmission line array for improved RF efficiency and parallel imaging performance at 7 tesla,” Magn. Reson. Med., vol. 59, pp. 590–597, 2008. [12] S. Orzada et al., “16-channel TX/RX body coil for RF shimming with selected CP modes at 7T,” in 18th Proc. Int. Soc. MRM, 2010, p. 50. [13] A. J. E. Raaijmakers et al., “Design of a radiative surface coil array element at 7 T: The single-side adapted dipole antenna,” Magn. Reson. Med., vol. 66, pp. 1488–1497, 2011. [14] A. Raaijmakers, P. R. Luijten, and C. A. van den Berg, “Why do dipole antennas work? A comparison to loop coils as a function of element size,” in 22nd Proc. Int. Soc. MRM, 2014, p. 4887. [15] S. Orzada, A. Bahr, and T. Bolz, “A novel 7 T microstrip element using meanders to enhance decoupling,” in 16th Proc. Intl. Soc. MRM, 2008, p. 2979. [16] Z. Chen, K. Solbach, D. Erni, and A. Rennings, “RF coil element with longitudinal and transversal two-peak field distribution for low SAR 7-tesla magnetic resonance imaging,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2–7, 2013, pp. 1–4. [17] G. Saleh, K. Solbach, D. Erni, and A. Rennings, “Four-leaf-clovershaped EBG structure to improve the H/E field ratio of stripline coil for 7 tesla MRI,” in 7th Eur. Antennas Propag. Conf., Göteborg, Sweden, Apr. 8–12, 2013, pp. 1111–1113. [18] Z. Chen, K. Solbach, D. Erni, and A. Rennings, “Improved B1 homogeneity of an MRI RF coil element using a high-impedance-surface shield,” in 9th German Microw. Conf., Nuremberg, Germany, Mar. 16–18, 2015, pp. 111–114. [19] S. Tretyakov, Analytical Modeling in Applied Electromagnetics. Norwood, MA, USA: Artech House, 2003. [20] S. V. Yuferev and N. Ida, Surface Impedance Boundary Conditions: A Comprehensive Approach. Boca Raton, FL, USA: CRC Press, 2009. [21] M. A. Brown and R. C. Semelka, MRI Basic Principles and Applications, 4th ed. New York, NY, USA: Wiley, 2010. [22] D. Weishaupt, J. Froehlich, D. Nanz, V. Koechli, K. Pruessmann, and B. Marincek, How Does MRI work?: An Introduction to the Physics and Function of Magnetic Resonance Imaging, 2nd ed. New York, NY, USA: Springer, 2008. [23] H. Raether, Surface Plasmons on Smooth and Rough Surfaces and on Gratings. New York, NY, USA: Springer-Verlag, 1988. [24] J. M. Pitarke, V. M. Silkin, E. Chulkov, and P. Echenique, “Theory of surface plasmons and surface-plasmon polaritons,” Rep. Progr. Phys., vol. 70, no. 1, pp. 1–87, 2007. [25] Z. Chen, K. Solbach, D. Erni, and A. Rennings, “Dipole RF element for 7 tesla magnetic resonance imaging with minimized SAR,” in 7th Eur. Antennas Propag. Conf., Göteborg, Sweden, Apr. 8–12, 2013, pp. 1716–1719. [26] D. I. Hoult, C.-N. Chen, and V. J. Sank, “Quadrature detection in the laboratory frame,” Magn. Reson. Med., vol. 1, no. 3, pp. 339–353, Sep. 1984.

[27] G. H. Glover et al., “Comparison of linear and circular polarization for magnetic resonance imaging,” J. Magn. Reson., vol. 64, pp. 255–270, 1985. [28] Z. Chen, K. Solbach, D. Erni, and A. Rennings, “A compact electromagnetic bandgap structure based on multi-layer technology for 7-tesla magnetic resonance imaging applications,” in 44th Eur. Microw. Conf., Rome, Italy, Oct. 6–9, 2014, pp. 1576–1579. [29] R. F. Harrington and J. R. Mautz, “Theory of characteristic modes for conducting bodies,” IEEE Trans. Antennas Propag., vol. AP-19, no. 5, pp. 622–628, Sep. 1971. Zhichao Chen received the B.S. and M.S. degrees in electrical and electronic engineering from the University of Duisburg–Essen, Duisburg, Germany, in 2009 and 2012, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of Duisburg–Essen. His general research interests include array antennas, metamaterials and associated applications, numerical simulation methods, and electromagnetic theory. His current research is focused on the development of RF components for magnetic-resonance imaging (MRI) systems, especially for ultra-high field strength.

Klaus Solbach was born in Witten, Germany, in 1951. He received the Dipl.-Ing. degree from RWTH Aachen, Aachen, Germany, in 1974, and the Dr.-Ing. degree from the University of Duisburg, Duisburg, Germany, in 1979. From 1975 to 1980, he was with the University of Duisburg, as a Researcher, where he was involved in the field of integrated dielectric image line circuits in the millimeter-wave frequency range. In 1981, he joined the Millimeter Wave Research Laboratory, AEG-Telefunken, Ulm, Germany, where he designed receive/transmit circuits and antennas for millimeter-wave radar and communications equipment. After joining the Radar Systems Group, Daimler-Benz Aerospace, in 1984, he engaged in the design and production of microwave subsystems for ground-based and airborne radar, electronic warfare (EW), and communication systems, including phased-array and active phased-array antenna systems. His last position was Manager of the RF-and-Antenna-Subsystems Department, Daimler-Benz Aerospace, Ulm, Germany. In 1997, he joined the faculty of the University of Duisburg, as Chair for RF and Microwave Technology. After serving as Vice-Dean of the Department of Electrical Engineering and Dean of the newly founded Faculty of Engineering, he then served as Vice-Rector of the newly merged University Duisburg–Essen until the end of 2006. He has authored or coauthored more than 200 national and international papers, conference contributions, book chapters, and patent applications. Dr.-Ing. Solbach was Chairman of the VDE-ITG Fachausschuss “Antennen,” Executive Secretary of the Institut für Mikrowellen-und Antennentechnik (IMA), and Chair of the IEEE German AP/MTT Joint Chapter. He has been a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has been a Member of a number of Technical Program Committees of national and European scientific conferences. In 2007, he was General Chair of the international ITG-Conference on Antennas INICA2007, Munich, Germany, and in 2009, he was General Chair of the European Conference on Antennas and Propagation, Berlin, Germany.

Daniel Erni (S’88–M’93) received the Diploma degree in electrical engineering from the University of Applied Sciences in Rapperswil (HSR), Rapperswil-Jona, Switzerland, in 1986, and the Diploma degree in electrical engineering and Ph.D. degree in laser physics from ETH Zürich, Zürich, Switzerland, in 1990 and 1996, respectively. Since 1990, he has been with the Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zürich. From 1995 to 2006, he was the Founder and Head of the Communication Photonics Group, ETH Zürich. Since October 2006, he has been a Full Professor for general

CHEN et al.: EM FIELD ANALYSIS OF DIPOLE COIL ELEMENT WITH SURFACE IMPEDANCE CHARACTERIZED SHIELDING PLATE FOR 7-T MRI

and theoretical electrical engineering with the University of Duisburg–Essen, Duisburg, Germany. His current research includes advanced data transmission schemes [i.e., optical multiple input multiple output (O-MIMO)] in board-level optical interconnects, optical on-chip interconnects, ultra-dense integrated optics, nanophotonics, plasmonics, quantum optics, electromagnetic and optical metamaterials, and applied electromagnetics [cf. electromagnetic metamaterials for applications in magnetic resonance imaging (MRI)]. On the system level, he has pioneered the introduction of numerical structural optimization into dense integrated optics device design. His further research interests include science and technology studies (STS), as well as the history and philosophy of science with a distinct focus on the epistemology in engineering sciences. Dr. Erni is a Fellow of the Electromagnetics Academy. He is a Member of the Center for Nanointegration Duisburg-Essen (CENIDE). He is an Associate Member of the Swiss Electromagnetics Research Centre (SEREC). He is also a Member of the Swiss Physical Society (SPS), the German Physical Society (DPG), and the Optical Society of America (OSA).

981

Andreas Rennings studied electrical engineering at the University of Duisburg-Essen, Germany. He carried out his diploma work at the Microwave Electronics Laboratory of the University of California at Los Angeles. He received his Dipl.-Ing. and Dr.-Ing. degrees from the University of Duisburg-Essen in 2000 and 2008, respectively. From 2006 to 2008, he was with IMST GmbH in Kamp-Lintfort, Germany, where he worked as an RF engineer. Since then, he is a senior scientist at the Laboratory for General and Theoretical Electrical Engineering of the University of Duisburg-Essen, leading several research projects. His general research interests include all aspects of theoretical and applied electromagnetics, currently with a focus on medical applications. He has authored and co-authored over 80 conference and journal papers and one book chapter and filed eight patents. He received several awards, including the second price within the student paper competition of the 2005 IEEE Antennas and Propagation Society International Symposium and the VDE-Promotionspreis 2009 for his doctoral thesis.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Label-Free and Antibody-Free Wideband Microwave Biosensor for Identifying the Cancer Cells Hung-Wei Wu, Senior Member, IEEE

Abstract—This study presents a label-free and antibody-free microwave biosensor that uses a coplanar waveguide transmission line with a defined detection window for the dielectric characterization of human hepatoma (HepG2), human lung carcinoma (A549), and human endometrial adenocarcinoma (HEC-1-A) cancer cells. The biosensor is having 40-GHz bandwidth and defining a detection window at the center of the conductor line. The biosensor can rapidly analyze the dielectric properties of cancer cells while eliminating unwanted microwave parasitic effects (including dielectric of cultured medium and substrate material). In addition, an equivalent circuit model for cancer cells was created. The equivalent capacitance and resistance of cancer cells were extracted and calculated. The effects of applying a small amount of RF power (2–4 dBm) to the biosensor were investigated. The and values indicated that applying RF power to the biosensor can damage cancer cells. The biosensor can be applied in in-vitro diagnostics, particularly in the field of prognosis. Index Terms—Biosensor, cancer cells, circuit model, coplanar waveguide (CPW) line, dielectric characterization.

I. INTRODUCTION

C

ONVENTIONAL cancer screening techniques require the complex and expensive labeling process and extensive biochemical assays. Current cancer screening techniques are including biomarkers, medical imaging, and indicator analysis by using blood and urine [1]. Although medical imaging offers highly sensitive cancer screening, it may not be validly applied to tumors that are not at least 0.1 cm in size (approximately 100 000 tumor cells). Biomedical indicators such as cancer antigen 125, prostate-specific antigen, human chorionic gonadotropin, alpha-fetoprotein, and DR-70 have been applied as tumor markers in clinical assays [2]. However, such tumor assays have several limitations such as counting the number of cancer cells is indirect and time consuming when blood samples are separated and, furthermore, physiological conditions (infection, inflammation, and menstruation) may interfere with the accuracy of these methods. Besides, the typical screening methods are, for example, as circulating epithelial tumor cell or circulating tumor cell (CTC) isolation. Manuscript received October 31, 2014; revised May 10, 2015, June 04, 2015, August 09, 2015, and November 22, 2015; accepted January 01, 2016. This work was supported by the National Science Council under Contract NSC100-2628-E-168-001-MY2, Contract MOST 102-2633-E-168-001, and Contract MOST 104-2220-E-168-001. The author is with the Department of Computer and Communication, Kun Shan University, Tainan 710, Taiwan. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2515098

However, the specified antibody is needed to isolate the specified cancerous cells during the CTCs process [3]–[5]. After doing the CTCs procedure, the isolated cancer cells still need to analyze by DNA decoding so as to identify the type of cancer cells. However, the screening scope is limited and needing of very high expenses. Therefore, label-free, antibody-free, and nonbiological parameter screening techniques are required for current medical diagnosis applications, especially on the field of prognosis. The dielectric detection technique is among the most crucial tools for cellular biologists. In particular, studying signals from nonbiological parameters may reveal early signs of disease before significant changes are observed in biological signals. Currently, techniques based on optical, electrochemical, piezoelectric, and microwave-sensing approaches have been proposed [6]–[14]. Microwave-sensing methods are developed for rapid, reliable, accurate, and highly sensitive biodetection [15], [16]. Inductor and capacitor (LC) based and IDT-electrode-based biosensors for analyzing the dielectric properties of cells have been developed [17]–[19]. However, these works are based on planar microstrip or coplanar waveguide (CPW) resonators (semi-lumped elements that parallel capacitances and inductances) structures at specific resonant frequencies [20]–[22]. Interdigital (IDT) electrodes as capacitive sensing are a challenge due to there being less than 5% capacitance shifts in the coupling between loading and unloading of the cells [23], [24]. The sensitivity of dielectric characterization of these resonator-based biosensors is thus limited to a very narrow bandwidth so as to much degrade the performance of the biosensor. Recently, planar transmission-line-based biosensors for identifying the biological cells based on dielectric analysis methods have been developed [25]–[27]. In [25], an innovative RF biosensor featuring a microfluidic channels and the microwave detection for performing the accurate biological analysis was proposed. The biosensor is based on CPW transmission lines and uses the advantages of both contactless microwave/millimeter-wave detection and label-free and microfluidic networks. The accuracy is degrading by variation among the devices and also consequently enhancing at frequencies higher than 20 GHz, with a value near to 1%. In [26], a biosensor that enables the noninvasive observation of living cells and of cells and molecules in liquid at the micrometric scale was proposed. In [27], the authors proposed a microwave biosensor for the dielectric detection of cancer cells (Hepatoma G2, HepG2). As the cell density changes from 2 10 cells L to 2 10 cells L, the microwave attenuation are 0.12

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

10 dB m for 2 10 cells L, 0.58 10 for 2 10 cells L, 0.81 10 for 1 10 cells L, and 1.26 10 dB m for 2 10 cells L at 40 GHz, respectively. The variation by cell density is associated with the polarization current surrounding the cells. The results are analyzing the dielectric changes of cells, which are very useful to the field of biomedical diagnostic. Additionally, is associated with the polarization effects of the cells from 1 to 40 GHz. However, transmission-line-based biosensors require further study to enable the achievement of highly sensitive wide-bandwidth biodetection that can serve as an effective tool in prognosis. In [28], the microdosimetric models for biological particles/cells in the development of the nanosecond pulsed electric field for medical devices was proposed. The circuit analyses are performed on input pulses. The idea is good and useful for the application of characterizing the nsPEFs’ action at the cellular level. The passive element circuits that are able to take into account the dielectric dispersion of the cell are provided. Our method tends to model the cancer cells by simple series R–C circuit. A simple equivalent circuit of cells is helpful to evaluate whether the cells are damaged in the clinical test. This study presents a new label- and antibody-free CPW-line-based microwave biosensor that uses a small amount of RF power for cancer cells identification by using dielectric characterization. Human hepatoma (HepG2), human lung carcinoma (A549), and human endometrial adenocarcinoma (HEC-1-A) cancer cells are used in this study. The biosensor features the 40-GHz-wide bandwidth and can analysis the dielectric parameters of cancer cells while eliminating all microwave parasitic effects. The dielectric sensitivity of cancer cells is substantially associated with microwave attenuation , even at low cell densities ( 40 cells L). The effects of applying a small amount of RF power (2–4 dBm) to the biosensor are investigated, revealing that treatment with a small amount of RF power damages cancer cells. A simplified equivalent circuit model of the cancer cells is developed by using original measurement data. The equivalent circuit elements RLGC (for the unloaded biosensor) and the parameters and (for the cancer cells) were determined to identify the real dielectric properties of cells while eliminating microwave parasitic effects, described in Section II. The biosensor can be applied to in vitro diagnostics (IVD), especially in the fields of early cancer screening and prognosis. II. DESIGN OF MICROWAVE BIOSENSOR A. Structure of the Microwave Biosensor Fig. 1(a) shows the structure of the biosensor. The biosensor is fabricated on the Corning EAGLE XG series glass substrate and designing on the standard CPW line. The glass substrate is with a thickness ( ) of 700 m, a relative dielectric constant ( ) of 5.27, and a loss tangent ( ) of 0.003. An SU8 protection layer, 55 m thick, was deposited on the surface of biosensor so as to avoid the short-circuit effects and unwanted RF interferences in the detection area of biosensor. The defined detection area on the surface of biosensor is used to locating the cancer cells in areas where the electromagnetic (EM) waves is concentrated. In Fig. 1(a), some cells located in the effective

Fig. 1. (a) Structure of the biosensor, (b) simplified lumped-element equivalent circuit model of single cell in suspension, and (c) electrical modeling of cancer m, m, m, m, cells ( m, m, m, m, m, and m).

detection area (marked with a blue rectangle dashed line) are valid and verified by using a full-wave 3-D EM simulation [29]. Fig. 1(b) shows a simplified lumped-element equivalent circuit model of a single cell in suspension. The cell membrane comprises a thin phospholipid double-layer structure with very high resistivity; it means as a dielectric material to provide a capacitive propagation path to the system. The cell cytoplasm is having a highly conductive ionic solution with a large concentration of dissolved organic material that forms a resistive propagation path to the RF signal in the equivalent circuit of the system. Therefore, a single cell can be seen analogous to a cytoplasm resistor ( ) in series with a membrane capacitor ( ) [30], where and represent and in this study. Fig. 1(c) shows an equivalent circuit model of the biosensor. Based on the description in Fig. 1(b), all of the cancer cells located in the detection area were modeled as a series circuit

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WU: LABEL-FREE AND ANTIBODY-FREE WIDEBAND MICROWAVE BIOSENSOR

3

Fig. 2. Process flow of the microwave biosensor.

containing the frequency-dependent cell-based capacitance and resistance ; and represent the total magnitude of the cancer cells. The parameters of , , , and represent the lumped-element circuit model for CPW transmission line. B. Fabrication Process of the Microwave Biosensor Fig. 2 shows the fabrication process flow of the biosensor. The glass substrate was cleaned at the first step by using a standard RCA cleaning technique. The biosensor was fabricated on Corning Eagle XG series glass. The dimensions of the glass substrate were 60 60 0.7 mm. The e-beam evaporation was performed by using an Au chip (purity: 99.999%). The RF magnetron sputtering with Ti metal target (99.9995% purity) was used for depositing Au/Ti films on the surface of glass substrate. The bottom Ti layer, 1.5 m thick, was deposited by using sputtering on the glass substrate. The sputtering was used in argon atmosphere (purity: 99.99%) with 15 cm of target-to-substrate distance. An SU8 protection layer, 55 m thick, was used to concentrate the cells in the detection area for avoiding the shortcircuit effects between the conductor line and ground plan in the biosensor architecture. To define the configuration of biosensor, a mask was photolithographically patterned the AZ5214E-photoresists, 2 m thick. The exposed Ti layer (1.5 m thick) and Au layer (0.5 m thick) were removed by a wet etching process. A 0.5- m-thick Au layers were deposited on the bottom Ti layer by using e-beam evaporation. Au was used as the electrodes due to Au having excellent electrical properties and biocompatibility. The high-resolution CPW line was obtained after photoresist stripping using acetone. C. Cells Growth Experimental Protocol Fig. 3(a) shows the experimental cell growth protocol flow. Human cancer cell lines were used in this study. The incubation

Fig. 3. (a) Experimental cell growth protocol flow. (b) Photograph of HepG2, A549, and HEC-1-A cells.

environment kept at temperature of 37 C and air condition of 5% CO . For routine incubation, briefly, cells were cultured in Dulbecco's modified eagle medium (DMEM) with 10% fetal bovine serum (FBS), 100 units/mL of penicillin, 100 g mL of streptomycin, 0.1 mM of nonessential amino acid, and 1 mM of sodium pyruvate. For an example of HepG2 cell incubation, HepG2 cells were seeded on 6-cm dishes with cell density of 1 10 cells mL. After three days of incubation, the cells in the dish became confluent and collected via detached by using trypsinization. Cells were then stained with trypan blue solution to be counting cell number. After adjusting cell density, the indicated cell number 40 cells L was prepared for on-chip microwave measurement. Since viability of cells with microwave intervention has to be concerned, cell viability was checked and analyzed by using the 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium bromide (MTT) method [31]. In brief, after the supernatant was removed, the cells were incubated at 37 C in MTT (0.5 mg/mL in medium) for 4 h. The medium was aspirated and the cells were solubilized in DMSO for 3 h. The optical density (OD) values were measured at 570 nm.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Measurement setup in this study. (a) LIDEP platform and (b) microwave on-chip measurement.

Similarity, the HEC-1-A and A549 cell line were grown in DMEM supplemented with 10%(v/v) inactivated FBS, 1% penicillin/streptomycin, 0.01% L-glutamine, and 0.02% sodium bicarbonate, pH 7.2–7.4. The cells were cultivated at 37 C with 5% CO and 95% air and in 100% relative humidity. The photograph of cultured HepG2, A549, and HEC-1-A cells are illustrated in Fig. 3(b).

III. RESULTS AND DISCUSSIONS A. On-Chip Measurement Setup Fig. 4 shows the measurement set-up. R&S ZVA40 on-wafer vector network analyzer (VNA) is using for measuring the dielectric properties of the cancer cells over 1–40 GHz. The temperature of the laboratory was set to 20 C. The standard short-open-load-through (SOLT) calibration technique was used along the de-embedding reference plane ( – and – ). The conductor line ( ) of the biosensor was used for facilitating movement of the specimen into the detection area. Due to the frequency-depended equivalent resistance and capacitance of cancer cells and leukocytes have the similar values so as to identify the cancer cells in difficulty, therefore, the new light-induced dielectrophoresis (LIDEP) biochip was performed for sorting the cancer cells by using the 4000-lumen visible light source with a 3000:1 contrast ratio [32]. The LIDEP chip can lead to specific outlets with an efficiency of 90% to increase concentrations of cells below the flow rate of 0.6 L min. Cell separation can be completed within 10 s. The separating paths of the cells are controlled by projecting patterns. For the experiment procedure, the cell density of HepG2, A549, and HEC-1-A was prepared and fixed at 40 cells/ L by using the micropipette for all experiments. After preparing the cultured medium (with the cells), the volume of liquid was controlled at 1 L to deposit on the detection window of the biosensor for all experiments. Due to the tradeoff between the chip dimension and microwave measurement condition, the well volume in the biosensor is approximately 100 nL. When the 1- L liquid is dropped on the effective detection area of the biosensor, as indicated by the blue dotted line in Fig. 1(a). There is average 8% leakage to flowing out of the defined detection area of the biosensor, as indicated by the red solid line

in Fig. 1(a). In order to stabilize the measurement, a total of 240 biosensor chips (6 biosensor chips per single glass substrate, the distance between each chip was 3 cm) have been fabricated. Every single experiment run uses the single one biosensor chip. Every biosensor chip has been carefully calibrated through the SOLT technique before doing the on-chip measurement. The used biosensor chip would never measure again (including the detected cancer cells). The procedure was repeated five times, getting average statistics for all experiments. The prepared cancer cells are all maintained at 4 C before doing the on-chip measurements. To totally evaporate a 1- L liquid deposited on the biosensor needs around 200 s (counted by a standard timer) in this study. Each experiment run with adding the RF power conditions needs a total of 16–19 s (10–13 s from dropping the 1- L liquid on the biosensor to saving the measurement data and maintaining 6 s for RF power treatment). By using Hess’s law [33], the microwave input energy is 0.0119 to 0.018 J/6 s (2–4 dBm equal to 1.585–2.512 mW) and the volume of culture medium, 90 of materials is water, is 1 L, and its weight is closely to 0.001 g. The rising temperature ( ) of the 1- L liquid (with the cells) is around 2.84 C (at 2 dBm) and 4.3 C (at 4 dBm). Therefore, the evaporation issue could be neglected in this study. B. Dielectric Properties of the Cancer Cells -magFig. 5 shows the -field distributions and measured nitudes of the biosensor under various conditions. EM waves penetrated the cancer cells, causing -magnitude degradation, as shown in Fig. 5(a). The cell density was set as 40 cells L for all experiments to enable clear identification of the dielectric properties of the cells. In Fig. 5(b), it was first confirmed that the effects between the conventional CPW line (without a defined detection area) and the unloaded biosensor (with a defined detection area) could be neglected. The unloaded biosensor means the biosensor without injecting the cancer cells on the detection area of the biosensor, as indicated by the solid line. Cells can be seen as the electric charges within a homogeneous material system. The HEC-1-A cells sustained the highest losses among the cell types. The degradation of -magnitude is associated with the polarization mechanism (including both the ion deformation and ion vibration at specified frequency) in cells. However, the measured -magnitude contained microwave parasitic effects, comprising the dielectric properties of substrate material and cultured medium. The average value of deviation bars of measured -magnitudes are 0.214 (deviation rate around 2.01%) for HepG2 cells, 0.1157 (deviation rate around 1.88%) for A549 cells, and 0.497 (deviation rate around 4%) for HEC-1-A cells, as shown in Fig. 5(c). The deviation rate could be accepted in this study. C. Eliminating the Microwave Parasitic Effects on the Biosensor In order to eliminate the microwave parasitic effects, the propagation constant ( ) is obtained from the eigenvalues of the matrix, where is the microwave attenuation and is associated with the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WU: LABEL-FREE AND ANTIBODY-FREE WIDEBAND MICROWAVE BIOSENSOR

5

wavenumber of the eigenvalues [34]. Therefore, the modified -parameters matrix is shown as follows:

(1) , , , and indicate where the measured -parameters values of the cancer cells, the biosensor with the cultured medium, loaded biosensor, and unloaded biosensor. The of the cancer cells can be found as shown in (2) at the bottom of this page. The proposed method can be applied on any kind of biosensor based on planar transmission line configuration with quasi-TEM propagation. The biosensor is designed and fabricated on the glass substrate with finite thickness. D. RLGC Circuit Model for CPW Transmission Line

Fig. 5. (a) -field distributions of the unloaded biosensor (the cross section of -field distribution below is obeserved at the central part of the unloaded -magnitudes, and (c) measured -magnitudes biosensor), (b) measured with deviation bars of the biosensor. The cell density was set as 40 cells L and five cycle runs to get average statistics for all experiments. The RF power is setting as 5 dBm (VNA default) on the biosensor for all experiments. The -magnitudes are 0.214 (deviaaverage value of deviation bars of measured tion rate around 2.01%) for HepG2 cells, 0.1157 (deviation rate around 1.88%) for A549 cells, and 0.497 (deviation rate around 4%) for HEC-1-A cells.

The circuit model shown in Fig. 1(c) contains the resistance, inductance conductance, and capacitance (RLGC) of the CPW line [35]. The frequency-dependent , , , and were derived from and [30], where , , and and , where is the angular frequency and indicates the characteristic impedance of the CPW line. The values of RLGC are summarized in Table I (the cell density was set as 40 cells L and five cycle runs to get average statistics for all experiments). represents the ohmic loss in the CPW line. Applying an electric field did not change the magnetic flux penetration in the dielectric system, the extracted exhibited nearly identical values of 0.188 pH m, and exhibited a behavior similar to that of . Specifically, exhibited higher values at higher frequencies than at lower frequencies. Variation in is associated with the polarization effects in the glass substrate (including the uniformity and quality of the glass [35], [36]). Moreover, resulted from the polarization effects of the glass substrate at microwave frequencies and was sufficiently small reducing the power consumption and crosstalk in the biosensor [37], [38].

(2)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I MEASURED AND CALCULATED PARAMETERS OF RLGC FOR CPW LINE

E. RF Power Treatment on Cancer Cells and based on the Based on (1), matrix can be obtained as follows [39]: (3) where (4) In Fig. 1(c), follows:

and

can be obtained from (4) as (5) (6)

where

Fig. 6. Comparison of measured -magnitude and ADS circuit simulation for: (a) HepG2 cells, (b) A549 cells, and (c) HEC-1-A cells treated with RF power in VNA default ( 5 dBm), adding RF power to 2 and 4 dBm. The cell density was set as 40 cells L and five cycle runs to get average statistics for all experiments.

(7) and for the CPW For (7), the value of line can be found in Section III-D. To evaluate the effects of the RF power treatment on the biosensor, a simplified electrical circuit model can be applied on the association with a frequency-dependent cell-based and to describe the electrical properties of the cells. Fig. 6 shows the comparison of -magnitude between the measurement and ADS circuit simulation for HepG2 cells, A549 cells, and HEC-1-A cells under different RF power treatments. The proposed circuit model for the biosensor is using the combination of the transmission and cell-based RC series circuit. All elements are extracted from the frequency-dependent on-chip measurement. The parameters of , , , and represent the circuit model for the CPW line. The critical difference between transmission line theory and circuit theory is related to the electrical size (depended on the operating frequency) of the biosensor. The cancer cells located in the detection area can be briefly

modeled as a series circuit containing the frequency-dependent cell-based and , and and represent the total magnitude of the cancer cells. The -shape network could be effectively modeled as a combination of cells and transmission lines. The value of each element can be obtained by transformation of the matrix and complex number of -parameters. The ADS circuit simulation was used [40] to validate the matching between the proposed circuit model and measurements. A finite length of transmission line can be seen as a cascade of sections [35]. The section of defined detection window of the biosensor is a uniform structure so as to use the frequency-dependent simple RC (based on cells) (based on the transmission line) circuit model with a single section to represent dielectric characteristics of cells under RF power treatment, making quick analysis for cancer cell identification in the clinical test. Fig. 7 shows the measured and calculated of HepG2, A549, and HEC-1-A cells after treatment with a small amount of RF power. The duration of RF treatment was controlled at 6 s in all experiments. Increasing the RF power from 0 to 4 dBm

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WU: LABEL-FREE AND ANTIBODY-FREE WIDEBAND MICROWAVE BIOSENSOR

7

Fig. 7. Measured and calculated of HepG2, A549, and HEC-1-A cells after treatment with a small amount of RF power. The cell density of HepG2, A549, and HEC-1-A cells was set as 40 cells L and five cycle runs to get average statistics for all experiments.

resulted in decreases in the microwave attenuation of HepG2, A549, and HEC-1-A cells, as was observed clearly at 40 GHz. The cell is surrounded by dielectric plasma membranes and behaves like insulating particles and forces the current to flow through the small electrolyte-filled propagation paths beneath and between the cells [41]. The limitation of current flow within these propagation paths created higher resistance and also caused greater microwave attenuation because microwave attenuation is dependent on the 3-D shape and types of cells on the surface of electrode. Increasing the RF power causes membrane rupture during necrosis, enabling a current flowing the cells with low impedance. Cell shrinkage shows open spaces between the cells. Therefore, a seriously decrease in resistance of cells was observed, causing a decrease in microwave attenuation. To further verify the cellular apoptosis by RF power treatment, the commercial kits (caspase-3 and caspase-7 colorimetric assay kits) were used [42], [43]. After RF power treatment, cells were dropped up and collected into eppendorff. The cells were lysed in a lysis buffer [50 mM Tris-HCl (pH 7.4), 10 mM EGTA, 1 mM EDTA, 10 mM digitonin, and 2 mM DTT]. The cell lysates are incubated with caspase-3 and caspase-7 specific substrates (Ac-DEVD-pNA) at 37 C for 1 h. Caspase activity and absorbance were measured with an enzyme-linked immunosorbent assay (ELISA) reader at OD405 [44]. All results are from three independent experiments. ELISA is used for measurement and takes 1 h for caspase reaction after RF power treatment. Cells by RF power treatment at 6 s with 2 dBm (1.78 0.38 fold) and 12 s with 4 dBm (1.55 0.27 fold) have a higher ratio of caspase3/7. Therefore, it is assumed that the RF power treatment has a potential to kill tumor cells via an apoptosis pathway. Fig. 8 shows the measured and calculated frequency-dependent cell-based equivalent resistance and capacitance ( and , respectively) of HepG2 cells, A549 cells, and HEC-1-A cells treated with a small amount of RF power. In the HepG2 cells, when the RF power was increased from 0 to 4 dBm, the decreased from 28.25 to 19.88 m , and remained nearly the same around 1.63–1.64 fF at 40 GHz. Similarly, operating at 40 GHz, increasing the RF power reduced the values of A549 cells from 42.73

Fig. 8. Measured and calculated frequency-dependent cell-based equivalent reand , respectively) of: (a) HepG2 sistance and capacitance ( cells, (b) A549 cells, and (c) HEC-1-A cells treated with RF power in VNA default ( 5 dBm), adding RF power to 2 and 4 dBm. The cell density of HepG2, A549, and HEC-1-A cells was set as 40 cells L and five cycle runs to get average statistics for all experiments.

to 2.17 m , and remained nearly the same around 1.6–1.92 fF, increasing the RF power reduced the values of HEC-1-A cells from 72.61 to 8.76 m , and remained nearly the same, around 1.59–1.69 fF. The detection limit of the biosensor is dependent on the cell density and cell type. According to the measured results, cancer cells tend to lose the insulation of plasma membranes of cells when treated with RF power. Cell shrinkage and round-up during apoptosis,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

MEASURED

AND AND

TABLE II CALCULATED PARAMETERS OF FOR HEPG2 CELLS

formula heat added mass specific heat . The microwave input energy is 0.119–0.18 J/60 s (2–4 dBm equal to 1.585–2.512 mW) and the volume of culture medium, 90 of materials is water, is 1 L and its weight is close to 0.001 g. Therefore, the rising temperature of the 1- L liquid is around 2.84 C (at 2 dBm) and 4.3 C (at 4 dBm). Therefore, the issue of thermal effects on cells could be neglected in this study. IV. CONCLUSION

TABLE III MEASURED

AND CALCULATED PARAMETERS OF AND FOR A549 CELLS

In this paper, the microwave CPW line-based biosensor has been presented for characterizing the dielectric properties of cancer cells (HepG2, A549, and HEC-1-A). Compared with biosensors proposed in previous studies, the proposed biosensor features rapid detection, high sensitivity, and high accuracy over ultra-wide bandwidth. The microwave biosensor was well fabricated for a label-free, an antibody-free, and an effective analysis method to identify the type of cancer cells. Microwave parasitic effects (including dielectric properties of cultured medium and substrate material) were eliminated. The dielectric sensitivity is associated with cell type and cell density, making it a useful tool for analyzing the dielectric properties of cells rapidly, even at extremely low cell densities. Applying RF power to the loaded biosensor damaged cancer cells when the cell-based equivalent value decreased seriously. The findings of this study can be applied to prognosis in cancer IVD applications. ACKNOWLEDGMENT

MEASURED

AND AND

TABLE IV CALCULATED PARAMETERS OF FOR HEC-1-A CELLS

The author extends specials thanks to Prof. Y.-H. Hong, Department of Nutrition, I-Shou University, and Dr. C.-F. Li, Department of Pathology, Chimei Medical Center, for support under cancer cell growth and biological analysis. REFERENCES

as forms of cell damage, can be detected using the proposed biosensor. The measured and calculated frequency-dependent cell-based equivalent and of the cancer cells are summarized in Tables II–IV. Additionally, the prepared cancer cells are all maintained at 4 C before doing the on-chip measurement. For the evaporation issue, a 1- L liquid deposited on the biosensor needs around 200 s to evaporate completely (counted by standard timer). Each experiment with adding the RF power conditions needs around 16–19 s (10–13 s from dropping the 1- L liquid on the biosensor to saving the measurement data and 6 s for RF power treatment). By using Hess’s law, the

[1] G. A. Campbella and R. Mutharasanb, “Near real-time detection of Cryptosporidium parvum oocyst by antibody functionalized piezoelectric-excited millimeter-sized cantilever biosensor,” Biosens. Bioelectron., vol. 23, pp. 1039–1045, Mar. 2008. [2] A. M. Sieuwerts et al., “mRNA and microRNA expression profiles in circulating tumor cells and primary tumors of metastatic breast cancer patients,” Clinical Cancer Res., vol. 17, no. 11, pp. 3600–3618, Jun. 2011. [3] M. C. Miller, G. V. Doyle, and L. W. Terstappen, “Significance of circulating tumor cells detected by the cellsearch system in patients with metastatic breast colorectal and prostate cancer,” J. Oncol., pp. 1–8, 2010, Art. ID 617421. [4] R. F. Swaby and M. Cristofanilli, “Circulating tumor cells in breast cancer: A tool whose time has come of age,” BMC Med., vol. 9, no. 43, pp. 1–7, 2011. [5] D. Marrinucci et al., “Fluid biopsy in patients with metastatic prostate, pancreatic and breast cancers,” Phys. Biol., pp. 1–9, 2012, Art. ID 016003. [6] W. E. Moerner and M. Orrit, “Illuminating single molecules in condensed matter,” Science, vol. 283, pp. 1670–1676, Mar. 1999. [7] T. G. Drummond, M. G. Hill, and J. K. Barton, “Electrochemical DNA sensors,” Nat. Biotechnol., vol. 21, pp. 1192–1199, Oct. 2003. [8] Y. I. Kim et al., “Biosensors for label free detection based on RF and MEMS technology,” Sens. Actuators B, Chem., vol. 119, pp. 592–599, Dec. 2006. [9] J. H. Chien, P. H. Chen, L. S. Kuo, C. S. Lin, and H. Wang, “Protein detection using a radio frequency biosensor with gold nanoparticles,” Appl. Phys. Lett., vol. 91, no. 14, p. 14 931, 2007. [10] J. K. Perng, W. D. Hunt, and P. J. Edmonson, “Development of a shear horizontal SAW RFID biosensor,” in IEEE Sensor Conf., 2007, pp. 691–694.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WU: LABEL-FREE AND ANTIBODY-FREE WIDEBAND MICROWAVE BIOSENSOR

[11] G. A. Campbell and R. Mutharasan, “Near real-time detection of Cryptosporidium parvum oocyst by antibody functionalized piezoelectricexcited millimeter-sized cantilever biosensor,” Biosens. Bioelectron., pp. 1039–1045, Feb. 2008. [12] S. S. Agasti, S. Rana, M. H. Park, C. K. Kim, C. C. You, and V. M. Rotello, “Nanoparticles for detection and diagnosis,” Adv. Drug Deliv. Rev., vol. 62, pp. 316–328, Mar. 2010. [13] V. Nandakumar, D. Bishop, E. Alonas, J. L. Belle, and L. Joshi, “A low-cost electrochemical biosensor for rapid bacterial detection,” IEEE Sensors J., vol. 11, no. 1, pp. 210–216, Nov. 2011. [14] C. H. Yang, L. S. Kuo, P. H. Chen, C. R. Yang, and Z. M. Tsai, “Development of a multilayered polymeric DNA biosensor using radio frequency technology with gold and magnetic nanoparticles,” Biosens. Bioelectron., vol. 31, pp. 349–356, Jan. 2012. [15] D. G. Anderson, D. Putnam, E. B. Lavik, T. A. Mahmood, and R. Langer, “Biomaterial microarrays: Rapid, microscale screening of polymer-cell interaction,” Biomaterials, vol. 26, pp. 4892–4897, Aug. 2005. [16] J. Blacklock, Y. Z. You, Q. H. Zhou, G. Mao, and D. Oupicky, “Gene delivery in vitro and in vivo from bioreducible multilayered polyelectrolyte films of plasmid DNA,” Biomaterials, vol. 30, pp. 939–950, Feb. 2009. [17] S. M. Radke and E. C. Aloculja, “Design and fabrication of a microimpedance biosensor for bacterial detection,” IEEE Sensors J., vol. 4, no. 4, pp. 434–440, Jul. 2004. [18] Z. Zou, J. Kai, M. J. Rust, J. Han, and C. H. Ahn, “Functionalized nano interdigitated electrodes arrays on polymer with integrated microfluidics for direct bio-affinity sensing using impedimetric measurement,” Sens. Actuators A, Phys., vol. 136, no. 2, pp. 518–526, May 2007. [19] L. Y. Zhang et al., “Label-free colorectal cancer cell line bio-sensing using RF resonator,” in Proc. Int. Solid-State Sensors, Actuators, Microsyst, Conf., Jun. 2013, pp. 1194–1197. [20] C. Dalmay, A. Pothier, M. Cheray, F. Lalloue, M. O. Jauberteau, and P. Blondy, “Label-free RF biosensors for human cell dielectric spectroscopy,” Int. J. Microw. Wireless Technol., vol. 1, no. 6, pp. 497–504, Dec. 2009. [21] Y. Yang et al., “Distinguishing the viability of a single yeast cell with an ultra-sensitive radio frequency sensor,” Lab on a Chip, vol. 10, no. 5, pp. 553–555, Mar. 2010. [22] H. J. Lee et al., “A planar split-ring resonator-based microwave biosensor for label-free detection of biomolecules,” Sens. Actuators B, Chem., vol. 169, pp. 26–31, Jul. 2012. [23] L. Li and D. Uttamchandani, “A microwave dielectric biosensor based on suspended distributed MEMS transmission lines,” IEEE Sensors J., vol. 9, no. 12, pp. 1825–1830, Dec. 2009. [24] P. D. Muley and D. Boldor, “Investigation of microwave dielectric properties of biodiesel components,” Bioresour. Technol., vol. 127, pp. 165–174, Jan. 2013. [25] K. Grenier et al., “Integrated broadband microwave and microfluidic sensor dedicated to bioengineering,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3246–3253, Dec. 2009. [26] K. Grenier et al., “Recent advances in microwave-based dielectric spectroscopy at the cellular level for cancer investigations,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2023–2030, May 2013. [27] Y. F. Chen, H. W. Wu, Y. H. Hong, and H. Y. Lee, “40 GHz RF biosensor based on microwave coplanar waveguide transmission line for cancer cells (HepG2) dielectric characterization,” Biosens. Bioelectron., vol. 61, pp. 417–421, Nov. 2014. [28] C. Merla et al., “Novel passive element circuits for microdosimetry of nanosecond pulsed electric fields,” IEEE Trans. Biomed. Eng., vol. 59, no. 8, pp. 2302–2311, Aug. 2012. [29] HFSS Simulator. Ansoft, Palo Alto, CA, USA, 2011. [30] D. Das, F. A. Kamil, K. Biswas, and S. Das, “Evaluation of single cell electrical parameters from bioimpedance of a cell suspension,” RSC Adv., vol. 4, pp. 18 178–18 185, Feb. 2014. [31] T. Mosmann, “Rapid colorimetric assay for cellular growth and survival: Application to proliferation and cytotoxicity assays,” J. Immunol. Methods, vol. 65, pp. 55–63, Dec. 1983. [32] X. Zhu, H. Yi, and Z. Ni, “Frequency-dependent behaviors of individual microscopic particles in an optically induced dielectrophoresis device,” Biomicrofluidics, vol. 4, no. 013202, pp. 1–14, Jan. 2010. [33] J. M. Sturtevan, “Some applications of calorimetry in biochemistry and biology,” Annu. Rev. Biophys. Bioeng., vol. 3, pp. 35–51, 1974. [34] R. E. Collin, Foundations for Microwave Engineering. New York, NY, USA: McGraw-Hill, 1992.

9

[35] D. M. Pozar, Microwave Engineering, 2nd ed. New York, NY, USA: Wiley, 2006. [36] S. F. Adam, Microwave Theory and Applications, 2nd ed. New York, NY, USA: Prentice-Hall, 1969. [37] G. E. Ponchak and A. N. Downey, “Characterization of thin film microstrip lines on polyimide,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 21, no. 5, pp. 171–176, May 1998. [38] G. E. Ponchak and L. P. B. Katehi, “Measured attenuation of coplanar waveguide on CMOS grade silicon substrate with polyimide interface layer,” IEE Electron. Lett., vol. 34, no. 13, pp. 1327–1329, Jun. 1998. [39] K. C. Gupta, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA, USA: Artech House, 1996. [40] Advanced Design System (ADS) Simulation. Keysight/Agilent Technol., Santa Rosa, CA, USA, 2009. [41] B. Kling et al., “Flavonoids, flavonoid metabolites, and phenolic acids inhibit oxidative stress in the neuronal cell line HT-22 monitored by ECIS and MTT assay: A comparative study,” J. Nat. Prod., vol. 77, pp. 446–454, 2014. [42] N. A. Thornberry et al., “A combinatorial approach defines specificities of members of the caspase family and granzyme B—Functional relationships established for key mediators of apoptosis,” J. Biol. Chem., vol. 272, pp. 17907–17911, 1997. [43] C. Tiloke, A. Phulukdaree, and A. Chuturgoon, “The antiproliferative effect of moringa oleifera crude aqueous leaf extract on cancerous human alveolar epithelial cells,” BMC Complement. Alt. Med., vol. 13, pp. 226–223, 2013. [44] A. R. Ingermann et al., “Identification of a novel cell death receptor mediating IGFBP-3-induced anti-tumor effects in breast and prostate cancer,” J. Biol. Chem., vol. 285, pp. 30 233–30 246, Sep. 2010.

Hung-Wei Wu (S’04–M’08–SM’14) was born in Taipei, Taiwan, in 1978, and the Ph.D. degree from the Institute of Microelectronics, National Cheng Kung University, Tainan City, Taiwan, in 2007. In 2007, he joined the Advanced Optoelectronics Technology Center, National Cheng Kung University, as a Post-Doctoral Research Fellow. Since 2009, he has been with the Department of Computer and Communication, Kun Shan University, Tainan, Taiwan, where he is currently an Associate Professor. He has authored or coauthored over 100 publications. He hold 38 patents. His research interests include planar microwave filters, thin-film microstrip lines, transparent conducting oxide (TCO) thin films, bioelectronics, and biosensors in microwave and millimeter-wave applications. Dr. Wu is a Member of the Europoean Microwave Association (EuMA), the Institution of Engineering and Technology (IET), the IEEE Microwave Thoery and Techniques Society (IEEE MTT-S) Technical Committee in MTT-10 and MTT-8, the Nano-Biomedicine Technical Committee, the IEEE Nanotechnology Council (NTC), and the IEEE Region-10 Conference and Technical Seminar Committee. He also serves on the Technical Program Committee and the Organizing Chairs of workshops of the 2016 and 2015 IEEE BioWireleSS Conference. He has served as an Associate Editor for the International Journal of Microwave and Wireless Technologies and Recent Advances in Electrical and Electronic Engineering since 2013. He has also served as an Editorial Board Member of the Scientific World Journal, Journal of Optoelectronics Engineering, Nanosciences and Nanotechnologies: An International Journal, Far East Journal of Electronics and Communications, World Science Journal of Engineering Applications, the Recent Advances in Communications and Networking Technology, and Journal of Electrical and Electronic Engineering since 2012. He was the recipient of the 2015 and 2014 National Innovation Award of the Institute for Biotechnology and Medicine Industry, the Outstanding Young Electrical Engineer Award and Outstanding Electrical Engineer Award in 2014, the IEEE Tainan Section Best Gold Member Award, the Outstanding Youth Award of Electronics Devices and Materials Association, the Deputy Director General of the IBC for Asia, the Top 100 Engineers of IBC, the Leading Engineers of the World of IBC, the Who’s Who in the World, the Complete Design Award of the Intelligent Electronics and System Design Contest, and the Silver Medal Award of the Exhibition of Inventions Geneva, Switzerland, in 2013. He was also a recipient of the Gold Medal Award of the Taipei International Invention Competition, the Taiwan NSC Outstanding Research Academic Reward of Universities, and 4th Place in the Intelligent Electronics Innovation and Application and Design Competition in 2012.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

991

Multi-Frequency DEP Cytometer Employing a Microwave Sensor for Dielectric Analysis of Single Cells Samaneh Afshar, Student Member, IEEE, Elham Salimi, Student Member, IEEE, Katrin Braasch, Michael Butler, Douglas J. Thomson, Senior Member, IEEE, and Greg E. Bridges, Senior Member, IEEE

Abstract—We present a microfluidic device for in-flow dielectric characterization of single biological cells. The dielectric spectrum is obtained by measuring the multiple-frequency dielectrophoresis (DEP) response of individual cells as they travel over an array of sensing and actuating electrodes. The DEP induced translation of each cell is detected by measuring the differential impedance of the array using a microwave interferometer, which is capable of sub-attofarad sensitivity, and is coupled to the sensing electrodes. The DEP response of a cell at multiple frequencies in the beta-dispersion region is chosen to discern particular cell dielectric properties as it travels along the array—such as cytoplasm conductivity and membrane capacitance. The Clausius–Mossotti factor of the cell is determined from the measured response signal in conjunction with numerical simulation of its trajectory. The approach is validated through measuring polystyrene microspheres. The DEP response of Chinese hamster ovary cells using two simultaneous frequencies is demonstrated. Index Terms—Biological cell, Chinese hamster ovary (CHO), dielectric spectroscopy, dielectrophoresis (DEP), interferometer, microfluidic, microwave, single cell.

I. INTRODUCTION

T

HE dielectric properties of biological cells can be linked to their physiological state. For example, changes in the cell size, cytoplasm ion content, or membrane structure, result in changes in the cell dielectric properties. This has been used to differentiate healthy and cancerous cells and measure the effectiveness of drug treatment [1]–[4]. Electrical based methods enable investigation of cell properties without perturbing or labeling cells biologically. As such, single cell dielectric analysis techniques are ideal for implementation on lab-on-chip platforms [5]–[10].

Manuscript received July 03, 2015; revised September 21, 2015, December 02, 2015, and December 21, 2015; accepted January 01, 2016. Date of publication January 25, 2016; date of current version March 03, 2016. This work was supported by the Natural Sciences and Engineering Research Council (NSERC) of Canada. S. Afshar, E. Salimi, D. J. Thomson, and G. E. Bridges are with the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada R3T 5V6 (e-mail: [email protected]; [email protected]). K. Braasch and M. Butler are with the Department of Microbiology, University of Manitoba, Winnipeg, MB, Canada R3T 2N2. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518178

Impedance spectroscopy and ac-electrokinetic techniques are the two main label-free dielectric methods employed for separating, analyzing, and characterizing single biological cells [8]–[15]. Both techniques utilize the polarization of the cell in an applied electric field, and can be characterized through the Clausius-Mossotti factor (CMF). Single cell impedance measurement provides information on both the real and imaginary parts of the CMF. It is typically performed in a suspension medium and, thus, further analysis is required to extract the cell’s dielectric properties [6]–[9]. Thus, the method is sensitive to the volume fraction, the configuration of the sensing electrodes, as well as the position of the cell. Further, electrode polarization effects must be considered at lower frequencies. Dielectrophoresis (DEP) is an ac-electrokinetic technique that is based on the translation of an uncharged particle in a nonuniform field [16]. Unlike impedance spectroscopy, the CMF is directly related to the DEP response and extraction from the suspension response is not needed. As exemplified in Fig. 1, the CMF of a cell shows a unique dispersion characteristic over the beta-dispersion range, where the response at different frequencies can be associated with its different constituents. Thus, by measuring the response at several frequencies the dielectric properties of the cell’s components can be obtained [18]. Using one frequency, we have previously shown that noticeable cytoplasm conductivity changes occur during the early stages of apoptosis [19]. Multiple frequency measurement would provide simultaneous information on both membrane dielectric changes (for example, due to folding) and cytoplasm ionic concentration changes. Most DEP techniques for single cell discrimination use a single cross-over frequency (where the CMF is zero). Multiple-frequency analysis can provide the whole spectral response of the cell. In this paper we describe a DEP cytometer technique, capable of simultaneous multiple-frequency measurement, to dielectrically study single Chinese hamster ovary (CHO) cells while in flow. The DEP response of the cells are detected by measuring the differential impedance due to the presence of the cells as they pass over a microwave microelectrode sensor. We previously demonstrated that this approach is sufficiently sensitive to detect DEP actuated 6- m polystyrene spheres (PSSs) [20]. Here, the CMF for CHO cells is determined by measuring their individual DEP response at specified frequencies. Section II describes the

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 1. Simulated spectrum of the real part of the CMF in the beta-dispersion region for a mammalian cell. Solid line indicates the CMF for CHO cells using parameters from [17]. Dashed and dashed–dotted lines illustrate the regions that are most sensitive to changes in the cytoplasm conductivity and membrane capacitance, respectively.

measurement system. As the cell translation in the actuation region is due to the complicated interaction of the DEP force and other forces in the microfluidic channel, in Section III we describe a particle trajectory model and numerical simulation approach to interpret the measurement results. Section IV gives results for the CMF for two simultaneous frequencies in the kHz–MHz range. The validity of the numerical simulation is first verified by using 6- m polystyrene microspheres. The approach is then demonstrated for measurement of the CMF of CHO cells. II. MICROFLUIDIC DUAL-FREQUENCY DEP CYTOMETER Fig. 2 shows a two-frequency DEP cytometer. It employs a 15 mm 15 mm microfluidic chip fabricated using the Micronit Microfluidics process. The chip consists of 1.1- and 0.7-mmthick top and bottom borosilicate glass substrate layers [21]. The 40- m-depth 100- m-width microfluidic channel is etched in the top layer and heat-bonded to the bottom layer where the interdigitated electrodes are fabricated. On the bottom layer, 200-nm-depth trenches are etched, and a 180-nm Au layer on a 20-nm Ta adhesion layer in the trenches is deposited. The electrodes extend across the entire microfluidic channel. An external pump system is used to provide a controlled flow velocity. Particles [cells or polystyrene microspheres (PSSs)] pass over a coplanar multiple-electrode sensor array [inset in Fig. 2(b)]. There are two actuation regions, and , located between three sensing regions, , , and . The particles are exposed to DEP forces at two specified frequencies in the kHz–MHz range (corresponding to the dispersion region in Fig. 1) in the actuation regions. This results in the particle translated vertically in the channel, which is detected by measuring the microwave frequency impedance change of the sensing electrodes. The sensors, configured as ground–signal–ground (G–S–G), have gap m and width m and are coupled to a resonator-enhanced microwave interferometer. The interferometer operates in the low GHz frequency range ( GHz) where the effect of electrode double layer

Fig. 2. (a) Photograph of measurement system showing microwave interferometer at left. (b) Microfluidic dual-frequency DEP sensor, where cells flow over a multiple-electrode sensor array (inset). The interferometer detects the impedance change due to the passing cell at three locations, , , and . DEP actuation, which translates cells vertically in the channel, is applied at freand . DEP and RF grounds are identical. quencies and at locations

capacitance is negligible and the combination of conductive medium and water dispersion losses are minimized. Also, this is well above frequencies where interfacial dispersion effects play a role for mammalian cells. The change in impedance (capacitance dominated) due to the presence of a particle is given by [22], (1) where is the sensing electrode voltage, is the electric field at the particle position, and is the particle volume. is the CMF given as [23] (2) Here, and are the complex permittivity of the particle and medium, respectively. An interferometer, consisting of sensing and reference paths, as shown in Fig. 2(b), is used to detect the impedance change

AFSHAR et al.: MULTI-FREQUENCY DEP CYTOMETER EMPLOYING MICROWAVE SENSOR FOR DIELECTRIC ANALYSIS OF SINGLE CELLS

993

due to particles as they pass over the electrode array. The approach employs a high- resonator in the sensing path, similar to that described in [24] and [25]. The resonator insertion phase change, due to a particle, is detected by combining the paths with a mixer. A lock-in amplifier (LIA), that modulates the sensing path at 100 kHz enhances the signal-to-noise. The phase shifter is usually adjusted to zero the in-phase mixer output when no particle is present. The LIA output signal is then proportional to capacitance change as (3) and are the reference and sensor path ampliwhere tudes, respectively, and is the interferometer gain. is the position dependent capacitance change as the particle travels along a trajectory over the electrodes. Using PSS with known properties, the sensor has demonstrated a sensitivity of 650 zF for a 3-ms time constant [25]. CHO cells flowing at a typical height of 19 m above the array in our experiments produce a peak capacitance change of 20 aF. According to (1) and (3), the sensing signal, , is proporat the location of the particle. The simtional to ulated signals for a particle flowing at different heights in the channel is shown in Fig. 3(a). Since the electric field applied to the small particle is fairly uniform and the perturbation of the field due to the presence of a cell or PSS over the sensing electrode is negligible, we assume that the simulated signals for both CHO cells and PSS are approximately the same. Thus, the height of the particle at a particular sensor can be determined from the amplitude and shape (signature) of the corresponding signal. Further, the signatures at , , and can be used to infer the particles response to DEP actuation at and . The DEP force on a spherical particle in a nonuniform electric field is given by [23] (4) In our device, DEP actuation at two frequencies is applied at and . Referring to Fig. 3(c) and (4), a particle subjected to a DEP force at is translated towards the electrodes if (pDEP) at the applied DEP frequency. As a result, the signal from the LIA is such that . Conversely, the particle is translated away from the electrodes if (nDEP) resulting in . An example trajectory for a 5.9- m-diameter PSS is shown in Fig. 3(b) where actuation at frequency at is pDEP and actuation at frequency at is nDEP. The (sign and magnitude) at the applied frequencies is related to the value of the particle deflection and the resulting change in the signatures at the sensors. The changes in the signatures is quantified using force indices,

Fig. 3. (a) Normalized simulated signatures for a 5.9- m PSS (or cell) flowing over the sensing array at various heights. (b) Example trajectory of a PSS sub( kHz) and nDEP actuation at jected to pDEP actuation at . (c) Resulting ( MHz), where the gray-scale map indicates signal at the output of the LIA for the trajectory in (b). This is used to obtain , , , and subsequently the CMF at the two frequencies.

Here, and are determined using the peak amplitudes of signatures , . The sign of the force indices reflects the sign of and their magnitudes are related to the magnitude for particles with the same entrance height and velocity into DEP region. Therefore, along with numerical simulation of the particle trajectory, and can be used to extract the CMF at the two frequencies. III. SIMULATION OF PARTICLE TRAJECTORY

(5)

In the microfluidic channel, in addition to the DEP force, the particle (PSS or cell) experiences drag, gravity, buoyancy, and

994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

hydrodynamic lift forces. In order to generate an accurate particle trajectory all forces acting on the actuated particle need to be accounted for [26]. The net force exerted on an actuated particle is (6) is the value of the DEP force. The gravity and Here, buoyancy forces are given by (7) where is the gravitational acceleration constant and and are the mass densities of particle and medium, respectively. The hydrodynamic lift force, which pushes the particle away from the top and bottom channel walls, is approximated as [27] (8) where

Fig. 4. Simulated spectrum of for PSS suspended in DI-water , S cm) for various values of surface conductance. (

is the lift force constant,

is the height of the channel, , is the particle radius, and is the distance from the bottom of the channel to the center of the particle. Here, is average velocity of the fluid in the channel. The drag force is (9) and are the vewhere is the viscosity of the medium, and locity of medium and particle, respectively. Flow in the channel can be assumed laminar with a parabolic velocity profile so that, at an altitude, , from the bottom of the channel the fluid velocity is [17] (10) IV. MEASUREMENT OF PSS AND CHO A. Dual-Frequency DEP Response of PSSs The device is first characterized using polystyrene microspheres, whose dielectric properties are well defined. The CMF of a PSS in a suspension medium is given by (2), where the complex permittivity of the PSS is [28], (11) Here is the radius of the PSS, and is the surface conductivity. Fig. 4 provides the spectrum of the real part of the CMF for a 5.9- m PSS in DI-water ( , S cm) for different values of . It shows that the sign and the magnitude of at low frequencies and at high frequencies are different. Therefore, by appropriately choosing the DEP frequencies, and , the actuated PSS will experience both pDEP and nDEP as it passes through the sensor. Examples of the measured signatures for single 5.9- m-diameter PSS suspended in DI-water and flowing through the device at 1000 m s are shown in Fig. 5. Actuation frequencies are chosen as MHz (experiencing nDEP at ), and kHz (experiencing pDEP at ). The signatures, , , and , are used to obtain the force indices and .

Fig. 5. Measured signature of a 5.9- m-diameter PSS in DI-water with V at MHz and V at kHz simultaneously and . applied to

Matching the measured signature shapes and amplitude changes to the simulated signatures of Fig. 3 yields particle heights; m, m, m. This provides the trajectory information as the PSS travels over the three sensor locations. Fig. 6(a) gives the simulated trajectory of a 5.9- m PSS driven from left to right over the first actuation region, , in the microfluidic channel for different values of . The corresponding values of for MHz is indicated in the legend of Fig. 4. For a PSS entering the actuation region at m, the simulation predicts it will exit at approximately m, in agreement with the measured result. Note that at 1 MHz the value of does not change significantly with , and thus a PSS entering the actuation region at m is elevated to approximately the same exit height regardless of the value of . Fig. 6(b) then gives the simulated trajectory of a 5.9- m PSS over the second actuation region, , with the entrance height set to m. The values of for kHz that correspond to different values of is indicated in the legend of Fig. 4. Using the measured exit height value of m and relating this to the appropriate trajectory in Fig. 6(b), the CMF at 100 kHz is estimated to be . This then enables the surface conductivity, , to be determined as 1.5 nS.

AFSHAR et al.: MULTI-FREQUENCY DEP CYTOMETER EMPLOYING MICROWAVE SENSOR FOR DIELECTRIC ANALYSIS OF SINGLE CELLS

995

Fig. 7. Measured signature of a 5.9- m-diameter PSS in DI-water with V at kHz and V at kHz simultaneously and , respectively. A cross-over frequency kHz is applied to determined from the signatures.

, cytoplasm, , nuclear envelope, , and nuclear material, . The effective complex permittivity of the entire multishell can be approximated as [30]

(12) . In the above, , and . Here is the cell outer radius, is the cell membrane thickness, is the radius of nucleus, and is the thickness of nuclear envelope. Using this model and parameters for the CHO from [17], Fig. 8 gives the spectrum when nucleus, cytoplasm, and membrane parameters are varied. It reveals that, in the kHz frequency range, is affected primarily by changes in membrane capacitance, which is either due to the changes in membrane thickness or permittivity. Through MHz frequencies intracellular constituents of the cell, e.g., nucleus and cytoplasm, show their influence. In the dual-frequency measurement, to specifically examine the membrane capacitance and cytoplasm conductivity, DEP is applied at 100 kHz and 6 MHz. At these frequencies the influence of the nucleus is small. Measurements are performed on CHO cells suspended in a conductive medium ( S m). A cell mean diameter value of 12 m was obtained from optical measurement. Data is collected under a controlled velocity condition leading to the same cell entrance velocity and height. The response of the cells is studied using various combinations of the actuation frequencies 100 kHz (nDEP) and 6 MHz (pDEP) at electrodes and . Fig. 9 shows example measurements of single cells passing over the electrodes for four actuation voltage settings, . The examples in Fig. 9 are from 70 individual cell measurements, all exhibiting similar behavior. Incoming CHO cells have a particle velocity of approximately 2800 m s and an initial height, m. Accurate velocity values can be calculated from the timing information in the signatures. with

Fig. 6. Simulated trajectories for 5.9- m PSS ( kg m ) in DI-water kg m , ) with an average fluid flow velocity ( m s. (a) First actuation ( MHz) at with entrance elevation m and (b) second actuation ( kHz) at with entrance m (the exit elevation from ). elevation

In order to provide a verification of the obtained value of , the dual-frequency capability of the device was used to find the cross-over frequency—location in the CMF spectrum where [20]. Based on the previous result of nS, the theoretical spectrum of the CMF (see Fig. 4) indicates a cross-over frequency of 150 kHz. Fig. 7 shows the measured result of a PSS subjected to DEP actuation at kHz and kHz. The result indicates nDEP at and pDEP at . Calculating the force indices, and , at the two frequencies and employing linear interpolation yields a cross-over frequency, kHz, confirming the previous results obtained above from trajectory simulation.

B. Dual-Frequency DEP Response of CHO Cells Biological cells are nonhomogeneous particles, consisting of many different compartments, each contributing to the overall dielectric response. CHO cells in suspension are roughly spherical in shape with the nucleus diameter approximately half the cell diameter. A double shell dielectric model is employed [29] consisting of complex permittivities for an outer membrane,

996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 9. Measured CHO cells in a conductive medium ( S m). SigV at kHz natures for: (a) no actuation, (b) actuation with , (c) actuation with V at MHz applied to , applied to V at kHz and V at and (d) actuation with MHz simultaneously applied to and .

Fig. 8. Simulated spectrum of for a CHO cell with parameters from [17]. (a) Effect of membrane capacitance variation on the CMF spectrum , , nm). (b) Effect of ( cytoplasm conductivity variation on the CMF spectrum. (c) Effect of nucleus conductivity variation on the CMF spectrum.

The results show that both nDEP and pDEP at two different frequencies can be measured simultaneously. Using the signatures at , , , then calculating the indices and , and employing the simulation profiles of Fig. 3(a), the heights of the CHO cell at each sensor, , , and , is determined. Table I provides analyzed results of the measurements shown in Fig. 9. In order to interpret the height changes due to DEP actuation and relate these to the value of the CMF, the trajectories of the CHO cells are simulated for different values of and are shown in Fig. 10. For the sensor at

Fig. 10. Simulated trajectories for CHO cells ( kg m ) in a conducS m, kg m , ), tive suspension medium ( m s for different values of with average fluid flow velocity . (a) CHO cell entering the first actuation region at m kHz and (b) CHO cell entering the second actuation region at with m with MHz.

100 kHz, based on the entrance height m and exit height m (cases b and d of Table I and Fig. 10(a)),

AFSHAR et al.: MULTI-FREQUENCY DEP CYTOMETER EMPLOYING MICROWAVE SENSOR FOR DIELECTRIC ANALYSIS OF SINGLE CELLS

TABLE I ANALYZED MEASUREMENTS OF CHO CELLS

it is determined that kHz . For the sensor at 6 MHz, based on the entrance height m and exit height m (case d of Table I), it is determined that MHz . V. CONCLUSION Measuring the dielectric spectrum of biological cells at multiple frequencies provides an approach for determining the dielectric properties of its constituent parts. The microfluidic system presented here is capable of in-flow DEP analysis of single cells at two simultaneous frequencies. The device and signature analysis method for determining the CMF was verified using polystyrene microspheres. The CMF of CHO cells at two frequencies in the beta-dispersion region were measured. This demonstrated how two important electrical parameters of the cell could be obtained simultaneously—the cell membrane capacitance and the cell cytoplasm conductivity. These parameters have been shown to vary significantly between healthy and abnormal cells and between cells in various states of decline. Unlike bulk suspension media measurement methods, each individual cell in a larger population is rapidly analyzed using the DEP flow cytometer approach. This provides statistics on the dielectric variation of cells within the larger population and identifies subpopulation properties. Our microfluidic chip and microwave interferometer sensor system currently employs separate discrete components. These could be further integrated as in [31] and [32] or fabricated entirely within a CMOS process [33]. ACKNOWLEDGMENT The authors thank CMC Microsystems for providing microfluidic chip fabrication support and the Advanced RF System Laboratory for use of test facilities. REFERENCES [1] F. Yang et al., “Dielectrophoretic separation of colorectal cancer cells,” J. Biomicrofluid., vol. 4, no. 1, 2010, Art. ID 0132204. [2] L. Duncan, H. Shelmerdine, M. P. Hughes, H. M. Coley, Y. Hubner, and F. H. Labeed, “Dielectrophoretic analysis of changes in cytoplasmic ion levels due to ion channel blocker action reveals underlying differences between drug-sensitive and multidrug-resistant leukaemic cells,” Phys. Med. Biol., vol. 53, no. 2, pp. N1–N7, Jan. 2008. [3] H. M. Coley, F. H. Labeed, H. Thomas, and M. P. Hughes, “Biophysical characterization of MDR breast cancer cell lines reveals the cytoplasm is critical in determining drug sensitivity,” Biochim. Biophys. Acta., vol. 1770, no. 4, pp. 601–608, Apr. 2007. [4] Y. Zhao et al., “Tumor cell characterization and classification based on cellular specific membrane capacitance and cytoplasm conductivity,” Biosens. Bioelectron., vol. 57, pp. 245–253, Jul. 2014.

997

[5] H. Morgan, T. Sun, D. Holmes, S. Gawad, and N. G. Green, “Single cell dielectric spectroscopy,” J. Phys. D, Appl. Phys., vol. 40, no. 1, pp. 61–70, Jan. 2007. [6] T. Sun and H. Morgan, “Single-cell microfluidic impedance cytometry: A review,” Microfluid. Nanofluid., vol. 8, no. 4, pp. 423–443, Apr. 2010. [7] K. C. Chenung et al., “Microfluidic impedance-based flow cytometry,” Cytometry A, vol. 77, no. 7, pp. 648–666, Jul. 2010. [8] J. Leroy et al., “Microfluidic biosensors for microwave dielectric spectroscopy,” Sens. Actuators A, Phys., vol. 229, pp. 172–181, 2015. [9] A. Valero, T. Braschler, and P. Renaud, “A unified approach to dielectric single cell analysis: Impedance and dielectrophoretic force spectroscopy,” Lab on Chip, vol. 10, no. 17, pp. 2216–2225, Sep. 2010. [10] K. Grenier et al., “Recent advances in microwave-based dielectric spectroscopy at the cellular level for cancer investigations,” IEEE Trans. Microw. Theory. Techn., vol. 61, no. 5, pp. 2023–2030, May 2013. [11] Y. Huang, X.-B. Wang, F. F. Becker, and P. R. C. Gascoyne, “Introducing dielectrophoresis as a new force field for field-flow fractionation,” Biophys. J., vol. 73, pp. 1118–1129, Aug. 1997. [12] P. R. C. Gascoyne, X. B. Wang, Y. Huang, and F. F. Becker, “Dielectrophoretic separation of cancer cells from blood,” IEEE Trans. Ind. Appl., vol. 33, no. 3, pp. 670–678, May/Jun. 1997. [13] A. Sanchis et al., “Dielectric characterization of bacterial cells using dielectrophoresis,” Bioelectromagnetics, vol. 28, no. 5, pp. 393–401, Jul. 2007. [14] E. Dua, M. Daoa, and S. Suresh, “Quantitative biomechanics of healthy and diseased human red blood cells using dielectrophoresis in a microfluidic system,” Extr. Mech. Lett., vol. 1, no. 1, pp. 35–41, Dec. 2014. [15] M. Nikolic-Jaric et al., “Electronic detection of dielectrophoretic forces exerted on particles flowing over interdigitated electrodes,” J. Biomicrofluid., vol. 6, no. 2, 2012, Art. ID 024117. [16] J. Voldman, “Electrical forces for microscale cell manipulation,” Annu. Rev. Biomed. Eng., vol. 8, pp. 425–454, 2006. [17] E. Salimi et al., “Electroporation and dielectrophoresis of single cells using a microfluidic system employing a microwave interferometric sensor,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2013. [18] E. Salimi, K. Braasch, M. Butler, D. J. Thomson, and G. E. Bridges, “Dielectric properties of CHO cells obtained using a microwave interferometer based dielectrophoresis cytometer,” in Joint USNC–URSI Radio Sci. Meeting/AP-S Symp., Vancouver, BC, Canada, Jul. 2015. [19] M. Nikolic-Jaric et al., “Differential electronic detector to monitor apoptosis using dielectrophoresis-induced translation of flowing cells (dielectrophoresis cytometry),” J. Biomicrofluid., vol. 7, no. 2, 2013, Art. ID 024101. [20] S. Afshar, E. Salimi, K. Braasch, M. Butler, D. Thomson, and G. Bridges, “Multi-frequency DEP cytometer employing a microwave interferometer for the dielectric analysis of micro-particles,” in IEEE MTT-S Int. Microw. Symp. Dig., Pheonix, AZ, USA, May 2015. [21] “Sensonit glass-based microfluidic technology with metallization—Design rules and process steps,” Micronit Microfluidics BV, Enschede, The Netherlands, 2007. [22] J. A. Stratton, Electromagnetic Theory. New York, NY, USA: McGraw-Hill, 1941. [23] T. B. Jones, Electromechanics of Particles. Cambridge, U.K.: Cambridge Univ. Press, 1995. [24] G. A. Ferrier, S. F. Romanuik, D. J. Thomson, G. E. Bridges, and M. R. Freeman, “A microwave interferometric system for simultaneous actuation and detection of single biological cells,” Lab on Chip, vol. 9, no. 23, pp. 3406–3412, 2009. [25] M. Nikolic-Jaric et al., “Microwave frequency sensor for detection of biological cells in microfluidic channels,” J. Biomicrofluid., vol. 3, no. 3, 2009, Art. ID 034103. [26] Y. Huang, X.-B. Wang, F. F. Becker, and P. R. C. Gascoyne, “Introducing dielectrophoresis as a new force field for field-flow fractionation,” Biophys. J., vol. 73, pp. 1118–1129, Aug. 1997. [27] P. S. Williams, T. Koch, and J. C. Giddings, “Characterization of nearwall hydrodynamic lift forces using sedimentation field-flow fractionation,” Chem. Eng. Commun., vol. 111, pp. 121–147, 1992. [28] T. Honegger, K. Berton1, E. Picard, and D. Peyrade, “Determination of Clausius–Mossotti factors and surface capacitances for colloidal particles,” Appl. Phys. Lett., vol. 98, no. 18, May 2011, Art. ID 181906. [29] A. Irimajiri, T. Hanai, and A. Inouye, “A dielectric theory of multi-straitified shell model with its application to a lymphoma cell,” J. Theor. Biol., vol. 78, no. 2, pp. 251–269, May 1979.

998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[30] Y. Polevay, I. Ermolin, M. Schlesinger, B. Z. Ginzburg, and Y. Feldman, “Time domain dielectric spectroscopy study of human cells II. Normal and malignant white blood cells,” Biochem. Biophys. Acta., vol. 1419, no. 2, pp. 257–271, Jul. 1999. [31] Y. Cui and P. Wang, “The design and operation of ultra-sensitive and tunable radio-frequency interferometers,” IEEE Trans. Microw. Theory. Techn., vol. 62, no. 12, pp. 3172–3182, Dec. 2014. [32] K. Mohammad et al., “A compact microwave frequency reflectometer with attofarad sensitivity: A path towards an integrated dielectrophoresis cytometer,” Sens. Actuators A, Phys., vol. 32, pp. 132–140, Jun. 2015. [33] J. C. Chien, E. C. Yeh, L. P. Lee, M. Anwar, and A. M. Niknejad, “A microwave reconfigurable dielectric-based glucose sensor with 20 mg/dL sensitivity at sub-nL sensing volume in CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., Pheonix, AZ, USA, May 2015.

Michael Butler received degrees in chemistry and biochemistry from the University of Birmingham, Birmingham, Edgbaston, U.K., and the University of Waterloo, Waterloo, ON, Canada. He is currently a Distinguished Professor with the University of Manitoba, Winnipeg, MB, Canada. He is the Scientific Director of MabNet, a Canadian network for Mab production, and Founder of Biogro Technologies Inc., a spin-off company dedicated to serum-free media development. His research is focused on the development of bioprocesses using mammalian cells for the production of recombinant proteins, monoclonal antibodies, and viral vaccines.

Samaneh Afshar (S’10) received the B.Sc. degree in electrical engineering from the Ferdowsi University of Mashhad, Mashhad, Iran, in 2010, the M. Sc. degree in electrical engineering from the Amirkabir University of Technology, Tehran, Iran, in 2013, and is currently working toward the Ph.D. degree at the University of Manitoba, Winnipeg, MB, Canada. She is currently with the Department of Electrical and Computer Engineering, University of Manitoba. Her research focus is on integrated sensors for singlecell dielectrophoresis (DEP) cytometry.

Douglas J. Thomson (SM’09) received the Ph.D. degree from Stanford University, Stanford, CA, USA, in 1986. Since 1987, he has been a Professor with the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada. He is a the co-inventor of a number of instrumentation systems, including a record-setting capacitance sensor and a passive wireless sensor for use in monitoring civil structures. He has authored over 100 journal papers. He holds seven patents as a co-inventor. His current research interests include passive wireless sensors for civil structures monitoring, scanning probe microscopy, and biomaterial sensing in microfluidics systems.

Elham Salimi (S’07) received the B.Sc. degree in electrical engineering from the Amirkabir University of Technology, Tehran, Iran, in 2007, the M.Sc. degree in electrical engineering from the University of Manitoba, Winnipeg, MB, Canada, in 2011, and is currently working toward the Ph.D. degree at the University of Manitoba. She is currently with the Department of Electrical and Computer Engineering, University of Manitoba, where she is involved with the application of electromagnetic fields in biological systems with a focus on electroporation of single biological cells.

Katrin Braasch received the B.Sc. degree in biochemistry from the University of Winnipeg, Winnipeg, MB, Canada, in 2008, and is currently working toward the Ph.D. degree in microbiology at the University of Manitoba. Her research interests concern the use of dielectric measurements for the viability determination in mammalian cell culture and the glycosylation process in mammalian cell bioprocesses.

Greg E. Bridges (M’82–SM’04) received the Ph.D. degree in electrical engineering from the University of Manitoba, Winnipeg, MB, Canada, in 1989. He is currently a Professor with the Department of Electrical and Computer Engineering, University of Manitoba, and is Principal Investigator of the Advanced RF Systems Laboratory, National Microelectronics and Photonics Testing Collaboratory. He has authored over 80 papers. He holds several patents related to RF integrated circuit (RFIC) probing methods and wireless sensors. His current research interests include computational electromagnetics, transmission-line theory, bioelectromagnetic microfluidics, RF sensors, and high-frequency nanoprobe-based instrumentation.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

999

Spectral Signatures for Identifying Explosives With Wideband Millimeter-Wave Illumination James C. Weatherall, Jeffrey Barber, and Barry T. Smith

Abstract—Millimeter-wave imaging systems used in airports, government buildings, and other facilities for personnel screening use advanced imaging technology (AIT) to detect explosives and weapons concealed under clothing. Additional information in the imaging data can be applied to identify the composition of the detected objects. The method described here demonstrates that material data in the form of the dielectric constant can be derived from the variation of reflectivity in millimeter waves over a range of frequencies from 18 to 40 GHz. By fitting the reflectivity to an optical model, the thickness and dielectric constant, including attenuation, can be computed. The method is applied to samples of inert substances and a military sheet explosive to show that detected anomalies can be distinguished as distinct materials through their dielectric constants. For absorptive materials, a frequency band of lower frequencies, 2–18 GHz, can be applied to detect the dielectric, as is demonstrated in the case of a commercial explosive. Used with AIT, the technique can facilitate the evaluation of threats at personnel checkpoints. Index Terms—Dielectric detection, explosives, measurement algorithms, millimeter-wave imaging, parameter extraction, reflectometry, weapons detection.

I. INTRODUCTION

A

DVANCED imaging technology (AIT) systems used to screen people for concealed explosives and weapons employ millimeter-wave imaging, together with computational algorithms, to identify the presence of threat objects and where they are located on the person. We show that measuring the reflection coefficient over a range of centimeter and millimeter wavelength electromagnetic radiation also provides identifying information on threat objects in the form of dielectric constant. As examples, we detect three types of targets across 22 GHz of bandwidth centered at 29 GHz, in a configuration that is idealized to what is encountered in a screening environment. The data is extracted by a numerical fitting of reflectivity as a function of frequency to a theoretical model based on geometric optics, which obtains both the dielectric constant and the thick-

ness of the target. Unlike standard reflection measurement algorithms [1], [2], this method does not require prior knowledge of the material thickness. Spectral fitting is used for dielectric detection in optical coherence tomography (FD-OCT [3]) for imaging thin lossless media, and multiple frequencies are used to refine transmission scattering measurement of permittivity in low-loss materials [4]. In our adaptation, a large bandwidth exploits the fact that absorption of radiation varies over frequency even with a constant dielectric function [5], [6]. The phase ambiguity that attends reflection-only one-port measurement [7] is solved by the spectral fitting. Free-space reflectometry using horn antennas and metal-backed samples is formulated by [8]–[11]. Dielectric characterizations in multilayered structures have been studied from reflection and transmission data in waveguide [12], [13] and free-space [14], [15]. The implementation of various fitting algorithms to the poorly constrained problem of frequency-dependent multilayer transmission and reflection is made in [13] and [15] with the inclusion of air gaps as layers. Our use of the technique anticipates using an imaging system as the measurement apparatus[16], [17]. The frequency-domain fitting provides more information than the detection of layered anomalies in images from time-domain features [18], [19]. While current AIT imaging systems operate in bands that may be too narrow for this application, i.e., 24–30 GHz [20], [21], future imaging systems will likely expand usage of the millimeter-wave spectrum as sources and sensors continue to develop. This paper is organized as follows. Section II gives details of the experimental setup and the reflection coefficient modeling. Section III presents measured results on the three materials, estimation of detection error from various effects, and the discriminative value of the detection. Section IV extends the results to other frequency bands and other types of explosives. The application of the technique to imaging systems is discussed in Section V. The conclusion is made in Section VI. II. EXPERIMENT

Manuscript received June 09, 2015; revised October 29, 2015 and December 30, 2015; accepted December 30, 2015. Date of publication January 29, 2016; date of current version March 03, 2016. This work was supported by the DHS under Contract HSHQDC-15-J-00395 and Contract HSHQDC-13-J-00209. J. C. Weatherall and J. Barber are with the Battelle Memorial Institute, Egg Harbor Township, NJ 08234 USA (e-mail: [email protected]. gov). B. T. Smith is with the U.S. Department of Homeland Security, Science and Technology Directorate, Transportation Security Laboratory, William J. Hughes Technical Center, Atlantic City International Airport, Atlantic City, NJ 08405 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2518159

A. Experimental Setup Data collection is performed using an 18–40-GHz wideband horn (Q-par WBH18–40) with a vector network analyzer (Agilent N5245A) to collect reflection data in the polar form of the parameter. The experimental setup has the sample just beyond the near-field Fraunhofer zone at a distance of 34.5 cm such that the optics are suitable for plane-wave analysis, and the majority of the beam passes through the sample. The small transverse beam size also reduces the variation in phase across the target illumination due to nonparallel ray paths. The samples

U.S. Government work not protected by U.S. copyright.

1000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 2. Time domain of pulsed reflection amplitude: Lexan (filled circle), paper (open circles), and P1000 (triangles). Lines are fits to data.

Fig. 1. Measurement setup showing paper sample.

are configured as flat sheets, 15 30 cm, with parallel faces. In measurement, a metal plate backs the sample: this approximates, to first order, a human backdrop, which is similarly expected to be highly reflective [22]. The setup is shown in Fig. 1. A calibration procedure defines corrections to three terms in a one-port error model [9], [11] using parameters for reflections from a metal plate at the reference plane, a metal plate offset 0.2–0.4 cm towards the horn, and an absorber. The use of a calibrated reference plane is different from standard FD-OCT, which uses an interferometer [23]. B. Data Collection Data is collected for three materials: 1) polycarbonate plastic (Lexan); 2) paper (75 g/m bond paper sheet, stacked); and 3) Primasheet 1000 (P1000), a PETN-based rubberized sheet explosive manufactured by Ensign-Bickford. The materials all have the nominal depth of 1–1.25 cm. The reflection in the time domain has a simple interpretation, as can be observed from Fig. 2, which shows the Fourier transform of the calibrated reflected spectrum. Relative to the calibration plane marked by time zero, there is an earlier reflection from the front surface, and a later large reflection from the reflective back layer. The second signal arrives after two passes across the sample, and its intensity varies according to absorption in the material. The total image contrasts of paper and P1000, as measured by the net energy reflected, are similar—30% and 50%, respectively. The time-dependent reflection data can be analyzed to infer the refractive index, based on front surface reflectivity, time delay, net reflectivity, and phase [20], [24]–[26]. However, this

Fig. 3. Magnitude of the reflection coefficient as function of frequency for Lexan (top), paper (middle), and P1000 (bottom).

approach has limitations. The arrival times of the peaks are resolved only to the precision of the inverse bandwidth. Moreover, modeling the time series data in terms of physical parameters is problematic because overlapping pulses need to be summed coherently. The usual approach to coherent superposition involves Fourier decomposition and application of geometric optics, and is thusly rooted in the frequency domain. Time-domain modeling also requires parameterization of the pulse shape. Applying the analysis to the frequency domain avoids these difficulties. The reflection amplitude spectra for our three materials are shown in Fig. 3. Reflections of different orders interfere constructively and destructively to produce oscillations in frequency. Depending on the material, the interference peaks

WEATHERALL et al.: SPECTRAL SIGNATURES FOR IDENTIFYING EXPLOSIVES WITH WIDEBAND MILLIMETER-WAVE ILLUMINATION

occur with different frequency spacings, the max-to-min amplitude of reflectivity varies, and the mean reflectivity across frequency trends differently. Note that a reflection coefficient in Fig. 3 greater than unity is the result of calibration error (see Section III-A) or spread-loss effects [10]. Also, while the beam is directed in most part through the sample, diffraction on the sample edges may be adding to the reflection—particularly in the case of Lexan, which has sharp edges.

1001

TABLE I PARAMETER SOLUTIONS AND ERRORS

C. Parameter Fitting The optical model is based on the physics of reflections from layered materials [27], which in the present case involve the layering of metal, sample, air, and two interfaces (the backing material and air are taken to be semi-infinite in extent). The total of the reflected electric field is a sum of the reflections escaping the slab, including internal reflections according to Fresnel’s equations for transmission and reflection (see, for example, [28, Ch. 7.6.1]). The net reflection coefficient, , defined as the ratio of the total reflected electric field to the incident field, is parameterized by , , and , which are the sample thickness, the real component of the refractive index, and the imaginary component of the refractive index, respectively [6]. The values of the parameters are found numerically by fitting the wideband data to the model using a least squares optimization method. Normal incidence of ray paths at the surface interfaces is assumed. For nonmagnetic materials, the refractive index is given in terms of the relative permittivity, . The refractive index is assumed to be constant with frequency, unless conductivity is explicitly included (e.g., Section IV). The assumption of constant dielectric seems to be supported by measurement of military [29] and commercial explosives (Section IV). In event materials are encountered that show dielectric relaxation, this would be an added signature for material identification, which can be exploited by parameterizing with additional (Debye) fitting constants. III. RESULTS A. Data Analysis We are able to solve the reflectivity model parameters to fit the experimental data by the computational software in Mathematica [30], using the function NonlinearModelFit. The fitting is done on the complex data using the real part of to find the parameters in Table I. The reflectivity fits are plotted on the phased reflectivity data in Fig. 4. The fitting parameters obtained from the real part apply as well to the imaginary part and the amplitude (see Fig. 4). Conversely, the fitting parameters can be determined from the imaginary part of or from the reflection amplitude. Fitting to the complex components provide information on absolute phase, which relates to position relative to the calibration plane. The rows beneath the material parameters in Table I are measurement sensitivities, as discussed below. The validity of the solutions for Lexan can be verified as an experimental control. Values reported by the manufacturer (SABIC) and [31] and [32] range from 1.658 to 1.667 for , and 0.0038 to 0.0049 for , in agreement with our measurement.

Fig. 4. Real and imaginary components of the reflection coefficient are shown with model solutions as solid lines for Lexan (top), paper (middle), and P1000 (bottom). Prediction confidence bands are indicated with dashed lines.

Errors are analyzed to demonstrate the efficacy of the dielectric detection. The refractive index and target thickness are derived quantities whose accuracy is determined by the measurement precision of the reflection coefficient (amplitude and phase), and the quality of the model fit. The amplitude error is estimated from the deviation of the reflection coefficient from unity when a metal plate is targeted, and is on the order of 0.06. The phase error is characterized by observing the effect of phase noise added to the model, and is on the order of 5 . The errors estimated from the variance in the reflection data are comparable with the instrumental precision, specified by Keysight for calibrated reflection measurement by the N5245A PNA-X as 0.04

1002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 5. Detected materials in dielectric space.

in magnitude and 2 in phase at 26.5 GHz. The experimental phase measurement may include additional phase errors from surface irregularity, and variations in path length due to antenna illumination. The measurement errors are propagated into the parameter errors by a Monte Carlo estimation technique, performing repeated numerical solution on data modified with simulated phase and amplitude errors. Each line A, B, and C in Table I correspond to the errors associated with the fitting model error, amplitude error, and phase error, respectively. The fitting model error on line A is derived from the confidence interval of the fitting algorithm, as exhibited in Fig. 4. The amplitude and phase errors on lines B and C are propagated from the measurement error estimates. Data collection with the explosive was also repeated at 1/4, 1/2, and 3/4 of the thickness presented above. The mean and standard deviations of all four thickness were and , demonstrating that the detection is repeatable within margins specified by the measurement error. B. Detection In order to have practical application, the dielectric constants detected with millimeter-wave interrogation must be different enough to distinguish one from the other. This will depend on the separation of materials in the complex coordinate space defined by and , as depicted in Fig. 5. This specificity will depend on the number of materials and the precision of measurement. The examples in Fig. 5 are substantially isolated so that they can, indeed, be distinguished. Identification could be accomplished by comparing the detected dielectric values with materials whose dielectric constants are known and provided for this purpose in a detection library [33], [34]. IV. OTHER FREQUENCIES The frequency band of 18–40 GHz, which encompasses current commercial systems, exhibits frequency-dependent reflection coefficient for weakly absorbing materials, as demonstrated above. However, commercial explosives, such as ammonium–nitrate (AN) and nitroglycerin (NG) slurries and gels, are less likely to be penetrable by millimeter waves in this band because their water content makes them highly absorptive. However, it is apparent that in a lower frequency

Fig. 6. Reflection coefficient of Dyno E-5. Dotted line is the functional fit to the free-space reflection data. Solid line is the reflection coefficient inferred from dielectric-probe measurement.

band, 2–18 GHz, the reflection coefficient of these opaque materials changes with frequency because of electrical conduction. Although phenomenologically different from the signature in semi-transparent materials due to internal reflections, this frequency dependence due to conductivity can be analyzed using similar algorithms to extract dielectric constant. As an example, Fig. 6 shows the reflection coefficient of Dyno E-5, an emulsion-type AN explosive manufactured by Dyno AP, as measured in the lower frequency band. Data was collected with the Agilent PNA-X network analyzer using a Q-par WBH2-18 wideband horn at a range of 17 cm from a 30.5 30.5 cm flat sample, of nominal thickness 0.9 cm, on a stainless-steel backing plate. It is evident from Fig. 6 that the spectrum does not show constructive interference from the front and back surface reflections, as in the previous examples. (An a-posteriori calculation shows that approximately 3% of the signal is due to reflection from the back surface.) The spectrum does show an increase in reflection coefficient towards the low end of frequencies, a variation that can be associated with conductivity. It can be demonstrated [35] that with a material obeying Ohm’s law, , the effective dielectric constant is (1) where the first two terms are the usual dielectric constant, and the third term adds the effect of conduction electrons. To derive the dielectric constant, the reflection coefficient is fit as before to the Fresnel equation model, using as an additional free parameter. The solutions are tabulated in Table II. The errors are estimated from the confidence intervals provided by the fitting routine and sensitivity of the fitting parameters to variation in reflection data. The reflection coefficient for Dyno E-5 derived from the fitting parameters is plotted with the data in Fig. 6. Table II also presents the results from the measurement of paper (0.9 cm thick) in the 2–18-GHz band. Comparison of paper to the results above for 18–40 GHz show some differences, although the uncertainties are greater in the lower frequency band because of additional phase noise from phase-front variation and beam divergence across frequencies due to the proximity of the broadband horn [10]. The paper sample used was 24-lb sheet 90 g/cm , which differed from the previously

WEATHERALL et al.: SPECTRAL SIGNATURES FOR IDENTIFYING EXPLOSIVES WITH WIDEBAND MILLIMETER-WAVE ILLUMINATION

TABLE II DETECTED DIELECTRIC CONSTANT 2–18 GHz

Fig. 7. Comparison of dielectric data for Dyno E-5 measured with probe (solid lines) and detected with free-space reflection (dashed lines). Inset is probe data before correcting probe polarization.

measured sample, so some difference may be inherent to the sample. Fig. 7 compares the measurement of dielectric constant using the Agilent 85070E Performance Probe, and the free-space measurement from model fitting. The comparison shows the suitability of accounting for the imaginary component with the conductivity model. The real component is corrected for probe polarization using the method of [36]. The uncorrected probe data for 0.5–40 GHz, shown in the inset, exhibits probe polarization, but no sign of dielectric relaxation. Thus, the assumption of a fixed dielectric constant in the model is supported in this example by the probe data. The respective real dielectric constants are in good agreement, while the imaginary dielectric constants agree generally, to a much weaker confidence level. V. DISCUSSION The idealization of the experimental setup, and the assumptions in the optical model, are not inimicable for application to imaging systems. The assumption of normal incidence adopted here is not necessarily restrictive, as millimeter-wave imagers used at checkpoints scan a transmitter receiver linear array over a large aperture, with the effect to maximize the return at specular reflection [37]. The assumption of uniform permittivity over the band of detected frequencies was adequate for the discussed materials, and is likely to be valid for secondary high explosives as well, based on 1–18-GHz dielectric measurements [29]. Another assumption to the modeling is uniform layering. The image reconstruction will somewhat mitigate the effect of nonuniform layering by localizing the interrogating beam to smaller and more uniform cross sections of the target. At least in principle, the dielectric solutions can be done pixel by pixel. The finite angular beam from the transmitter antennas will also tend to moderate the effect of nonparallel surfaces, as it will broaden the angle for specular reflection, although

1003

the effect of ray path lengths due to angle may have to be considered. A significant technical challenge to implementing the technique in personnel imaging systems is the human backdrop. The reflecting background can be replaced in the model by the appropriate value of refractive index, but assigning a value a priori is difficult because different areas of the skin will have different dielectric constant [17], and can vary from person to person as well. The background dielectric might be included as a free parameter for the fitting function, but another strategy is to infer the skin dielectric from nearby imaging, which does not include the target material. In actual detection environments, the target configuration will also have additional complexities due to air gaps and clothing layers; these effects can be addressed by incorporating layered materials into the model, as needed. Work that can advance the functionality of the technique includes: 1) demonstrating an imperfectly reflecting background, such as a flat surface with dielectric properties simulating skin [17]; 2) collimating and imaging optics to mitigate surface artifacts and thickness variation with less ideal objects; 3) employing multiple frequency bands to improve detection precision of materials across a large absorption parameter range; 4) expanding dielectric signatures to detect dielectric dispersion [23]; and 5) incorporating material and explosive measurement data into a dielectric space lookup table. VI. CONCLUSION The frequency content of data collected by AIT millimeter-wave imaging systems has been demonstrated to be useful for quantifying the dielectric constant of detected materials, an application that could facilitate the identification of concealed items on persons [38]. The detection is possible at small standoff distances in free space, as in existing screeners. The method presented here works in a broad range of frequencies in the band of 18–40 GHz, and exploits the constructive interference from multiple reflections and the energy loss in the ray paths traversing the sample. In opaque materials, which are likely to be conductive, the variation in reflection coefficient is apparent in a lower band of frequency, and is due to skin depth effects. In both cases, the complex dielectric constant is acquired using an optical model to fit the reflectivity spectrum. ACKNOWLEDGMENT The authors gratefully acknowledge the contributions of J. Greca, Battelle Memorial Institute, who collected the probe measurement data, P. R. Smith, Aaski Technology, who provided essential insights on probe polarization effects, and K. Babu, DHS/S&T/HSARPA/EXD. REFERENCES [1] R. A. Fenner, E. J. Rothwell, and L. L. Frasch, “A comprehensive analysis of free-space and guided-wave techniques for extracting the permeability and permittivity of materials using reflection-only measurements,” Radio Sci., vol. 47, no. 1, pp. RS1004:1–13, Feb. 2012. [2] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974.

1004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[3] P. H. Tomlins and R. K. Wang, “Simultaneous analysis of refractive index and physical thickness by Fourier domain optical coherence tomography,” Proc. Inst. Elect. Eng.—Optoelectron., vol. 153, no. 5, pp. 222–228, Oct. 2006. [4] U. C. Hasar, “A new microwave method based on transmission scattering parameter measurements for simultaneous broadband and stable permittivity and permeability determination,” Prog. Electromagn. Res., vol. 93, pp. 161–176, 2009. [5] J. C. Weatherall, “Emission from dielectric materials at millimeter wavelengths in passive thermal environments,” in SPIE Defense, Security, and Sensing. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2010, p. 76700F. [6] J. C. Weatherall, J. Barber, and B. T. Smith, “Identifying explosives by dielectric properties obtained through wide-band millimeter-wave illumination,” in SPIE Defense+ Security. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2015, p. 94620F. [7] U. C. Hasar, J. J. Barroso, C. Sabah, and Y. Kaya, “Resolving phase ambiguity in the inverse problem of reflection-only measurement methods,” Prog. Electromagn. Res., vol. 129, pp. 405–420, 2012. [8] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “A free-space method for measurement of dielectric constants and loss tangents at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 38, no. 3, pp. 789–793, Jun. 1989. [9] M. H. Umari, D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “A free-space bistatic calibration technique for the measurement of parallel and perpendicular reflection coefficients of planar samples,” IEEE Trans. Instrum. Meas., vol. 40, no. 1, pp. 19–24, Feb. 1991. [10] K. Haddadi, M. M. Wang, O. Benzaim, D. Glay, and T. Lasri, “Contactless microwave technique based on a spread-loss model for dielectric materials characterization,” IEEE Microw. Wireless Compon. Lett., vol. 1, no. 19, pp. 33–35, Jan. 2009. [11] M. Zhao, J. Shea, S. Hagness, and D. van der Weide, “Calibrated freespace microwave measurements with an ultrawideband reflectometerantenna system,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 675–677, Dec. 2006. [12] O. Tantot, M. Chatard-Moulin, and P. Guillon, “Measurement of complex permittivity and permeability and thickness of multilayered medium by an open-ended waveguide method,” IEEE Trans. Instrum. Meas., vol. 46, no. 2, pp. 519–522, Apr. 1997. [13] M. E. Baginski, D. L. Faircloth, and M. D. Deshpande, “Comparison of two optimization techniques for the estimation of complex permittivities of multilayered structures using waveguide measurements,” IEEE Trans. Microw.Theory Techn., vol. 53, no. 10, pp. 3251–3259, Oct. 2005. [14] I. Garcia-Ruiz, C. D. Aviles-Castro, H. Jardón-Aguilar, and J. GuerraVargas, “On the measurement of the complex permittivity of layers embedded in a multilayered dielectric material with the use of a free-space method,” Microw. Opt. Technol. Lett., vol. 33, no. 6, pp. 422–426, Jun. 2002. [15] A. Elhawil, G. Koers, L. Zhang, J. Stiens, and R. Vounckx, “Comparison between two optimisation algorithms to compute the complex permittivity of dielectric multilayer structures using a free-space quasi-optical method in W-band,” IET Meas. Sci. Technol., vol. 3, no. 1, pp. 13–21, Jan. 2009. [16] J. Barber, J. C. Weatherall, C. S. Brauer, and B. T. Smith, “Development of a contrast phantom for active millimeter-wave imaging systems,” in SPIE Defense, Security, and Sensing. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2011, p. 80190G. [17] J. Barber, J. C. Weatherall, J. Greca, and B. T. Smith, “Toward the development of an image quality tool for active millimeter wave imaging systems,” in SPIE Defense + Security. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2015, p. 94620D. [18] D. L. McMakin, P. E. Keller, D. M. Sheen, and T. E. Hall, “Dual-surface dielectric depth detector for holographic millimeter-wave security scanners,” in SPIE Defense, Security, and Sensing. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2009, p. 73090G. [19] D. A. Andrews, N. D. Rezgui, S. E. Smith, N. Bowring, M. Southgate, and J. G. Baker, “Detection of concealed explosives at stand-off distances using wide band swept millimetre waves,” in SPIE Europe Security and Defence. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2008, p. 71170J. [20] M. B. Abdillah, B. Lyons, and E. Entchev, “Identification of potential threat materials using active electromagnetic waves,” U.S. Patent 8390504, Mar. 5, 2013. [21] D. M. Sheen, D. L. McMakin, T. E. Hall, and R. H. Severtsen, “Real-time wideband cylindrical holographic surveillance system,” U.S. Patent 5,859,609, Jan. 12, 1999.

[22] S. I. Alekseev and M. C. Ziskin, “Human skin permittivity determined by millimeter wave reflection measurements,” Bioelectromagnetics, vol. 28, no. 5, pp. 331–339, Jul. 2007. [23] W. V. Sorin and D. F. Gray, “Simultaneous thickness and group index measurement using optical low-coherence reflectometry,” IEEE Photon. Technol. Lett., vol. 4, no. 1, pp. 105–107, Jan. 1992. [24] S. Ahmed, O. Ostwald, and L. Schmidt, “Automatic detection of concealed dielectric objects for personnel imaging,” in IEEE MTT-S Int. Microw. Workshop Wireless Sensing, Local Positioning, RFID, Cavtat, Croatia, Sep. 2009, pp. 1–4. [25] A. Luukanen et al., “Multi-band imaging and adaptive beam-steering techniques for the submillimetre-wave range,” in 7th Eur. Conf. Antennas Propag., Göteborg, Sweden, Aug. 2013, pp. 1946–1948. [26] S. Jaruwatanadilok, Y. Kuga, and A. Ishimaru, “An electromagnetic model for plastic composite materials under obscuring layers,” in IEEE Int. Antennas Propag. Symp., Singapore, Nov. 2006, pp. 4841–4844. [27] G. B. Airy, “On the phenomena of Newton’s rings when formed between two transparent substances of different refractive powers,” Phil. Mag., vol. 2, no. 7, pp. 20–30, Jan. 1833. [28] M. Born and E. Wolf, Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light. Cambridge, U.K.: Cambridge Univ. Press, 1999. [29] A. L. Higginbotham Duque, W. L. Perry, and C. M. Anderson-Cook, “Complex microwave permittivity of secondary high explosives,” Propell. Explos. Pyrot., vol. 39, no. 2, pp. 275–283, Apr. 2014. [30] Mathematica Edition: Version 9.0.. Champaign, IL, USA: Wolfram Res. Inc., 2012. [31] W. B. Westphal and A. Sils, “Dielectric constant and loss data,” Dept. Commerce, Nat. Telecommun. Info. Service, Wright Patterson Air Base, OH, USA, Tech. Rep. AFML-72–39, 1972. [32] P. I. Dankov, V. P. Levcheva, and V. N. Peshlov, “Utilization of 3D simulators for characterization of dielectric properties of anisotropic materials,” in Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 1–4. [33] J. Barber, J. C. Weatherall, B. T. Smith, S. Duffy, S. J. Goettler, and R. A. Krauss, “Millimeter wave measurements of explosives and simulants,” in SPIE Defense, Security, and Sensing. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2010, p. 76700E. [34] J. C. Weatherall, J. Barber, C. S. Brauer, and B. T. Smith, “Measurement of the reflectivity and absorptivity of liquids, powders, and solids at millimeter wavelengths using dielectric detection by a resonator-post fixture between parallel conducting plates,” in SPIE Defense, Security, and Sensing. Bellingham, WA, USA: Int. Soc. Opt. Photon., 2011, p. 80190F. [35] J. D. Jackson, Classical Electrodynamics. New York, NY, USA: Wiley, 1999. [36] F. Arroyo, F. Carrique, T. Bellini, and A. Delgado, “Dielectric dispersion of colloidal suspensions in the presence of stern layer conductance: Particle size effects,” J. Coloid Interf. Sci., vol. 210, no. 1, pp. 194–199, Feb. 1999. [37] D. M. Sheen, D. L. McMakin, and T. E. Hall, “Three-dimensional millimeter-wave imaging for concealed weapon detection,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 9, pp. 1581–1592, Sep. 2001. [38] B. T. Smith, J. C. Weatherall, and J. B. Barber, “Method for identifying materials using dielectric properties through active millimeter wave illumination,” U.S. Patent 8,946,641, Feb. 3, 2015.

James C. Weatherall received the B.S. degree from the California Institute of Technology, Pasadena, CA, USA, in 1975, and the Ph.D. degree in plasma physics from the University of Colorado, Boulder, CO, USA in 1980. From 1982 to 1984, he was a National Academy of Sciences, National Research Council Research Associate with the NASA Goddard Space Flight Center. From 1984 to 1992, he was with General Dynamics, Pomona, CA, USA, where he was involved in the development of high-power microwave sources. From 1992 to 2002, he was an Associate Professor of astrophysics with the New Mexico Institute of Mining and Technology. He is currently a Senior Research Scientist with the Critical Infrastructure Business Unit, Transportation Security, Battelle Memorial Institute, Egg Harbor Township, NJ, USA. His research has applied to electromagnetic technology for detection of explosives. Dr. Weatherall is a Member of the American Physical Society.

WEATHERALL et al.: SPECTRAL SIGNATURES FOR IDENTIFYING EXPLOSIVES WITH WIDEBAND MILLIMETER-WAVE ILLUMINATION

Jeffrey Barber received the B.S. degree from Allegheny College, Meadville, PA, USA, in 1998, and the Ph.D. degree from Oregon State University, Corvallis, OR, USA, in 2003. From 2003 to 2005, he was an Agnew National Security Postdoctoral Fellow with the Los Alamos National Laboratory, Los Alamos, NM, USA, where he performed terahertz-time domain spectroscopy and Raman spectroscopy on explosives. He is currently a Senior Research Scientist with the Critical Infrastructure Business Unit, Transportation Security, Battelle Memorial Institute, Egg Harbor Township, NJ, USA. His research is related to the detection of explosives via various electromagnetic techniques.

1005

Barry T. Smith received the B.S. degree from Duquesne University, Pittsburgh, PA, USA, in 1972, and Ph.D. degree in physics from the College of William and Mary, Williamsburg, VA, USA, in 1978. He is currently with the U.S. Department of Homeland Security, Science and Technology Directorate, Transportation Security Laboratory, William J. Hughes Technical Center, Atlantic City International Airport, Atlantic City, NJ, USA. His current responsibilities include the research and development of systems to detect explosives and weapons concealed on personnel at checkpoint in aviation, mass transit, and public venues. He also manages the Electromagnetic Signatures of Explosives Laboratory for the measurement of explosive material properties from dc to THz. His current interests include millimeter waves and laser spectroscopy. Dr. Smith is a Member of the American Physical Society.

1006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Radiation Power Out-Coupling Optimization of a Free Electron Laser Oscillator Harry S. Marks, A. Gover, Life Fellow, IEEE, D. Borodin, A. Damti, M. Kanter, Y. Lasser, M. Einat, Y. Vashdi, Y. Lurie, and A. Friedman

Abstract—Here we report the results of an experimental study of the saturation dynamics and the optimal conditions for maximal radiation power extraction in a free electron laser (FEL) oscillator. The study was conducted on the Israeli electrostatic accelerator free electron laser (EA-FEL) that is capable of providing lasing pulses at frequencies between 95–110 GHz (depending on the electron beam energy). A critical parameter affecting the performance of the laser is the reflectivity and transmission of the out-coupling element of the resonator. Varying this parameter was made possible by attaching a remote-controlled variable reflectivity out-coupling element (based on a series of wire-grid polarizers) to the resonator of our EA-FEL. As in any laser oscillator the conditions for maximum power emission are the results of a balance between the counteracting effects of increased internal reflectivity (loaded ) that enhances the stored energy as opposed to increasing the out-coupling coefficient (thereby reducing the reflectivity) in order to increase the portion of out-coupled power relative to internal loss. The power optimization conditions were studied by us for the case of an FEL oscillator along with optimization conditions for other performance parameters specific to FEL, such as maintaining fast single-mode establishment in the resonator and sustaining single-mode long lasing pulses. Index Terms—Free electron laser (FEL), oscillator, power optimization.

I. INTRODUCTION

F

REE ELECTRON lasers (FELs) are devices that transform the kinetic energy of electrons into electromagnetic radiation as they pass through a periodic magnetic structure, denoted as a wiggler or undulator. They can operate with a wide range of frequencies, exhibiting high power, high efficiency, and tunability [1], [2]. Most FELs are based on RF-Linac acceleration technology that provides a periodic train of picosecondrange electron-beam pulses. FEL oscillators constructed based on such accelerators operate in principle as analogs of conventional mode-locked lasers [3]. In such oscillators, the laser radiation pulses are a superposition of numerous longitudinal modes of the resonator. By contrast, FEL oscillators based on electrostatic acceleration, which are the focus of this paper, can

Manuscript received May 10, 2015; revised July 26, 2015 and January 03, 2016; accepted January 03, 2016. Date of publication January 25, 2016; date of current version March 03, 2016. H. S. Marks and A. Gover are with the Physical Electronics Department, Tel Aviv University, Ramat Aviv 69978, Israel (e-mail: [email protected]). D. Borodin, A. Damti, M. Kanter, Y. Lasser, M. Einat, Y. Vashdi, Y. Lurie, and A. Friedman are with the Engineering Department, Ariel University, Ariel 40700, Israel. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2516532

Fig. 1. Schematic of the Israeli EA-FEL based on a tandem Van-der-Graaf generator. The electrons are generated using a thermionic cathode. They are injected into an acceleration section, which is located within a high-pressure tank where they are brought to near the speed of light. Beyond this, they are focused and entered into the wiggler and resonator combination in order to generate laser radiation. Focusing is achieved with quadrupoles Q1–Q4 and Q5–Q8. Beyond this they are re-focused and decelerated.

operate in a quasi-continuous-wave (CW) mode, namely, their pulse duration is much longer than the time for several photon round-trips in the resonator. Such FEL oscillators operate analogously to conventional CW lasers of homogenously broadened gain medium. Consequently, they can operate at a single longitudinal radiation mode, and the physics of their steady-state saturation and output coupling power optimization can be analyzed in terms of conventional laser theory. Though there are many FEL oscillators operating in the world [4], there are few operating electrostatic accelerator FELs in which the laser oscillator physics and specifically the problem of power out-coupling optimization can be studied experimentally. The Israeli electrostatic accelerator free electron laser (EA-FEL) is one of them. Another is the University of California at Santa Barbara (UCSB) FEL [5]. Both can operate in a quasi-CW mode. The Dutch FOM-FEL operated along similar principles and at higher power, but has since been dismantled [6]. An EA-FEL has also been built in Korea [7]. Fig. 1 shows a schematic of the Israeli tandem EA-FEL, which has at its heart a fixed linearly polarized Halbach Wiggler [8]. Beyond this introduction, this paper is organized as follows. In Section II, the principles behind optimum power out-coupling in an FEL are presented. The basic concepts at saturation are introduced, followed by a presentation of the gain curve for our FEL, and a discussion of how it relates to the modes excited by our FEL, experimental results are presented. Section III introduces the concept of the falling accelerating voltage in our

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

MARKS et al.: RADIATION POWER OUT-COUPLING OPTIMIZATION OF FEL OSCILLATOR

Fig. 2. Simple model of a FEL resonator cavity. The power in the resonator grows from left to right due to the uni-directionality of the gain medium (the electron beam). At the right end of the resonator some power is transmitted out and some reflected back within the cavity.

FEL and makes theoretical predictions relating to the maximal single-mode lasing time, which is related to the shifting gain curve introduced in Section II. Section IV presents the variable out-coupler, which allows the control of the partially transmitting element (consisting of a series of wire-grids) at one end of the resonator inside the FEL. This control of the transmission and reflectivity is what allows the power optimization. Section V presents the experimental results of the power optimization together with simulations. Finally, Section VI concludes this paper.

Fig. 3. Complex resonator at the heart of the EA-FEL. The electron beam enters through section 1 and leaves through section 3. The electron beam oscillates in a sinusoidal manner while passing through the resonator due to a fixed magnetic structure (a wiggler—not shown in the figure), which surrounds the resonator. The main radiation interaction region of the resonator is section 2, while sections 1 and 3 serve to de-couple the electron beam from the radiation. Section 4 controls the amount of radiation coupled out of the resonator.

In (1), is the power transmission factor of the outcoupling element , where is the length of the resonator, while is the round-trip internal loss of the resonator, and is the power developed in the resonator during one round-trip of the radiation once the gain medium is saturated. is a function of the gain medium and the round-trip reflectivity of the resonator . Equation (1) is a direct consequence of the saturation condition, where the gain at saturation equals the feedback factor of , (3)

II. PRINCIPLES OF POWER OUT-COUPLING OPTIMIZATION A. Basic Concepts of Power Out-Coupling at Saturation The underlying principle of power out-coupling optimization in an FEL oscillator is the same as in any laser oscillator. When there is insufficient resonator feedback in a laser oscillator, lasing will not occur. This situation occurs when the reflectivity of the outcoupling element of the laser cavity is reduced below the critical lasing threshold point. Conversely, if the reflectivity is set too high the device will reach saturation fast, the power stored in the cavity will grow, but most of the generated power will be consumed by internal losses, and little power will leave the cavity. The subject of optimal power outcoupling for attaining maximum lasing power output is treated by most standard texts on lasers [9]–[12]. This same subject of optimal power outcoupling in EA-FEL has not been studied extensively so far. The Israeli FEL group experimented with optimization of power outcoupling of a free electron maser operating at microwave frequencies [13]. The matter was also treated by us theoretically in relation to our tandem EA-FEL, which is the subject of this paper [14]. The expression for the resonator output power at steady-state saturation as a function of the resonator outcoupling parameters and power build-up per round-trip, once the laser is at saturation (see Fig. 2), is

where

1007

Fig. 2 and (1) and (2) are simple models for the complex Confocal-Talbot resonator structure used in the experiment (Fig. 3), which is described in more detail in [15]. In the case of FEL oscillators, the power generation per path, at saturation, needs to be calculated by a nonlinear electron–radiation interaction dynamics code such as FEL3D [16]. At saturation, the single pass power generation parameter is a nonlinear function of . For a given FEL configuration this dependence is a function of the beam current. If this function is known, (1) for the oscillator power output has a maximum as a function of the out-coupling parameter (for fixed value of the internal losses ). There is no simple expression for the saturation dependence of or on . B. Gain Curve In the laser oscillation build-up stage before saturation, there is a linear relation between the entrance and exit powers (4)

(1)

There is a well-established theory for the FEL gain parameter in the linear small-signal regime [17]. It is always an “S”-shaped function of (see Fig. 4) that has a maximum value at a frequency slightly smaller than the synchronism frequency between the radiation mode in the resonator and the electron beam

(2)

(5)

is the round-trip reflectivity

1008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

below which laser oscillation cannot take place. Since in the oscillation build-up period the linear gain relation (4) is valid, the net power generation in each round-trip is (8) Therefore there would not be any net power growth in the resonator unless (9)

Fig. 4. Gain curve determined analytically for the beam parameters shown in Table I. The gain is shown as a function of frequency. The synchronism fre. quency is the point where the curve crosses the level, Gain

TABLE I PARAMETERS OF THE EA-FEL USED TO CALCULATE THE GAIN

is the radiation mode wavenumber in the wavewhere guide resonator, is the beam axial velocity in the wiggler, and is the wiggler wavenumber. The axial velocity of the beam in the wiggler is related to the beam energy through (6) (7) The wiggler parameter is a function of the strength of the magnetic field amplitude in the wiggler, . Explicit solution of the synchronism frequency dependence on beam energy requires solution of (5) considering the dispersion equations of the radiation modes propagating in the resonator waveguide. An explicit expression for a single transverse mode [18, eq. (86)] results in a positive monotonic dependence of with . Fig. 4 displays the linear gain function for the tandem FEL parameters displayed in Table I. This curve was calculated using a MATLAB program for solving the FEL cubic equation [18], [19]. The gain curve shows maximum single path gain (in the slightly high gain regime) for GHz. The gain bandwidth at half maximum is GHz. C. Relating the Gain Curve to Excitation of Modes This single path linear gain curve is not useful in describing the saturation regime, but it is useful for an ab-initio determination of a threshold condition for the round-trip reflectivity ,

For the parameters of Table I and this results in and, correspondingly, for our measured internal loss ( ), lasing requires . For the purpose of an illustrative example, in Fig. 4, an arbitrary round-trip reflectivity has been chosen. Such would be the round-trip reflectivity [see (2)] for and out-coupling coefficient setting . This means the threshold for lasing is a gain of 1.49 so lasing is possible in the frequency range GHz GHz. The fullwidth-half-maximum (FWHM) of the net-gain curve (above the threshold line) is 4.8 GHz, the significance of the FWHM is discussed later in this paper. The frequencies that can be excited in the resonator correspond to the discrete resonant modes of the resonator: . In all parts of the complex resonator structure of Fig. 3 one may assume approximately (note that the resonator waveguide is over-moded and only the fundamental transverse mode is excited). Therefore the frequency spacing between the modes is the free spectral range (FSR) (10) where

is the total length of the resonator. In the present setup m and, therefore, the mode spacing is MHz. For the illustrative example case of shown in Fig. 4, about 74 longitudinal modes surpass the lasing threshold and may be excited. However, because of a nonlinear process of mode competition [11], [20]–[22] (typical to any homogenously broadened laser), only a single mode survives the mode competition process, usually the mode of frequency closest to the maximum gain frequency . As the lasing mode power builds up inside the resonator, the single-path linear gain dependence is not valid anymore, and the gain curve of Fig. 4 drops down to the saturation level, (11) From this point on, the laser stabilizes and provides fixed , see (1), that is an implicit function of output power and can be controlled (in our setup) by varying the out-coupling parameter . Within the limitations of our measurement range, single-mode operation is generally observed. The time for single-mode operation depends upon the reflectivity. We arrive at this conclusion from looking at the lasing spectra, which we obtain from heterodyne mixing of the attenuated laser signal. We use an oscilloscope with a sampling rate of 5 GHz (Agilent

MARKS et al.: RADIATION POWER OUT-COUPLING OPTIMIZATION OF FEL OSCILLATOR

Fig. 5. Experimental results with the out-coupling element set to a reflectivity of 0.89. We observe the development of the lasing over three time windows, from left to right, the first 0.5 s, from 0.5 to 1.5 s, and in the rightmost window from 1.5 to 2.5 s. The lasing is 701 MHz above the LO, which was set to 95.7 GHz. It appears that by the period of the final time window single-mode lasing is established.

Fig. 6. Experimental results with the out-coupling element set to a reflectivity of 0.81. We observe the development of the lasing over three time windows, from left to right, the first 0.5 s, from 0.5 to 1.5 s, and in the right-most window from 1.5 to 2.5 s. The lasing is 702 MHz above the LO, which was set to 95.7 GHz. It appears that by the period of the final time window single-mode lasing is not yet established.

Technologies: DSO-X3104A) with an analog bandwidth of 1 GHz. There are two inputs to the mixer, the first is a CW reference signal of frequency close to the frequency expected from the laser [the local oscillator (LO)], and the second is the laser pulse (after significant attenuation). The output is a signal with a frequency, which is the difference of the two input frequencies. In producing the output the mixer does not discriminate between lasing frequencies above or below the LO. Thus, the 1-GHz bandwidth of the oscilloscope shows scanning over a range of over 2 GHz ( 1 GHz from the LO). Thus, any demonstration of single-mode operation is limited to 2 GHz. Though, the gain bandwidth of lasing is also limited (see Fig. 4), and lasing further from the maximal gain point is less likely to survive the mode competition. In Figs. 5 and 6, the spectra produced by the mixer are shown. The first time windows of the spectra in these figures are presented from the moment build-up begins, not from the time the electron beam starts. That is, depending upon the reflectivity there is a period of between 0.5 to 2.5 s before there is any measurable lasing occurring.

1009

Fig. 7. Experimental measurements of the time until measureable lasing (oscillation build-up time) and time for single-mode operation as a function of the round-trip reflectivity. The lasing frequency is close to 96.4 GHz, at this frequency internal losses are 0.65. In this data set there are three instances in which single-mode operation is not established, these are marked on the graph as having occurred at 5 s.

In Fig. 5, it appears single-mode operation is established after the second time window, between 1.5–2.5 s. For the case shown in Fig. 5 the reflectivity of the out-coupling element was set to 0.89. In contrast, in Fig. 6, where the reflectivity of the out-coupling element was set to just 0.81, the mode competition is still evident in the third time window. Single-mode operation is attained only after another 0.7 s, where the two small satellite modes in the third frame disappear (not shown). Though not observable from Figs. 5 and 6, a subtle difference of 1 MHz in the lasing frequencies occurs due to the dispersive properties of the out-coupling element described in Section III. A plot summarizing the time to lasing and time to singlemode operation from the start of the electron beam is plotted in Fig. 7. For all these data points the beam energy was 1.36 MeV, the beam current 1.3 A, the lasing at frequencies close to 96.4 GHz, and the internal losses of the resonator 0.65 (at this frequency). During these pulses the accelerating voltage was falling at 0.7 kV s. The -axis represents the round-trip reflectivity as described by (2). The trend in Fig. 7 is that higher transmission (lower round-trip reflectivity) results in a longer time before lasing. It also results in a longer time before single-mode operation. In three instances no single-mode operation was observed during the operation of the 10- s electron beam, these have been marked for the given round-trip reflectivity on the graph as having occurred at 5 s at the top of the graph. III. ACCELERATION ENERGY DROP LASER PULSE DURATION

AND

In an electrostatic accelerator, the high voltage terminal is charged up by mechanical transport of electrostatic charge via a conveyor belt or chain (Van-der-Graaf accelerator) or by an electronic capacitor-diode chain (Cockcroft–Walton Accelerator) [23]. The charging current, especially in Van-der-Graaf accelerators is quite small (for the Tandem EA-FEL in this work it is 350 A). Since the electron beam that needs to transported through the wiggler and the entire transport line from the e-gun to the collector (see Fig. 1) carries much higher

1010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

current (1–2 A), very good electron beam transport efficiency is mandatory in order to keep the terminal voltage steady. In practice, it is hard to prevent leakage of e-beam current to the terminal during transport. Even with transport efficiency of 99.9% the leakage of a 2-A beam transported through the tandem accelerator is 2 mA, exceeding the charging rate of the terminal. As a consequence, once an electron beam is injected into the accelerator, the electrostatic charge on the positively charged terminal falls down gradually and its voltage drops at a rate that is dependent on the capacitance between the terminal and the tank enclosure (12) In the tandem accelerator, voltage drop rate under different conditions is between 0.25–0.75 kV s. The terminal voltage drop and consequent beam energy reduction have a significant effect on the FEL operating mode. The EA-FEL operates in a pulsed mode in order to enable recharging of the terminal voltage to the target operating voltage between pulses. Typically the lasing pulses currently achieved in the EA-FEL are of the order of tens of s, long enough for the FEL oscillator to pass through the oscillation build-up time (of the order 1 s) and arrive to stable saturation. What limits the lasing pulse duration when there is terminal voltage drop is the shift of the linear gain curve (Fig. 4) to ever decreasing frequencies, as the synchronism frequency (5) and the maximum gain frequency drop monotonically in accordance to their dependence on the beam energy [13], [17]. Despite the shift of the gain curve, the oscillating radiation mode in the saturated oscillator keeps lasing as long as the lasing threshold condition (9) is satisfied. Only when the time-dependent gain , at frequency falls below the net gain condition at time , lasing stops and the stored radiative energy of the mode decays. At this point, in the absence of competition with the previously dominant lasing mode, a new lower frequency mode can be excited and lase in the resonator. This process of “mode-hopping” limits the single-mode monochromatic long pulse operation of the FEL and must be taken into consideration in the optimal design of a practical EA-FEL [24]. Evidently, for a given gain curve, the larger , the longer the time of single-mode operation is. Consequently, if maximum power extraction is attained for some optimal (and corresponding ), one may sometimes prefer to sacrifice power and keep a longer laser pulse by selecting . We expect that the gain curve will shift nearly linearly with the accelerator terminal voltage. This was found to be for the parameters of the EA-FEL [24] MHz kV due to a given drop in voltage over time . When the voltage drop was kV s, this meant a change in the central frequency of the gain curve of MHz s. Thus, for an FWHM net-gain bandwidth of 5 GHz, the gain curve needs to shift by 2.5 GHz for lasing to cease, this should take s (assuming the dominant mode is built up at a frequency close to the maximum gain frequency). Using the data from Table I and

Fig. 8. Maximal theoretical lasing pulse time as a function of power reflectivity as determined by the shifting gain curve for three different rates of falling , which is accelerating voltage when the internal losses correspond to the case for operation at 101.85 GHz.

the gain curve in Fig. 4, we generate Fig. 8, the theoretical maximum lasing time as a function of the reflectivity of the out-coupling grids for three different rates of loss of accelerating potential. Fig. 8 displays the result of calculations of the single-mode pulse duration before mode hopping, , as a function of based on calculation of the single pass linear gain curve for different acceleration energies and voltage drop rates in the range measured for the case of radiation at close to 102 GHz, which corresponds to internal resonator round-trip losses of 30%. At high reflectivity, a pulse duration of up to 60 s is theoretically possible for the parameters of Table I (this simulated dependence between out-coupling and lasing time matches our experimental experience well). IV. RESONATOR VARIABLE OUT-COUPLER The resonator is capable of supporting frequencies between 95–110 GHz. The out-coupling mechanism of the resonator is based on three wire grid polarizers (WGPs) in series to achieve control of the radiation coupled out of the resonator. A WGP is a periodic structure that consists of a number of metal wires all oriented parallel to one another in a plane. If electromagnetic radiation is incident upon a WGP and the spacing and thickness of the wires is small compared to the wavelength then the transmission and reflection of the wave through and from the WGP will be strongly dependent upon the relative orientation of the electric field of the wave and that of the wires. Used singly, they ensure field transmission of the radiation wave when it is polarized perpendicular to the wires, or full reflection when it is polarized parallel to the wires. In tandem, however, they can be used both to rotate the polarization of an incoming wave and as a bandpass or band reject filter [25], [26]. In this experimental realization, the three WGPs are chosen so that only radiation horizontally polarized will leave or be reflected back into the resonator. This condition is achieved by aligning the first and third WPG vertically (perpendicular to the electric field built up in our resonator) while the second (middle) is free to rotate so that its wires can be positioned at between 0 and 90 relative to those of the first and third WPG (see Fig. 9). The three WGPs were placed in a mechanical housing (Fig. 10), which was attached to the end of the resonator (see

MARKS et al.: RADIATION POWER OUT-COUPLING OPTIMIZATION OF FEL OSCILLATOR

1011

Fig. 11. Measured and simulated (power reflection coefficient) and (power transmission coefficient) through the grid housing. The spacing between the faces of the wires was 0.75 mm.

Fig. 9. Three of the WGPs sitting in a test platform. As when placed in the housing (Fig. 10), the first and third WGPs are aligned with wires vertical.

Fig. 10. Housing for the three WGPs is shown. Prominent in the photograph is a stepper motor, which serves to rotate the middle WGP. The middle WGP sits within a bearing with gear teeth around the outside of the bearing. A smaller gear-wheel can be seen attached to the stepper motor.

Fig. 3). The rotation of the middle grid is achieved using a step-motor. The resonator sits within the beam-line, which is under vacuum, the beam-line sits within a high-pressure tank (to suppress electrical discharges). Thus, to control the grid angle, fiber optical cables are used, as they are insensitive to the large potential differences. Fig. 11 shows measurement and simulation of the scattering parameters of the out-coupling mechanism at 100 GHz. and are the power reflection and transmission coefficients, respectively. These parameters were measured using a vector network analyzer (Agilent Technologies, PNA network analyzer E8361C) and a pair of horns with Teflon focusing lenses. The theoretical curves were plotted based on a scattering matrix model of the system. The parameters for the scattering matrix model were based on measurements of the

Fig. 12. Experimental setup used to measure the of the resonator out-coupling mechanism.

and

characteristics

field reflectivity and transmission, parallel and perpendicular to the WGPs (both amplitude and phase). Fig. 12 shows the setup used in the measurements of the out-coupling mechanism; note, the beam was well focused and did not measurably impinge on the housing. The deviation of the results of the measurements against the theory above 45 in Fig. 11 is attributed to the horizontal alignment of the wires. At 90 the wires of the three WGPs are aligned vertically; however, lacking horizontal alignment there is no complete transmission for the given WGP dimensions and spacing. As operation was expected to be mainly between 20 and 40 , improving the element was left to a future maintenance cycle. and of the individual polarizers are exactly as one would expect and are not in any way responsible for the deviation. Near-zero transmission and maximum reflection is measured when the wires are parallel to the field, and vice versa.

1012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

Fig. 13. Power as a function of the resonator output grid angle for a beam current of 0.93 A at a frequency of 101.85 GHz.

Fig. 14. Power as a function of the resonator output reflectivity for a beam current of 0.93 A and a frequency of 101.85 GHz extrapolated from the measurements in the user room to the output of the resonator.

The grids were produced using chemical etching via the company Chemograf, and the resulting wires are cuboidal. V. LASER OUTPUT POWER OPTIMIZATION Earlier we considered how changing the out-coupling of the resonator changes the parameters of the lasing. Now we present measurements, which are compared to simulations, of the results of lasing power output as a function of out-coupling. We present this at two particular beam energies, which correspond to frequencies of 96.4 and 101.85 GHz. The first data presented in Fig. 13 is the power tuning as a function of the angle of the middle WGP with the beam energy at 1.4 MeV (for which single-mode lasing was measured at close to 101.85 GHz). The electron beam current was set to 0.93 A. This relatively low beam current was used as we were interested in reducing the beam leakage. Clearly varying the grid angle allows a great deal of control over the output power of the laser. The data points at the different angles have deliberately not been averaged to show the variability from pulse to pulse due to the ever jittering accelerating potential. That is, the variability from pulse to pulse is not due to uncertainty in the measurement, but due to differing initial beam acceleration energies associated with the few kV long-range voltage instability of the Van de Graaff generator at 1.4 MV. Remarkably, this beam energy jitter, having little effect on the small-signal gain, did not cause change from pulse to pulse in the lasing frequency, but dispersed the saturation power output. While it is interesting on an operational level to see how the laser responds to the changing WGP angle, it is also helpful, using the curve of Fig. 11, to convert the grid angle to power reflectivity (see Fig. 14) so that comparisons may be made to theory. It should be noted that Fig. 13 consists of measurements of the power reaching the user room, however, from the exit of the resonator to the user room there is significant attenuation. The losses in the transmission were quantified using a scalar network anlayzer. A short was placed at different points along the transmission line (including at the very end of the line) and measurements made. From these measurements we could determine the round-trip loss of the transmission line (and indeed individual sections of the line). We assumed that the single pass transmission factor is (or ) and that the short placed at different points had a reflectivity of 1 so that the round-trip

Fig. 15. Power as a function of resonator exit reflectivity at 96.4 GHz, extrapolated from measurements in the user room to the output of the resonator.

reflectivity we were measuring was , from which we could deduce . It was calculated that the single pass transmission from the resonator exit to the user room is 18 or 7-dB attenuation. Therefore, to estimate the power produced at the output of the resonator and so be able to compare the results with theory we multiply the data in Fig. 13 (which is a measurement of the power in the user room at the end of the transmission line) by 5. Lasing ceases below , given that the internal losses are 30 , we conclude that from (2) and (3), the net gain for these beam parameters must have been at least . In another experiment, measurements of the power as a function of the output reflectivity were conducted under different conditions. The beam energy was 1.36 MeV while the beam current was 1.3 A. The resulting lasing pulses were at frequencies close to 96.4 GHz (see Fig. 15). Applying the same calculation as was used above, noting that this time the lasing ceases below a reflectivity of 0.784 while the internal losses in the resonator at 96.4 GHz are 0.65, we calculate the gain to be . The gain calculated here is higher than the calculated above. The difference is due to the higher current (more gain), 1.3 A instead of 0.93 A. Despite the higher current the maximum power output in the second case is three times lower. The reason is that the resonator was optimized in the design for 100 GHz, and the internal losses at 96.4 GHz were higher. The optimal out-coupling coefficient is different in the two cases in a way that is not

MARKS et al.: RADIATION POWER OUT-COUPLING OPTIMIZATION OF FEL OSCILLATOR

straightforwardly predictable, but consistent with the numerical simulation. In both Figs. 14 and 15, the simulated power is predicted to be higher than the measured power. This is not surprising as there are loss mechanisms the simulation does not consider. The method behind the simulation is discussed below. It is interesting to note that the falling accelerating voltage is not just predicted to reduce the pulse duration, but also the maximum power. A. Simulation The simulated curves in Figs. 14 and 15 are produced by our computer code FEL3D [16]. The simulation code is a steadystate single-frequency single-pass (amplifier) program. It is employed to describe the oscillator by repetitive insertion of the amplified signal multiplied by the resonator round-trip reflectivity back at the entrance of the resonator (see Fig. 2). Simulations of the oscillator build-up process using this code usually begins at some chosen frequency from some initial power level (simulating shot noise). Only single frequency oscillations are considered in the steady-state approach realized in the code. Therefore, the competition that occurs between modes is not considered. For each round-trip number , the corresponding input power is . The nonlinear 3-D code computes the power at the end of the interaction length and the power output . In each subsequent round-trip the input power is updated as . A falling accelerating potential is simulated simply by updating the drop in beam energy during each laser round-trip. With or without beam energy drop, the simulated oscillation build-up process starts whenever the net small-signal gain condition (9) is satisfied. The power grows exponentially until the output power stops growing at saturation. When the beam acceleration drops, the simulated radiation power at the initially chosen frequency drops gradually down to zero. This should start happening in principle when condition (9) ceases to be satisfied, but with the gain being in this case the nonlinear gain at saturation (which is a similar condition to the “hard excitation” regime of conventional lasers [27]). Nevertheless, using (9) with the small-signal gain expression (Fig. 4) gave a good estimate, quite similar to the simulation results, for the lasing extinction time (and experimentally—for the occurrence of a “mode hopping” event) for different round-trip reflectivity parameters. VI. CONCLUSION The optimization of power output from a FEL oscillator based on an electrostatic accelerator has been demonstrated. For a given resonator internal loss factor there is an optimal radiation out-coupling coefficient that is small enough to keep the resonator “ -factor” high enough for internally building up radiation power, yet high enough to increase the portion of the extracted radiation power that is out-coupled relative to the portion that is internally absorbed. This optimal coupling point was achieved experimentally by remote-control tuning of the transmission of a variable reflection millimeter-wave mirror at the end of the resonator. The mechanism used to vary the out-coupling from the resonator, based on a series of WGPs, has been

1013

introduced. FEL3D simulations of output power maximization and oscillation build-up and saturation were consistent with the experimental conclusions. We demonstrated FEL oscillation build-up and establishment of narrow-bandwidth single-mode operation within a few microseconds. A plot of the time for lasing to start and single-mode operation to occur from the initiation of the electron beam has been presented. The general trend is for the time for lasing to start to be increased as the round-trip reflectivity is decreased (corresponding to increasing the transmission). The general trend is also for the time difference between lasing and the establishment of single-mode operation to increase as the round-trip reflectivity is reduced. REFERENCES [1] C. W. Roberson and P. Sprangle, “A review of free-electron lasers,” Phys. Fluids B, Plasma Phys., vol. 1, pp. 3–42, Jan. 1989. [2] A. Gover, “Laser: Free election lasers,” in Encyclopedia of Modern Optics, R. D. Guenther, D. G. Steel, and L. Bayvel, Eds. New York, NY, USA: Elsevier, 2005 [Online]. Available: http://www.eng.tau.ac. il/research/FEL/Encyclopedia%20of%20Modern%20Optics1.pdf [3] A. Gover and E. Dyunin, “Coherence of e-beam radiation sources and FELs—A theoretical overview,” in Proceedings of FEL 2006. Berlin, Germany: BESSY, 2006. [4] G. R. Neil, “FEL oscillators,” in Proc. IEEE Particle Accelerator Conf., 2003, vol. 1, pp. 181–185. [5] L. R. Elias, J. Hu, and G. Ramian, “The UCSB electrostatic accelerator free electron laser: First operation,” Nuclear Instrum. Methods Phys. Res. Section A, Accelerators, Spectrometers, Detectors, Associated Equipment, vol. 237, no. 1, pp. 203–206, 1985. [6] A. G. A. Verhoeven et al., “First microwave generation in the FOM free-electron maser,” Plasma Phys. Controlled Fusion, vol. 40, no. 8A, pp. 139–156, 1998. [7] S. O. Cho, B. C. Lee, Y. U. Jeong, S. K. Kim, J. Lee, and K. H. Chung, “Transient behavior of the terminal voltage in a recirculating electrostatic accelerator for a long-pulse free-electron laser,” Rev. Sci. Instrum., vol. 67, no. 10, pp. 3491–3493, Oct. 1996. [8] H. S. Marks et al., “Wiggler improvement based on single axis magnetic measurement, synthesized 3-D field simulation of trajectories and sorting of lateral focusing magnets,” Nuclear Instrum. Methods Phys. Res. Section A, Accelerators, Spectrometers, Detectors, Associated Equipment, vol. 660, no. 1, pp. 15–21, 2011. [9] P. W. Milonni and J. H. Eberly, Lasers. New York, NY, USA: Wiley, 1988. [10] K. Shimoda, Introduction to Laser Physics, ser. Opt. Sci.. Berlin, Germany: Springer, 1986, vol. 44. [11] A. Yariv, Introduction to Optical Electronics, 2nd ed. New York, NY, USA: Holt McDougal, 1976. [12] A. E. Siegman, Lasers. South Orange, NJ, USA: Univ. Science Books, 1986. [13] A. Abramovich, H. Kleinman, A. Eichenbaum, Y. M. Yakover, and A. G. Y. Pinhasi, “Efficiency enhancement of free electron maser oscillator by mode selection with a prebunched electron beam,” Appl. Phys. Lett., vol. 76, no. 1, pp. 579–582, Jan. 2000. [14] A. Abramovich, Y. Pinhasi, A. Yahalom, D. Bar-Lev, S. Efimov, and A. Gover, “Optimization of power output and study of electron beam energy spread in a free electron laser oscillator,” Nucl. Instrum. Methods Phys. Res., A, vol. 475, no. 1–3, pp. 579–582, Dec. 2001. [15] H. Marks et al., “Narrow linewidth, chirp-control and radiation extraction optimization in an electrostatic accelerator FEL oscillator,” in FEL Conf., Basel, Switzerland, 2014, pp. 509–511. [16] Y. Pinhasi, M. Cohen, and A. Gover, “Three-dimensional codes for simulating electron beam transport and free-electron laser operation including space-charge effects,” Int. J. Electron., vol. 78, no. 3, pp. 581–590, 1995. [17] A. Gover and P. Sprangle, “A generalized formulation of free electron lasers in the low gain regime including transverse velocity spread and wiggler incoherence,” J. Appl. Phys., vol. 52, no. 2, pp. 599–604, 1981. [18] E. Jerby and A. Gover, “Investigation of the gain regimes and gain parameters of the free electron laser dispersion equation,” IEEE J. Quantum Electron., vol. 21, no. 7, pp. 1041–1058, Jul. 1985.

1014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 3, MARCH 2016

[19] E. Dyunin, “Noise propagation on relativistic electron beam and coherence limits of free electron lasers” Ph.D. dissertation, The Sandman–Slaner Grad. School Eng., Tel Aviv Univ., Tel Aviv, Israel, 2013 [Online]. Available: http://www.eng.tau.ac.il/research/FEL/Thesis-Final_March_9.pdf [20] G. Dattoli, “Logistic function and evolution of free-electron-laser oscillators,” J. Appl. Phys., vol. 84, no. 5, pp. 2393–2398, 1998. [21] B. Levush and T. M. Antonsen Jr., “Nonlinear mode competition and coherence in low gain FEL oscillators,” Nucl. Instrum. Methods Phys. Res., A, vol. 285, no. 1, pp. 136–143, Dec. 1989. [22] A. Abramovich et al., “High spectral coherence in long pulse and continuous free electron laser measurements and theoretical limitations,” Phys. Rev. Lett., vol. 82, pp. 5257–5260, Jun. 1999. [23] P. J. Bryant, “A brief history and review of accelerators,” CERN, Geneva, Switzerland, Eur. Organization Nucl. Res. Rep., 1994, vol. 1–1. [24] Y. Socol, A. Gover, A. Eliran, M. Volshonok, Y. Pinhasi, B. Kapilevich, A. Yahalom, Y. Lurie, M. Kanter, M. Einat, and B. Litvak, “Coherence limits and chirp control in long pulse free electron laser oscillator,” Phys. Rev. Special Topics—Accelerators and Beams, vol. 8, no. 8, pp. 1–5, Aug. 2005. [25] A. A. M. Saleh, “An adjustable quasi-optical bandpass filter – Part 1: Theory and design formulas,” IEEE Trans. Microw. Theory Techn., vol. MTT-22, no. 7, pp. 728–734, Jul. 1974. [26] A. A. M. Saleh, “An adjustable quasi-optical bandpass filter—Part 2: Practical considerations,” IEEE Trans. Microw. Theory Techn., vol. MTT-22, no. 7, pp. 734–739, Jul. 1974. [27] Y. I. Khanin, “Fundamentals of laser dynamics,” Science, 2005.

A. Gover (S’72–M’75–SM’82–F’08–LF’11), photograph and biography not available at the time of publication.

D. Borodin, photograph and biography not available at the time of publication.

A. Damti, photograph and biography not available at the time of publication.

M. Kanter, photograph and biography not available at the time of publication.

Y. Lasser, photograph and biography not available at the time of publication.

M. Einat, photograph and biography not available at the time of publication.

Y. Vashdi, photograph and biography not available at the time of publication.

Y. Lurie, photograph and biography not available at the time of publication.

Harry S. Marks, photograph and biography not available at the time of publication.

A. Friedman, photograph and biography not available at the time of publication.

Digital Object Identifier 10.1109/TMTT.2016.2524979

Digital Object Identifier 10.1109/TMTT.2016.2536380

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail: [email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL 32611 USA E-mail: [email protected] or [email protected]fl.edu

Information for Authors The IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications_standards/publications/authors/auth_names_native_lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications_standards/publications/graphical_abstract.pdf Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2016.2536381