[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 64. No 6

Citation preview

JUNE 2016

VOLUME 64

NUMBER 6

IETMAB

(ISSN 0018-9480)

REGULAR PAPERS

EM Theory and Analysis Techniques Greedy Multipoint Model-Order Reduction Technique for Fast Computation of Scattering Parameters of Electromagnetic Systems ................................................. M. Rewie´nski, A. Lamecki, and M. Mrozowski Design and Analysis of a High-Selectivity Frequency-Selective Surface at 60 GHz ....................................... .......................................................................................... D. S. Wang, P. Zhao, and C. H. Chan Theory on Matching Network in Viewpoint of Transmission Phase Shift ........................... R. Sinha and A. De Slow-Wave Effect of Substrate Integrated Waveguide Patterned With Microstrip Polyline ................................ ................................................................................. H. Jin, K. Wang, J. Guo, S. Ding, and K. Wu Devices and Modeling A Unified Equivalent-Circuit Model for Coplanar Waveguides With Silicon-Substrate Skin-Effect Modeling .......... ........................................................................................ W. Shu, H. Shichijo, and R. Henderson Accurate Transistor Modeling by Three-Parameter Pad Model for Millimeter-Wave CMOS Circuit Design ........... ................................................. S. Kawai, S. Sato, S. Maki, K. K. Tokgoz, K. Okada, and A. Matsuzawa RF Modeling of FDSOI Transistors Using Industry Standard BSIM-IMG Model .......................................... .................................................... P. Kushwaha, S. Khandelwal, J. P. Duarte, C. Hu, and Y. S. Chauhan A Novel 4-D Artificial-Neural-Network-Based Hybrid Large-Signal Model of GaAs pHEMTs .......................... ............................................................................................... Y. Long, Z. Zhong, and Y.-X. Guo Multi-Band Complexity-Reduced Generalized-Memory-Polynomial Power-Amplifier Digital Predistortion ............. ........................................................................................ F. Mkadem, A. Islam, and S. Boumaiza Passive Circuits Developing Low-Cost W -Band SIW Bandpass Filters Using the Commercially Available Printed-Circuit-Board Technology ................................................................................ Z.-C. Hao, W. Ding, and W. Hong Model-Based Vector-Fitting Method for Circuit Model Extraction of Coupled-Resonator Diplexers ..................... ............................................................................................................. P. Zhao and K.-L. Wu An Integrated Filtering Antenna Array With High Selectivity and Harmonics Suppression ............................... ...................................... C.-X. Mao, S. Gao, Y. Wang, Z. Wang, F. Qin, B. Sanz-Izquierdo, and Q.-X. Chu A Design of 3-dB Wideband Microstrip Power Divider With an Ultra-Wide Isolated Frequency Band ................. ....................................................................................................... C.-W. Tang and J.-T. Chen A Planar Balanced Crossover ......................................................... Y.-H. Pang, E. D. Lin, and Y.-Y. Chen

1681 1694 1704 1717

1727 1736 1745 1752 1763

1775 1787 1798 1806 1812

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Hybrid and Monolithic RF Integrated Circuits A Broadband Integrated Class-J Power Amplifier in GaAs pHEMT Technology ............ A. Alizadeh and A. Medi On Design of Wideband Compact-Size Ka/Q-Band High-Power Amplifiers ................................................. ........................................................................................ A. Alizadeh, M. Frounchi, and A. Medi An Ultra-Low-Power Wideband Inductorless CMOS LNA With Tunable Active Shunt-Feedback ....................... .................................................................................. M. Parvizi, K. Allidina, and M. N. El-Gamal A 2-GHz Pulse Injection-Locked Rotary Traveling-Wave Oscillator .... Z. Bai, X. Zhou, R. D. Mason, and G. Allan A Novel Concurrent 22–29/57–64-GHz Dual-Band CMOS Step Attenuator With Low Phase Variations ............... ............................................................................................................. J. Bae and C. Nguyen Instrumentation and Measurement Techniques Multiplexed Readout for 1000-Pixel Arrays of Microwave Kinetic Inductance Detectors ................................. ...................................... J. van Rantwijk, M. Grim, D. van Loon, S. Yates, A. Baryshev, and J. Baselmans SAGD Process Monitoring in Heavy Oil Reservoir Using UWB Radar Techniques ....................................... ................................................................ D. Oloumi, K. K.-M. Chan, P. Boulanger, and K. Rambabu RF Systems and Applications High-Order Modulation Transmission Through Frequency Quadrupler Using Digital Predistortion ...................... ................................................................................................ Y. Liu, G. Liu, and P. M. Asbeck Advances in Ferrite Redundancy Switching for Ka-Band Receiver Applications ........................ A. M. Kroening RF Energy Harvesting From Multi-Tone and Digitally Modulated Signals .................................................. .......................................................................... F. Bolos, J. Blanco, A. Collado, and A. Georgiadis Additively Manufactured Microfluidics-Based “Peel-and-Replace” RF Sensors for Wearable Applications ............. ........................................................................................ W. Su, B. S. Cook, and M. M. Tentzeris Respiration Rate Measurement Under 1-D Body Motion Using Single Continuous-Wave Doppler Radar Vital Sign Detection System ................................................................................ J. Tu, T. Hwang, and J. Lin A Photonic Approach to Linearly Chirped Microwave Waveform Generation With an Extended Temporal Duration ............................................................................... J. Zhang, O. L. Coutinho, and J. Yao

1822 1831 1843 1854 1867

1876 1884

1896 1911 1918 1928 1937 1947

LETTERS

Corrections to “Synthesis of Multiport Networks Using Port Decomposition Technique and Its Applications” ........ ................................................................................................................ R. Sinha and A. De Corrections to “A Multi-Frequency Multi-Standard Wideband Fractional-N PLL With Adaptive Phase-Noise Cancellation for Low-Power Short-Range Standards” ........................................................................ ..................................... Y. Zhang, J. H. Mueller, B. Mohr, L. Liao, A. Atac, R. Wunderlich, and S. Heinen

1954

1955

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $28.00 per year for electronic media only or $50.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE

K. W U, President A. A BUNJAILEH S. BARBIN

D. W ILLIAMS, President Elect

T. B RAZIL R. G UPTA

R. H ENDERSON W. H ONG

A. JACOB S. KOUL

J. L ASKAR G. LYONS

M. B OZZI, Secretary

M. M ADIHIAN S. PACHECO

Honorary Life Members T. I TOH R. S PARKS

G. P ONCHAK S. R AMAN

A. A BUNJAILEH , Treasurer

J. R AUTIO J. E. R AYAS -S ANCHEZ

S. R EISING M. S ALAZAR -PALMA

A. S ANADA D. S CHREURS

Distinguished Lecturers

P. S TAECKER

C. C AMPBELL R. H. C AVERLY G. C HATTOPADHYAY J.-C. C HIAO

T.-W. H UANG M. JARRAHI J. J. KOMIAK S. KOUL

A. M ORTAZAWI T. NAGATSUMA J. C. P EDRO L. P IERANTONI

M. S TEER

Past Presidents P. ROBLIN D. S CHREURS N. S HINOHARA

A. S TELZER J. W OOD H. Z IRATH

T. L EE (2015) R. W EIGEL (2014) M. G UPTA (2013)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. H ENZE Atlanta: K. NAISHADHAM Austria: A. S PRINGER Baltimore: I. A HMAD Bangalore/India: K. V INOY Beijing: Z. F ENG Belarus: S. M ALYSHEV Benelux: G. VANDENBOSCH Boston: C. G ALBRAITH Bombay/India: M. V. P ITKE Brasilia: J. B EZERRA/ M. V INICIUS A LVES N UNES Buenaventura: C. S EABURY Buffalo: M. R. G ILLETTE Bulgaria: K. A SPARUHOVA Canada, Atlantic: Z. C HEN Cedar Rapids/Central Iowa: C. G. X IE Central & South Italy: L. TARRICONE Central No. Carolina: Z. X IE Central Texas: J. P RUITT Centro-Norte Brasil: M. V. A LVES N UNES Chengdu: Z. N EI Chicago: D. E RRICOLO Cleveland: M. S CARDELLETTI Columbus: A. O’B RIEN Connecticut: C. B LAIR Croatia: D. B ONEFACIC Czech/Slovakia: J. VOVES Dallas: R. S ANTHAKUMAR Dayton: A. T ERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. N ICHOLS Egypt: E. H ASHEESH Finland: V. V IIKARI Florida West Coast: J. WANG Foothills: M. C HERUBIN France: D. BAJON Germany: G. B OECK Greece: R. M AKRI Gujarat/India: S. C HAKRABARTY Harbin: Q. W U Hawaii: K. M IYASHIRO Hong Kong: H. W ONG Houston: S. A. L ONG Houston, College Station: G. H. H UFF Hungary: L. NAGY Huntsville: H. S CHANTZ Hyderabad/India: S. R. N OOKALA India: D. B HATNAGER India/Kolkata: S. S ANKARALINGAM Indonesia: E. T. R AHARDJO Israel: S. AUSTER Japan: N. S UEMATSU Kansai: T. I SHIZAKI Kingston: S. P ODILCHAK Kitchener-Waterloo: R. R. M ANSOUR Lebanon: E. NASSAR Lithuania: B. L EVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. R ADISIC Los Angeles, Metro/San Fernando: T. C ISCO

Macau: C. C. P ONG Madras/India: S. S ALIVAHANAN Malaysia: M. K. M. S ALLEH Malaysia, Penang: B. L. L IM Melbourne: R. B OTSFORD Mexican Council: R. M. RODRIGUEZ -DAGNINO Milwaukee: S. G. J OSHI Monterrey/Mexico: R. M. RODRIGUEZ -DAGNINO Morocco: M. E SSAAIDI Montreal: K. W U Morocco: M. E SSAAIDI Nagoya: J. BAE Nanjing: W. H ONG Nanjing, Hangzhou: L. S UN New Hampshire: E. H. S CHENK New Jersey Coast: J. S INSKY New South Wales: Y. R ANGA New Zealand: A. W ILLIAMSON North Italy: G. O LIVERI North Jersey: A. K. P ODDAR Northern Australia: J. M AZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. R AWAT Norway: M. U BOSTAD Orange County: H. J. DE L OS S ANTOS Oregon: K. M AYS Orlando: K. K ARNATI Ottawa: Q. Z ENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

D OMINIQUE S CHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants

M ARCIA H ENSLEY USA E NAS K ANDIL Belgium

Sweden: A. RYDBERG Switzerland: M. M ATTES Syracuse: D. M C P HERSON Taegu: Y.-H. J EONG Tainan: H.-H. C HEN Taipei: C. M ENG Thailand: C. P HONGCHAROENPANICH Toronto: G. V. E LEFTHERIADES Tucson: H. X IN Tunisia: A. G HARSALLAH Turkey: B. S AKA Twin Cities: C. F ULLER UK/RI: A. R EZAZADEH Ukraine, East: N. K. S AKHNENKO Ukraine, Kiev: Y. P ROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. D UBOVOY Ukraine, West: I. I VASENKO United Arab Emirates: N. K. M ALLAT Uttar Pradesh/India: M. J. A KHTAR Vancouver: S. M C C LAIN Venezuela: J. B. P ENA Victoria: K. G HORBANI Virginia Mountain: T. A. W INSLOW Washington DC/Northern Virginia: T. I VANOV Western Saudi Arabia: A. S HAMIM Winnipeg: P. M OJABI Xian: X. S HI

Associate Editors

Editors-In-Chief

J ENSHAN L IN Univ. of Florida Gainesville, FL 32611-6130 USA

Pikes Peak: K. H U Poland: W. J. K RZYSZTOFIK Portugal: J. C ALDINHAS VAZ Princeton/Central Jersey: W. C URTICE Queensland: K. B IALKOWSKI Rio de Janeiro: J. R. B ERGMANN Rochester: M. S IDLEY Romania: T. P ETRESCU Russia, Moscow: V. A. K ALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. P ROKHOROV Russia, Saint Petersburg: S. P. ZUBKO Russia, Siberia: V. V. S UHOTIN Russia, Tomsk: D. Z YKOV San Diego: J. T WOMEY Santa Clara Valley/San Francisco: N. S HAMS Seattle: S. E BADI Seoul: C. S EO Serbia and Montenegro: B. M ILOVANOVI C´ Shanghai: J. M AO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. K AUFMANN South Brazil: J. R. B ERGMANN Southeastern Michigan: T. O ZDEMIR Southern Alberta: E. F EAR Spain: J. I. A LONSO Springfield: P. R. S IQUEIRA Sri Lanka: A. U. A. W. G UNAWARDENA St. Louis: D. BARBOUR

N UNO B ORGES C ARVALHO Universidade de Aveiro Aveiro, Portugal

X. C HEN Nat. Univ. Singapore Singapore

K AMRAN G HORBANI RMIT Univ. Melbourne, Vic., Australia

J ON M ARTENS Anritsu Morgan Hill, CA USA

O LGA B ORIC -L UBECKE Univ. of Hawaii at Manoa Manoa, HI USA

J.-C. C HIAO Univ. of Texas at Arlington Arlington, TX USA

ROBERTO G OMEZ -G ARCIA Univ. Alcala Madrid, Spain

F RANCISCO M ESA Universidad de Sevilla Seville, Spain

JAMES F. B UCKWALTER Univ. of California at Santa Barbara Santa Barbara, CA USA

A LESSANDRA C OSTANZO Univ. Bologna Bologna, Italy

J IASHENG H ONG Heriot-Watt Univ. Edinburgh, UK

L UCA P ERREGRINI Univ. of Pavia Pavia, Italy

S HENG -F UH R. C HANG Nat. Chung Cheng Univ. Chiayi County, Taiwan A. R IDDLE, Editor-in-Chief, IEEE Microwave Magazine N. S. BARKER, Editor-in-Chief, IEEE Microwave and Wireless Component Letters BARRY L. S HOOP, President K AREN BARTLESON, President-Elect PARVIZ FAMOURI, Secretary J ERRY L. H UDGINS, Treasurer H OWARD E. M ICHEL, Past President

G ILLES DAMBRINE Univ. of Lille Lille, France

T.-W. H UANG C ARLOS S AAVEDRA Nat. Taiwan Univ. Queen’s Univ. Taipei, Taiwan Kingston, ON, Canada J. S TAKE, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. M IYAMOTO, Web Master

IEEE Officers

S. K. R AMESH, Vice President, Educational Activities S HEILA S. H EMAMI, Vice President, Publication Services and Products WAI -C HOONG W ONG, Vice President, Member and Geographic Activities B RUCE P. K RAEMER, President, Standards Association J OSE M. F. M OURA, Vice President, Technical Activities P ETER A LAN E CKSTEIN, President, IEEE-USA W ILLIAM W. M OSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff D R . E. JAMES P RENDERGAST, T HOMAS S IEGERT, Business Administration J ULIE E VE C OZIN, Corporate Governance D ONNA H OURICAN, Corporate Strategy JAMIE M OESCH, Educational Activities E ILEEN M. L ACH, General Counsel & Chief Compliance Officer S HANNON J OHNSTON, Human Resources C HRIS B RANTLEY, IEEE-USA

Executive Director & Chief Operating Officer C HERIF A MIRAT, Information Technology PATRICK D. M AHONEY, Marketing C ECELIA JANKOWSKI, Member and Geographic Activities M ICHAEL F ORSTER, Publications KONSTANTINOS K ARACHALIOS, Standards Association M ARY WARD -C ALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Senior Director, Publishing Operations: F RAN Z APPULLA Director, Editorial Services: DAWN M ELLEY Director, Production Services: P ETER M. T UOHY Associate Director, Editorial Services: W ILLIAM A. C OLACCHIO Associate Director, Information Conversion and Editorial Support: K EVIN L ISANKIE Managing Editor: M ONA M ITTRA Senior Editor: C HRISTINA M. R EZES IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $167.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, c 2016 by The Institute of Electrical and Electronics Engineers, Inc. write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright  All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2016.2566441

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

1681

Greedy Multipoint Model-Order Reduction Technique for Fast Computation of Scattering Parameters of Electromagnetic Systems Michał Rewie´nski, Member, IEEE, Adam Lamecki, Senior Member, IEEE, and Michał Mrozowski, Fellow, IEEE

Abstract— This paper attempts to develop a new automated multipoint model-order reduction (MOR) technique, based on matching moments of the system input–output function, which would be suited for fast and accurate computation of scattering parameters for electromagnetic (EM) systems over a wide frequency band. To this end, two questions are addressed. Firstly, the cost of the wideband reduced model generation is optimized by automating a greedy multipoint MOR scheme. This is achieved by introducing a new dual local-global model convergence scheme, which applies fast and reliable a posteriori error estimates to check both local model convergence, used to select the number of moments at a single expansion point, and global model convergence, used to optimally select the expansion points. Secondly, the question of optimal convergence measure is addressed by proposing an enhanced a posteriori error estimator particularly suited for scattering parameter computations for lossy EM systems. The effectiveness and efficiency of the proposed automated scheme is verified through numerical simulations using reduced-order models for examples of a bandstop dielectric resonator filter and a dielectric resonator antenna for a wide frequency band, and compared against the results obtained using the full-order model, a reduced model generated with the optimal greedy point selection algorithm, as well as the reduced-order models obtained using the reduced basis method (RBM) and the single-point second-order Arnoldi method for passive order reduction (SAPOR) method. Index Terms— Fast frequency sweep, lossy systems, modelorder reduction (MOR), scattering parameters, second-order Arnoldi method for passive order reduction (SAPOR) method, second-order systems.

I. I NTRODUCTION INDING scattering parameters for electromagnetic (EM) systems over wide frequency bands, using, e.g., the finiteelement method (FEM), is one of the key modeling tasks in computer-aided prototyping, yet it also bears a substantial computational cost. Since frequency sweeps, which employ detailed numerical FEM models prove too expensive, due to very large sizes of the involved matrices, significant effort has been devoted in recent years to efficiently and accurately approximate the systems by using various model compression

F

Manuscript received July 9, 2015; revised January 26, 2016, March 4, 2016, and April 19, 2016; accepted April 24, 2016. Date of publication May 13, 2016; date of current version June 2, 2016. This work was supported by the Polish National Science Centre under Agreement #2012/07/B/ST7/01241. The authors are with the Department of Electrical and Computer Engineering, Gda´nsk University of Technology, 80-233 Gda´nsk, Poland (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2560167

techniques both globally [1]–[4], and locally [5]–[7]. This resulted in substantial speedups of the computations. However, existing model compression techniques either suffer from high cost of the reduced model generation or lack robustness. Algorithms such as the reduced basis method (RBM) [2], [8], proper orthogonal decomposition (POD) [4], or poor man’s truncated balanced realization (TBR) [9] may become computationally expensive if the number of solution snapshots used in the projection basis becomes large. On the other hand, model-order reduction (MOR) techniques based on single- or multipoint Krylov subspace methods, while typically significantly less costly than snapshot-based methods [10], sometimes generate reduced models that are not sufficiently accurate or have far too large orders (which adversely impacts performance of MOR and the reduced-order simulations). In brief, they lack reliability in terms of both accuracy and performance. This stems from the fact that Krylov subspace methods typically depend on a number of arbitrarily specified parameters. In particular, they use either a manually defined number of moments to be matched at an expansion point (except for the recent single-point methods presented in [10] or [11]) or predefined expansion points, or both (cf. e.g., [12]). Arbitrary manually specified problem-dependent parameters impair effectiveness, efficiency, and reliability of moment-matching MOR techniques, in particular the multipoint ones, which are best suited for wideband modeling. (Single point momentmatching MOR typically leads to very large models if accuracy needs to be achieved over a wide frequency band.) Yet, the crucial topic of automation, optimization, and reliability of multipoint moment-matching-based MOR has not received enough attention in the papers published so far. At the same time, new developments concerning model error estimation created opportunities for MOR automation, which provided the main motivation for the research work whose results are presented in this paper. Thus far, most of the relevant research focused separately on either criteria for selecting the number of moments for single-point MOR (based on moment matching) or criteria for selecting expansion points in the frequency domain (for RBM, POD, or poor man’s TBR). Yet, the two problems were rarely explored together. Efforts related to the criteria for selecting the number of moments at a given expansion point for Krylov subspacebased methods included model-order selection based on estimating pole convergence [13]–[15], a priori model error

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

estimates [16]–[19], a posteriori error estimates using residuals [10], [11], [20], [21], or a scattering matrix error estimator [10]. Automated point selection methods using error estimates were proposed mostly in the context of generating projection bases consisting of solution snapshots (within the methods such as POD, RBM and poor man’s TBR), including greedy point selection schemes [2]–[4], [8], [9], [22], [23], and bisection-based point selection schemes [24]. Referring to the MOR methods using projection bases consisting of transfer function moments computed at multiple expansion points, many of the proposed techniques did not consider the problem of automation at all (cf. [12], [25], and [26]), or automated only the selection of the number of moments computed at each expansion point, while the expansion points were set a priori [27] or were picked using simple heuristic criteria [13]. Notable exceptions where automation of multipoint moment-matching MOR was considered both for the order selection and the expansion point selection included algorithms such as complex frequency hopping (CFH) [14], [15], which used criteria based on convergence of a certain number of poles of the system (for the asymptotic waveform evaluation (AWE), cf. also [28]), or based on convergence of the selected values of the transfer function [29]. Also, in [21] automation of the multipoint Galerkin AWE method based on examining linear dependence of the projection basis vectors at a given expansion point and expansion point selection exploiting the residual error were proposed. Finally, in [20] an adaptive expansion point and order selection strategies based on the reduced-order model quality stagnation and error estimation using the residual were proposed and preliminary test results were presented. A new algorithm involving the computation of error at midpoint between expansion points has recently been proposed in [30]. This paper proposes an automation strategy for multipoint MOR methods based on moment matching, which significantly differs from the previously published automated or semiautomated schemes. Firstly, the usage of a posteriori error estimation is extended to simultaneously select the expansion points in the frequency domain and the number of moments to be computed at every expansion point. Secondly, both local and global error estimation is performed to select the number of the moments computed at an expansion point and to select the subsequent expansion point, respectively, which allows one to effectively trade off the number of moments versus the number of expansion points and, hence, significantly improves the efficiency of the proposed automated MOR scheme. In order to propose a complete automated multipoint MOR strategy another crucial question is also addressed in the paper: what is a suitable a posteriori model error estimate to be used to simultaneously select the expansion points and the number of moments during a multipoint MOR process? Most of the model compression techniques used to date in electromagnetics, as well as other areas, apply admittance or impedance problem formulations (which naturally follow from the system’s state-space description) to generate the compressed models. This is reflected in the available a posteriori error

estimators, which are based on the residual error associated with the system’s admittance or impedance [2], [4], [8], [22] and/or emphasize the error associated with the system’s internal states. Yet, in EM applications one is more often interested in accurate computation of scattering rather than admittance or impedance parameters. In this case, a posteriori error estimates based on impedance or admittance do not provide the optimal model error measure for the reduced models to be used for S-parameter computations. This restricts usability of these error estimators [11]. The following study addresses this issue, by exploring a recently proposed a posteriori error estimator (used with RBM), based on the residual error for the S-parameter function, which was found to provide better correlation with the actual S-parameter model error [23]. In this paper, this new error estimator is also extended to the case of lossy EM systems. Summing up, this study investigates a few innovative ideas, such as a new type of greedy algorithm for adaptively and simultaneously selecting the expansion points and the number of moments computed at each point, including a dual local– global error metric, as well as an enhanced a posteriori error estimator, which both appear to be key enablers for efficient and reliable multipoint moment-based MOR. These ideas are applied to propose a new automated multipoint MOR method based on a single-point block second-order Arnoldi method for passive order reduction (SAPOR) [31], [32]. SAPOR has been selected as the engine for generating the projection basis since the resulting models have the moment-matching property (for the specified frequency points) for the secondorder systems that include lossy terms. Also, unlike some other popular methods (e.g., ENOR [33]), SAPOR provides a numerically stable way to compute the projection basis [31], which substantially improves quality of the models. The end result is a new, reliable, and automated multipoint MOR scheme, providing, as shown later, a cost-efficient alternative as compared to RBM or POD reduced model generation methods, which need to use a relatively large number of frequency points to produce a high-quality model over a wide frequency range. This paper is organized as follows. In Section II, we introduce the FEM formulation used to model lossy EM systems, and briefly describe the SAPOR algorithm, which can generate reduced-order models for the resulting second-order system. In Section III, the description of a posteriori error estimators follows, including the model error estimator based on S-parameter residual, extended to the case of lossy systems, and a method to compute it inexpensively. In Section IV, a new automated greedy multipoint SAPOR-based approach is proposed for the generation of wideband reduced-order S-parameter models. Finally, in Section V, results of numerical tests are presented, followed by a conclusion in Section VI. II. BACKGROUND A. Formulation of the Problem Consider an EM system consisting of a volume V with P ports. If Si is the surface of the i th port then the associated boundary value problem can be solved by considering the

´ REWIENSKI et al.: GREEDY MULTIPOINT MOR TECHNIQUE FOR FAST COMPUTATION OF SCATTERING PARAMETERS OF EM SYSTEMS

following weak formulation [34], [35]:  (∇ × W · μ−1 ∇ × E − k02 W ·  E)d V V

− j ω0

Mi P  

 Ili

i=1 l=1

Si

W · (nˆ i × hitl ) = 0

(1)

where W is a vector testing function, E is the electric field,  and μ are relative permittivity and permeability, respectively, k0 is the wavenumber, ω is the angular frequency, Mi is the number of modes excited at the i th port, Ili is the amplitude of the lth mode (excited at port i ), nˆ i is a unit vector normal to surface Si , and hitl is the tangential magnetic field for the lth mode at port i . For radiating systems we assume the first-order absorbing boundary condition specified at the surface S R , which truncates the computational domain containing the radiated fields nˆ R × (∇ × E) + j k0nˆ R × (nˆ R × E) = 0

(2)

where nˆ R is the unit vector normal to S R . The problem is solved by the FEM. To this end the electric field E and the testing function W are expanded into series E= W=

n  k=1 n 

ek T k wk T k

(3)

k=1

where T k are vector interpolation functions, ek and wk are the weights, and n is the number of the expansion functions applied. Applying a standard FEM procedure to (1) and assuming the boundary condition (2) results in ( + j k0 G − k02 C)E = j ω B˜ I˜

(4)

where C, , and G are n × n system matrices, E = [e1 , . . . , en ]T is the vector of weights, which determine the electric field in the domain, I˜ is the vector of  amplitudes for P modes at ports, and B˜ is the n × m (m = i=1 Mi ) port selection matrix, whose columns are expressed as ⎡ ⎤ i Si T 1 · (nˆ i × htl )d S ⎦. ... b˜li = ⎣  (5) i T · ( n ˆ × h )d S i tl Si n Setting s = j ω/c = j k0, the above equations can be transformed into the following second-order system: ( + sG + s 2 C)E(s) = sc B˜ I˜.

(6)

1/2 ˜ Applying normalization I = (Z p )1/2 I˜ and B = c B/(Z p ), where Z p is the port impedance, and assuming the outputs are the amplitudes of the voltage waves U at the ports leads to

( + sG + s 2 C)E(s) = s B I U = B T E(s)

(7)

where I now becomes a vector of input current waves at the system ports. The question arises how to construct a reduced-order model for the second-order system (7) such that the scattering

1683

parameter matrix S(s) can by optimally approximated by the scattering matrix Sr (s) for the reduced-order model. Specifically, one may ask how to generate a reduced-order model of order q such that the first 2q/m moments (where m is the number of inputs) of S(s) and Sr (s) match for a given frequency point s0 . The following section introduces the SAPOR algorithm, which generates such an optimal reducedorder system for the considered second-order problem. B. SAPOR: MOR Technique for Second-Order Systems SAPOR generates reduced-order models for second-order systems in a numerically stable way. The model is obtained in the following form: (r + sG r + s 2 Cr )Er (s) = s Br I Uˆ = BrT Er (s)

(8)

where Cr = Q T C Q, G r = Q T G Q, r = Q T  Q and Br = Q T B are the reduced-order system matrices, Q is the n × q projection matrix (constructed by SAPOR), and Er (s) is the reduced-order internal state vector of order q. The goal of SAPOR is to generate a reduced-order model such that a certain number of the lowest order moments for the corresponding transfer function (from the input I to the output Uˆ ) matches the respective moments for the transfer function for the initial (i.e., exact) model. Suppose an auxiliary transfer function from the input to the internal states of the initial system is defined as H z (s) = (s 2 C + sG + )−1 s B.

(9)

The moments of the above function (around s0 ) can be computed using the following formulas: H0z = K −1 B0

H1z = −K −1 D H0z + K −1 B1

z z Hiz = −K −1 D Hi−1 − K −1 C Hi−2 , for i ≥ 2

(10)

D = 2s0 C+G, B0 = s0 B, B1 = B, where K = and s0 is the expansion point. The input to output transfer function, which is the impedance matrix for the system, can then be computed as +s0 G+s02 C,

Z (s) = B T H z (s).

(11)

The SAPOR method (or block SAPOR method in the multiple-input case) generates a reduced-order model (8) such that the first 2q/m moments of the input to output transfer function Z r (s) = BrT (r +sG r +s 2 Cr )−1 s Br for the reducedorder system match the respective moments of Z (s) at the frequency point s0 . This is the consequence of the fact that the columns of the projection matrix Q span the same subspace as the moments Hiz [given by (10)] of the transfer function H z (s). In other words, SAPOR constructs reduced-order models for which the lowest order moments of the impedance matrix match the moments of the impedance matrix for the initial system. Moreover, it may be shown, though it is beyond the scope of this paper, that also the lowest order moments of the scattering matrix for the reduced-order model match the respective moments of the S-matrix for the initial system

1684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

[given by (22)], at a specified frequency point s0 . This additional property of SAPOR makes it suited for generating the reduced models capable of accurately computing the scattering parameters. III. A Posteriori E STIMATORS FOR R EDUCED -O RDER M ODEL E RRORS In RBM or POD methods a projection basis for finding a reduced-order system of order q is constructed from solutions to system (7) computed at several frequency points. The location of the snapshots (frequency points) can be found by computing the residual error associated with the system (7). If Er (s) is the reduced-order internal state vector computed using (8), the approximate E(s) is found as E(s) = Q Er (s). Assuming unit input excitation amplitudes, the residual error matrix is expressed by R(s) = s B − ( + sG + s C)Q Er (s) 2

(12)

where matrix R(s) = [r11 , . . . , r1M1 , . . . , ril , . . . , r PM P ]. The columns of the residual matrix ril are residual vectors, each corresponding to the excitation of the lth mode at the i th port. P In this case, B = [b11 , . . . , bil , . . . , b M P ]. To estimate the system error we may use the Euclidean norm of the residual error [8],

l ri (s)2 E 2 = max . (13) i,l sbil  Alternatively, following Wang et al. [4], one may derive an S-parameter-oriented error estimator. To this end, we define a functional, which describes the impact of the residual current on the mth mode of the j th port,  (uli ) H (s) · emj d S J( j,m),(i,l) (s) = Sj  (uli ) H (s) · (hm (14) = ηj t j × nˆ j )d S Sj

where uli (s) is the residual field due to excitation of mode l at port i , emj is the electric field for the mth mode for the j th port, and η j (s) is a port impedance normalization for port j . The residual field can be expressed in terms of the basis functions [see (3) and (5)], ⎡ ⎤ m S j T 1 · (nˆ j × ht j )d S ⎢ ⎥ ... J( j,m),(i,l) (s) = η j (s)(ril ) H · ⎣  ⎦ m S j T n · (nˆ j × ht j )d S = η j (s)(ril ) H · b mj .

(15)

Using the above formula, one is now ready to define a matrix functional involving all the ports and all the modes, J (s) = R H (s) · B · Z p (s)

(16)

where Z p = diag[η1 , . . . , η1 , . . . , η P , . . . η P ] is a diagonal matrix with port impedances. This finally leads to the error estimator termed a “goal-oriented” estimator in [4]

(bil )T ril (s) E goal = max ηi · . (17) i,l |sηi (bil )T bil |

A. A Posteriori Error Estimator Based on the Scattering Function Residual Since the impedance matrix is used to compute the scattering matrix, the error estimators based on the residual error associated with the impedance matrix [2], [4], [8], [22] are not optimal for selecting snapshots. To derive a new error estimator directly related to scattering matrix computation we have to form a new system of equations in which the incident and reflected waves (denoted as a and b, respectively) are used rather than the voltages (normalized output E-field amplitudes) and currents (normalized input H -field amplitudes). Using the normalization (see Section II-A), we can write U = a + b I = a − b.

(18)

It follows from the above and (7) that b = U − a = B T E − a.

(19)

Using the first of (7), (18), and (19), one gets ( + sG + s 2 C)E = s B(a − b) = s B(a − B T E + a).

(20)

Hence, ( + sG + s B B T + s 2 C)E(s) = 2s Ba(s).

(21)

Using (19) and (21) one can compute the scattering parameter matrix as follows: S(s) = 2s B T (s 2 C + s(G + B B T ) + )−1 B − I

(22)

where I is m×m the identity matrix. The error in S-parameters is thus related to the residual error for (21), R S (s) = 2s B − ( + s(G + B B T ) + s 2 C)Q Er (s).

(23)

Considering the interaction of this residual error with the modal fields at ports, as proposed in [4] for the impedance matrix, one obtains a new goal-oriented error estimator,

(bil )T (ril ) S (s) (24) E S (s) = max ηi · i,l |2sηi (bil )T bil | where (ril ) S are columns of matrix R S (s). B. Fast Computation of the New Estimator An issue that needs a closer attention is the cost of computing the a posteriori error estimators, such as (23). Selecting the frequency point in the greedy approach requires sweeping through the entire frequency range of interest in search of the maximum “norm” of, e.g., (24). This proves computationally intensive if direct computation is applied since, at each frequency, the residual (23) needs to be found, which requires O(qn) ˜ operations, where q˜ is the current size of the projection basis and n is the initial problem size. This cost can be substantially lowered by precomputing frequencyindependent components of the error estimator. Substituting (23) in (24) and expanding its terms we get  E S (s) = max ηi [2s(bil )T bil − (bil )T  Q Er i,l

−s 2 (bil )T C Q Er − s(bil )T bil (bil )T Q Er  −s(bil )T G Q Er ]/|2sηi (bil )T bil | . (25)

´ REWIENSKI et al.: GREEDY MULTIPOINT MOR TECHNIQUE FOR FAST COMPUTATION OF SCATTERING PARAMETERS OF EM SYSTEMS

The terms (bil )T bil , (bil )T Q, (bil )T G Q, (bil )T C Q, and (bil )T  Q are all low-order vectors (with dimensions  n) and can be computed cheaply as the projection matrix Q grows. Consequently, during a frequency sweep, computations in high-order state-space can be altogether avoided, which dramatically reduces the cost of the error estimation. IV. M ULTIPOINT MOR FOR S-PARAMETER C OMPUTATION Developing an effective and practical method for generating reduced-order models capable of approximating the system properties over a wide frequency band, requires one to consider the questions of adequacy of the constructed projection basis, as well as the computational cost of the reduction process. The cost of the reduction process (sometimes called the “offline” phase) should not be ignored since, in practice, it often determines whether MOR can be effectively used. For instance, the reduction phase must be very efficient if frequency sweeps are done in an optimization loop, which requires one to keep generating new reduced-order models for the subsequent iterations of the designed structure. On one hand, basic MOR algorithms employing a single frequency point expansion to construct the projection basis using system’s moments seem to offer an inexpensive solution. This is because only a single factorization of the initial system matrix needs to be computed in order to find subsequent system moments. (It is assumed here that the size of the initial problem allows one to perform a matrix factorization such as LU, i.e., direct linear solves can be done. The discussion of the MOR cost becomes much more complex if only iterative linear solvers can be used in the process of generating a projection basis.) Moreover, SAPOR generates a reduced-order model, which matches a certain number of moments of the initial exact S-parameter function at the specified frequency point s0 . This means that using SAPOR based on a single-point moment expansion for S-parameter computation is locally optimal, provided one is interested in the system response only around the frequency s0 . However, as the width of the frequency band of interest grows, typically many more moments need to be added to the projection basis in order to meet the model’s target accuracy, which increases the computational and memory cost associated with, e.g., error estimation over a wide frequency band or storage of the projection basis. As shown in Section V, for wide frequency bands, the basis using moments from a single frequency point, often proves inadequate, leading to very large projection bases and models, which are sometimes not accurate enough in the regions far enough from the frequency expansion point. On the other end of the spectrum there are MOR methods such as RBM [2] or TBR-POD [4], which rely upon solution snapshots from different frequency points distributed over the frequency band. They overcome the problem of the intrinsically local character of the system approximation for the projection basis generated by single-point MOR since the RBM-constructed basis includes information on the system behavior at multiple frequencies. Yet this comes at a price of computing snapshots at many frequency points, each requiring factorization of a system matrix. This substantially increases

1685

the cost of computing the reduced-order model since matrix factorization is the most expensive part of the computations. A middle-ground approach is to use multipoint MOR methods [25]–[27], [30], which generate the projection basis from the moments of the transfer function (e.g., S-parameter function) at multiple frequency points, which benefit from the advantages of both snapshot-based algorithms and single-point moment-matching techniques. On one hand, using multiple points allows one to overcome the problem of the localized system approximation of the single-point moment-matching projection basis. On the other hand, using higher order moments instead of snapshots (i.e., zeroth-order moments) allows one to reduce the number of different frequency points, which need to be used in order to meet the target accuracy, which, in turn, reduces the number of required system matrix factorizations. Yet, in order to be accurate and efficient, multipoint MOR methods should be able to adequately select both the frequency expansion points, as well as the number of moments to be computed at each point. Developing an efficient strategy serving both objectives is not a trivial task and often this issue is not even discussed in the literature that advocates multipoint MOR [25]–[27]. Unlike previous authors we take into account the practical aspects related to the expansion points and the number of matched moments. The following paragraph describes in detail a new automated approach toward multipoint MOR, based on the greedy expansion point selection and both local and global convergence checks using a fast error estimator presented above, which, as will be shown, is suitable for S-parameter computations for both lossy and lossless EM systems over wide frequency bands. Obviously, the same algorithm may also be used for Z or Y parameter calculation or any other parameter of interest provided an appropriate error estimator is used. A. Greedy Expansion Point Selection Algorithm The multipoint MOR algorithm proposed in this section follows the greedy strategy for selecting subsequent frequency points used to find the projection basis vectors and applies accelerated frequency sweeps in order to efficiently find error estimators. These error estimators are then used locally—to control the number of moments at each point, and globally— to choose the expansion points. The main idea of the proposed algorithm is to keep building the projection basis, based on the moments of the S-parameter function at a given frequency point, until the reduced-order model becomes accurate enough in the neighborhood of the expansion point, and then move on to the next point, which is the frequency at which the estimated model error is maximum. The procedure continues until the target accuracy of the model is reached over the entire frequency band. The accuracy of the model is assessed by computing error estimators in both the subranges and the entire range of interest. The complete method is summarized in pseudocodes in Figs. 1–4. Fig. 1 shows the main loop of the algorithm. One starts with specifying the frequency range of interest for which the reduced-order model will be generated by setting the

1686

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

G REEDY S APOR: Multipoint greedy SAPOR for S-parameters.

lower and upper frequency limits fmin and f max , respectively. Also, the target error tolerance (tol) needs to be specified, as well as the number of subranges Ns to be considered in the frequency band of interest, which determines the width of frequency band  used to check local accuracy of the reduced-order model (see Step 1). Parameter Ns also limits the number of different frequency points used in the MOR process. Parameter  f defines the grid size in the considered frequency range and is used to estimate the model error in E ST E RROR() function.  f typically equals the grid size used later in the performed frequency sweeps. Another input moments —limits the number of (block) moments parameter—Nmax computed at any single frequency point. Finally, qmax bounds the size of the projection matrix. It should be stressed that moments and q the limiting parameters Nmax max are actually nonessential components of the presented algorithm (as shown in the following section), though they ensure it will finish for poorly conditioned problems and bound the maximum size moments · of the reduced model to approximately min{Ns · Nmax m, qmax }, where m is the number of system inputs. Inside the main loop of the algorithm (Step 5), the block SAPOR algorithm is first invoked for the specified expansion point ( f ). It extends the projection basis Q and updates the projected system matrices G r , Cr , r , and Br using (block) moments computed at the specified frequency point. Also, auxiliary matrices B T C Q, B T G Q, and B T  Q used in fast error estimation are updated. Following is the computation of the error estimator (Step 6) for the updated reduced-order model in the entire frequency range of interest. Both the maximum error E max in the range and the frequency f Emax at which the maximum error is reached are found. According to the greedy strategy, f Emax will be used as the next expansion point unless sufficient accuracy is reached over the entire frequency range. Step 8 prunes redundant vectors from the projection basis using the singular value decomposition. Fig. 2 shows the details of the enhanced block SAPOR algorithm. This algorithm generates the projection basis vectors at the specified frequency point f using the second-order

Fig. 2.

S PARAM S APOR: Single-point block SAPOR for S-parameters.

Fig. 3.

U PDATE M ATRICES: incrementally updates the reduced matrices.

Arnoldi (SOAR) process [31], [32]. The block moments are computed until the maximum estimated error in the neighborhood of the expansion point, specifically the subrange [ f − /2, f + /2], becomes smaller than tol. The error estimation algorithm shown in Fig. 4 is used in the presented MOR algorithm to both select the number of (block) moments in a subrange (Step 4 of S PARAM S APOR), as well as to check convergence in the entire range [Step 6 of G REEDY SAPOR()], and applies the method presented in Section III-A, tailored toward models used in S-parameter computations. Fig. 3 shows a function that incrementally updates the reduced-order system matrices G r , Cr , r , and Br , as well

´ REWIENSKI et al.: GREEDY MULTIPOINT MOR TECHNIQUE FOR FAST COMPUTATION OF SCATTERING PARAMETERS OF EM SYSTEMS

Fig. 4.

1687

E ST E RROR: fast S-parameter error estimator.

as the auxiliary matrices B T C Q, B T G Q, and B T  Q, used during the error estimation, as the new basis vectors (Q add ) are appended to the projection basis Q. These incremental updates substantially lower the cost of the error estimation performed by the E ST E RROR() function. It should be stressed that the applicability of the G REEDY S APOR() algorithm is by no means restricted to fast scattering matrix computations. The same methodology can be used with other error estimators provided they can be computed inexpensively. Of particular concern is the input parameter Ns , which specifies the width of the frequency subrange . Once  is found the algorithm automatically selects both the expansion points and the number of moments at each point until the convergence is reached. Ns could be specified in a few ways. In a simple approach Ns could be set somewhat arbitrarily to, e.g., N f /20, where N f is the number of frequency points used in the sweep. Such choice ensures that  ≥  f , i.e., the subrange size used to check the local model convergence, exceeds the frequency grid size. In more sophisticated approaches  (and Ns ) could be determined by using single-point MOR to find at what order of the model the quality of the reduced model saturates.  can then be taken as the width of the range around the expansion point, for which this reduced model is sufficiently accurate. The impact of Ns on the proposed MOR method is discussed further in Section V.

Fig. 5.

Dielectric resonator antenna example.

Fig. 6. Reference S11 values over the 2–10-GHz range for the dielectric resonator antenna example.

V. N UMERICAL R ESULTS This section presents the results of numerical tests for the proposed multipoint MOR method based on S-parameteroriented greedy point selection and SAPOR, which validate accuracy of the computed reduced-order model, as well as adequacy of the applied S-parameter error estimator. The performance of the MOR process and the efficiency of the greedy approach toward expansion point selection are also assessed. The examples were prepared using a FEM simulation and optimization framework InventSIM [36]. A dielectric resonator antenna structure shown in Fig. 5 and described in detail in [37] and [38] has been selected as the primary test case. On one hand, this example leads to a single-input system, which simplifies the presentation. On the other, the test case is realistic and complex enough, and the results presented below are entirely representative also for multiple-input systems. Scattering parameter S11 for the antenna across a 2–10-GHz frequency range has been shown in Fig. 6. After FEM discretization, the system of (7), which models the antenna, has had size n = 124 860. A wide

Fig. 7.

Bandstop resonator filter example [39], [40].

frequency band has been considered in order to validate the multipoint MOR method for a system with a very complicated frequency response. Another example selected to validate effectiveness of the proposed MOR method was a bandstop dielectric resonator filter consisting of two cylindrical resonators with coaxial feed shown in Fig. 7. This two-port structure was described

1688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 8. Reference S11 and S21 values over the 4–8-GHz range for the bandstop resonator filter example.

Fig. 9. Error in the computed values of S11 using the S-parameter-oriented greedy multipoint SAPOR method (dielectric resonator antenna example).

in detail in [39]. Reference scattering parameter values were obtained using the lossless FEM model of order n = 101 264. The reference S11 and S21 parameters in the frequency range from 4 to 8 GHz have been shown in Fig. 8. A. Reduced-Order Model Accuracy The goal of the initial series of numerical tests was to generate a model for the dielectric resonator antenna that would allow one to efficiently perform a sweep across the broad frequency range and, at the same time, would accurately reproduce the complicated S-parameter characteristics shown in Fig. 6. To this end the proposed greedy multipoint MOR scheme based on SAPOR has been used. The number of subranges was set to Ns = 20, the maximum number of moments moments = 80, and the target accuracy at each point was Nmax was set to tol = 10−4 . A reduced-order model of order q = 139 was generated using an automated stopping criterion (based on the new S-parameter error estimator). The number of frequency points adaptively and automatically selected by the S-parameter-oriented greedy algorithm equaled 14. Subsequently, the reduced-order model was used in the frequency sweep, which consisted of 400 points evenly distributed across the 2–10-GHz range. The absolute error in the computed values of S11 [versus the reference result obtained using the full-order model (7)] has been shown in Fig. 9. One may note that the error introduced by using the reduced-order system is most of the time smaller than −160 dB. Analogous numerical tests were performed for the bandstop dielectric resonator filter example. For this case, the number of subranges was set to Ns = 50, the maximum number moments = 40, and the of moments at each point was Nmax target accuracy was again set to tol = 10−4 . A reducedorder model of order q = 40 was generated, and the number frequency points automatically selected by the S-parameteroriented greedy algorithm equaled 8. The reduced-order model was used in the frequency sweep, which included 2000 points evenly distributed across the 4–8-GHz range. The absolute error in the computed values of S11 versus the reference result has been shown in Fig. 10, validating excellent accuracy of

Fig. 10. Error in the computed values of S11 using the S-parameter-oriented greedy multipoint SAPOR method for the bandstop resonator filter example.

Fig. 11. Error in the computed values of S21 using the S-parameter-oriented greedy multipoint SAPOR method for the bandstop resonator filter example.

the reduced-order model. Entirely similar accuracy has been obtained for S21 , as shown in Fig. 11. The reduced-order model was generated in 153 s, and the following frequency sweep for 2000 points took 0.56 s, as compared to 9482 s

´ REWIENSKI et al.: GREEDY MULTIPOINT MOR TECHNIQUE FOR FAST COMPUTATION OF SCATTERING PARAMETERS OF EM SYSTEMS

Fig. 12. Frequency sweep for the estimated and actual S-parameter errors, Ns = 20 (cf. Fig. 5 for the test structure).

1689

Fig. 13. Detailed view of the frequency sweep for the estimated and actual S-parameter errors, Ns = 20 (cf. Fig. 5 for the test structure).

for the frequency sweep using the full-order FEM models (with the same number of points). This means over 60× total speedup in the computation of the frequency sweep. The tests were performed on a Linux server with a Xeon E5–2687W 3.1-GHz processor. B. S-Parameter Error Estimator Validation for the Lossy Case Since the error estimator (25) is new and has not been previously used for the reduced-order modeling of the EM system with loss, a more detailed numerical study of the dielectric resonator antenna example is presented in this section. The goal of the following experiments was to assess accuracy of the S-parameter error estimator and compare it to the previously existing estimators (13) and (17). The dielectric resonator antenna example involves solving of a system with radiation loss. The presence of loss implies that the underlying linear problem is nonsingular on the j ω axis and the error estimators are not affected by singularity. Fig. 12 shows the values of the three different error estimators (13), (17), and (25), along with the true S11 error found using the reference result in the frequency band of interest. The values shown on the graph were computed at the convergence of the MOR process, i.e., when the reducedorder model reached the target accuracy of 10−4 . The new estimator (25) most accurately predicts the S-parameter error (with estimator (17) being a close second), though it is also apparent that the true error is 2–4 orders of magnitude smaller than the estimator. If one takes a closer look (cf. Fig. 13), it also becomes apparent that the new proposed error estimator correlates better with the true S-parameter error as compared to the previous approaches. This confirms that the new estimator provides a suitable measure for assessing quality of the reduced models, which are to be used for fast S-parameter computations, and can be effectively used to find frequency points with a high level of model error. In order to determine if the new error estimator can be effectively used as a stopping criterion for the model construction process, both maximum and integrated (over the

Fig. 14. Maximum error estimator values versus the order of the reduced moments = 1 (RBM) (cf. Fig. 5 for the test structure). model. Ns = 1000, Nmax

Fig. 15. Integrated error estimator values versus the order of the reduced moments = 1 (RBM) (cf. Fig. 5 for the test structure). model. Ns = 1000, Nmax

2–10-GHz range) error estimator values were computed as a function of the order of the reduced model that was being constructed. Figs. 14 and 15 show the maximum and integrated error estimators, respectively, for different orders of

1690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE I C OMPARISON OF THE O RDERS OF THE R EDUCED M ODELS , AND N UMBERS OF F REQUENCY P OINTS U SED IN R EDUCTION V ERSUS THE A SSUMED M AXIMUM N UMBER OF S UBRANGES (F REQUENCY P OINTS ). P OINT S ELECTION WAS BASED ON S-PARAMETER E RROR E STIMATOR . R ESONATOR A NTENNA E XAMPLE FOR 2–10-GHz R ANGE ; moments = 80; tol = 10−4 Nmax

TABLE II

Fig. 16. Comparison of the maximum error estimator values versus the moments = 80 (cf. Fig. 5 for the order q of the reduced model. Ns = 20, Nmax test structure).

C OMPARISON OF THE O RDERS OF THE R EDUCED M ODELS , AND N UMBERS OF F REQUENCY P OINTS U SED IN R EDUCTION V ERSUS THE A SSUMED M AXIMUM N UMBER OF S UBRANGES (F REQUENCY P OINTS ). P OINT S ELECTION WAS BASED ON E XACT S-PARAMETER E RROR . R ESONATOR A NTENNA E XAMPLE FOR 2–10-GHz R ANGE ; moments = 80; tol = 10−4 Nmax

C. Efficiency of the Greedy Multipoint Scheme With Error Estimation

Fig. 17. Comparison of the integrated error estimator values versus the moments = 80 (cf. Fig. 5 for the order q of the reduced model. Ns = 20, Nmax test structure).

the reduced models, where the maximum number of moments moments = 1) for a single expansion point was set to 1 (Nmax −7 and the tol was set to 10 . In this case the projection matrix is equivalent to the one obtained in the RBM. The integrated error plot clearly shows that the new S-parameter error estimator is consistently the most accurate estimator, although the maximum error also indicates that this estimator may at times find maximum estimated error values that are smaller than the actual error values. Nevertheless, either the integrated or the maximum error estimators show convergent behavior as the order of the reduced model increases, which suggests that either error measure can be effectively used as a stopping criterion for the MOR. Figs. 16 and 17 show results of analogous tests as above, but for a multipoint MOR process (with Ns = 20, tol = 10−7 ). Similarly as before, the new error estimator proves to be the most accurate (although the estimator (17) follows rather closely). Despite the accuracy gap between the estimators and the true S-parameter error, the estimators converge as q increases.

Another series of tests, performed for the dielectric resonator antenna example, aimed at assessing the efficiency and effectiveness of the greedy point selection algorithm based on the S-parameter-oriented error estimator. To this end, a model “optimal greedy” point selection scheme has been implemented within a multipoint SAPOR algorithm and compared with the proposed G REEDY S APOR algorithm (Fig. 1). The optimal greedy scheme uses the reference S-parameter values computed at 400 points over the specified frequency range to select the next expansion frequency point. Namely, as multipoint SAPOR needs to select the next expansion point, it picks the frequency point at which the actual error between the reference S11 and the corresponding S-parameter computed with the current reduced-order model reaches maximum. Also, in the optimal greedy scheme, the exact S-parameter error is used as the stopping criterion. While this optimal greedy algorithm is clearly not practical, it provides the best point selection within the greedy-type point selection algorithms (although it does not claim to generate an optimal reducedorder model of a given size). Tables I and II show the orders of the reduced models, the number of expansion points, and the minimum and maximum number of moments per point, for different values of Ns , for the proposed multipoint MOR algorithm and the “optimal greedy” version, respectively. The tables also show the orders of the reduced models generated using RBM (Ns = ∞) and a single-point MOR with the expansion point taken in the middle of the frequency range (Ns = 1). Please note that the number of expansion points and the actual number of moments computed at each point never reached the maximum allowed moments ), which means that the values (controlled by Ns and Nmax

´ REWIENSKI et al.: GREEDY MULTIPOINT MOR TECHNIQUE FOR FAST COMPUTATION OF SCATTERING PARAMETERS OF EM SYSTEMS

1691

TABLE III C OMPARISON B ETWEEN THE R EDUCED M ODELS O BTAINED U SING R EGULAR AND O PTIMAL S-PARAMETER -O RIENTED M ULTIPOINT SAPOR A LGORITHMS , FOR D IFFERENT TARGET TOLS . Ns = 20, moments = 80. T HE TWO R IGHT-M OST C OLUMNS S HOW Nmax THE T RUE M AXIMUM AND I NTEGRATED E RROR FOR THE C OMPUTED S-PARAMETER VALUES ( CF. F IG . 5 FOR THE T EST S TRUCTURE )

Fig. 18. Number of moments computed for the selected expansion points in S-parameter-oriented greedy multipoint SAPOR scheme using (25) as an error estimator. The boxed numbers indicate the order in which the frequency points were selected (cf. Fig. 5 for the test structure).

Fig. 19. Number of moments computed for the selected expansion points in S-parameter-oriented optimal greedy multipoint SAPOR scheme. The boxed numbers indicate the order in which the frequency points were selected (cf. Fig. 5 for the test structure).

adaptive MOR process was not disturbed. It is apparent from the tables, that the number of points used in both “regular” and “optimal” greedy multipoint MOR schemes is very similar. However, as shown in Section V-B, the used S-parameter error estimator most often overestimates the true error. Hence, the number of moments computed at each frequency point tends to be higher for the “regular” than for the “optimal” greedy scheme, which results in the overall higher final orders of the reduced models for the regular greedy scheme. This increased order of the models shows the optimality gap associated with using only the estimators of the true S-parameter error. However, it should be stressed that the proposed fast error estimator proves very effective in identifying regions in which the model error is high and in selecting appropriate expansion points. This is further confirmed by the graphs in Figs. 18 and 19 showing which points were selected during subsequent outer loop iterations (cf. algorithm in Fig. 1) for the two different greedy point selection schemes. The graphs also show (as the height of the vertical lines) the number of moments computed at each expansion point. While the order of the selected frequency points and the number of the computed moments are different, the two sets of expansion frequencies are visibly correlated.

The two graphs also show well the adaptive character of the greedy multipoint MOR scheme. Firstly, the algorithm places more expansion points in the regions where the S11 is more complicated (i.e., at higher frequencies in this case). Secondly, it is apparent that more moments are computed at each point for the points selected in the first few iterations. Later, accuracy of the model is refined by computing a small number of moments at additional expansion points. The result for a single-point MOR shown in Tables I and II needs a comment since the optimal greedy algorithm produced a higher order model than the regular greedy scheme (q = 430 versus q = 371). In this case the S-parameter error estimator underestimated the true error in the portion of the frequency range far from the expansion point, which caused the MOR process to finish too early. (The model of order q = 371 does not achieve the target accuracy of 1e − 4 close to the high-frequency end of the range.) This indicates that using the proposed error estimator with a single-point MOR for wide frequency band reduced-order modeling may be problematic. It follows that the proposed fast S-parameter error estimators are best suited for more local error estimation (or a combination of the local and global estimation) encountered in multipoint MOR methods. Concluding, it appears that robust error control can be more easily achieved with the multipoint MOR schemes, as compared to the single-point MOR or RBM. This robustness is further confirmed by the results from Table III, which shows accuracy of the proposed greedy multipoint MOR scheme for different target accuracy levels. It is apparent that the target accuracy is consistently achieved by the models generated using G REEDY S APOR algorithm with the new fast S-parameter error estimator. D. Performance of the Multipoint MOR Finally, in an attempt to compare performance of the proposed multipoint MOR method to both the single-point MOR and RBM, runtimes for model generation phase and a single frequency sweep using the reduced model were collected for the prototype MATLAB implementation of the algorithm. Since in a high-performance implementation certain matrix and vector operations may be significantly optimized, the provided results for the MATLAB implementation should

1692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE IV RUNTIMES FOR MOR AND FAST F REQUENCY S WEEP (U SING THE R EDUCED -O RDER M ODEL ) FOR D IFFERENT N UMBER OF A SSUMED S UBRANGES Ns . A LL T IMES ARE G IVEN IN S ECONDS ( CF. F IG . 5 FOR THE T EST S TRUCTURE )

only be treated as indicators of general trends. The runtimes for the tests involving the antenna example, performed on a Linux server with a Xeon E5-2687W 3.1-GHz processor, have been shown in Table IV. It may be noted that MOR runtimes are the longest for the single-point MOR and for RBM (when only one moment per frequency point is computed), while they become shorter for the multipoint MOR algorithm. This observed minimum in the MOR runtime is associated with the following two trends. Firstly, the cost associated with factorization of the full-order matrix grows proportionally to the number frequency expansion points used to generate the projection matrix. This cost dominates the reduced model generation time for the case of RBM, where the number of points is the largest. Secondly, the cost associated with fast a posteriori error estimation is the largest for the singlepoint MOR. This occurs because the frequency sweeps done during the error estimation become expensive since they need to be done in the entire frequency range, and also the cost of factorization of the reduced-order system matrix becomes significant due to a relatively large order of the reduced model (cf. also Table I). This is in contrast to multipoint MOR, where frequency sweeps are done most of the time only in subranges, in order to check the local convergence. (The number of fullrange sweeps equals the final number of the expansion points used.) Error estimation becomes again more expensive for RBM since the number of expansion points is significantly larger than for the multipoint MOR. In all the cases the cost of a reduced-order simulation (i.e., a single frequency sweep) is insignificant as compared to the model generation time. It should be stressed that in all cases the total runtime consisting of the MOR phase and the fast frequency sweep is substantially shorter than the runtime for the sweep using the full-order FEM model, which equaled 4233 s (for 400 frequency points), as shown in the last row of Table IV. Summing up, the proposed automated multipoint MOR with local (and global) order and expansion point selection may provide a cost-efficient alternative as compared to either the single-point MOR or RBM methods. It can also be noted from Table IV that the input parameter Ns influences the number of expansion points and, consequently, the runtime of the MOR process and, hence, it would be desirable to have a method for finding an optimal value of Ns . Such methods are under investigation (one has been outlined at the end of Section IV). However, it should

be stressed that finding the best Ns is primarily a matter of improving efficiency for the proposed MOR method and not of its automation. It is apparent from Tables I and IV that for a broad range of choices of Ns (from 10 to 200), the resulting reduced-order models are all extremely compact (with order ranging from 131 to 174), yielding very fast frequency sweep times of around 1 s. The MOR runtime does increase about two-fold (from 644 to 1219 s), as one increases Ns from 10 to 200, but the proposed approach still remains very competitive when compared to the sweep for the full-order model, which took 4233 s (cf. the last row in Table IV). This means one could effectively use a fixed value of Ns , or apply a simple rule of thumb, e.g., Ns = N f /20, where N f is the number of frequency points to be used in the sweep, to obtain a fully automated multipoint MOR process. VI. C ONCLUSION This paper has proposed a greedy multipoint MOR method for fast analysis of EM systems with or without loss over wide frequency bands. The focus of the paper has been to construct a numerical scheme that would be well suited for accurate computation of the scattering parameters, as well as being efficient and automated. To this end the new algorithm has been based on the SAPOR method, which allows one to generate a reduced-order model that locally optimally approximates the scattering parameter matrix function for the initial model. In order to extend the applicability of SAPOR to wide frequency ranges, a multipoint scheme has been presented based on a greedy expansion point selection algorithm again tailored toward S-parameter computation. The presented results of the numerical experiments have shown that multipoint SAPOR using the greedy point selection scheme is effective, providing robust error control, as well as efficient, offering an attractive alternative to either the single-point moment-matching MOR or the RBM. The proposed scheme is applicable to other multipoint MOR methods, beyond SAPOR, and also can be geared toward impedance or admittance computations by appropriately changing the a posteriori error estimator. The method may also be further enhanced by adding a scheme for automatically finding a suitable window or subrange in which local convergence is checked around an expansion point. Finally, the proposed technique can also be used for a system with frequency-dependent matrices either directly or in combination with the technique presented in [41]. ACKNOWLEDGMENT The authors wish to thank the reviewers and the editors for their valuable comments, which helped with the improvement of this paper. The authors also thank and acknowledge G. Fotyga for providing the drawing of the filter. R EFERENCES [1] A. Cangellaris, M. Celik, S. Pasha, and L. Zhao, “Electromagnetic model order reduction for system-level modeling,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 6, pp. 840–850, Jun. 1999. [2] V. De La Rubia, U. Razafison, and Y. Maday, “Reliable fast frequency sweep for microwave devices via the reduced-basis method,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 2923–2937, Dec. 2009.

´ REWIENSKI et al.: GREEDY MULTIPOINT MOR TECHNIQUE FOR FAST COMPUTATION OF SCATTERING PARAMETERS OF EM SYSTEMS

[3] A. Schultschik, O. Farle, and R. Dyczij-Edlinger, “An adaptive multipoint fast frequency sweep for large-scale finite element models,” IEEE Trans. Magn., vol. 45, no. 3, pp. 1108–1111, Mar. 2009. [4] W. Wang, G. Paraschos, and M. Vouvakis, “Fast frequency sweep of FEM models via the balanced truncation proper orthogonal decomposition,” IEEE Trans. Antennas Propag., vol. 59, no. 11, pp. 4142–4154, Nov. 2011. [5] G. Fotyga, K. Nyka, and M. Mrozowski, “Efficient model order reduction for FEM analysis of waveguide structures and resonators,” Progr. Electromagn. Res., vol. 127, pp. 277–295, 2012. [6] G. Fotyga, K. Nyka, and M. Mrozowski, “Multilevel model order reduction with generalized compression of boundaries for 3-D FEM electromagnetic analysis,” Progr. Electromagn. Res., vol. 139, pp. 743–775, 2013. [7] G. Fotyga and K. Nyka, “Efficient analysis of structures with rotatable elements using model order reduction,” Radioengineering, vol. 25, no. 1, pp. 73–80, Apr. 2016. [8] V. De La Rubia, “Reliable reduced-order model for fast frequency sweep in microwave circuits,” Electromagnetics, vol. 34, pp. 161–170, 2014. [9] L. Silveira and J. Phillips, “Resampling plans for sample point selection in multipoint model-order reduction,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 12, pp. 2775–2783, Dec. 2006. [10] Y. Konkel, O. Farle, A. Sommer, S. Burgard, and R. Dyczij-Edlinger, “A posteriori error bounds for Krylov-based fast frequency sweeps of finite-element systems,” IEEE Trans. Magn., vol. 50, no. 2, pp. 441–444, Feb. 2014. [11] M. Rewienski, G. Fotyga, A. Lamecki, and M. Mrozowski, “Automated reduced model order selection,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 382–385, 2015. [12] M. Kamon, N. Marques, L. Silveira, and J. White, “Automatic generation of accurate circuit models of 3-D interconnect,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 21, no. 3, pp. 225–240, Aug. 1998. [13] P. Feldmann and R. Freund, “Efficient linear circuit analysis by Pade approximation via the Lanczos process,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 14, no. 5, pp. 639–649, May 1995. [14] E. Chiprout and M. Nakhla, Asymptotic Waveform Evaluation and Moment Matching for Interconnect Analysis. Norwell, MA, USA: Kluwer, 1994. [15] E. Chiprout and M. Nakhla, “Analysis of interconnect network using complex frequency hopping (CFH),” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 14, no. 2, pp. 186–200, Feb. 1995. [16] M. Celik, L. Pileggi, and A. Odabasioglu, IC Interconnect Analysis. Norwell, MA, USA: Kluwer, 2002. [17] Z. Bai, R. Slone, W. Smith, and Q. Ye, “Error bound for reduced system model by Pade approximation via the Lanczos process,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 18, no. 2, pp. 133–141, Feb. 1999. [18] A. Odabasioglu, M. Celik, and L. Pileggi, “PRIMA: Passive reduced order interconnect macromodeling algorithm,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 18, no. 8, pp. 645–654, Aug. 1998. [19] A. Odabasioglu, M. Celik, and L. Pileggi, “Practical considerations for passive reduction of RLC circuits,” in Proc. IEEE/ACM Int. ComputedAided Design Conf., 1999, pp. 214–219. [20] E. Grimme, Krylov projection methods for model reduction, Ph.D. dissertation dissertation, Dept. Elect. Comput. Eng., Univ. Illinois at Urbana–Champaign, Urbana, IL, USA, 1997. [21] R. Slone, J.-F. Lee, and R. Lee, “Automating multipoint Galerkin AWE for a FEM fast frequency sweep,” IEEE Trans. Magn., vol. 38, no. 3, pp. 637–640, Mar. 2002. [22] M. Hess and P. Benner, “Fast evaluation of time-harmonic Maxwell’s equations using the reduced basis method,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2265–2274, Jun. 2013. [23] M. Rewienski, A. Lamecki, and M. Mrozowski, “A goal-oriented error estimator for reduced basis method modeling of microwave devices,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 4, pp. 208–210, Apr. 2015. [24] Y. Y. Konkel, O. Farle, A. Koehler, A. Schultschik, and R. DyczijEdlinger, “Adaptive strategies for fast frequency sweeps,” COMPEL: Int. J. Comput. Math. Electr. Electron. Eng., vol. 30, no. 6, pp. 1855–1869, 2011.

1693

[25] Q. Yu, J. M. Wang, and E. S. Kuh, “Passive multipoint moment matching model order reduction algorithm on multiport distributed interconnect networks,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 46, no. 1, pp. 140–160, Jan. 1999. [26] J. M. Wang, C.-C. Chu, Q. Yu, and E. S. Kuh, “On projectionbased algorithms for model-order reduction of interconnects,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 11, pp. 1563–1585, Nov. 2002. [27] T. Narayanan and M. Swaminathan, “Preconditioned second-order multipoint passive model reduction for electromagnetic simulations,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp. 2856–2866, Nov. 2010. [28] E. Chiprout and M. Nakhla, “Generalized moment-matching methods for transient analysis of interconnect networks,” in Proc. ACM/IEEE Design Automat. Conf., 1992, pp. 201–206. [29] R. Sanaie, E. Chiprout, M. Nakhla, and Q.-J. Zhang, “A fast method for frequency and time domain simulation of high-speed VLSI interconnects,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 12, pp. 2562–2571, Dec. 1994. [30] L. Feng, J. G. Korvink, and P. Benner, “A fully adaptive scheme for model order reduction based on moment matching,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 12, pp. 1872–1884, Dec. 2015. [31] Y. Su, J. Wang, X. Zeng, Z. Bai, C. Chiang, and D. Zhou, “SAPOR: Second-order Arnoldi method for passive order reduction of RCS circuits,” in Proc. IEEE/ACM Int. Comput.-Aided Design Conf., 2004, pp. 74–79. [32] B. Liu et al., “Block SAPOR: Block second-order Arnoldi method for passive order reduction of multi-input multi-output RCS interconnect circuits,” in Proc. ASP Design Automat. Conf., 2005, vol. 1, pp. 255–259. [33] B. Sheehan, “ENOR: Model order reduction of RLC circuits using nodal equations for efficient factorization,” in Proc. IEEE/ACM Design Automat. Conf., 1999, pp. 17–21. [34] J. Rubio, J. Arroyo, and J. Zapata, “Analysis of passive microwave circuits by using a hybrid 2-D and 3-D finite-element mode-matching method,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1–4, Sep. 1999. [35] J.-S. Wang and N. Ida, “Eigenvalue analysis in electromagnetic cavities using divergence-free finite elements,” IEEE Trans. Magn., vol. 27, no. 5, pp. 3978–3981, May 1991. [36] A. Lamecki, L. Balewski, and M. Mrozowski, “An efficient framework for fast computer aided design of microwave circuits based on the higher order 3D finite-element method,” Radioengineering, vol. 23, no. 4, pp. 970–978, 2014. [37] R. Borowiec, A. Kucharski, and P. Slobodzian, “Slot excited dielectric resonator antenna above a cavity—Analysis and experiment,” in Proc. 16th Int. Microw., Radar, Wireless Commun. Conf., 2006, pp. 824–827. [38] A. Kucharski et al., “The application of macromodels to the analysis of a dielectric resonator antenna excited by a cavity backed slot,” in 38th IEEE Eur. Microw. Conf., 2008, pp. 519–522. [39] J. Brauer and G. Lizalek, “Microwave filter analysis using a new 3-D finite-element modal frequency method,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 5, pp. 810–818, May 1997. [40] G. Fotyga, M. Rewienski, and M. Mrozowski, “Reduced order modelling of microwave components by means of higher-order finite-element method,” in Proc. IEEE Mediterranean Microw. Symp., 2015, pp. 1–4. [41] M. Rewienski, A. Lamecki, and M. Mrozowski, “Model order reduction for problems with dispersive surface boundary conditions,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 9, pp. 561–563, Sep. 2015.

Michał Rewie´nski (S’01–M’03), photograph and biography not available at the time of publication. Adam Lamecki (M’09–SM’16), photograph and biography not available at the time of publication. Michał Mrozowski (S’88–M’90–SM’02–F’08), photograph and biography not available at the time of publication.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design and Analysis of a High-Selectivity Frequency-Selective Surface at 60 GHz De Song Wang, Peng Zhao, Member, IEEE, and Chi Hou Chan, Fellow, IEEE

Abstract— This work applies aperture-coupled resonators (ACRs) to realize a high-selectivity frequencyselective surface (FSS) at 60 GHz. In a generic ACR FSS, one or both of electrical and magnetic coupling paths can, theoretically, be constructed by appropriately designing coupling apertures. To investigate the operating principle of the ACR FSS, an equivalent-circuit model is first given and analyzed using the odd- and even-mode method. A novel ACR FSS structure with dominant electrical coupling is then proposed. This FSS consists of two crossed-dipole resonator arrays and one rectangular coupling aperture array in between. The constructed out-ofphase signal paths cause two transmission zeros (TZs) near the skirts of the narrow passband, thereby considerably enhancing the selectivity. Parametric study of the proposed ACR FSS was performed. Benefiting from the symmetric structure and low profile, the proposed FSS exhibits good angular stability and polarization stability. A prototype of the proposed ACR FSS at 60 GHz was fabricated and characterized experimentally. The measured results agree well with the full-wave and circuit simulated results, thus verifying the FSS design. Index Terms— Aperture-coupled resonators (ACRs), frequency-selective surface (FSS), high selectivity, narrow passband, transmission zero (TZ), V-band (60 GHz).

I. I NTRODUCTION

R

ECENTLY, given the potential solution of the unlicensed 60-GHz spectra for broadband and high data-rate communication applications, research on V -band (60 GHz) individual active circuits and passive components and integrated front-end transceiver systems has been rapidly expanding [1]–[4]. However, little systematic research work on 60-GHz frequency-selective surfaces (FSSs) was reported [5]. FSSs can perform as spatial filters, polarizers, and sensors in microwave and optics, and they can be also used as radomes, reflectors, and superstrates and substrates of antennas [6], [7]. In these applications, it is usually required that a bandpass FSS can exhibit high selectivity (sharp rolloff). Traditionally, cascading two or more periodic surfaces can realize an FSS with a flat top and sharp roll-off, but at the expense of a multilayered structure [6]–[8]. Another method is to achieve transmission zeros (TZs) and thus

Manuscript received August 19, 2015; revised January 13, 2016 and March 4, 2016; accepted April 15, 2016. This work was supported by the Hong Kong Research Grants Council under a GRF grant (Project No. CityU 110713). D. S. Wang and C. H. Chan are with the State Key Laboratory of Millimeter Waves, Partner Laboratory, City University of Hong Kong, Hong Kong SAR 999077 (e-mail: [email protected]; [email protected]). P. Zhao is with the Computer-Aided Design (CAD) Laboratory, Hangzhou Dianzi University, Hangzhou 310018, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2557325

improve frequency selectivity of bandpass FSSs. Genetic algorithm can be used to design FSS filters with multiple TZs (attenuation poles) [9]. However, the presented structures are complicated, and thus they are difficult to directly analyze from the physical perspective and they also require high fabrication accuracy. Constructing out-of-phase signal paths can also yield TZs at specific frequencies because of the canceling effects. Luo et al. [10] and Li and Shen [11] have realized various high-selectivity FSSs using different three-dimensional (3-D) structures. Due to the constructed transmission paths, the FSSs exhibit multiple finite TZs and thereby achieve high-frequency selectivity. Realizing these FSSs at 60 GHz, nevertheless, is challenging due to the complex and 3-D structures. This paper proposes a 60-GHz bandpass FSS structure based on aperture-coupled resonators (ACRs). It shows distinct advantages of high-frequency selectivity, low profile, planar structure, and good angular stability and polarization stability. In fact, ACRs have been used to design bandpass FSSs in [12] and [13]. However, there is no TZ appearing near the skirts of the passband. As the circuit analysis shows in Section II, these FSSs are magnetic coupling dominant ACR FSSs. In [14], ACR FSSs were designed and explained as antenna-filter-antenna modules with the focus on designing higher order FSSs by integrating coplanarwaveguide resonators into the coupling apertures. However, the presented FSSs are polarization sensitive and easily suffer from grating lobes at oblique incidence because of the large hexagonal patches used. This paper applies crosseddipole resonators coupled by rectangular apertures to realize a 60-GHz dual-polarized ACR FSS with high-frequency selectivity. This FSS structure has been mentioned in [15] and [16]. However, in this paper, we will systematically analyze the operating principle of a generic ACR FSS using the coupled filter theory [17]. We will also investigate the frequency characteristics of the proposed 60-GHz ACR FSS in detail. In this FSS, out-of-phase signal paths are constructed, and therefore two TZs are generated. By appropriately arranging and resizing the apertures, we can control the coupling strength between the top and bottom resonators and then adjust the locations of the TZs. This paper is organized as follows. In Section II, the equivalent-circuit model of a generic ACR FSS is provided. An odd- and even-mode analysis is applied to analyze the operating principle of the ACR FSS. Effects of the main electrical parameters of the circuit model on the frequency response are illustrated. In Section III, an ACR FSS is designed at 60 GHz and numerical analysis is performed

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) 3-D configuration and (b) coupling diagram of a generic ACR FSS.

to demonstrate its frequency characteristics. Section IV concentrates on experimentally characterizing the fabricated FSS sample to verify the proposed design. Finally, concluding remarks are given in Section V. II. E QUIVALENT-C IRCUIT M ODEL OF A G ENERIC ACR FSS A. Equivalent-Circuit Model As investigated in [12] and [13], ACR structures have been used to realize bandpass FSSs. This work first takes a generic ACR FSS as an example to investigate its general operating principle. Fig. 1(a) shows the 3-D configuration of the generic ACR FSS structure. On both the outer layers of the FSS structure are identical patch resonators. They are coupled by coupling apertures etched on the middle conducting plane. Their shapes are entirely arbitrary. In practice, two electrically thin substrates (not shown) separating the three metal layers from each other are needed to support the whole FSS structure. To illustrate, the coupling diagram of the generic ACR FSS is depicted in Fig. 1(b). In theory, one or both of electrical E and magnetic M coupling paths between the two resonators could be constructed by appropriately designing the coupling apertures. From the coupled filter theory, this coupled structure can exhibit a narrowband bandpass response. Two transmission poles can be achieved in the passband. Specifically, one of the transmission poles results from the combined effect of the coupling apertures and the patch resonators, whereas the other one is caused by the individual patch resonator. Furthermore, the out-of-phase signal paths could cause multiple TZs at finite frequencies. In order to provide direct physical insight into the operating principle of the ACR FSS, an equivalent-circuit model for

Fig. 2. (a) Equivalent-circuit model of the ACR FSS. (b) Modified circuit model without considering the mutual inductance L m1 and the mutual capacitance Cm1 . (c) Even-mode circuit. (d) Odd-mode circuit.

normal incidence is proposed and shown in Fig. 2(a). Note that all losses are not considered in this circuit model for simplicity. The two series LC branch circuits represent the patch resonators, whereas the parallel L a Ca branch represents the middle coupling apertures. The free space at both sides of the FSS structure is modeled by transmission lines with characteristic impedance of Z 0 = 377 . The two identical substrates supporting the whole structure are modeled by two short transmission lines with length of ls = h s and wave √ impedance of Z s = Z 0 / εr , in which h s and εr are the thickness and relative dielectric constant of the substrates [18]. The electrical and magnetic couplings between the two patch resonators (LC) are denoted by the mutual capacitance Cm and the mutual inductance L m , whereas the electrical and magnetic couplings between the patch resonators (LC) and the coupling apertures (L a Ca ) are denoted by the mutual capacitance Cm1 and the mutual inductance L m1 , respectively. Due to the small values of the electrical parameters L m1 and Cm1 compared with those of L m and Cm , they can be ignored in the equivalent-circuit model. For more convenient

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: DESIGN AND ANALYSIS OF HIGH-SELECTIVITY FSS AT 60 GHz

discussions, the mutual inductance L m and the mutual capacitance Cm are represented by an impedance inverter K = ωL m and an admittance inverter J = ωCm , respectively [19], [20]. Consequently, a modified circuit model is obtained [see Fig. 2(b)], where the network parameters are the same as those of Fig. 2(a). It is found that three possible signal paths, including the electrical and magnetic coupling paths (Cm and L m ) and the signal path directly through the coupling apertures (L a Ca ), can be constructed. Considering the aperture effects, the self-inductance L and self-capacitance C of the patch resonators can be defined by L = L 0 + L m and C = C0 − Cm , where L 0 and C0 represent the resonator inductance and capacitance without the coupling apertures, respectively [20]. Due to the symmetry of the circuit model in Fig. 2(b), the odd- and even-mode analysis method [21] is adopted to analyze this circuit. The symmetry plane T − T  in Fig. 2(b) is subsequently replaced by a magnetic wall (an open circuit) and an electric wall (a short circuit). The even- and odd-mode circuits are then obtained and shown in Fig. 2(c) and (d), respectively. Their input admittances, Yeven and Yodd , are derived as  √  √ εr j wCa εr 1 + Yeven = +j tan(βs ls ) Z0 2 2 j wL a Z0 √  −1  εr 1 j wCa + · + j tan(βs ls ) Z0 2 2 j wL a  −1 1 + j w(L 0 + 2L m ) + (1a) j w(C0 − 2Cm ) √ εr Yodd = j Z 0 tan(βs ls )  −1 1 1 + 1 + (1b) j w(C0 − 2Cm ) j wL + 2 j wCm 0

where βs = 2π/λ is the wavenumber and λ is the wavelength. The frequencies of possible TZs can be calculated by enforcing Yeven = Yodd [22], [23]. Also, for the two modes, the resonances occur as Yeven = 0 and Yodd = 0, respectively. From the coupled filter theory, the electrically short transmission lines (Z s , ls ) and the electrically small coupling apertures (L a Ca ) have little effect on these resonances. As a result, it is straightforward to obtain the even- and odd-mode resonant frequencies just by taking into account the LC circuits and the couplings L m and Cm of the circuit models in Fig. 2(c) and (d). The even- and odd-mode resonant frequencies, f even and f odd , are given by 1 √ 2π (L 0 + 2L m )(C0 − 2Cm ) 1 √ ≈ . 2π L 0 C0

f even ≈

(2a)

fodd

(2b)

As can be seen, the odd-mode resonant frequency f odd is independent of couplings, whereas the even-mode frequency f even is increased as the mutual capacitance Cm is increased or the mutual inductance L m is decreased. On the base of the even- and odd-mode resonant frequencies derived from (2a) and (2b), the overall coupling coefficient k can be

3

calculated using the classical definition as follows [17]: f odd 2 − f even 2 f odd 2 + f even 2 L m (C0 − Cm ) − Cm (L 0 + L m ) = (L 0 + L m )(C0 − Cm ) − L m Cm Lm Cm L 0 +L m − C 0 −C m = . m m 1 − L 0L+L · C0C−C m m

k=

(3)

For the convenience of the comparison analysis below, the absolute values of the magnetic (inductive) coupling coefficient k M and the electrical (capacitive) coupling coefficient k E are defined as [20]      Lm   Lm      = (4a) kM =  L   L0 + Lm       Cm   −Cm  . = (4b) k E = − C   C0 − Cm  One should note that both the mutual inductance L m and the mutual capacitance Cm for this ACR structure are positive [22]. The magnetic and electrical couplings, therefore, are out of phase. After substituting (4) back into (3), (3) can be simplified as kM − kE . (5) k= 1 − kM kE From (5), it can be observed that the magnetic and electrical coupling coefficients tend to reduce the overall coupling coefficient k (called canceling effects). The equivalent-circuit model of the symmetric ACR FSS for various incident angles and polarizations remains the same. In case of oblique incidence, there could be a change in the effective electrical path length of the wave traversing through the dielectric substrates, thereby causing a slight change in the parameter value ls in Fig. 2 [24]. In addition, the immittances of the inductive and capacitive components of Fig. 2 could slightly change in this case. Therefore, one only needs to take into account a correction factor in the calculation of practical immittances to accurately analyze and predict the frequency performance of the FSS structure, as investigated in [25] and [26]. B. Analysis of Electrical Parameters Definitely, the LC circuits in the circuit model of Fig. 2 determine the whole frequency performance and the short transmission lines (Z S , l S ) also have an effect on it. This section focuses on the analysis of the couplings (L m and Cm ) and the coupling apertures (L a Ca ). With the simulation of the equivalent-circuit model in Fig. 2(b), effects of the electrical and magnetic coupling coefficients, k E and k M , on the frequency performance of the equivalent-circuit are investigated. The following two useful conditions are defined based on the strength of the electrical and magnetic couplings for a better exposition. Note that the parameter values used below (L m , Cm , L 0 , and C0 ) are chosen arbitrarily and then the values of the coupling coefficients (k E , k M , and k) are calculated using (3)–(5). Condition 1: k > 0 (k M > k E and f odd > f even ), the magnetic coupling is dominant. As depicted in Fig. 3(a), when

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 3. Effects of the coupling coefficients on the simulated frequency response of the equivalent-circuit in Fig. 2(b) with: (a) dominant magnetic coupling and (b) dominant electrical coupling when L 0 = 1.02 nH and C0 = 6.1 × 10−3 pF.

the magnetic coupling coefficient is slightly larger than the electrical coupling coefficient, k M > k E (represented by the solid black line), two TZs are generated and all located at the upper side of the passband. These TZs are caused by the canceling effects of the constructed multiple signal paths [21]. When the magnetic coupling coefficient is considerably larger than the electrical coupling coefficient, k M  k E (represented by the dotted red line and the dashed blue line), no TZ is generated at finite frequency. It is worth mentioning that the extremely weak electrical coupling or no electrical coupling at all implies that there are only two valid in-phase signal paths, including the magnetic coupling path (L m ) and the signal path directly through the coupling apertures (L a Ca ). Therefore, no finite TZ can be created. In fact, the ACR FSS structures reported in [12] and [13] are under this condition. From Fig. 3(a), it is also found that k E and k M have little effect on the odd-mode frequency f odd . In contrast, the increased k M or decreased k E can cause a decrease of the even-mode resonant frequency f even . This fact verifies the correctness and effectiveness of (2). Condition 2: k < 0 (k M < k E and f odd < f even ), the electrical coupling is dominant. As depicted in Fig. 3(b), there are

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Effects of the L a Ca circuit on the simulated frequency response of the equivalent=circuit in Fig. 2(b) when: (a) k E = 0 and k M = 0.019 and (b) k E = 0.03 and k M = 0.

always two TZs, respectively, located at the lower and upper sidebands even when k M = 0. The two TZs are attributed to the out-of-phase signal paths, including the electrical coupling path (Cm ) and the signal path directly through the coupling apertures (L a Ca ). Similarly, k E and k M have little effect on the odd-mode resonant frequency, but a significant effect on the even-mode frequency. Therefore, appropriately adjusting the coupling strength can obtain a desired bandwidth. It is also found that the increased k E or decreased k M can obviously increase the frequency of the upper TZ, but slightly reduce that of the lower one. As mentioned above, the equivalent parallel L a Ca circuit of the coupling apertures provides another signal path. Effects of the L a Ca circuit on the frequency response of the equivalent=circuit in Fig. 2(b) are investigated, assuming there is only the magnetic coupling (k E = 0) or the electrical coupling (k M = 0). As shown in Fig. 4(a), an increase of L a or Ca can deteriorate the stopband behavior when k E = 0 and k M = 0.019. When there is only the electrical coupling (k E = 0.03 and k M = 0), an increase of L a or Ca can shift the generated TZs toward the passband [see Fig. 4(b)].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: DESIGN AND ANALYSIS OF HIGH-SELECTIVITY FSS AT 60 GHz

5

It is also observed that the L a Ca circuit has little effect on the passband, which is in accordance with (2). In particular, in order to couple the electromagnetic (EM) energy and keep the undesired resonance of the coupling apertures away from the passband of our interest, the values of L a and Ca are relatively small, but not zero. Given the exposition above, the locations of the generated TZs can be adjusted by changing the coupling strength (k E and k M ) and the parameter values of the L a Ca circuit. III. 60-GHz ACR FSS D ESIGN AND A NALYSIS A. ACR FSS Structure One objective of this work is to design a high-selectivity bandpass FSS at 60 GHz. As described in Section II, an ACR FSS with dominant electrical coupling can exhibit two TZs with each at either side of the passband and thus achieve high selectivity. However, for the traditional ACR FSSs reported in [12] and [13], it is difficult to efficiently construct a dominant electrical coupling path. This work applies two crosseddipole resonator arrays coupled by rectangular apertures to construct an ACR FSS with a dominant electrical coupling path. As illustrated in Fig. 5(a), the proposed ACR FSS is constructed by three metal layers and two dielectric substrates separating the different layers from each other. The incident angle θ represents the angle between the wave vector of the incident wave and the normal to the FSS. Shown in Fig. 5(b) is one unit cell of the FSS. On both the top and bottom layers are the crossed dipoles, which function as half-wavelength resonators for various polarizations. To construct coupling paths, four identical rectangular apertures are etched on the middle conducting plane and located between the ends of the top and bottom crosses. Under x-polarization, electric field distribution of the FSS unit cell at the operating frequency is shown in Fig. 5(c). As observed, the electric field is mainly concentrated around the two ends of the crossed dipole along the x-axis. The same applies to y-polarization. Therefore, in this case, the electrical coupling between the resonators dominates. The equivalent-circuit model of this high-selectivity ACR FSS is the same to that of the aforementioned generic ACR FSS (see Fig. 2). According to the analysis of the equivalent-circuit model in Section II, the proposed ACR FSS can exhibit two finite TZs. To realize a designated frequency response, an initial size of the crosses is directly determined. The cross length is slightly less than half a guided wavelength because of the fringing field effects [27]. Given the stable relative dielectric constant of 2.2 and low loss tangent of 0.004 at 60 GHz [28], Duroid 5880 substrates from the Rogers Corporation are used to support the three-layered structure. The final size of the ACR FSS structure can be obtained by the repeated optimization based on the full-wave EM simulation. Similarly, the initial values of the main electrical parameters of the circuit model [see Fig. 2(b)] are obtained using the given formulas in [8] and [26]. With a curve-fitting method, the final parameter values of the equivalent=circuit are obtained by the repeated optimization. Table I lists the final dimensions of the 60-GHz FSS and the electrical parameter values of its circuit model.

Fig. 5. Proposed 60-GHz ACR FSS. (a) 3-D view of the FSS. (b) 3-D view of the FSS unit cell. (c) Electric field distribution at the operating frequency.

B. Numerical Analysis This work mainly focuses on constructing and controlling multiple signal paths to obtain multiple TZs, which is directly related to the size and locations of the coupling apertures. Fig. 6(a) and (b) show effects of the aperture size on the transmission response of the proposed 60-GHz ACR FSS. The aperture length lc mainly determines the equivalent inductance and capacitance of the apertures and thus affects the frequencies of the generated TZs. In accordance with the phenomenon shown in Fig. 4(b), long apertures can cause the TZs close to the passband, but have little effect on the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I G EOMETRICAL AND E LECTRICAL PARAMETERS OF THE P ROPOSED ACR FSS

Fig. 7. Effects of the locations of the coupling apertures on the: (a) simulated overall coupling coefficient k and (b) simulated transmission response of the proposed 60-GHz ACR FSS.

Fig. 6. Effects of the aperture size on the simulated transmission response of the proposed 60-GHz ACR FSS. (a) Aperture length lc . (b) Aperture width wc .

passband itself [see Fig. 6(a)]. In contrast, the aperture width wc mainly determines the coupling strength (major electrical coupling in this structure) with a positive correlation. As a result, wide apertures can cause the upper TZ and transmission pole to shift up, but have little effect on the lower TZ and transmission pole [see Fig. 6(b)], which resembles the phenomenon predicted by the circuit model [see Fig. 3(b)]. Appropriately relocating the coupling apertures can change the coupling strength and then affect the TZs. Fig. 7(a) shows

effects of the locations of the apertures on the overall coupling coefficient of the proposed 60-GHz ACR FSS. Note that all the apertures are relocated symmetrically from the center to edge of the FSS unit cells, and the corresponding geometrical parameter lm is labeled in the inset of Fig. 7(a). The overall coupling coefficient k of the ACR FSS is obtained by the fullwave EM simulation under a weakly coupled excitation [17]. Specifically, the simulated overall coupling coefficient is in agreement with the calculated one using (5). For example, with the final values of the geometrical and electrical parameters of the proposed ACR FSS listed in Table I (lm = 0.9 mm), the simulated coupling coefficient k is about −0.028. It is compared well with the calculated one of −0.03 by the equation. As shown in Fig. 7(a), the variation range of the parameter lm is divided into three zones on the basis of the two conditions defined in Section II. More specifically, Zone 1 and Zone 2 correspond to the defined Condition 1, in which the magnetic coupling dominates, and the magnetic coupling in Zone 1 is obviously stronger than that in Zone 2. Zone 3 corresponds to the defined Condition 2 in which the electrical coupling dominates. It should be pointed out that the overall coupling coefficient in Zone 1 is larger than those

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: DESIGN AND ANALYSIS OF HIGH-SELECTIVITY FSS AT 60 GHz

7

Fig. 8. Effects of the substrate thickness h s on the simulated transmission response of the proposed 60-GHz ACR FSS.

in Zone 2 and Zone 3. In Zone 1, all the coupling apertures are located near the cross center, thus effectively coupling the magnetic energy for both the x- and y-polarized incident wave. According to the defined conditions in the previous section, the FSS structure should have no TZ in Zone 1, two TZs at the upper sideband in Zone 2, and two TZs with each at either side of the passband in Zone 3. For a further demonstration, Fig. 7(b) shows the corresponding transmission responses of the FSS structure with varied locations of the coupling apertures. As shown, when the apertures are located near the cross center (lm = 0.5 mm in Zone 1), no TZ appears at finite frequency. When the coupling apertures are located away from the cross center (lm = 0.6 mm in Zone 2), two TZs are generated and all located at the upper sideband. At last, when the apertures are located around the cross ends (lm = 0.9 mm in Zone 3), two generated TZs are located at the lower and upper sidebands. Additionally, with the increase of lm , one of the transmission poles is shifted down, while the other one has little change. These facts agree well with the two conditions described in Section II. In addition, it is not difficult to conclude that since the magnetic coupling dominates, the ACR FSS structures presented in [12] and [13] have no finite TZ. In addition to the coupling apertures, the used substrates can affect the mutual couplings between the top and bottom resonators. Contrary to the aperture width [see Fig. 6(b)], the substrate thickness h s has a negative correlation with the coupling strength. As shown in Fig. 8, thick substrates can cause the upper transmission pole to shift down, but have little effect on the lower transmission pole and the two TZs. For the ACR structure, the coupled crossed-dipole resonators determine the operating frequency and bandwidth of the structure. As depicted in Fig. 9, the cross length l determines the whole operating frequency of the FSS, whereas the cross width w has an effect on the bandwidth and the locations of the TZs. From Fig. 9(b), wide crosses can widen the passband and also shift the TZs away from the passband. Other geometrical parameters of the ACR FSS, including the periodicity p, metal thickness h m , and relative dielectric

Fig. 9. Effects of the cross size on the simulated transmission response of the proposed 60-GHz ACR FSS. (a) Cross length l. (b) Cross width w.

constant εr of the used substrates, have general effects on the FSS performance, which can refer to [6] and [7]. A detailed analysis is not repeated for simplicity. IV. 60-GHz ACR FSS FABRICATION AND M EASUREMENT To further verify the proposed design, the FSS structure shown in Fig. 5 was fabricated and measured. Based on the standard printed circuit board (PCB) processing technology, the three-layered FSS was fabricated on two 0.127-mm-thick Rogers Duroid 5880 substrates with 17-μm-thick electrodeposited copper foils. The two substrates were bonded together using the 3M Super 77 multipurpose spray adhesive. The overall thickness (profile) of the whole FSS structure is as small as 0.06λ0 (about 0.3 mm), where λ0 is the wavelength in free space. Fig. 10 shows the measurement setup and the fabricated 60-GHz FSS sample. The overall size of the FSS array is 100 mm × 100 mm (40 × 40 = 1600 elements). The detailed dimensions are listed in Table I. As we know, alignment between the layers is critical for the frequency performance of the closely coupled FSS structure. Therefore, two 3-D printed 1.5-mm-thick frames and eight 2-mm-diameter plastic screws were used to align and further hold together the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 10.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(a) Measurement setup and (b) fabricated 60-GHz FSS sample.

different layers [see Fig. 10(b)]. The frames were fabricated by a Stratasys Objet30 Scholar 3D printer. The measurements were carried out using the free-space measurement setup, as shown in Fig. 10(a). Standard horn antennas connected to a millimeter-waveband Agilent network analyzer system (E8361A with N5260–60003 wave guide T/R module) were used as the transmitting and receiving antennas. The antennas were fixed on aluminum fixtures. A plastic fixture was placed between the two antennas to hold a piece of millimeter-wave absorber with an opening. The FSS sample under test was placed into the opening of the absorber. Several pieces of absorbers were laid flat on the test platform to minimize the reflections from the metallic platform. With this setup, one can measure the transmission responses of the FSS sample under varied incident angles and polarizations of an incident wave. One thing to note is that the two antennas should be closely placed on the same side of the plastic fixture when characterizing the reflection responses of the FSS sample. The measured frequency responses at normal incidence are plotted in Fig. 11. The simulated results obtained by the fullwave EM simulation and the circuit simulation [see Fig. 2(b)]

Fig. 11. Comparison results between the measured results and the simulated results obtained by the full-wave EM simulation and the circuit simulation at normal incidence. (a) Transmission response. (b) Reflection response.

are also plotted in this figure for comparison. The slight discrepancy between the two simulated curves is due to ignoring the mutual couplings (L m1 and Cm1 ) between the crosseddipole resonators and the coupling apertures. To accurately predict the three different responses shown in Fig. 7, one could take into account all the possible couplings in the circuit model. As observed, the measured results agree well with the EM and circuit simulated results. Two finite TZs of interest appear in the lower and upper out-of-band regions, thus considerably sharpening the two sidebands. In particular, the slight asymmetry of the passband slopes is due to the frequency dispersion of coupling coefficients [29]. The measured insertion loss and return loss are 2 and 17 dB (1.4 and 23 dB in EM simulation), and the measured center frequency and 3-dB bandwidth are 59.5 and 2.4 GHz (61 and 2.5 GHz in EM simulation). The slightly larger measured insertion loss and the slightly lower operating frequency are mainly caused by the measurement and fabrication errors and the additional spray adhesive, which is not included in the simulation. Nevertheless, this discrepancy is within the acceptable level.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: DESIGN AND ANALYSIS OF HIGH-SELECTIVITY FSS AT 60 GHz

9

couplings can exist between the coupled resonators theoretically. Based on the circuit analysis, a high-selectivity ACR FSS at 60 GHz has been proposed. In this FSS structure, the electrical coupling is dominant and the constructed multiple signal paths result in two TZs. They are located independently at the two sides of the narrow passband and, thus, improve the selectivity. For some specific applications, both stacking multiple ACR FSS screens and perturbing the ACR FSS can generate more TZs to further improve the frequency selectivity. Such methods and multi-resonant-elements ACR structure can be used to realize multiband and higher order frequency characteristics. For the minimization of the ACR FSS, one end of the original half-wavelength resonators can be connected to the middle conducting plane using a metallic via to construct quarter-wavelength resonators. The numerical analysis and experimental verification presented in this paper have indicated that the proposed ACR FSS design is a promising alternative for achieving high-selectivity and narrow bandpass responses at millimeter wave, terahertz (THz), and even optical frequencies. Results for the THz frequency range will be reported separately. ACKNOWLEDGMENT The authors would like to thank the anonymous reviewers for their useful comments. The authors would also like to thank Dr. Y. Chun Li, South China University of Technology, and Dr. K. Bo Ng, City University of Hong Kong, for their valuable discussions and support in measurement. R EFERENCES Fig. 12. Measured transmission responses of the FSS under normal and oblique incidences. (a) TE polarization. (b) TM polarization.

To conclude, the measured results verify the FSS design and its equivalent-circuit model. The transmission coefficients of the FSS sample under three incident angles θ (0◦ , 20◦ , and 40◦ ) and both TE and TM polarizations are measured. As can be observed from Fig. 12(a) and (b), the frequency performance of the proposed FSS is stable to varied incident angles and polarization states, resulting from the low profile and symmetric structure. With an increase of the incident angle, the insertion loss has an increase. It is primarily caused by the insufficient size of the FSS sample. For comparison, the simulated insertion loss of the infinite FSS model has a slight increase with the increase of the incident angle, as observed in the insets of Fig. 12. Furthermore, under oblique incidence and TM polarization, some undesired resonances emerge at around 70 GHz as indicated by an arrow in Fig. 12(b). However, the resonances are successfully rejected below −25 dB. V. C ONCLUSION This work has applied ACRs to realize a 60-GHz dualpolarized FSS with high-frequency selectivity. An equivalentcircuit model has been given to analyze the generic ACR FSS in which one or both of out-of-phase electrical and magnetic

[1] S. V. Thyagarajan, A. M. Niknejad, and C. D. Hull, “A 60 GHz drainsource neutralized wideband linear power amplifier in 28 nm CMOS,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 61, no. 8, pp. 2253–2262, Aug. 2014. [2] J. H. Lee, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Low loss LTCC cavity filters using system-on-package technology at 60 GHz,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 12, pp. 231–244, Dec. 2005. [3] K. B. Ng, H. Wong, K. K. So, C. H. Chan, and K. M. Luk, “60 GHz plated through hole printed magneto-electric dipole antenna,” IEEE Trans. Antennas Propag., vol. 60, no. 7, pp. 3129–3136, Jul. 2012. [4] B. Pan, Y. Li, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “A low-loss substrate-independent approach for 60 GHz transceiver front-end integration using micromachining technologies,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 2779–2788, Dec. 2008. [5] H. I. Cantu, G. Whyte, D. R. S. Cumming, and T. D. Drysdale, “Identification of V -band frequency-selective surface at 11 m standoff distance with a transceiver chipset,” IET Microw. Antennas Propag., vol. 5, no. 6, pp. 664–670, Apr. 2011. [6] T. K. Wu, Frequency Selective Surface and Grid Array. New York, NY, USA: Wiley, 1995. [7] B. A. Munk, Frequency Selective Surfaces: Theory and Design. New York, NY, USA: Wiley, 2000. [8] M. A. Al-Joumayly and N. Behdad, “A generalized method for synthesizing low-profile, band-pass frequency selective surfaces with nonresonant constituting elements,” IEEE Trans. Antennas Propag., vol. 58, no. 12, pp. 4033–4041, Dec. 2010. [9] M. Ohira, H. Deguchi, M. Tsuji, and H. Shigesawa, “Novel waveguide filters with multiple attenuation poles using dual-behavior resonance of frequency-selective surfaces,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 11, pp. 3320–3326, Nov. 2005. [10] G. Q. Luo, W. Hong, Q. H. Lai, K. Wu, and L. L. Sun, “Design and experimental verification of compact frequency-selective surface with quasi-elliptic bandpass response,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2481–2487, Dec. 2007.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

[11] B. Li and Z. Shen, “Three-dimensional bandpass frequency selective structures with multiple transmission zeros,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3578–3589, Oct. 2013. [12] I. Tardy, C. H. Chan, and J. S. Yee, “Analysis of Yee frequency selective surface,” in IEEE Antenna Propag. Soc. Symp. Dig., London, ON, Canada, 1991, vol. 1, pp. 196–199. [13] R. Pous and D. M. Pozar, “A frequency-selective surface using aperturecoupled microstrip patches,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1763–1769, Dec. 1991. [14] A. A. Tamijani, K. Sarabandi, and G. M. Rebeiz, “Antenna-filter-antenna arrays as a class of bandpass frequency-selective surfaces,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 8, pp. 1781–1789, Aug. 2004. [15] D. S. Wang, B. J. Chen, P. Zhao, and C. H. Chan, “High frequencyselectivity frequency selective surfaces in millimeter-wave and terahertz frequencies,” in Proc. Global Millimeter-Waves Symp., Montreal, QC, Canada, May 2015, pp. 1–3. [16] D. S. Wang, S. W. Qu, and C. H. Chan, “Frequency selective surfaces,” in Handbook of Antenna Technologies, Z. N. Chen Ed. Berlin, Germany: Springer, 2015, pp. 6–10. [17] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [18] D. S. Wang, W. Q. Che, Y. M. Chang, K. S. Chin, and Y. L. Chow, “A low-profile frequency selective surface with controllable tri-band characteristics,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 468– 471, Mar. 2013. [19] J. S. Hong and M. J. Lancaster, “Couplings of microstrip square openloop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [20] J. S. Hong and M. J. Lancaster, “Aperture-coupled microstrip open loop resonators and their applications to the design of novel microstrip bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [21] K. X. Ma, J. G. Ma, K. S. Yeo, and M. A. Do, “A compact coupling controllable filter with separate electric and magnetic coupling paths,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 3, pp. 1113–1119, Mar. 2006. [22] S. C. Lin, C. H. Wang, and C. H. Chen, “Novel patch-via-spiral resonators for the development of miniaturized bandpass filters with transmission zeros,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 1, pp. 137–146, Jan. 2007. [23] Y. F. Wu, K. S. Chin, W. Q. Che, K. C. Chang, and W. J. Feng, “LTCC multilayered helical filters with a mixed electric and magnetic coupling structure,” IEEE Trans. Compon., Packag., Manufact. Technol., vol. 5, no. 8, pp. 1050–1059, Aug. 2015. [24] S. Ghosh and K. V. Srivastava, “An equivalent circuit model of FSSbased metamaterial absorber using coupled line theory,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 511–514, Feb. 2015. [25] C. K. Lee and R. J. Langley, “Equivalent-circuit models for frequencyselective surfaces at oblique angles of incidence,” Proc. Inst. Elect. Eng.—Microw., Antennas Propag. H, vol. 132, part 6, pp. 395–399, Oct. 1985. [26] F. Costa, A. Monorchio, and G. Manara, “Efficient analysis of frequencyselective surfaces by a simple equivalent-circuit model,” IEEE Antennas Propag. Mag., vol. 54, no. 4, pp. 35–48, Aug. 2012. [27] D. M. Pozar, Microwave Engineering, 3rd ed. New York, NY, USA: Wiley, 2009. [28] D. Liu, B. Gaucher, U. Pfeiffer, and J. Grzyb, Advanced Millimeter-Wave Technologies, Antennas, Packaging and Circuits. New York, NY, USA: Wiley, 2009. [29] V. V. Tyurnev, “Coupling coefficients of resonators in microwave filter theory,” Progr. Electromagn. Res. B, vol. 21, pp. 47–67, 2010.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

De Song Wang was born in Anhui, China, in 1988. He received the B.Eng degree in electronic information engineering from Anhui University, Hefei, China, in 2010, and the M.Phil degree in electronic engineering from the Nanjing University of Science and Technology, Nanjing, China, in 2013. From August 2012 to January 2013, he was an Exchange Student with Chang Gung University, Taoyuan, Taiwan. He is currently a Research Assistant with the City University of Hong Kong, Kowloon, Hong Kong SAR. His research interests include microwave, millimeter-wave, and terahertz frequency-selective surfaces and passive circuits.

Peng Zhao (S’12–M’16) received the B.Eng degree and M.Phil degree in electronic engineering from Zhejiang University, Zhejiang, China, in 2006 and 2008, respectively, and the Ph.D. degree in electronic engineering from the City University of Hong Kong, Kowloon, Hong Kong SAR, in 2014. He is currently a faculty member with the Computer-Aided Design (CAD) Laboratory, Hangzhou Dianzi University, Hangzhou, China. His research interests include computational electromagnetics and antennas.

Chi Hou Chan (S’86–M’86–SM’00–F’02) received the B.S. and M.S. degrees in electrical engineering from the Ohio State University, Columbus, OH, USA, in 1981 and 1982, respectively, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, Urbana, IL, USA, in 1987. From 1987 to 1989, he was a Visiting Assistant Professor with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champagin. From 1989 to 1998, he was a faculty member with the Department of Electrical Engineering, University of Washington, Seattle, WA, USA. In 1996, he joined the Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong SAR, where, in 1998, he became Chair Professor of Electronic Engineering. From 1998 to 2009, he was initially Associate Dean and then Dean of the College of Science and Engineering. From July 2009 to September 2010, he was also Acting Provost of the university. He is currently the Director of the State Key Laboratory of Millimeter Waves, Partner Laboratory, City University of Hong Kong. His current research interests include computational electromagnetics, millimeter-wave circuits and antennas, and terahertz science and technology. Dr. Chan was the General Co-Chair of ISAP 2010, iWAT2011, iWEN 2013, ICCEM 2015, and ICCEM 2016. He was the recipient of the U.S. National Science Foundation Presidential Young Investigator Award (1991) and the Joint Research Fund for Hong Kong and Macao Young Scholars, National Science Fund for Distinguished Young Scholars, China (2004). He was also the recipient of Outstanding Teacher Awards from the Department of Electronic Engineering, City University of Hong Kong (1998–2000 and 2008).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Theory on Matching Network in Viewpoint of Transmission Phase Shift Rakesh Sinha and Arijit De, Member, IEEE

Abstract— In this paper, the concept of the transmission phase shift of an impendance-matching network (IMN) has been introduced. The generalized two-port network parameters of the matching circuit, which matches an arbitrary complex load to a different complex source impedance incorporating the transmission phase, has been derived. In general, the IMNs are electrically asymmetric; however, there exist only two distinct values of phase shifts (180° apart) for a given source and load impedance for which the networks are symmetric, irrespective of the choice of design topology. Simple examples of symmetric matching networks like single and parallel transmission lines (TLs) have been studied. The design equations of several asymmetric matching networks like the stepped-impedance, the -type, and the T-type network for a given load and source impedance with desired phase shift have been derived. The concepts of allowed and forbidden regions for such matching circuits, in the impedance phase-shift plane, have been established. Two prototype impedance transformers have been fabricated and measured to establish the proposed concept. Index Terms— Asymmetric-, asymmetric-T, forbidden region, impedance-matching network, parallel transmission line (TL), phase shifter, power wave, stepped-impedance.

I. I NTRODUCTION

T

HE impendance-matching network (IMN) is one of the most fundamental and crucial component spanning over the entire spectrum of RF, microwave, and millimeter-wave networks. The IMNs are, in general, passive reciprocal lossless two-port networks [1] and find application in the classical areas as in the design of antenna feed network, high-frequency amplifier input and output matching, design of power combiners, etc. The IMNs are finding increasing usefulness in the modern day applications like RF identification (RFID), impedance compensation network for energy harvesting, etc. In order to deliver maximum real ac power to a complex load, the load impedance as seen through an IMN by the source should be equal to the complex conjugate of the source impedance [2]. The IMN thus transforms the load impedance (Z L = R L + j X L ) into a complex conjugate of the source

Manuscript received June 2, 2015; revised September 28, 2015, January 13, 2016, and April 15, 2016; accepted April 22, 2016. This paper has supplementary downloadable material available at http://ieeexplore.ieee.org, provided by the authors. This includes a PDF document, containing design parameter of stepped-impedance, -type, and T-type, matching network (IMN) for different load points on the Smith chart. This material is 3.17 MB in size. The authors are with the Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur, West Bengal 721302, India (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2558645

Fig. 1. (a) Two-port lossless passive network transforming load impedance Z L = R L + j X L into complex conjugate of the source impedance ( Z¯ S = R S − j X S ). (b) Same network transforming the source impedance Z S = R S + j X S into a complex conjugate of the load impedance Z¯ L = R L − j X L .

impedance ( Z¯S = R S − j X S ) (or transforms the source impedance (Z S = R S + j X S ) into a complex conjugate of the load impedance ( Z¯L = R L − j X L )), as shown in Fig. 1. Depending on the frequency of operation, the IMNs can be implemented using a lumped inductor and capacitor [2]–[6] or using a transmission line (TL) [7]–[19] or a combination of both lumped and distributed elements [20]–[23]. The commonly used IMN implemented using lumped elements are the L-match, the -match, and the T-match topology. It is to be noted that the L-network has a unique set of network parameters for a given load and source impedance, wherein the T- and -type network have multiple solutions. The design of such transformers has been extended recently in [2] and [4]–[6] to achieve tunable IMNs using variable components. Various topologies of impedance transformers based on TLs have been reported in the existing literature [7]–[18]. Recently, the single and stepped-impedance transformers (SITs) have been studied in great detail as in [15] and [16] where the case of complex source impedance was studied. The widely used single and double stub matching were explored in [18]. A single stub (L-type) has two solutions for a given main and shunt line characteristic impedance, while the double stub (-type) has multiple solution sets. The question that naturally arises is the physical significance of such multiple sets of solution for a given source and load impedance. This paper tries to address such questions. The IMNs discussed so far were designed based on the quality of matching at the resonance frequency only, i.e., minimizing the reflection. The existing literature is devoid of the transmission characteristics, especially the transmission phase of such IMNs. The usefulness of incorporating the transmission phase in the design of an IMN becomes crucial in applications like a Doherty-type power amplifier [24], impedance matching of phased array [25], etc. where it is required to simultaneously match the impedance and provide a desired phase difference. Recently, the phase shift between the input and the output ports in a Wilkinson power divider (WPD) was incorporated in the design in [21], where smaller phase shift (lesser than 90°)

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Separating the real and imaginary components of (3), we have a R L − d R S = c (R L X S − R S X L ) a X L + d X S = c (R L R S + X S X L ) − b.

Fig. 2.

Using (2b) and (4a)–(4b), one can thus express a, d, and b in terms of c and the real and imaginary parts of the source and the load impedances as

Impendance-matching circuit viewed as a two-port network.

between the input and output ports was achieved by shunt capacitive loading. In [23], two different matching networks connected externally at the output ports of the WPD provided the required phase difference between the output ports. The approach was based on a parametric study of the design parameters and no closed-form equations were obtained taking the desired phase shift into account. It was also shown in [23] that different electrical lengths and characteristic impedances of the TL of a SIT may lead to a single frequency matching condition, but with different or the same transmission phase shift. In order to generalize the concept of the transmission phase of an IMN, a two-port representation is therefore necessary. The general network parameters set of an IMN has been derived in Section II. The symmetric IMNs are discussed in Section III. Section IV addresses the more general asymmetric IMN. Implementation and measurement of two different designs of IMN using an asymmetric-T topology, but with different design parameters, has been carried out in Section V. This paper presents conclusions in Section VI. II. I MPEDANCE T RANSFORMER AND I TS N ETWORK PARAMETERS A generalized two-port impedance matching or transforming circuit is shown in Fig. 2, which matches the load impedance Z L = R L + j X L to the source impedance Z S = R S + j X S . The network parameters of the matching network can thereby be expressed in terms of the load and source impedances as discussed below. The relationship between Z S and Z L can be expressed as AZ L + B (1) Z¯S = CZL + D where Z¯S is the complex conjugate of Z S . For a lossless, passive, and reciprocal matching network consisting of reactive elements, it follows that A and D are real and B and C are purely imaginary. One can thus express the ABC D parameters in terms of the pure real quantities a, b, c, and d as     A B a jb = (2a) C D jc d with Combining (1) and (2), we have a(R L + j X L ) + j b . RS − j X S = j c(R L + j X L ) + d

 a = cX S ± R S (1 − R L R S c2 )  d = cX L ± R L (1 − R L R S c2 )

(5a) (5b)

b = c (R L R S − X S X L )

 ∓ (R L X S + R S X L ) (1 − R L R S c2 ).

(5c)

Note that a, b, and d being real quantities, c is bounded by −h ≤ c ≤ h

(6a)

with h=√

1 . RS R L

(6b)

One can now parametrize c as c = hξ

(7a)

where ξ ∈ [−1, 1]. Hence, (5a)–(5c) can be rewritten in terms of ξ as    a = h X s ξ ± R S (1 − ξ 2 )    d = h X L ξ ± R L (1 − ξ 2 )

(7b) (7c)

b = h(R S R L − X S X L )ξ

A. Two-Port Network Approach

ad + bc = 1.

(4a) (4b)

(2b)

(3)

 ∓ h(R S X L + X S R L ) (1 − ξ 2 ).

(7d)

The choice of various values of ξ lead to several acceptable solutions for the matching network between the source impedance Z S and the load impedance Z L .

B. Phase Shift Due to the IMN There are two standard approaches for calculating the scattering parameters of the network terminated by complex impedances: the power-wave approach [26] and the pseudo-wave approach [27]. In the pseudo-wave approach, conjugate matching at the source and the load yields nonzero reflection coefficients on both sides of a two-port system. This is corrected in the power-wave approach, wherein the reflection coefficients will be zero simultaneously at both the source and the load, when the impedances are conjugate matched, which corresponds to principle of maximal power transfer [28], [29]. The scattering parameters of the two-port matching network (as in Fig. 2) with Z S as the source impedance and Z L as the load impedance can be calculated based on the power-wave

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SINHA AND DE: THEORY ON MATCHING NETWORK IN VIEWPOINT OF TRANSMISSION PHASE SHIFT

3

approach using [30] in terms of the ABC D parameters as AZ L + B − C Z¯S Z L − D Z¯S AZ L + B + C Z S Z L + D Z S 2(AD − BC)(R S R L )1/2 = AZ L + B + C Z S Z L + D Z S 2(R S R L )1/2 = AZ L + B + C Z S Z L + D Z S −A Z¯L + B − C Z S Z¯L + D Z S = . AZ L + B + C Z S Z L + D Z S

S11 =

(8a)

S12

(8b)

S21 S22

(8c) (8d)

Using (7) and (8), we observe that S11 = S22 = 0

(9a)



S12 = S21 = ± (1 − ξ 2 ) − j ξ = e− j ϕ

(9b)

where ϕ ∈ [0, 2π] is the associated transmission phase shift due to the impedance transformer. This implies that perfect matching at the source and the load is independent of the choice of the parameter ξ . However, for various choices of ξ , one obtains variable transmission phase shifts. This provides the flexibility to design a perfect matching network with a desired phase shift ϕ, where the design formulas of the matching network using (7) and (9) are given as a = h (X s sin ϕ + R S cos ϕ)

(10a)

b = h(R S R L − X S X L ) sin ϕ − h(R S X L + X S R L ) cos ϕ (10b) c = h sin ϕ

(10c)

d = h (X L sin ϕ + R L cos ϕ) .

(10d)

The above set of equations provides a guideline to the impedance-matching problem with perfect matching at the source and the load along with a desired phase shift, which may or may not be realizable using a particular topology. In the following sections, we study two broad classes of IMN: the symmetric and the asymmetric matching networks. III. S YMMETRIC IMN A. Design Equations of Symmetric IMN In many cases, a simple form of a symmetric impedance transformer such as a single section of TL, two parallel TLs, etc. have been reported in the literature [8]. The network parameters for a symmetric IMN can be derived by equating a and d of (10) as tan ϕ =

R L − Rs . XS − XL

Fig. 3. Constant phase circle of a symmetric IMN on the Smith chart (black lines correspond to ϕ phase shift and the grey lines correspond to (180 − ϕ)◦ phase shift).

used Smith chart plane with the normalized load impedance (r L + j x L ) = (R L + j X L )/Z 0 as 1 − r 2 − i 2 (1 − r )2 + i 2 2i xL = (1 − r )2 + i 2 rL =

(12b)

where r and i are the real and imaginary components of the reflection coefficient. Thus, (11) can be written as 2  2    1 2 1 1 sec ϕ + i − tan ϕ = (13) r − 2 2 2 which are essentially a family of circles in the Smith chart plane with a center (1/2, 1/2 tan ϕ) and radius 1/2 sec ϕ. The constant transmission phase circles, as shown in Fig. 3, provides considerable understanding of the phase shift for various load impedances due to any symmetric matching network. Note that the 90° phase shift is achievable only when the load is real, as shown by the solid line in Fig. 3. Other values of constant phase shift are achieved along the circles as shown in Fig. 3. The constant (180 − ϕ)◦ phase-shift circles are reflected versions (with respect to the horizontal axis) of the constant ϕ phase-shift circles. With the understanding of the transmission phase associated with a symmetric IMN, its network parameters can now be expressed in closed-form expressions using (10) and (11) as |R L − R S | R S R L (|Z L − Z S |) R L |Z S |2 − R S |Z L |2 b = −p√ R S R L (|Z L − Z S |) R L X S − RS X L a = d = p√ R S R L (|Z L − Z S |) c = ±√

(11)

It is surprising to note that the transmission phase shift is dependent only on the source and the load impedances and is independent of the topology of the matching network. When the complex source and load impedance are equal, the matching network will always be symmetric irrespective of the transmission phase. The constant phase shift manifests as a straight line in the complex load impedance plane. For a better representation one can further transform (11) in the popularly

(12a)

where

(14a) (14b) (14c)

+1, when R L − R S )c > 0 p= −1, when (R L − R S )c < 0.

The above set of design equations will be used to synthesize and study various symmetric matching networks in Sections III-B and III-C.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Various kinds of symmetric two-port networks as matching network with port-1 terminated by source impedance Z S = R S + j X S and port-2 by load impedance Z L = R L + j X L . (a) Single TL. (b) Parallel TL.

B. Single TL IMN The simplest form of a symmetric matching network is a single TL, which has recently been studied systematically by Ahn [15]. The concept was first discussed by King [7] and later closed-form expressions for impedance and electrical length were derived by Tai [8]. A single TL IMN with characteristic impedance Z c and electrical length θ is shown in Fig. 4(a). The closed-form expression of Z c and θ can be derived by comparing the network parameters as in (14) to that of a single TL. For a single section of a TL with characteristic impedance Z c and electrical length θ , we have ⎡ ⎤   cos θ j Z c sin θ a jb ⎦. = ⎣ j sin θ (15) jc d cos θ Zc Using (14) and (15), one obtains   b f2 = Zc = c f1 tan θ = Z c

√ c f1 f2 = a RS X L − R L X S

(16a) (16b)

where f 1 = R S − R L and f2 = R L |Z S |2 − R S |Z L |2 . If f 1 f 2 is positive–definite, Z c and θ will be real and, hence, realizable. The function f 1 f2 will be positive–definite in the union of the domains where both f 1 and f 2 are positive–definite and where both are simultaneously non-positive–definite. With R S chosen to be positive (i.e., passive source impedance), f 1 will be positive–definite only on the left side of the line in the load impedance plane given by R S − R L = 0.

(17a)

Similarly, f 2 will be positive–definite only in the interior of the circle in load impedance plane given by R L |Z S |2 − R S |Z L |2 = 0.

(17b)

The positive–definite domain of f 1 f 2 in the load impedance plane can be shown graphically in Fig. 5. The boundary (17) of the positive–definite domain can be converted in the normalized load impedance plane as rL = 1  2  2 1 1 + xL2 = . rL − 2 2

(18a) (18b)

Fig. 5. Positive–definite domain of f 1 f 2 shown as shaded in the load impedance plane.

Equations (18a) and (18b) can be written in the Smith chart plane using transformation (12) as  2   1 2 1 + i 2 = (19a) r − 2 2    2 1 2 1 2 r + + i = . (19b) 2 2 The shaded regions on the right-hand side of the line (18a) and inside the circle (18b), as shown in Fig. 5, are transformed into the region inside the circles given by (19a) and (19b), respectively, and are graphically represented in the Smith chart shown in Fig. 6(a). The shaded regions inside the circles are called the allowed region and the rest of the Smith chart is termed the forbidden region for the single TL IMN. This provides a systematic way of determining the allowed and forbidden regions, which will be later extended to study various other IMNs. Once we have identified the allowed values of load impedances for which the single TL can be used as a simple matching network, our goal is to study the variation of the associated characteristic impedance and the electrical length in the allowed region of the Smith chart. With Z c and θ as constants, (16a) and (16b) can be transformed into the Smith chart plane using (12) as (r 2 + i 2 + r ) + z c 2 (r 2 + i 2 − r ) = 0 (r2

+ i ) − r + i tan θ = 0 2 2

2

2

2

(20a) (20b)

which represents a family of constant impedance circles and constant electrical length curves in the Smith chart plane, as shown in Fig. 6(b) and (c). Given a load impedance to be matched to the source, one can thus visualize the required characteristic impedance and the electrical length of a single TL matching network from the Smith chart. C. Parallel TL IMN Another typical symmetric IMN is a parallel connection of two TLs, which has been studied systematically by Tai [8]. The parallel TL based IMN has not gained widespread popularity, and not much has been reported in the current literature. It has been shown here that, the (forbidden) region in Smith chart where the single TL cannot be used as a matching network, the parallel TL proves its utility. The IMN consisting of two parallel TLs with characteristic impedances Z a and Z b

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SINHA AND DE: THEORY ON MATCHING NETWORK IN VIEWPOINT OF TRANSMISSION PHASE SHIFT

5

Fig. 6. (a) Allowed region (shaded) of single-TL IMN on the Smith chart. (b) Constant impedance circle of single-TL IMN on the Smith chart (solid lines are the characteristic impedance Z c and the dashed lines correspond to the characteristic impedance 1/Z c ). (c) Constant electrical length curve for single-TL IMN on the Smith chart (solid lines correspond to θ electrical length and the dashed line correspond to electrical length of 180 − θ ).

and corresponding electrical lengths θa and θb is shown in Fig. 4(b). The network parameters of the above symmetric IMN can be given as follows: sin θa cos θb + α sin θb cos θa (21a) a=d= sin θa + α sin θb α sin θa sin θb b = Za (21b) sin θa + α sin θb   1 + α 2 sin θa sin θb − 2α cos θa cos θb + 2α c= (21c) α Z a (sin θa + α sin θb ) where α = Z b /Z a . Using (21a)–(21c), we have a  (22a) cot θb + α cot θa = α Z a b (1 + α 2 ) − 2α cot θa cot θb + 2αcscθa cscθb c . (22b) = (α Z a )2 b For a given Z a and Z b , the solution of θb , which matches the load impedance Z L with source impedance Z S , can be obtained by equating the ABC D parameters with that in (14) as   ⎧ ⎫ a 1 a ⎪ α ⎪ ⎪ ⎪ + α Za − ⎬ 1 ⎨ c α Za Za b √ (23) cot θb = g1 g2 ⎪ 2⎪ ⎪ ⎪ ⎩± ⎭ cα Z a (R L |Z S |2 − R S |Z L |2 ) where 2

2

2

2

g1 = (α Z a ) (R S − R L ) − (1 − α) (R L |Z S | − R S |Z L | ) g2 = (α Z a )2 (R S − R L ) − (1 + α)2 (R L |Z S |2 − R S |Z L |2 ). Here, a, b, and c are as in (14) with a RS X L − R L X S = b R L |Z S |2 − R S |Z L |2

RS − R L c = . b R L |Z S |2 − R S |Z L |2

(24)

R S and R L are chosen to be positive (i.e., passive source and load impedance) and, hence, g1 will be positive–definite only on the outside of the circle in the R L -X L plane given by   (α Z a )2 (R S − R L ) − (1 − α)2 R L |Z S |2 − R S |Z L |2 = 0. (25a)

Similarly, g2 will be positive definite only in the exterior of the circle in the R L -X L plane given by (α Z a )2 (R S − R L ) − (1 + α)2 (R L |Z S |2 − R S |Z L |2 ) = 0. (25b) The positive–definite domain of g1 g2 in the load impedance plane is the union of the region outside both the circles given by (25a) and (25b) and the mutually common region interior to both the circles. In this region, θb can be evaluated and, hence, this serves as the allowed region of the IMN. The boundary equations (25a) and (25b) of the positive–definite domain can be converted in the normalized load impedance plane as (1 − α)2 (r L 2 + x L 2 −r L ) + (αz a )2 (1 − r L ) = 0   (1 + α)2 r L 2 + x L 2 − r L + (αz a )2 (1 − r L ) = 0.

(26a) (26b)

Equations (26a) and (26b) can be written in the Smith chart plane using transformation (12) as   (1 − α)2 (r 2 + i 2 + r ) + (αz a )2 r 2 + i 2 − r = 0 (27a) (1 + α)2 (r 2 + i 2 + r ) + (αz a )2 (r 2 + i 2 − r ) = 0. (27b) Consider the case of the parallel TLs having same impedance Z a in both the branches (i.e., α = 1). For Z a being equal to the source impedance, i.e., (z a = 1), (27) reduces to the two circles, as shown in Fig. 7(a), the shaded region being the allowed region for the IMN. For Z a being twice that of the source impedance, i.e., (z a = 2), (27a) reduces to a point as shown in Fig. 7(b). Similarly the choice of α = 0.5 and z a = 1 (the forbidden region on the right side of the Smith chart becomes the allowed region), as shown in Fig. 7(c). One can thus have a control on the locations of the forbidden region of the IMN with various choices of the impedance of the parallel TLs. It is also to be noted that the parallel TL is capable of providing a solution where the single TL failed to achieve and, hence, is complementary to the former.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Allowed region (shaded) for the parallel-TL based IMN: (a) (z a = 1, α = 1), (b) (z a = 2, α = 1), and (c) (z a = 1, α = 0.5) on the Smith chart.

source impedance R S + j X S connected at port-1. The IMN is a cascade of two TLs with characteristic impedances Z a and Z b and corresponding electrical lengths kθ and (1−k)θ . Here k is the asymmetric factor, the value of which is between 0 and 1. The ABC D parameters as in (2a) of a lossless reciprocal stepped-impedance transformer is given as 1 (Z cos θ − Z cos(2k − 1)θ ) 2Z b 1 b = (Z sin θ + Z sin(2k − 1)θ ) 2 1 c= (Z sin θ − Z sin(2k − 1)θ ) 2Z a Z b 1 d= (Z cos θ + Z cos(2k − 1)θ ) 2Z a a=

Fig. 8. Various kinds of asymmetric two-port networks [31] used as matching networks with port-1 terminated by source impedance Z S = R S + j X S and port-2 by load impedance Z L = R L + j X L . (a) Asymmetric tapered line. (b) Asymmetric stepped-impedance line. (c) Asymmetric T-network. (d) Asymmetric -network.

With this we conclude the discussion on the symmetric matching networks. It is to be noted there exists only two transmission phase shifts as given in (11), which can be achieved using a symmetric network, and can never be achieved by an asymmetric network. On the contrary, any other desired phase shift can only be achieved by an asymmetric matching network, the details of which are discussed in Section IV. IV. A SYMMETRIC IMN For any arbitrary transmission phase shift ϕ ∈ [0, 2π], impedance transformers are in general asymmetric in nature, some of which are shown in Fig. 8. In Sections IV-A–IV-D, we will derive the general design equations of a stepped impedance, T, and -network with a desired transmission phase shift. We will study the allowed and forbidden regions with an example of a load impedance of Z L = (100 − j 30) and source impedance of Z S = 50 . A. Stepped-Impedance IMN A popular variant of an impedance transformer, the steppedimpedance transformer, as shown in Fig. 8(b), is used to match the load impedance R L + j X L connected at port-2, to the

(28a) (28b) (28c) (28d)

where Z = (Z a + Z b ) and Z = (Z a − Z b ). One can rewrite (28) in terms of the total electrical length θ and the difference between the lengths of two TLs (2k − 1)θ as b + cZ a Z b Za + Zb a Zb + d Za cos θ = Za + Zb b − cZ a Z b sin(2k − 1)θ = Za − Zb a Zb − d Za cos(2k − 1)θ = . Zb − Za sin θ =

(29a) (29b) (29c) (29d)

For a given source and load impedances and a desired phase shift ϕ, the a, b, c, and d parameters of the IMN can be calculated using (10a)–(10d). Exploiting the trigonometric relationship between (29a) and (29b) or (29c) and (29d), the inter-relationship between Z a and Z b can be expressed as (1 − a 2 )Z b 2 + (1 − d 2 )Z a 2 = b2 + (cZ a Z b )2 .

(30)

In order to determine the electrical length θ and the asymmetric factor k using (29a)–(29d), Z a and Z b needs to be evaluated. One can first choose Z a and then determine Z b using  (1 − d 2 )Z a 2 − b 2 . (31) Zb = a 2 − 1 + c2 Z a 2

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SINHA AND DE: THEORY ON MATCHING NETWORK IN VIEWPOINT OF TRANSMISSION PHASE SHIFT

7

Fig. 9. Parameter variation of SIT in Z a − ϕ plane. (a) Variation of Z b shown as a color map in the Z a − ϕ plane. (b) Variation of θ shown as a color map in Z a − ϕ plane. (c) Variation of k shown as a color map in Z a − ϕ plane.

Note that Z b should be real if Z a lies outside the region bounded by the following curves: ⎧ ⎨ 1 − a2 , if a < 1 (32a) Za = c2 ⎩ 0, if a ≥ 1 ⎧ ⎪ b2 ⎨ , if d < 1 Za = (32b) 1 − d2 ⎪ ⎩ ∝, if d ≥ 1. The region bounded by curves (32a) and (32b) can be referred to as the forbidden region in the impedance (Z a )-phase-shift (ϕ) plane, for a given source and load impedance. From a practical realization point of view the impedances may be restricted within the range of, say, (20 , 120 ), and has been maintained throughout this paper. Fig. 9(a) shows the variation of Z b ∈ (20 , 120 ) in the impedance (Z a )-phase-shift (ϕ) plane with Z a ∈ (20 , 120 ) and ϕ ∈ [0 2π]. The forbidden region is shown in checked and the allowed region, where / (20 , 120 ) is marked by parallel horizontal Zb ∈ lines. The practically realizable allowed region where Z b ∈ (20 , 120 ) is marked by color map and its associated value can be read from the color-bar embedded inside Fig. 9(a). It is observed that Z b is periodic in ϕ with periodicity of 180°. Once Z a and Z b have been calculated, the electrical length θ and the asymmetric factor k can be determined from (29). A unique set of solution can be obtained by imposing the physical constraints on the electrical lengths such that for the choice of non-negative θ , we have 0 ≤ k ≤ 1. This implies that the difference between the two lengths |(2k − 1)θ | must be less than the total electrical length. Fig. 9(b) shows the variation of θ in the Z a − ϕ plane where θ varies between 35.28° and 498.21°. The variation of asymmetric factor k has been shown in Fig. 9(c) where k = 0 and k = 1 indicate the symmetric solutions when Z a = Z b . The symmetric IMN for the given load and source impedance provides a phase shift ϕ = 59.04◦ or 239.04◦. It can be observed that ϕ = 59.04◦ corresponds to a singleTL-based (symmetric) IMN with a characteristic impedance

of 76.81 and electrical length 68.67°. For the case of ϕ = 239.04◦, the IMN can either be a single TL as before with characteristic impedance of 76.81 and electrical length 248.67°, or a stepped-impedance line with Z a = 76.81 , Z b = 76.81 , θ = 248.67◦, and kθ = 180◦ (i.e., k = 0.72). The latter is interesting in the sense that the IMN though structurally asymmetric is, however, electrically symmetric at the resonance frequency. Another important set of solutions correspond to the IMN with minimum total electrical length. For the given practical limitations of the characteristic impedances Z a and Z b , the minimum total length of the IMN achieved is θ = 35.28◦ with the choice of Z a = 120 , Z b = 20.18 , and k = 0.83 and it provides a phase shift of ϕ = 37.7◦. It is generally observed from Fig. 9(b) that the minimum length stepped-impedance IMN lies in the region of high impedance for Z a and ϕ ≤ 59.04◦ (as in the symmetric IMN) in the Z a − ϕ plane. The minimum length thus obtained is almost half of that of a single TL based IMN [15]. This shows the usefulness of the systematic study in the impedance-phase plane to synthesize the steppedimpedance-based IMN with desired characteristics. B. Asymmetric- Impedance-Matching Network The single- and double-stub matching, commonly referred to as the L-type and the -type matching networks, respectively, have gained wide popularity due to their ease of implementations where the TL impedances are kept fixed. For a given main and shunt line impedance, the L-type IMN has a single solution and, hence, a single phase shift can be achievable, while the -type IMN has multiple possible solutions. These sets of solutions correspond to different phase shifts of the -type IMN. Such IMNs are advantageous due to their small electrical lengths of the main line. The -type IMN, as shown in Fig. 8(d), consists of a TL with characteristic impedance Z m and electrical length θm loaded by two shunt susceptance B1sh and B2sh at its two ends. The capacitive type susceptance, i.e., with Bsh ≥ 0, can be implemented either by a lumped capacitor (for the lower frequency range) or by an open-ended shunt stub. Similarly, the inductive-type susceptance, i.e., with Bsh ≤ 0, can be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

implemented by a lumped inductor (for the lower frequency range) or by a short-circuited shunt stub. At the resonance frequency f 0 , the capacitor Csh and the inductor L sh can be calculated as Bsh Csh = (33a) 2π f0 1 L sh = . (33a) |Bsh |2π f 0 cap

The electrical lengths of the capacitive open stub θsh and ind can be calculated as the inductive short-circuited stub θsh cap

tan θsh = Z sh Bsh ind cot θsh

= Z sh |Bsh |.

(34a) (34b)

Here, Z sh is the characteristic impedance of the shunt stubs. The network parameters of the asymmetric -type network are given as a = cos θm − Z m B2sh sin θm b = Z m sin θm

(35a) 

c = (B1sh + B2sh ) cos θm +

(35b)  1 − Z m B1sh B2sh sin θm Zm (35c)

d = cos θm − Z m B1sh sin θm .

(35d)

Using (35a)–(35d), the design parameters θm , B1sh , and B2sh can be written in terms of the characteristic impedance Z m and the network parameters a, b, c, and d as  Z m 2 − b2 cos θm = ± (36a) Zm b sin θm = (36b) Zm cos θm − d (36c) B1sh = b cos θm − a B2sh = . (36d) b Depending on the overall sign, B1sh and B2sh can be both capacitive or both inductive or one capacitive and the other inductive. The solution corresponding to the smaller electrical length of the main line is of primary interest. Therefore, in this section, we will only discuss the +ve sign solution for which θm will lie in the first quadrant for the choice of b > 0. Likewise, the analysis can be carried forward for b < 0, where θm will lie in the third quadrant. In this case the solution sets correspond to the −ve sign. The solution for the -type IMN, as in (36), has forbidden region in the impedance-phase-shift (Z m − ϕ) plane in a similar manner as in the case of the stepped-impedance IMN. The forbidden region of the -type IMN is defined by the area enclosed by the following curves in the Z m − ϕ plane as: Z m = |b|

(37a)

Z m = 0.

(37b)

It is to be noted that (36) will not yield a valid solution when b = 0, irrespective of the choice of Z m . This occurs

at two distinct values corresponding to the phase shift ϕfob , which can be expressed as tan ϕfob =

RS X L + X S R L . RS R L − X S X L

(38)

This phase shift ϕfob can never be attained by any -type IMN. The variation of the electrical lengths of the main line θm and the shunt lines θ1sh and θ2sh connected to the source and the load ends, respectively, in the Z m − ϕ plane are shown in Fig. 10(a)–(c), respectively. We have chosen both the shunt line impedance as 25 . The forbidden region is shown as the checked region and the electrical length variation is shown in the color map. In Fig. 10(b) and (c), the short-circuited shunt stub (inductive) length is marked with a horizontal line along with the color map, while the other portions represent the open-circuited shunt stub (capacitive). It is observed that θm always lies in the first (0◦ –90◦ ) coordinate and, hence, has the smallest main line electrical length, when the transmission phase shift lies within the range (ϕfob − 180) < ϕ < ϕfob . Here, the forbidden phase ϕfob = 163.3◦, as obtained using (38). As ϕ approaches ϕfob , the main line electrical length θm tends to vanish and the shunt stub length increases, thus effectively occupying a larger area. This problem can be averted by replacing the stub by a lumped component if feasible. In order to have a miniaturized TL based matching circuit, it is therefore desirable to chose Z m and ϕ in the Z m − ϕ plane in such a way that both the shunt stubs are capacitive with small electrical lengths. One can thus conclude that though the -type IMN have forbidden regions, they are suitable for electrically small matching networks where it provides small transmission phase shift, especially in the region given by 30◦ < ϕ < 60◦ .

C. Asymmetric-T Impedance-Matching Network A popular two-port network, which have found great usefulness in miniaturization of couplers [31], [32], but have not been considered in the context of matching network is the asymmetric-T network shown in Fig. 8(c). The network consists of a TL with electrical length θm and characteristic impedance Z m , loaded by a shunt susceptance Bsh at a distance of kθm (k being the asymmetric factor) from the source impedance. The two-port parameters of the asymmetric-T network is given as a = cos θm − Z m Bsh sin kθ m cos(1 − k)θm b = Z m (sin θm − Z m Bsh sin kθ m sin(1 − k)θm ) 1 c= (sin θm + Z m Bsh cos kθ m cos(1 − k)θm ) Zm d = cos θm − Z m Bsh sin (1 − k)θ m cos kθm .

(39a) (39b) (39c) (39d)

The a, b, c, and d parameters are a function of the phase shift of the IMN ϕ for a given source and load impedance and can be calculated using (10a)–(10d). One can express the shunt susceptance and the electrical lengths in terms of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SINHA AND DE: THEORY ON MATCHING NETWORK IN VIEWPOINT OF TRANSMISSION PHASE SHIFT

9

Fig. 10. Parameter variation of an asymmetric- IMN in the Z m − ϕ plane. (a) Variation of θm shown as a color map in the Z m − ϕ plane. (b) Variation of θ1sh shown as a color map in the Z m − ϕ plane. (c) Variation of θ2sh shown as a color map in the Z m − ϕ plane.

Fig. 11. Parameter variation of an asymmetric-T type IMN shown as a color map in the Z m − ϕ plane. (a) Variation of θsh in the Z m − ϕ plane. (b) Variation of θm in the Z m − ϕ plane. (c) Variation of k in the Z m − ϕ plane.

characteristic impedance of the main line Z m as    1 b 2 2 Bsh = ± (a − d) + cZ m − Zm Zm   b − Z m Bsh (a + d) 2 cZ m + Zm sin θm = (Z m Bsh )2 + 4   b 2 (a + d) + Z m Bsh cZ m + Zm cos θm = 2 (Z m Bsh ) + 4 a−d sin(1 − 2k)θm = Z m Bsh b cZ m − Zm cos(1 − 2k)θm = . Z m Bsh

(40a)

(40b)

(40c) (40d)

(40e)

An exotic property of such a network is that it does not have any forbidden region in the main line impedance-phaseshift (Z m − ϕ) plane, for any value of the source and the load impedance. This is based on the fact that (40a) is always real and the magnitude of the right-hand side of (40b)–(40e) is always less that unity; hence, the above set of equations always has a definite solution for any given main line impedance. This implies that for a desired transmission phase shift, we can always synthesize a T-type matching network to match any given load to any source impedance. This is an important

property not exhibited by any of the earlier cases. Note that from (40a) one can have two solutions for the shunt susceptance: capacitive and inductive. We limit our study here to only the capacitive loaded IMN, which can be easily implemented using an open-circuited stub with electrical length θsh and can be calculated using (34a) for given characteristic impedance Z sh . Several solutions of θsh are possible depending upon the various choices of Z sh . In view of a miniaturized IMN, it is desired that the shunt stub length be small and, hence, Z sh should be as small as possible. We limit Z sh to 25 from a practical realization point of view. Fig. 11(a) shows the variation of the electrical length of the shunt stub θsh in the Z m − ϕ plane when the T-type IMN has been used to match a particular load impedance Z L = (100 − j 30) to the source impedance Z S = 50 , as has been used in the previous examples. It is to be noted that θsh is periodic with period π with respect to ϕ for a given Z m . The two dark dots in Fig. 11(a) correspond to θsh equal to zero, which is basically a single TL based IMN. It can be further observed that the lower range of main line impedance Z m requires a larger length of the shunt stub, as indicated by the lighter region. The variation of the electrical length of the main line θm and the asymmetric factor k in the Z m − ϕ plane is shown in Fig. 11(b) and (c), respectively. Constraining the asymmetric factor k to be positive and less than unity, the main line electrical length θm is in certain cases larger than 360°. The minimum value of the main line length θm = 29.9◦ is attained

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. (a) Variation of bandwidth in the Z a − ϕ plane for stepped-impedance IMN. (b) Variation of bandwidth in Z m − ϕ plane for asymmetric-T IMN. (c) Variation of bandwidth in Z m − ϕ plane for asymmetric- IMN.

for the characteristic impedance of the line as 120 with the length of the shunt line as 7.28° and an asymmetric factor of 1; thus providing the transmission phase shift of 37.4°. The minimum length solution, which is asymmetric in nature, is even smaller than the stepped-impedance solution discussed earlier and its symmetric counterpart. It can be observed from Fig. 11(c) that the transmission phase ϕ = 59.04◦ corresponds to a symmetric-T (k = 0.5) when Z m ≥ 76.81 . Similarly, ϕ = 239.04◦ corresponds to a symmetric-T for Z m ≤ 76.81 . For all other values of the main line impedance, the asymmetric factor k is less than 0.5 at these two transmission phases, which are special cases of the structure being physically asymmetric, but with symmetric network parameters at the resonance frequency. Several types of asymmetric matching networks have been discussed, each having their respective characteristics. It is to be noted that the synthesis equation provided in (29), (36), and (40) are not limited to the IMN, however it can also be used to synthesize the elementary two-port network of couplers as in [32]. In Section IV-D, we compare the performance of the IMNs in terms of the bandwidth over which the IMN provides a decent matching of the load to the source. D. Bandwidth Performance of Different Asymmetric IMNs In Sections IV-A–IV-C, we discussed various topologies of the asymmetric IMNs and their possible infinite solution in the impedance phase-shift plane. The solution of the electrical length provides a direction towards an efficient miniaturization of the IMNs at the resonance frequency; yet another property viz. the bandwidth of the matching response needs to be further analyzed. The matching bandwidth of the IMN is defined as the frequency band within which the return loss (|S11 |) is better than 20 dB. The frequency response in general being asymmetric about the resonance frequency, the fractional bandwidth can therefore be defined as 2( f 2 − f 1 ) (41) BW = f2 + f1 where f 1 and f 2 are the lower and the upper −20-dB cutoff frequency. In order to study the bandwidth characteristics of various IMNs, the load impedance of Z L = (100 − j 30) is chosen to be implemented using a lumped resistance of 100 and an open-circuited shunt stub of electrical length 45° and characteristic impedance of 30 , thus, in practice, leading to

a frequency-dependent load impedance. The design parameters of the IMNs viz. the characteristic impedances used and their electrical lengths are calculated in the impedance phase-shift plane as described earlier in Figs. 9– 11. The bandwidth of the stepped-impedance IMN in the Z a − ϕ plane has been shown in Fig. 12(a). A maximum bandwidth of BW = 122.76% is achieved with a transmission phase shift of ϕ = 79◦ , characteristic impedance Z a = 63.6 , and Z b = 84.33 , the total electrical length being θ = 89.2◦ with an asymmetric factor of k = 0.52. The variation of bandwidth in the Z m − ϕ plane for asymmetric-T type IMN has been shown in Fig. 12(b). A maximum bandwidth of BW = 121.3% is achieved with the transmission phase shift ϕ = 69.3◦, characteristic impedance of the main line being Z m = 82.1 and the shunt line Z sh = 25 , the total electrical length of the main line being θm = 73.08◦ with asymmetric factor k = 0.24, and the shunt line being θsh = 4.17◦. Fig. 12(c) shows the bandwidth variation of the asymmetric--type IMN in the Z m − ϕ plane. The maximum bandwidth of BW = 42.56% is achieved with the phase shift ϕ = 60.3◦ , characteristic impedance of the main line Z m = 76.8 and the open-ended shunt line Z sh = 25 , the total electrical length of the main line being θm = 69.5◦ and that of the open-ended shunt line θ1sh = 0.36◦ and θ2sh = 0◦ . Near the forbidden phase ϕfob , the IMN has almost negligible bandwidth and henceforth is not preferable. From the above results it can be observed that the steppedimpedance IMN has the widest matching bandwidth. However, the asymmetric-T type IMN, which does not have any forbidden region, has bandwidth comparable to the steppedimpedance IMN, with its total electrical length being quite small compared to the former. The asymmetric--type IMN has the smallest bandwidth compared to others, its maximum bandwidth being when it is close to an L-type IMN. One can thus conclude that the asymmetric-T type matching network is relatively superior in terms of its various merits. We thus have provided a systematic procedure for analyzing an IMN for a given topology. The detailed design parameters for various choices of load impedances have been provided in a supplementary document1 to this paper. In Section V, we use an asymmetric-T type IMN as a proof-of-concept of the proposed theory. 1 A supplementary document of this paper is available online at http://ieeexplore.ieee.org.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SINHA AND DE: THEORY ON MATCHING NETWORK IN VIEWPOINT OF TRANSMISSION PHASE SHIFT

11

Fig. 13. (a) Circuit schematic of two-port asymmetric T-type IMN with source impedance Z S = 50 and a frequency-dependent load impedance Z L ( f ) = (100 − j30 cot (π/4 f / f 0 )). (b) Layout of the fabricated IMNs. TABLE I E LECTRICAL PARAMETERS OF A SYMMETRIC -T T YPE IMN S W ITH S TUB I MPEDANCE Z sh = 50

Fig. 14. Experimental setup for measurement of IMNs. Fabricated prototypes with left side being port-2 (load) and the right side being port-1 (source) are shown in the inset. (a) Ultra-broadband IMN. (b) IMN providing a transmission phase of 45°.

TABLE II P HYSICAL D IMENSIONS OF THE A SYMMETRIC -T T YPE IMN S

V. I MPLEMENTATION OF IMN S In order to establish the above theory, two types of matching networks using an asymmetric-T, as shown in Fig. 13(a), have been designed, fabricated, and the measured results are discussed in this section. The IMNs match the source impedance of 50 to a load impedance of (100− j 30) at the center frequency of 1.0 GHz. The first design corresponds to an IMN with ultra-broad bandwidth, while the second design corresponds to the desired transmission phase of 45°. The electrical parameters are tabulated in Table I. In practice, load impedance varies with frequency. Herein we consider port-2 terminated by a load impedance Z L ( f ) = (100 − j 30 cot (π/4 f / f0 )) with the frequency-dependent reactive part corresponding to an open-circuited shunt stub and f 0 being the resonance frequency. The matching networks have been designed using microstrip technology on a 1.58-mm-thick FR-4 substrate with relative permittivity of 4.4 and loss tangent of 0.02. The circuit layout is shown in Fig. 13(b) and the dimensions are tabulated in Table II. The scattering parameters of the fabricated prototypes shown in Fig. 14 were first obtained using both the source and load impedance of 50 for ease of measurement (measurement setup shown in Fig. 14) and then renormalized with respect to Z S and Z L ( f ) using [30]. The magnitude of the reflection (S11 ) and transmission (S21 ) coefficients along with the transmission phase ( S21 ) of the ultra-broad band IMN are shown in Fig. 15. The full-wave simulation results using ADS Momentum have good agreement with those obtained from the ideal circuit analysis. The measured results deviate slightly due to the presence of the junction discontinuity and fabrication tolerances. The measured transmission phase

Fig. 15. Reflection (|S11 )|) and transmission (|S21 |) coefficients along with the transmission phase ( S21 ) of the ultra-broadband matching network (Design 1) with |S21 | and S21 in grey and |S11 | in black.

Fig. 16. Reflection (|S11 |) and transmission (|S21 |) coefficients along with the transmission phase ( S21 ) of the 45° matching network (Design 2) with |S21 | and S21 in grey and |S11 | in black.

(shown in the inset of Fig. 15) deviate by 0.6° over the predicted 69.3° at the design frequency. The measured return loss is better than 15 dB over the frequency range from 0.68 to 3.38 GHz, thus providing a fractional bandwidth of 133%. We can therefore realize an ultra-broadband matching network for frequency-dependent load impedance using a simple asymmetric-T topology with an appropriate choice of design parameters. Fig. 16 shows a comparison between the simulation and measurement results for the second fabricated prototype,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

which provides a desired transmission phase of 45°. As before, the ideal circuit-based simulation and the full-wave results agree quite closely; however, there is a slight shift in the resonance from 1.0 to 1.06 GHz in the measured data. The measured transmission phase at the desired frequency of 1.0 GHz is 45.46° with the corresponding transmission and reflection coefficients being −0.14 and −32.7 dB, respectively. One can thus synthesize an impedance transformer, matching a source to the load, with a desired transmission phase shift. VI. C ONCLUSIONS A general set of network equations of an impedance transformer matching a complex load to a source has been derived incorporating the transmission phase shift along with the maximum power transfer property at the resonance frequency. Two examples of simple symmetric IMNs: the single and the parallel TLs, have been studied in terms of their allowed and forbidden regions on the Smith chart. It is interesting to note that the single and the parallel TLs have a complementary nature of their allowed and forbidden regions. The symmetric IMNs, however, cannot provide arbitrary transmission phase shifts, which the asymmetric networks do. Several asymmetric networks like the stepped impedance, the -type, and the T-type network have been studied. The stepped impedance IMN provides the largest bandwidth, while the -type matching network is most suitable for a miniaturized small phaseshift network. The T-type matching network is the only one with no forbidden region, thus allowing matching of an arbitrary complex load to any source impedance with any desired transmission phase shift. By incorporating the transmission characteristics while designing a matching network, one can simultaneously match as well as provide an appropriate phase shift. R EFERENCES [1] L. Storch, “A theorem on the impedance-transforming properties of reactive networks,” J. App. Phys., vol. 24, no. 7, pp. 833–838, Jul. 1953. [2] M. Thompson and J. K. Fidler, “Determination of the impedance matching domain of impedance matching networks,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 10, pp. 2098–2106, Oct. 2004. [3] Y. Sun and J. Fidler, “Design method for impedance matching networks,” in Proc. IEE Circuits, Devices, Syst., Aug. 1996, vol. 143, no. 4, pp. 186–194. [4] A. Van Bezooijen, M. A. De Jongh, F. Van Straten, R. Mahmoudi, and A. H. Van Roermund, “Adaptive impedance-matching techniques for controlling l networks,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 2, pp. 495–505, Feb. 2010. [5] F. Po, E. De Foucauld, D. Morche, P. Vincent, and E. Kerhervé, “A novel method for synthesizing an automatic matching network and its control unit,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 9, pp. 2225–2236, Sep. 2011. [6] Q. Gu, J. R. De Luis, A. S. Morris III, and J. Hilbert, “An analytical algorithm for pi-network impedance tuners,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 12, pp. 2894–2905, Dec. 2011. [7] R. King, “Transmission-line theory and its application,” J. Appl. Phys., vol. 14, no. 11, pp. 577–600, Nov. 1943. [8] C. Tai, “Shunt and series sections of transmission line for impedance matching,” J. App. Phys., vol. 17, no. 1, pp. 44–50, Jan. 1946. [9] N. Balabanian, “Impedance matching,” IRE Trans. Microw. Theory Techn., vol. MTT-3, no. 4, pp. 53–54, Jul. 1955.

[10] A. Hudson, “A logarithmic transmission line chart,” IRE Trans. Microw. Theory Techn., vol. MTT-7, no. 4, pp. 277–281, Jul. 1960. [11] M. Hamid and M. Yunik, “On the design of stepped transmission-line transformers,” IEEE Trans. Microw. Theory Techn., vol. MTT-15, no. 9, pp. 528–529, Sep. 1967. [12] G. French and E. Fooks, “The design of stepped transmission-line transformers,” IEEE Trans. Microw. Theory Techn., vol. MTT-16, no. 10, pp. 885–886, Oct. 1968. [13] G. French and E. Fooks, “Double section matching transformers,” IEEE Trans.Microw. Theory Techn., vol. MTT-17, no. 9, p. 719, Sep. 1969. [14] R. Arnold, “Transmission line impedance matching using the Smith chart,” IEEE Trans. Microw. Theory Techn., vol. MTT-22, no. 11, pp. 977–978, Nov. 1974. [15] H.-R. Ahn, “Complex impedance transformers consisting of only transmission-line sections,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2073–2084, Jul. 2012. [16] S. Simion, “Comments on ‘Complex impedance transformers consisting of only transmission-line sections’,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, p. 999, Feb. 2013. [17] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [18] A. H. Wing and J. Eisenstein, “Single-and double-stub impedance matching,” J. Appl. Phys., vol. 15, no. 8, pp. 615–622, Aug. 1944. [19] H.-R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their application to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [20] M. C. Scardelletti, G. E. Ponchak, and T. M. Weller, “Miniaturized Wilkinson power dividers utilizing capacitive loading,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 6–8, Jan. 2002. [21] C. Miao, J. Yang, G. Tian, X. Zheng, and W. Wu, “Novel subminiaturized Wilkinson power divider based on small phase delay,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 10, pp. 662–664, Oct. 2014. [22] H.-R. Ahn and S. Nam, “3-dB power dividers with equal complex termination impedances and design methods for controlling isolation circuits,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 11, pp. 3872–3883, Nov. 2013. [23] H.-R. Ahn, “Compact CVT-/CCT-unequal power dividers for high-power division ratios and design methods for arbitrary phase differences,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 2954–2964, Dec. 2014. [24] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [25] P. Hannan, D. Lerner, and G. Knittel, “Impedance matching a phasedarray antenna over wide scan angles by connecting circuits,” IEEE Trans. Antennas Propag., vol. AP-13, no. 1, pp. 28–34, Jan. 1965. [26] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Techn., vol. MTT-13, no. 3, pp. 194–202, Mar. 1965. [27] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Stand. Technol., vol. 97, p. 533, Oct. 1992. [28] J. Rahola, “Power waves and conjugate matching,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 1, pp. 92–96, Jan. 2008. [29] S. Llorente-Romano, A. Garca-Lamperez, T. K. Sarkar, and M. Salazar-Palma, “An exposition on the choice of the proper s parameters in characterizing devices including transmission lines with complex reference impedances and a general methodology for computing them,” IEEE Antennas Propag. Mag., vol. 55, no. 4, pp. 94–112, Aug. 2013. [30] D. A. Frickey, “Conversions between S, Z , Y, H, ABC D, and T parameters which are valid for complex source and load impedances,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 2, pp. 205–211, Feb. 1994. [31] R. Sinha, A. De, and S. Sanyal, “A theorem on asymmetric structure based rat-race coupler,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 3, pp. 145–147, Mar. 2015. [32] R. Sinha and A. De, “Synthesis of multiport networks using port decomposition technique and its applications,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1228–1244, Apr. 2016.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SINHA AND DE: THEORY ON MATCHING NETWORK IN VIEWPOINT OF TRANSMISSION PHASE SHIFT

Rakesh Sinha received the B.Tech degree in electronics and communication engineering from the Kalyani Government Engineering College, Kalyani, India, in 2008, the M.Tech degree in RF and microwave engineering from the Indian Institute of Technology, Kharagpur, India, in 2011, and is currently working toward the Ph.D. degree at the Indian Institute of Technology. From 2008 to 2009, he was with the Department of Robotics and Automation, Central Mechanical Engineering Research Institute, Durgapur, India, as a Junior Research Fellow. His current research interests are in the area of multiport network synthesis, impedance matching, coupling and decoupling networks, and computational electromagnetics.

13

Arijit De (M’12) received the B.Tech. degree (with honors) in electronics and electrical communication engineering from the Indian Institute of Technology, Kharagpur, India, in 2004, and the Ph.D. degree from Syracuse University, Syracuse, NY, USA, in 2010. In the summer of 2003, he was an Intern with the Centre of Excellence Embedded DSP, Tata Consultancy Services, where he was involved with the design and implementation of the 802.11g wireless local area network (LAN). From 2004 to 2005, he was a Research Consultant with the Advanced VLSI Design Laboratory, Indian Institute of Technology (IIT), Kharagpur, India, where he was involved with the development of next-generation analog computer-aided design (CAD) tools for the National Semiconductor Corporation, Santa Clara, CA, USA. He is currently an Assistant Professor with the Department of Electronics and Electrical Communication Engineering, IIT.His current research interest deal with mathematical modeling and computational techniques applied to problems arising in the area of electromagnetics and signal processing. Prof. De. was the recipient of the 2011 URSI Young Scientist Award at the XXXth URSI General Assembly, Istanbul, Turkey.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Slow-Wave Effect of Substrate Integrated Waveguide Patterned With Microstrip Polyline Haiyan Jin, Kuangda Wang, Student Member, IEEE, Jiapin Guo, Student Member, IEEE, Shuai Ding, Graduate Student Member, IEEE, and Ke Wu, Fellow, IEEE Abstract— A class of slow-wave substrate integrated waveguide (SIW) structures patterned with microstrip polyline is presented, theoretically studied, and experimentally validated, which demonstrates some interesting slow-wave propagation effects. The slow-wave SIW (SW-SIW) enables the size reduction of a physically large circuit without sacrificing its performance. A size reduction of 40% of the lateral dimension is achieved with reference to that of the conventional SIW counterpart at the same cutoff frequency. Meanwhile, the phase velocity of the waveguide is also reduced by 40%, resulting in a smaller longitudinal dimension for a given electrical length. Both lateral and longitudinal effects give rise to a total size reduction, largely extending the operation range of SIW structures in the lowfrequency region, which has often been restrained by a physical dimension-related cutoff frequency. Also, a transmission line-based two-dimensional (2-D) equivalent-circuit model is proposed and deployed for the modeling and analysis of the slow-wave mechanism. The results from the equivalent-circuit model agrees very well with that from the full-wave simulations. Furthermore, a broadband microstrip to SW-SIW taper with good return loss is designed for measurement verification. Using the proposed SW-SIW structure, the size of conventional SIW-based microwave circuits such as power splitters, couplers, and filters can be further reduced in addition to the existing size-reduction techniques. Index Terms— Patterned microstrip polyline, slow-wave effect, substrate integrated waveguide (SIW), transmission-line model, two-dimensional (2-D) equivalent circuit.

I. I NTRODUCTION

W

ITH the development of RF and microwave integrated circuits, planar transmission-line techniques such as microstrip and coplanar waveguide [1]–[3] have been extensively studied and used in the design of wireless devices and systems due to their compact size, low profile, easy fabrication, and low cost. To improve their electrical and mechanical performances, a number of slow-wave counterparts have been Manuscript received May 6, 2015; revised August 6, 2015, January 2, 2016, and April 19, 2016; accepted April 22, 2016. This work was supported by the Fundamental Research Funds for the Central Universities (No. ZYGX2013J004) and by the National Natural Science Foundation of China (No. 60901019/F0106). This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC) and by the Canada Research Chair (CRC) Program. H. Jin is with the School of Communication and Information Engineering, University of Electronic Science and Technology of China (UESTC), Chengdu 611731, China (e-mail: [email protected]). K. Wang, J. Guo, S. Ding, and K. Wu are with the Poly-Grames Research Center, École Polytechnique de Montréal, University de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2559479

investigated [4]–[6]. On the other hand, substrate integrated waveguides (SIWs) have been widely accepted as an emerging efficient planar transmission line technique since 2001 [7]–[9] thanks to their superior electrical and physical performances. The SIW circuits have already become attractive, especially at high microwave frequencies (above 10 GHz) as this promising technology exhibits outstanding performances over a wide operating frequency range with low transmission loss and wide monomode band, and also a reasonably small physical size. The size restriction of the SIW is generally related to its high-pass characteristics like conventional waveguide with a cutoff frequency inversely proportional to the width of the waveguide broadside. To inherently reduce the SIW size and improve the compactness of SIW circuits for lower RF frequency applications, some solutions have been proposed and studied. In [10], a folded SIW was proposed to reduce the bilateral width that can achieve at least 50% size reduction compared to its classical SIW counterpart, depending on the number of dielectric layers used to mimic the end-to-end cross-sectional condition of the SIW. In [11], to reduce the lateral dimension of the SIW, a half-mode SIW was proposed. In [12] and [13], a ridge SIW was presented, which leads to an increase in bandwidth of the SIW and a reduction of 40% for the lateral width. Numerous multilayered techniques have been proposed and demonstrated in [14] and [15]. In such designs mentioned above, the techniques have been only focused on the reduction schemes regarding the waveguide transversal dimension. A slow-wave concept has been successfully developed and extensively implemented on conventional planar transmission line and circuits to improve their performances or to realize certain functions. However, as a promising technique of reducing the size of the SIW, it has been, to the best of our knowledge, only reported very recently by Niembro-Martín et al. [16]. In that research, arrays of the blind via are loaded inside the SIW such that the electric field strength is greatly enhanced without obviously disturbing the magnetic field. In other words, the ratio between the capacitance and the inductance is enhanced and, as a result, the equivalent permittivity in both longitude and lateral directions is increased. By doing so, compared with conventional SIWs, the phase velocity is decreased thanks to the slow-wave effect and the cutoff frequency is also decreased. However, such a proposed slow-wave SIW (SW-SIW) suffers from a complex fabrication process and also a high cost because of its multilayer printed circuit board (PCB) structure. Nevertheless, the use of periodically patterned and

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Proposed slow-wave structure based on SIW with a microstrip polyline patterning.

network-grilled surfaces is attractive for the size reduction in the design of potential CMOS-based SIW circuits, in our opinion, since they are compatible with the CMOS metalpatterning fabrication process. In this paper, another class of SW-SIW structures is proposed, studied, and demonstrated. As shown in Fig. 1, a simple SW-SIW structure is presented on the basis of a single-layer substrate topology, where periodic microstrip polylines are patterned on the top metallic surface of the conventional SIW. From the point of view of duality, instead of dealing with the equivalent permittivity, the slowwave effect is realized mainly by boosting the value of an equivalent permeability. To this end, inductive microstrip polyline structures are used to construct the metal surface. The proposed SW-SIW enables both the longitude and lateral dimension reduction while maintaining a low-cost single-layer structure at the same time. The proposed SW-SIW exhibits a significant slow-wave effect, thereby leading to a size reduction in both transversal and longitudinal directions. The slowwave effect is obtained by an effective separation of magnetic and electrical fields inside the structure in connection with the top surface patterning of the SIW, which will be discussed and explained in this paper in detail later. This paper is organized as follows. First, the proposed SW-SIW topology and its operation principle are presented in Section II. Electromagnetic (EM) simulations are carried out to highlight the slow-wave effect of the SW-SIW with reference to different design parameters. In particular, the length of the microstrip polyline and the height of the SIW are varied separately to examine their influences on the slow-wave effect. An equivalent circuit model of the equivalent medium based on two-dimensional (2-D) transmission lines is proposed and deployed. Some useful rules are derived to facilitate the design of such waveguides. Second, in Section III, SW-SIWs are designed with the help of a single-layer manufacturing process. Taper transitions are also designed and optimized to match these SW-SIW structures to microstrip feeding lines for the purpose of measurements. Measurement and simulation results are finally presented and compared to validate the concept. II. S LOW-WAVE O PERATION P RINCIPLES A. Circuit Configuration The structure of the proposed SW-SIW is shown in Fig. 2. As described in Fig. 2(a), the whole periodic structure is

Fig. 2. Three-dimensional (3-D) schematic view of SW-SIW geometry. (a) SW-SIW structure without taper. (b) Unit periodic structure of SW-SIW. (c) Unit loading poly-lines structure of SW-SIW.

fabricated on a single-layer substrate. Similar to conventional SIW structures, the top and bottom metallic layers are connected by metallic vias [16]–[18]. Fig. 2(b) shows a unit length of the periodic waveguide, where periodic poly-lines are etched (patterned) on the top side of the metallic layer. Of course, the poly-line can also be etched on both sides of the waveguide. These poly-lines are connected with each other to form a microstrip poly-line loading network. B. Field Distribution and Slow-Wave Effect The purpose of creating such a poly-line patterning is to concentrate electric currents along the polyline microstrip on the upper layout of the SIW, thus increasing the inductive effect like typical microstrip inductor structures. In order to demonstrate the principle of this slow-wave structure, fullwave simulations of the proposed structure were carried out using commercial EM software HFSS. Fig. 3(a) and (b) shows the magnitude of the electric and magnetic field distributions of the TE 10 mode at 12 GHz in the SW-SIW. The electric fields are mainly concentrated at the center region of SW-SIW as the conventional SIW does while the magnetic fields are diffused due to the loaded mircrostrip poly-line. The operation principle of the proposed SW-SIW is quite different from the conventional SIW structure. This is a typical phenomenon for slow-wave transmission lines, which requires an effective spatial separations of electric and magnetic fields. To explain the working principle of the proposed SW-SIW, the field distribution of the TE 10 mode of the SW-SIW and the conventional SIW is compared to gain some insights. As shown in Fig. 4, the electric (E-) field distributions of the SIW and SW-SIW are uniform along the Y -axis (vertical direction) even though the fields change along the X-axis (transversal direction) in connection with the boundary

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: SLOW-WAVE EFFECT OF SIW PATTERNED WITH MICROSTRIP POLYLINE

3

by the current flowing in the poly-lines. Such E- and H -field distributions can be equivalently understood as a rectangular waveguide with the lower part filled with dielectrics of low permeability and the upper part filled with dielectrics of high permeability, while the permittivity is the same everywhere. In this way, the equivalent (average) permeability of the material filling the SIW is increased, thus resulting in a lower phase velocity and cutoff frequency. The operation principle of the proposed SW-SIW confirms the general statement that the slow-wave structure should provide a separate storage of electric and magnetic energy in space, which allows a separate control of effective permittivity and permeability [6]. C. Parametric Study

Fig. 3. Top view of E-fields and H -fields in SW-SIW: (a) E-field distribution and (b) H -field distribution.

Fig. 4.

Cross-section view of E-field of SW-SIW and SIW.

Fig. 5.

Cross-section view of H -field of SW-SIW and SIW.

condition at the side walls. In Fig. 5, the magnetic (H -) field distribution of the SIW is uniform, but the H -field distribution of the SW-SIW is non-uniform caused by the loading of the poly-line. Although the SW-SIW is an open structure, the radiation loss is negligible as long as the size of each cell is much smaller than the free-space wavelength at the working frequency (e.g., the width of cell in the illustrated simulation is λ0 /10). In this case, it can be observed that the E-field is completely confined inside the waveguide structure and its amplitude is mostly uniform throughout the Y direction similar to that of SIW. However, the H -field is strongly concentrated along the poly-line patterned top surface governed

Based on the previous analysis, the change of thickness of the waveguide and the geometry of the poly-line will change the equivalent permeability of the waveguide, thus leading to the change of cutoff frequency and the phase velocity of the proposed SW-SIW. To illustrate the performance change versus a geometry change of the proposed waveguide, a parametric study with different thickness and different poly-line geometry is conducted in the following. The two key waveguide parameters h (thickness of waveguide) and L 2 (geometry factor of the meander line), which mainly determines the inductance of poly-line, are studied. To begin with, the Rogers 6002 PCB substrate is selected for its low dielectric loss characteristic. The dimensional details of a unit cell of the poly-line are shown in Fig. 2. The geometric parameters of the structure are set as follows: Wm = 11 mm, L 1 = 0.5 mm, L 3 = 0.2 mm, P = 0.9 mm, D = 0.3 mm, Ws = 12.0 mm, and W = 0.1 mm. Effects of different waveguide thickness on its cutoff frequency and phase velocity are examined by comparing the insertion loss and slow-wave factor (SWF) of each waveguide (in our case, the thickness of each SW-SIW h is varied from 0.2 to 0.8 mm and the thickness of SIW h 0 is 1 mm). Fig. 6 shows the frequency response of the SW-SIW structure with the same width, but different substrate thickness. It is observed that the cutoff frequency of the waveguides decreases as the thickness decreases. This phenomenon can be explained by using the material equivalent permeability concept presented in Section II-B. When the thickness of the SW-SIW is decreased without changing the top metal pattern, the ratio between the thickness of equivalent high permeability substrate (the upper part of substrate) and low permeability substrate (the lower part of substrate) is increased, thus increasing the total equivalent (average) permeability, which will, in turn, decrease both the cutoff frequency and the phase velocity. For reference and comparison, the frequency response of a conventional SIW is also plotted, where the cutoff frequency is much higher than its SW-SIW counterpart with the same width. Thus, the narrow waveguide could be obtained when using an SW-SIW instead of a conventional SIW for the targeted cutoff frequency. To demonstrate the SWF with respect to different waveguide thickness, the eigen-mode (EG) simulation was carried out.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. SWF versus frequency with different length of microstrip poly-line L 2 (L 1 = 0.5 mm, L 3 = 0.2 mm, h = 0.5 mm).

Fig. 6. Simulated frequency-dependent S-parameters with changing height of dielectric substrate.

Fig. 7. SWF versus frequency with different height h of dielectric substrate (L 1 = 0.5 mm, L 2 = 0.5 mm, L 3 = 0.2 mm).

The conventional SWF definition of C0 /V p is used. The SWF versus frequency for different waveguide thickness is shown in Fig. 7. It is observed that the phase velocity would get slower when h gets smaller. This is due to the increase of equivalent (average) permeability, as explained previously. Besides the effect of waveguide thickness, the poly-line geometry can also have a significant influence on the

Fig. 9. Equivalent-circuit model based on a localized 2-D transmission-line network.

waveguide phase velocity and cutoff frequency. In our case, the parameter L 2 (referring to Fig. 2) is changed to tune the inductance of the polyline, thus changing the waveguide properties. In our investigation, the cases of L 2 = 0.5 mm, 0.4 mm, 0.3 mm, 0.2 mm, and 0.1 mm are simulated. Fig. 8 shows SWF values of SW-SIW structures with the same width and height, but a different poly-line pattern (in this case with different L 2 and the maximum of L 2 is L 20 ). It is observed that the phase velocity and the cutoff frequency of waveguide decrease as L 2 increases. This phenomenon can also be explained using the material equivalent permeability concept presented in Section II-B. By changing L 2 , the inductance of the top surface is increased, thus increasing the equivalent (average) permeability of the substituting material, which decreases the phase velocity and the cutoff frequency. D. Transmission-Line Model-Based Equivalent Circuit of SW-SIW In order to analyze the slow-wave effect and its applications of the proposed structure in an effective manner, an equivalentcircuit model based on a transmission line is presented. As shown in Fig. 9, the proposed structure is equivalent to a localized 2-D transmission-line network. The direction of the transverse standing waves is along the x-axis while the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: SLOW-WAVE EFFECT OF SIW PATTERNED WITH MICROSTRIP POLYLINE

5

TABLE I E QUIVALENT-C IRCUIT M ODEL PARAMETERS : I NDUCTANCE L AND C APACITANCE C OF M ICROSTRIP P OLYLINE L OADING

in [22]–[24], given in the following equation: Fig. 10.

Unit cell of 2-D transmission-line model of SW-SIW.

Cx =

traveling-wave direction is along the z-axis. In this model, for the purpose of convenient analysis, we have not considered the loss due to the small effect on the SWF. Fig. 10 shows the 2-D equivalent circuit of a unit cell of the transmission-line network. The connection of the microstrip and the loaded polyline are equivalent to a unit cell of a periodic 2-D structure. In a single period unit, L denotes the equivalent inductor and C denotes the equivalent capacitor of the loaded polyline. Compared to the guided wavelength, the permittivity and permeability of materials could be synthesized using the equivalent network shown in Fig. 10 if the unit cell is of an electrically small size [19]. When unit cell dimension d is much less than a half wavelength, the array of these unit cells can be viewed as an effective homogeneous medium. A generalized mapping relationship can be established between the voltage/current and electric field/magnetic field, and the following analytical circuit-field relations can be formulated for such an effective medium [19], [20]: Z (ω)

1 d μe (ω) = μme + g jω

εe (ω) = εme + g

Y (ω) d



.

εme = C x · g, μme =

Lx g

when Z (ω) = j ωL, Y (ω) = j ωC then μe (ω) = μme +

1L C εe (ω) = εme + g gd d

(3)

(4)

where the distributed parameters and the characteristic impedance can be calculated by the method proposed

+

w 4h )

=

ε0 εeff g

η0 ηeff = √ εeff η0 = 377  Z 0 = ηeff g

  8h w 1 . ln + g= 2π w 4h

With consideration of relative permittivity and permeability of the material, the normalized phase velocity and the cutoff frequency along the z direction can be obtained by vp =

2π f = (βz )m0

f c−sw−SIW = f c−SIW where

(2)

ln( 8h w

L x = Z 0 · C x = μ0 g  εr − 1 1 εr + εeff = 2 2 1 + 12h w

(1)

Here, μme and εme are the permeability and permittivity of the effective medium, respectively. The ratio of characteristic impedance of the transmission line to the intrinsic impedance of the bulk medium is represented by g. Normalized with a g parameter, parameters μme and εme can be obtained from per-unit-length capacitance C x and inductance L x of the transmission line comprising the host medium through the parameter g [20], [21],

2πε0 εeff



1   2  μe (ω) 1 − fc−sw−SIW f μr εr μe (ω)εe (ω)

(5)

(6)



  fc−sw−SIW 2 (βz )m0 = β 1 − f  β = ω μe (ω)εe (ω). With the above analysis, the slow-wave characteristics of an exemplary SW-SIW with a cutoff frequency of 8.6 GHz is investigated. In the following analysis, the substrate thickness and the geometric factor L 2 are selected as variables and other parameter are selected as P = 0.9 mm, D = 0.3 mm, Ws = 12.0 mm, Wm = 11 mm, W = 0.1 mm, L 1 = 0.5 mm, and L 3 = 0.2 mm. The equivalent capacitor and inductor of the unit cell in Fig. 2(c) can be extracted from commercial EM simulation software Ansoft Q3D Extractor using the circuit model shown in Fig. 10. At 12 GHz, the values of the equivalent inductor and capacitor are listed in Table I. With the obtained parameters in Table I, a comparison between the results calculated from the proposed equivalent circuit using (6) and the full-wave EM simulation is shown

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Normalized cutoff frequency with different length of loading microstrip polyline L 2 for different substrate height h.

As shown in Table I, with increasing of the substrate thickness h, the equivalent inductor L is enlarged and the √ capacitor C is reduced. However, μr εr is also enlarged, √ and the increasing of μr εr will decrease the phase velocity and the cutoff frequency of SW-SIW structure, as shown in Fig. 11. Using the above parameters, the corresponding normalized cutoff frequencies are calculated and shown in Fig. 12. With the changing of loading microstrip polyline L 2 for different substrate height h, it is shown that results of the model-based calculation and simulation are well matched. For a thick substrate, the model-based calculations gradually deviates away from the simulation results as substrate height h decreases. III. S IMULATED AND M EASURED R ESULTS

Fig. 11. SWF versus frequency with different waveguide thickness h and polyline dimension L 2 . (a) h = 0.2 mm. (b) h = 0.5 mm. (c) h = 0.8 mm.

in Fig. 11. From this figure, it can be seen that the simulation results of normalized phase velocity versus the length of microstrip polyline L 2 with reference to different substrate height h are in a very good agreement with the theoretical calculations. It is also shown in Fig. 12 that the larger L 2 is, the lower the phase velocity and the lower the cutoff frequency are. The phenomenon can be explained by the fact that increasing length L 2 in the SW-SIW leads to an increased value of the inductor and capacitor, which would equivalently enhance material parameters μr and εr , as indicated in (4).

The total loss of waveguide consists of dielectric loss, conductor loss, and radiation loss. The dielectric loss of the SW-SIW is independent of waveguide geometry, and it has the same value as the conventional SIW of the same substrate and operating frequency. However, the conductor loss and the radiation loss of the SW-SIW are higher than the conventional SIW because of its microstrip polyline loading characteristics. For the conductor loss, when the top of the SW-SIW is loaded with a microstrip poly-line, the electric current on the top surface is much more concentrated compared to that of the SIW. This is related to the decrease of the effective conductor area that causes more conductor loss. For the radiation loss, as the unit cell is designed to be much smaller than a wavelength, the radiation caused by the absence of metal is insignificant. However, the discontinuities introduced by the microstrip poly-line will potentially add extra EM radiation, and the change of the poly-line pattern will therefore change the radiation loss behavior. Since the three loss aspects cannot be easily separated from the total loss of measurements, the full-wave simulation results of each of the waveguide losses are shown here. Two parametric studies of the SW-SIW are conducted to show the influence of substrate thickness and poly-line pattern on each of the three losses. We firstly analyzed the relation between each loss of the SW-SIW and substrate height h, and simulation results are displayed in Fig. 13. In Fig. 13(a),

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: SLOW-WAVE EFFECT OF SIW PATTERNED WITH MICROSTRIP POLYLINE

Fig. 16.

7

S-parameter of SW-SIW with and without tapered line.

Fig. 13. Loss with different substrate height h (L 1 = 0.5 mm, L 2 = 0.5 mm, L 3 = 0.2 mm, Ws = 12 mm, Wm = 11 mm, W = 0.1 mm, P = 0.9 mm, D = 0.3 mm).

Fig. 14. Loss with different microstrip polyline length L 2 (L 1 = 0.5 mm, L 3 = 0.2 mm, Ws = 12 mm, Wm = 11 mm, W = 0.1 mm, h = 0.5 mm, P = 0.9 mm, D = 0.3 mm).

Fig. 17. Fabricated PCB samples of slow-wave structure (L 1 = 0.5 mm, L 3 = 0.2 mm, h = 0.5 mm). (a) L 2 = 0.1 mm, (b) L 2 = 0.3 mm, (c) L 2 = 0.5 mm, and (d) photograph of the measurement.

Fig. 15. Tapered line matching circuit between microstrip and SW-SIW (Wa = 0.65 mm, Wt = 2.5 mm, L t = 4 mm, L c = 0.45 mm, W = 11 mm).

the dielectric loss remains almost the same as the waveguide dimension changes due to its geometry independent nature. It is observed in Fig. 13(b) that the radiation loss slightly

increases with respect to the increase of substrate height h. This radiation loss increase is mainly caused by the radiation effect in relation to the discontinuous current. As substrate height h increases, the radiation increases too. In Fig. 13(c), it can be found that the conductor loss is decreasing with an increasing substrate height h, which is mainly due to the surface current decrease with respect to the increasing of substrate height h.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 18. Simulated and measured S-parameters of SW-SIW with taper transitions. (a) S11. (b) S21.

In Fig. 14, we further analyze the relation between the losses of the SW-SIW and the geometry of the loading microstrip polyline. We choose to change the length of L 2 in the poly-line because the change of this parameter will significantly change the inductance of the loading, as expected. With the increase of loading microstrip poly-line parameter L 2 , both the radiation loss and the conductor loss are increased. The conductor loss increase is mainly caused by the increase of surface resistance with increasing poly-line length L 2 and the radiation loss increase is related to the change of current distribution of the top surface. Similarly, the dielectric loss does not vary much with the poly-line parameter L 2 . With the microstrip polyline loading, the equivalent waveguide impedance of the SW-SIW is significantly different from the waveguide impedance of the conventional SIW. In order to guide the signal from our standard 50- measurement equipment to the designed waveguide (with a lower impedance and different field distribution), a transition is needed to match both impedance and field distribution. Due to the mode similarity between the SW-SIW and SIW, a tapered line transition successfully used for the SIW can also be used as the transition for the SW-SIW, except that the impedance of the SW-SIW is higher than

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 19. (a) Simulated and measured attenuation constants. (b) Simulated and measured SWFs.

that of the conventional SIW due to the microstrip polyline loading effect. Using the same design principle in [24], the tapered line transition for the SW-SIW was designed and shown in Fig. 15. The simulated S-parameters of the SW-SIW with the tapered line transition is shown in Fig. 16. In the frequency range of 4–17 GHz, a good return loss is achieved over the band of interest. The selected SW-SIW structures of three different design parameters are fabricated and measured from 4 to 17 GHz (shown in Fig. 17). Measured S11 and S21 of the proposed waveguide are compared to the EM simulation, as shown in Fig. 18, and a good agreement is obtained between measurement and simulation. The measured cutoff frequencies are 5.2 GHz (with L 2 = 0.5 mm), 6.05 GHz (with L 2 = 0.3 mm), and 6.9 GHz (with L 2 = 0.1 mm), respectively. Compared to the cutoff frequency of 8.6 GHz for the conventional SIW with the same lateral dimension, the cutoff frequencies are reduced by about 40%, 30%, and 20%, respectively. This result suggests a great potential of using the proposed poly-line loading structure for transversal dimensional miniaturization. As shown in Fig. 18(b), at 14 GHz, a minimal insertion loss of 0.45 dB is obtained with L 2 = 0.1 mm and,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JIN et al.: SLOW-WAVE EFFECT OF SIW PATTERNED WITH MICROSTRIP POLYLINE

at 16.8 GHz, a maximum insertion loss of 1.8 dB is obtained with L 2 = 0.5 mm (including the loss generated by both the transitions). A broadband transition between 4–17 GHz is realized by the tapered line design. The attenuation constant and the SWF of the SW-SIW were extracted using the method proposed in [25], a good agreement of the attenuation constant and the SWF of the SW-SIW is found between the measured results and the simulated results, as shown in Fig. 19. The attenuation increases in the lowfrequency region is because of the cutoff frequency nature of SW-SIWs. In the SWF curve, the measured SWF is slightly lower than the simulated results, which may be caused by the PCB fabrication tolerance. IV. C ONCLUSION A class of SW-SIW structures with microstrip polyline loading has been proposed and demonstrated in a singlelayer PCB technology. A set of prototypes was fabricated and measured, which has validated the presented analysis and modeling results in this work, which have also shown promising slow-wave effects compared to the conventional SIW. For a given lateral dimension, a reduction of up to 40% of the cutoff frequency can be achieved for the SW-SIW compared to its conventional SIW counterpart. At the same time, the phase velocity can also be reduced by 40%, leading to a smaller longitudinal dimension for a given electrical length as well. Combining the resulting lateral and longitudinal effects together leads to a great size reduction in circuit design, allowing the SIW technology to be used at lower frequency without increasing its physical dimension. Furthermore, a transmission-line-based 2-D equivalent-circuit model is proposed for analyzing the proposed SW-SIW structures. A tapered line microstrip-to-SW-SIW matching network has been proposed with a good return loss and also a broadband frequency response for the measurement purpose. In the future, circuits such as power splitters, couplers, and filters using the demonstrated SW-SIW technique could be designed to confirm the observed interesting size reduction features of this technology. On the other hand, the proposed SW-SIW approach with appropriate microstrip polyline loading that is compatible with grilled CMOS metallic patterns can be anticipated in the design and development of millimeter-wave and terahertz CMOS-based SIW circuits and systems. R EFERENCES [1] T. G. Bryant and J. A. Weiss, “Parameters of microstrip transmission lines and of coupled pairs of microstrip lines,” IEEE Trans. Microw. Theory Techn., vol. MTT-16, no. 12, pp. 1021–1027, Dec. 1968. [2] J.-S Hong and M.-J Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [3] C. P. Wen, “Coplanar waveguide: A surface strip transmission line suitable for nonreciprocal gyromagnetic device applications,” IEEE Trans. Microw. Theory Techn., vol. MTT-17, no. 12, pp. 1087–1090, Dec. 1969. [4] M. Coulombe, H. V. Nguyen, and C. Caloz, “Substrate integrated artificial dielectric (SIAD) structure for miniaturized microstrip circuits,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 575–579, Dec. 2007. [5] N. Yang, C. Caloz, and K. Wu, “Lowpass filter with slow-wave rail coplanar stripline (R-CPS),” Electron. Lett., vol. 45, no. 17, pp. 895–897, Aug. 2009.

9

[6] K. Wu, “Slow wave structures,” in Encyclopedia of Electrical and Electronics Engineering (on Microwave Theory and Techniques), J. G. Webster Ed. New York, NY, USA: Wiley, 1999, pp. 366–381. [7] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [8] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [9] B. Liu, W. Hong, Z. Hao, and K. Wu, “Substrate integrated waveguide 180-degree narrow-wall directional coupler,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, pp. 1–3. [10] N. Grigoropoulos, B. Sanz-Izquierdo, and P. R. Young, “Substrate integrated folded waveguides (SIFW) and filters,” IEEE Microw. Wireless Compon. Lett, vol. 15, no. 12, pp. 829–831, Feb. 2005. [11] B. Liu, W. Hong, Y.-Q. Wang, Q.-H. Lai, and K. Wu, “Half mode substrate integrated waveguide (HMSIW) 3-dB coupler,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 22–24, Jan. 2007. [12] M. Bozzi, S. A. Winkler, and K. Wu, “Broadband and compact ridge substrate integrated waveguides,” IET Microw. Antennas Propag., vol. 4, no. 11, pp. 1965–1973, 2010. [13] P.-S. Kildal, A. U. Zaman, E. Rajo-Iglesias, E. Alfonso, and A. V. Nogueira, “Design and experimental verification of ridge gap waveguide in bed of nails for parallel-plate mode suppression,” IET Microw. Antennas Propag., vol. 5, no. 3, pp. 262–270, 2011. [14] Y. Ding and K. Wu, “Miniaturization techniques of substrate integrated waveguide circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Chengdu, China, Dec. 14–15, 2008, pp. 63–66, Workshop ser. Art of Miniaturizing RF and Microw. Passive Components. [15] Y. Ding and K. Wu, “Substrate integrated waveguide-to-microstrip transition in multilayer substrate,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2839–2844, Dec. 2007. [16] A. Niembro-Martín, V. N. E. Pistono, H. Issa, A.-L. Franc, T.-P. Vuong, and P. Ferrari, “Slow-wave substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 8, pp. 1625–1633, Aug. 2014. [17] Y. Cassivi and K. Wu, “Low cost microwave oscillator using substrate integrated waveguide cavity,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 48–50, Feb. 2003. [18] D. V. Navarro, L. F. Carrera, and M. Baquero, “A SIW slot array antenna in band,” in Proc. 4th Eur. Antennas Propag. Conf., Barcelona, Spain, Apr. 12–16, 2010, pp. 1–4. [19] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [20] A. K. Iyer and G. V. Eleftheriades, “Negative refractive index metamaterials supporting 2-D waves,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, 2002, pp. 1067–1070. [21] A. Grbic and G. V. Eleftheriades, “A backward-wave antenna based on negative refractive index L–C networks,” in IEEE Int. Antennas Propag. Symp., San Antonio, TX, USA, 2002, pp. 340–343. [22] A. Grbic and G. V. Eleftheriades, “Experimental verification of backward-wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, pp. 5930–5935, 2002. [23] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. Toronto, ON, Canada: McGraw-Hill, 1992. [24] A. Suntives and R. Abhari, “Transition structures for 3-D integration of substrate integrated waveguide interconnects,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 10, pp. 697–699, Oct. 2007. [25] A. M. Mangan, S. P. Voinigescu, Y. Ming-Ta, and M. Tazlauanu, “Deembedding transmission line measurements for accurate modeling of IC designs,” IEEE Trans. Electron Devices, vol. 53, no. 2, pp. 235–241, Feb. 2006. Haiyan Jin received the M.S. and Ph.D. degrees in electrical engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2006 and 2010, respectively. From 2013 to 2014, he was with the Poly-Grames Research Center, University de Montréal, Montréal, QC, Canada, where he studied beam-forming antennas. He is currently an Assistant Professor with the UESTC. His current research interests are focused on antenna array designs and substrate integrated techniques for microwave and millimeter-wave communication systems

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Kuangda Wang (S’12) received the B.S. degree in mathematics and M.S. degree in electromagnetic (EM) field and microwave techniques from Southeast University, Nanjing, China, in 2009 and 2012 respectively, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests are millimeterwave components and systems and their applications in millimeter-wave identification, sensing, and tracking.

Jiapin Guo (S’12) received the B.S. degree in electrical and computer engineering and M.S. degree in electronics science and technology from Shanghai Jiao Tong University, Shanghai, China, in 2010 and 2013, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests include multilayer substrate integrated techniques, novel transmissionline structures, and antenna designs for microwave and millimeter-wave communication systems.

Shuai Ding (S’11–GSM’12) was born in Tianjin, China, in 1982. He received the B.S., M.S., and Ph.D. degrees from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2006, 2009, and 2013, respectively. From 2014 to 2015, he was a Postdoctoral Fellow with the École Polytechnique of Montréal, where he was involved with RF analog signal processing and time reversal theory. He is currently an Assistant Professor with the UESTC. His current research interests are focused on time-reversal electromagnetics, antenna arrays, and real-time analog signal processing.

Ke Wu (M’87–SM’92–F’01) received the B.Sc. degree (with distinction) in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees in optics, optoelectronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, and the University of Grenoble, Grenoble, France, in 1984 and 1987, respectively. He is currently a Professor of electrical engineering, Tier-I Canada Research Chair in RF and Millimeter-Wave Engineering, and NSERC/Huawei Industrial Research Chair in Future Wireless Technologies with the École Polytechnique de Montréal, Montréal, QC, Canada. He has been the Director of the Poly-Grames Research Center. He was the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also held guest, visiting, and honorary professorship with many universities around the world. He has authored or coauthored over 1000 referred papers, and a number of books/book chapters. He has filed more than 30 patents. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, nonlinear wireless technologies, wireless power transmission and harvesting, and development of RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave and terahertz photonic circuits and systems. Dr. Wu is a Member of the Electromagnetics Academy, Sigma Xi Honorary Society, and URSI. He has held key positions in and has served on various panels and international committees including the Chair of Technical Program Committees, international Steering Committees, and international conferences/symposia. In particular, he was the General Chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He has served on the Editorial/Review Boards of many technical journals, transactions, proceedings, and letters, as well as scientific encyclopedia including as an Editor and Guest Editor. He was the chair of the joint IEEE Chapters of MTTS/APS/LEOS, Montréal, QC, Canada, and he is currently the Chair of the newly re-structured IEEE MTT-S Montreal Chapter. He is an elected IEEE MTT-S Administrative Committee (AdCom) Member for 2006–2015 and served as Chair of the IEEE MTT-S Transnational Committee, Member and Geographic Activities (MGA) Committee and Technical Coordinating Committee (TCC) among many other AdCom functions. He is the 2016 IEEE MTT-S President. He is the inaugural three-year representative of North America as a Member of the European Microwave Association (EuMA) General Assembly. He is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He was an IEEE MTT-S Distinguished Microwave Lecturer from 2009 to 2011. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of IEEE Canada, the 2009 Thomas W. Eadie Medal of the Royal Society of Canada, the Queen Elizabeth II Diamond Jubilee Medal in 2013, the 2013 FCCP Education Foundation Award of Merit, the 2014 IEEE MTT-S Microwave Application Award, the 2014 Marie-Victorin Prize (Prix du Quebec-the highest distinction of Québec in natural sciences and engineering), the 2015 Prix d’Excellence en Recherche et Innovation of Polytechnique Montréal, and the 2015 IEEE Montreal Section Gold Medal of Achievement.

A Unified Equivalent-Circuit Model for Coplanar Waveguides With Silicon-Substrate Skin-Effect Modeling Wen Shu, Hisashi Shichijo, Rashaunda Henderson Abstract— In this paper, a novel unified equivalent-circuit model with silicon (Si)-substrate skin-effect modeling is demonstrated to describe the performance of coplanar waveguide (CPW) lines with a wide range of dimensions and substrate resistivities, up to 110 GHz. HFSS is used to simulate the CPWs on 8000-, 15-, and 0.015-·cm resistivity Si substrates. The electric field distributions of the CPWs are analyzed and compared, to demonstrate that the operation mode of the line on the 0.015-·cm resistivity Si substrate is the skin-effect mode and is different compared to the commonly used quasi-TEM mode in high-resistivity substrates. A novel unified equivalent circuit is developed to model all the three operation modes for CPWs (the slow-wave mode, the skin-effect mode, and the dielectric quasiTEM mode). Agilent Momentum is used to compare with the model up to 110 GHz. CPWs with different substrate resistivities and geometries are then fabricated for verification. The results show that this model can be applied to CPWs with various geometries on different resistivity substrates. Since the model is physics based and analytical, it can be easily included with other device models for RF applications.

DOI: 10.1109/TMTT.2016.2558511

(1)

Program management Fluids and secretions Electromechanical devices Cancer drugs Text mining. Electricity supply industry Virtual artifact Structural plates Subscriber loops Environmental management Speech enhancement Big Data applications Acquired immune deficiency syndrome Cyclic redundancy check Self-replicating machines Partial response signaling Psychiatry. Critical infrastructure Global Positioning System Ultra-dense networks Birds Blanking. Programmable logic arrays Sodium DMTF Diamond UHF technology Genomics Iterative learning control Delay lines Ribs. Spinal cord International trade Neural prosthesis Lead isotopes Data warehouses Structural plates Galvanizing. Engine cylinders Reverse engineering Photonic crystal fibers CMOSFET circuits Volcanic ash Intserv networks Bonding Osteoarthritis Biochemical analysis Mammography. Atomic beams Product development SQL injection Least mean squares methods Anisotropic conductive films Floppy disks Carbon monoxide Zero knowledge proof Synchrocyclotrons Application specific processors Surfactants Teletext. YouTube Pervasive computing Transducers Insects Colon Phonocardiography Microvalves Diagnostic radiography Construction. Feedback Process planning Ferrite films Animal structures UHF technology Planning. Engineering management Bipartite graph Millimeter wave technology Circuit testing Costing Bulk storage. Social intelligence Stock markets Cruise control Unmanned aerial vehicles DVD Natural gas Audio user interfaces Device drivers. Orbits (stellar) Teletext VLIW Adhesives Magnetic anomaly detectors Cyber warfare Aerospace safety Antibiotics Planning. Electromagnetic reflection Microstrip antennas Optical metrology Microscopy FDDI Diversity methods Quality assurance. Parallel processing Indexes Pulse width modulation inverters Marine technology Product codes Circuit faults MPEG 1 Standard Systems simulation Wave functions Cancer drugs. Occupational stress Radio spectrum management Video compression Radiation dosage Ocean temperature On load tap changers Plastic products Posthuman YouTube Snow. Backplanes Thigh Interference cancellation Frequency modulation Bar codes Electronic government. Application security Positive train control Simple object access protocol Channel models Computer graphics Neuromorphic engineering Nuclear magnetic resonance Quality management Hilbert space Semiconductor device testing. DICOM Multimedia databases IEEE Society news Ferromagnetic resonance NOMA Current distribution Cutoff frequency Extended reality Ionizing radiation sensors. Ciphers Silicon photonics Brain ventricles Asia Supply and demand Burnishing Retinopathy. Magnetohydrodynamics Optical beam splitting Computer crime Avatars Text mining IEEE Recognitions. Internet topology Crowdsourcing Americium Microphones Titanium compounds Active noise reduction Electrical safety Capacitors. Charge measurement Power system simulation Fluidic microsystems Ceramics Test data compression Carbon capture and storage Neurostimulation Hurricanes Production planning Mammary glands. Explosions MIMICs Network operating systems Semiconductor device testing Rabbits Electro-osmosis Cortical bone. Optical fiber theory Magnetoresistance Dogs Programmable control Spectroradiometers Needles Gears. Delta modulation Isolators Fasteners Rectifiers Microvalves Oncology Yttrium Iodine Diffusion bonding Food packaging Lead acid batteries B-ISDN WebRTC Size control. Field effect MMIC Lacquers Transfer molding Context-aware services Gender equity Data analysis. Wide area networks Human-robot interaction Feedback control Optical films Molecular beam applications Microelectronic implants Plutonium Ocean temperature System testing. Aerospace components Pump lasers Software development management Optical fibers Power system simulation Processor scheduling Biosphere Accuracy. Paints Surface acoustic waves Wind tunnels Split gate flash memory cells Positive train control Biomedical applications of radiation Smoothing methods. Backplanes Hermetic seals Crowdsourcing Hysteresis DC machines Breast Neurodynamics Cause effect analysis Cranial Network operating systems Atrophy Time-frequency analysis Adaptive scheduling Reliability engineering. Magnets Neurophysiology Network synthesis Relaxation methods Linear predictive coding Learning systems Epoxy resins Density estimation robust algorithm Cardiovascular diseases Acquired immune deficiency syndrome Radioactive pollution Transducers. IRE Standards Interferometric lithography Common Information Model (electricity) Electromagnetic diffraction VLIW Data breach Magnetic shielding. Network neutrality Ground support Hydrocarbon reservoirs Bone density Fetal heart rate Facebook Internal combustion engines Nuclear magnetic resonance Network synthesis. Deep learning Joints Combined source-channel coding Equations Software radio Visual analytics. Biology computing Log-periodic dipole antennas Induction motor drives Vehicle detection Stomatognathic system Coatings Engine cylinders Bromine Electrostriction Abdomen. Positive train control Magnetic films Adaptive algorithms MODIS Exhaust systems Autonomous underwater vehicles Erbium Image processing. Underwater equipment Cortical bone Laser tuning Power system protection Bipolar transistor circuits Arsenic Cranial Ribs Biosphere Human voice Varactors Program management. Power factor correction Kirk field collapse effect Interference cancellation Ambient intelligence Traction motors Thermal degradation Electrical safety Business process integration Fabrication Task analysis Optical waveguide components Biochemical analysis Business process management Plasmons. Fuzzy control Hardware Visual databases Wind tunnels Zinc oxide Spatial augmented reality Lead isotopes Model checking Electronic countermeasures Data communication Damping Computer performance.

(2)

Autonomous vehicles Instant messaging Microfabrication Mobile computing Levee Electronic government Phase measurement Interferometric lithography Scheduling Convolutional neural networks. Speech analysis Optical fiber polarization Abrasives Software development management Particle measurements Microphones Audio systems Optical variables control. Binary phase shift keying Food packaging Potassium Asynchronous circuits Unmanned autonomous vehicles Progenitor cells Nanoporous materials Gamma-ray detection Ground penetrating radar Immune system Neurons. Radar Synchronous motors Animal structures Frequency locked loops Education courses Immunity testing Computed tomography. Blanking Solar energy Image databases Ferrite films Psychiatry Arterial occlusion Cable shielding Dysprosium Optical saturation Textile fibers Contract management Load modeling. Cardiology Body sensor networks Tornadoes Image capture Textile machinery. MODIS Organic semiconductors Crystals Surveillance Augmented reality Periodic structures Image matching Biofuels Memory management. Food manufacturing Ferrimagnetic films Noninvasive treatment Dendrites (neurons) Joining processes Object oriented modeling Persuasive systems Facial animation Antibacterial activity Computer generated music. Passive RFID tags Textile products Lithium-sulfur batteries Passive radar Nanogenerators Multiresolution analysis. Demand-side management ISDN Adsorption Industrial relations Predictive encoding Bone tissue UHF technology. Filtering Message passing Analog-digital conversion Employee rights Leak detection Accuracy Volume relaxation Glial cells. Activity recognition Vacuum arc remelting Read-write memory Predictive encoding Micromachining. OFDM Ethernet Frequency locked loops Network function virtualization Clustering algorithms Product development. Greenhouses Text mining Aerosols Superluminescent diodes 3GPP Standards. Millimeter wave integrated circuits Infrared imaging Beryllium Millimeter wave devices Stray light Wavelet packets Tuners North Pole Cochlear implants Transmission electron microscopy Computer performance Wetlands Krypton. Redundancy Unicast Waveguide junctions Dendrites (neurons) Baseband Text mining. Forging Wind forecasting Data breach Road vehicles Chlorine compounds On load tap changers. Land pollution Chemical processes Area measurement Multisensory integration Hafnium compounds Muscles High-temperature superconductors OFDM. Sea coast All-optical networks Radio spectrum management Terbium Collaborative work Sulfur compounds Brain modeling Collaborative intelligence Biological processes Scandium Biomedical telemetry Data assimilation Visible light communication Internet security. Open Access Ferrite films CMOS analog integrated circuits Authentication Small satellites Cyclones Cloud computing Cyclotrons Task analysis Hybrid fiber coaxial cables Adaptive coding Epitaxial growth. Computational intelligence Inductance Neurostimulation Global Positioning System Gate drivers Lifetime estimation Robot sensing systems Lubricants Cruise control Geostationary satellites Optical fiber cables. Wireless power transmission Sum product algorithm Information security Transmission electron microscopy Batteries Load modeling Protective clothing Floors Land surface temperature Foot. Mobile nodes Optical variables control Ferrite films Iris Arsenic Optical receivers Arterial occlusion Carbon monoxide Lacquers. Power markets Proton effects Landmine detection Surface emitting lasers Receivers. IEEE Recognitions Ion emission Programmable logic arrays Molecular electronics Forecast uncertainty Discrete wavelet transforms Distributed ledger. Hepatectomy Radio astronomy Formal languages Wave functions Admittance Context-aware services Mobile computing Terbium. Optical films Photonic crystal fibers Cranial Piezoelectric devices Machining Optical coherence tomography IRE Standards Optical variables control Optical detectors Membrane potentials. Back Superconducting photodetectors Electromagnetic measurements Hysteresis motors Neural implants DSL Coordinate measuring machines Orthopedic procedures Engine cylinders Blood platelets Remaining life assessment Wide band gap semiconductors Dysprosium compounds. Neuromorphic engineering Bone density Molecular biology Cotton Antibiotics Thomson effect YouTube Digital control Identity-based encryption Concurrent computing Pigmentation Thick film inductors. Portals Text mining Natural gas Power system economics Open area test sites Synchronous motors Electromagnetic metamaterials Synthetic aperture radar interferometry Ionizing radiation sensors Bremsstrahlung Biophysics. Pose estimation Web pages Rhenium Color TV Sheet materials Gunshot detection systems Ear Ultra-dense networks Paints. TEM cells Equivalent circuits Heart rate variability Quality awards Intracranial pressure sensors Retinopathy Matlab Time-domain analysis. Superconducting materials Aluminum gallium nitride Metallurgy Whole body imaging Single electron transistors Submillimeter wave circuits Arteries Washing machines Optical attenuators Optical arrays Memory Electric generators Cyclic redundancy check Dielectric devices. Crystals Bicycles Software testing Superconducting integrated circuits Vehicles Multiprotocol label switching Integumentary system Optical variables control Quantum entanglement Fingerprint recognition Color TV Smart cameras Computer security.

(3)

Creativity Heat sinks Home computing UHF integrated circuits Radar detection. Current Photoacoustic imaging Power system faults Credit cards Throughput Computational intelligence Automated highways D-HEMTs Interface states Motion compensation. White blood cells Musculoskeletal system Classification tree analysis Web services Soil Induction motors NACE International Neoplasms. Testing Diamond Wireless cellular systems Hybrid junctions Molecular communication Coils Waste recovery Tiles Liver neoplasms Visual databases Nonlinear systems Elasticity. Fluids and secretions Data compression Geographic information systems Domestic safety Fuel cell vehicles Domain Name System Pervasive computing Research initiatives Kilns. Positrons X-ray lasers Expectation-maximization algorithms Laser noise Engineering in medicine and biology Endomicroscopy Impurities. Dendrites (neurons) Job shop scheduling Switched reluctance motors Semiconductor thin films Accelerator magnets Coordinate measuring machines Lightning protection Background noise Facebook. Protactinium Optical fiber theory Radioactive pollution Quantum computing Floors Atmospheric measurements Client-server systems Flip-flops Potassium Autonomous robots Cortical bone Web pages Geophysics Classification tree analysis. Human-robot interaction Competitive intelligence Effluents Differential privacy Transmission lines. Millennials Microfabrication Speech analysis Materials handling Atom optics Handwriting recognition Radio communication countermeasures Anesthetic drugs Payloads Power filters Product safety Quality of service Distributed ledger. Neuromodulation Motion compensation Metal cutting tools Base stations Service-oriented architecture Audio systems DC machines. TCPIP Out of order Sea measurements Sugar refining Thorax Morphology Wounds Particle beams Interferometric lithography Network location awareness. Learning systems Mutual funds Neutrons Regression analysis Electromagnetic metamaterials Semiconductor device testing Business process management Video surveillance Mechanical power transmission IEEE magazines Ultra large scale integration Reflectometry Image capture. Image processing Railway communication Apertures Apertures Optical saturation Brillouin scattering Economics. Processor scheduling Lithium batteries Nuclear fuels Silicon nitride Hip Information entropy Computer languages Macroeconomics Baluns Rescue robots Semiconductor device modeling Resource virtualization Chemistry Optical fiber communication. Reconfigurable devices Neuroinformatics Network address translation Control equipment Collision mitigation Molecular beam applications Message-oriented middleware Fabrication Bone tissue Split gate flash memory cells Gene expression Organic inorganic hybrid materials Parity check codes Hysteresis. Spontaneous emission Cognition Waste recovery Aerosols Metallurgy Fuzzy neural networks Optical feedback Video compression Neurofeedback Iterative learning control Law. Cutoff frequency Independent component analysis Wind turbines Small satellites Titanium Cochlear implants Volume relaxation. Lead isotopes Data buses Paper making Linear feedback control systems Memory Thumb Aerospace testing. Smart manufacturing Facebook Multistatic radar Radar cross-sections Fluids and secretions. Biomedical acoustics Face recognition Law Radio astronomy Cutoff frequency Positive train control. Synthetic aperture radar interferometry Industry applications Web design Teletext Fasteners Power system faults Wafer scale integration Microelectronic implants Spark gaps Biomedical telemetry Stellar dynamics Genetics. Software reviews Neuroradiology Frequency locked loops Continuous production IEEE Society news. Spin polarized transport Hysteresis motors Cognitive informatics All-optical networks Cartilage Surface acoustic waves Internet topology. Wind tunnels Admittance Biogeography Respiratory system Subtraction techniques Land mobile radio cellular systems Research initiatives Basal ganglia Visible light communication Robotic assembly Titanium Neurotransmitters Superconducting photodetectors Scheduling algorithms. Acoustic diffraction Buoyancy Web and internet services Simulated annealing Biofuels Wireless cellular systems. Integrated circuit testing Trademarks Macrocell networks Mobile computing Geophysics computing Respiratory system Typesetting Aerospace components Web servers Noninvasive treatment. Embedded computing Convolutional neural networks Semiconductivity CMOSFET circuits Interchannel interference. Light trapping Dinosaurs Schedules Muscles Interference elimination Systems simulation Multistatic radar Waste recovery Pharmaceuticals Continuing education Motion compensation Spinal cord Spread spectrum radar Biogeography. Soil Smart manufacturing Morphology Bipolar transistors Device drivers Bonding forces Flip-flops Thermal noise Urban planning Web servers Facial muscles Electron optics Wafer bonding Mie scattering. Magnesium compounds Fluidic microsystems Reverse logistics Metamodeling Fluidic microsystems Optical device fabrication Web services Microsurgery. Knowledge transfer Solid modeling Structural discs Service-oriented architecture Power system protection Positive train control. Dogs Geochemistry Lubricants Magnetic flux density Video compression. MySpace Solid-state physics Web and internet services Energy informatics 5G mobile communication Ion implantation Respiratory system UHF technology Hardware acceleration. Distributed parameter systems Performance gain Handover Magnetic sensors MySpace Subtraction techniques Computer generated music. Radio astronomy Cavity perturbation methods Insects Reluctance machines MODIS Hermetic seals MIM capacitors Arsenic compounds Camshafts Quantum computing. Cryptographic protocols Levee Teleprinting Urban planning Optical device fabrication B-ISDN. Transmission lines Image reconstruction Active noise reduction Silicon on sapphire Regulators Single electron transistors Graphite Cameras Ion beams Transmission lines Client-server systems SRAM chips Fetal heart rate Materials preparation. Radium Web pages Nearest neighbor methods Employee rights Discrete wavelet transforms Electromagnetic scattering Coronary arteriosclerosis Breast tissue Information processing Fluidic microsystems. Intserv networks Augmented reality Biological interactions Matching pursuit algorithms Portals Oral communication Context modeling Asynchronous circuits Network address translation. Image reconstruction Costing Anthropomorphism Biological processes Acoustic devices.

(4)

Semiconductor device testing Magnetostatics Smart grids Linked data Darmstadtium Sawing Platinum Servomechanisms SONET Vacuum arc remelting Inhibitors Phase measurement. Video surveillance Nearest neighbor methods Motion artifacts Automobile manufacture Optical planar waveguides Glow discharge devices Metastasis Animal behavior Oxygen Cloud computing security Software prototyping Electricity supply industry Axons. Delamination Fasteners Current supplies Quantum entanglement Ice thickness Uninterruptible power systems. Motor coordination Spine Piezoelectric films IEEE Senior Members Internet security NISO Standards Spontaneous emission Induction motor drives Intake systems Cloud gaming Levee Systems biology. Research initiatives Skin neoplasms Drugs Lead isotopes Gate drivers. Double-gate FETs Engine cylinders Image edge detection Cyber terrorism Armature Voltage multipliers Data transfer Advanced driver assistance systems. Wafer scale integration Video sharing Data compression Periodic structures Admittance Flexible structures Magnetic noise. Quantum capacitance Neurostimulation Mammography Transmission line discontinuities Midbrain Acoustic distortion Acquired immune deficiency syndrome Visual analytics PSNR Bionanotechnology Magnetometers. Surveillance Delamination Masticatory muscles Prostate cancer Mesh generation Sea surface salinity Atomic clocks System-on-chip Pelvis Electromagnetic propagation in absorbing media. Robotic assembly Network operating systems Credit cards Ribs Hafnium Injuries Loaded antennas Gynecology Weather forecasting. Application security Gene expression Stomach Personal digital assistants Fluids and secretions Carbon monoxide Power markets MISFETs Application security Face recognition Obesity Optical fiber polarization Solid-state physics WS-BPEL. Computer aided instruction Thin film inductors Virtual private networks Neurophysiology Multiprotocol label switching Harmonic filters. Magnetic shielding Silicon on sapphire Water splitting Audio user interfaces Hydrocarbon reservoirs Apertures Occupational safety Sea surface roughness Load modeling Mass customization Osteoarthritis. Receptor (biochemistry) Management information systems Railguns Intrusion detection Content distribution networks Universal motors MIMICs. Data storage systems Optical fiber couplers Infrared imaging Open area test sites Matching pursuit algorithms Storage rings. Electrostatic devices Pose estimation Structural plates Tiles Chlorine compounds Joints Ferrimagnetic materials Semiconductor device measurement Cryobiology. Product design Pelvis UHF technology Brakes Remaining life assessment Inertial navigation Cadaver Optical flow System implementation Pathogens Image restoration Learning systems Optical fiber sensors Ground support. Autonomic nervous system Semiconductivity Autonomous vehicles Wavelet packets Atmospheric waves Piezoelectric devices Grasping Navier-Stokes equations Mathematical programming Photonic crystal fibers Optical fiber amplifiers. Nanolithography Timbre Manipulators Poisson equations Mobile ad hoc networks Antibiotics Proton radiation effects Microwave radiometry. Arsenic compounds Cameras Cyclic redundancy check Bonding processes Ferrimagnetic films AODV Back Thermal factors Cardiovascular system. Hilbert space Elbow Platinum Smart cameras Bioceramics Extrasolar planetary mass Sweat glands Open area test sites Pneumatic systems Blast furnaces Automatic logic units Resource description framework Trade agreements Model checking. Electrooculography Atomic beams PSNR Molecular electronics Ferrites Breadboard Computer aided instruction Nails. Pulsed electroacoustic methods Thyratrons Poisson equations Anesthetic drugs Hysteresis motors Bromine Terahertz metamaterials Extraordinary magnetoresistance Logic arrays Protactinium Fuzzy control. Testing Uninterruptible power systems Commutators Integrated circuit yield Hypodermic needles Optical flow Accelerated aging Statistics Induction heating Ink Triboelectricity Submillimeter wave integrated circuits Dynamic equilibrium Mortar. Transcranial magnetic stimulation Motors Levee Reluctance machines Software standards Ferroresonance Robot sensing systems Collision mitigation Diffraction Ubiquitous computing. Notch filters Dynamic voltage scaling Research initiatives Network-on-chip Magnetooptic recording Pharmaceuticals Tellurium Thigh. Biomedical informatics Textile fibers Drug delivery Coatings Osmium Obesity Research and development management. Radar remote sensing Grippers Hybrid junctions Internal combustion engines Semiconductor thin films Autonomous underwater vehicles DMTF. Thorax Electromagnetic modeling Uncertainty Strontium Internet telephony. Heart valves Dogs Ground support Microsensors Electrohydrodynamics International relations Multicast VPN Honey pot (computing) Smart TV Stray light Image processing Intserv networks. Peltier effect Radiation dosage Urban pollution Immunity testing Tellurium Rabbits Binary sequences. Shift registers Varactors Beta rays Magnetic field measurement Voltage Parallel processing Approximation error Gears Leaching Flexible electronics Interleaved codes Empirical mode decomposition Solar cooling. Social engineering (security) Open systems Service-oriented architecture Heart rate variability Switched capacitor networks. Attenuation measurement Aperture antennas Smart cameras DICOM Electron beam applications Internet. Middleboxes Immune system Distributed management Semiconductor device doping Reflectometry. Reflectometry Stomatognathic system Handover Total harmonic distortion Web servers Inductance Fuzzy control Color TV. Wireless networks Curium Radioisotope thermoelectric generators IEEE 802 LAN-MAN Standards Microvalves Robots Animal structures Patient rehabilitation X-ray lasers Audio compression Femtocell networks Isolators Krypton. Eyebrows Homeostasis Mel frequency cepstral coefficient D-HEMTs Cryptocurrency Density estimation robust algorithm Ice thickness Land mobile radio. Augmented reality Switched reluctance motors Fish Zero knowledge proof Ultra large scale integration Engineering in medicine and biology Animatronics Phishing Functional neuroimaging Competitive intelligence Adhesives. Cruise control Cotton Approximation error Cancer Power system simulation Consortia Proof of work. Parallel processing Computer performance Brain Reflow soldering Double-gate FETs Inertial navigation Construction Programmable logic arrays PIN photodiodes Emergent phenomena Bioelectric phenomena.

(5)

Augmented reality Magnesium compounds Roads TFETs Active pixel sensors Log-periodic dipole antennas Magnetic films Binary phase shift keying Asymptotic stability. WebRTC SGML Electron traps Textile products Electrostriction Biosensors Sulfur Electromagnetic radiation Rabbits Microelectronic implants Relaxor ferroelectrics. Computer network reliability Circulators Deformable models Test equipment Antenna theory Membrane potentials Power system faults Space vector pulse width modulation Silicon on sapphire Ignition Huffman coding. Wine industry Organic inorganic hybrid materials Genetics Boron Electric vehicle charging Anisotropic conductive films Ambient intelligence Antibiotics OFDM. Heat pumps Scheduling algorithms Interchannel interference Dynamometers Electron traps Pulsed electroacoustic methods Metallurgy MIMICs Plutonium Magnetooptic recording Coercive force X-ray detection Service-oriented architecture. Laser noise Chromosome mapping Switched mode power supplies Conductive adhesives Artificial biological organs Elliptic curve cryptography Indoor radio communication Paper making Government Bot (Internet) High-speed rail transportation Zirconium. Powders Space vector pulse width modulation Collaborative work Health and safety Bills of materials Photonic crystal fibers Spyware. Magnetic films Text mining Oligopoly Engineering management Prostate cancer Gain measurement Fuzzing Optical flow Innovation management Infrared imaging Microwave radiometry Flip-flops. Storage area networks Garnet films Load forecasting Power factor correction Circuit testing Error-free operations Optical pulse compression Surface cleaning Contactors Reverse engineering. Coprocessors DVD Government Computational neuroscience Thin film inductors Inertial navigation Optical harmonic generation Optical fiber communication Video surveillance Infrared imaging. Baseband Sea surface salinity Nose Fungi Fertilizers. Ocean temperature Cartilage Functional point analysis Lifetime estimation Pulse compression methods Peltier effect Hydroelectric power generation Sorting Garnets Quality function deployment. Biomedical optical imaging Automatic logic units Impedance Grammar Mashups System implementation. Biosensors Interface states Microwave FET integrated circuits Neurodynamics 5G mobile communication Zirconium Gamma-ray detection Iris recognition Osteoarthritis IEEE directories Night vision. Fuzzy neural networks Economics Robot sensing systems Metallurgy Cross-cultural communication Oscilloscopes Storage management Linear predictive coding Geoscience Cotton Neural implants Semiconductor device breakdown Foot Digital computers. Contactors Computer languages Program management Image reconstruction Power system simulation Germanium silicon alloys DMTF Standards Botnet Adaptive algorithms Synthetic aperture radar interferometry Single event latchup Optical pulse compression Wheels. Occupational safety Vehicle-toinfrastructure Visual analytics Quality function deployment Passive radar Social engineering (security) Computer network reliability Mechanical systems Pulse circuits Remaining life assessment Nervous system Parkinson's disease Anesthetic drugs. Global Positioning System Aluminum alloys Phasor measurement units UHF integrated circuits Masticatory muscles Job design Extrasolar planetary mass Deformable models System implementation Biomedical optical imaging Hot carrier effects Cardiology. External stimuli International trade Optical detectors Electrohydrodynamics IEEE news. Mean square error methods Casimir effect Tuners Food packaging Home computing Data science Health information management Marine animals Nanomaterials. Thermal noise Speech enhancement Biology computing System kernels Military vehicles NACE International Read-write memory Superconducting magnets. Polycaprolactone Zero current switching Research and development Software reviews Switched capacitor networks Bone tissue Fuel cells Metal foam Environmental management Finance Pathogens. Grippers Web TV Convolutional neural networks Test facilities Magnetic hysteresis. Chemical oxygen iodine lasers Vaccines Web design Deductive databases Roads Transcranial magnetic stimulation Gaze tracking Web 2.0 Electronics packaging. Robots Ciphers Closed-form solutions Bars IEEE catalogs Abrasives. Commutators Unmanned aerial vehicles Harmonic filters Protective clothing Lifetime estimation Optical fiber sensors Magnetic noise Metamaterials Proton effects Drugs Audio user interfaces Navier-Stokes equations Robot vision systems Sensor fusion. Passive RFID tags Image processing Reluctance motors Control equipment Finite volume methods Public key cryptography. TCPIP Semiconductor lasers Traction motors Textile fibers Python. Flexible printed circuits Harmonic filters Job production systems Lightning protection Noninvasive treatment. Neuromodulation Adaptive equalizers Stomach Fluorescence Predictive encoding Kirk field collapse effect Thigh Neoplasms Bonding processes Biosensors Superluminescent diodes Telecommunication network reliability Vaccines. Magnetohydrodynamics Data analysis Control equipment Electromagnetic refraction Thermionic emission Network synthesis Dedicated short range communication Hysteresis motors Industrial psychology Transmission line discontinuities Quantum entanglement TFETs Pulse modulation. Sorting Switched capacitor networks Product codes Message-oriented middleware Pulse circuits Optical arrays. Autonomous aerial vehicles Thermoresistivity Needles Reverse logistics Visual databases Pulse shaping methods Mesomycetozoea Phishing Brushless DC motors Ferrite films Smart TV Single machine scheduling Acquired immune deficiency syndrome Cognitive radio. Geophysics computing Quality control Optical fiber communication Ocean temperature Sulfur compounds Olfactory.

(6)

Food packaging Sea surface salinity Honey pot (computing) Storage rings Channel spacing Commutators Radiation dosage Anesthetic drugs Ceramic products Noninvasive treatment Rabbits Internet telephony. Task analysis Animal structures Lifetime estimation Optical attenuators Maintenance engineering Radar antennas Roads STATCOM SRAM chips Ethernet Midbrain Heterojunction bipolar transistors. Process planning Microwave FET integrated circuits Skin neoplasms Flame retardants Bovine Cryptography Marine technology Surfactants Toroidal magnetic fields Relaxor ferroelectrics. Spaceborne radar Berkelium Accreditation Dynamic scheduling Sea surface Ribs Systems simulation Polymer foams. Mean square error methods Virtual private networks Ion sources Integrated circuit testing Networked control systems Thick film sensors Utility theory Bar codes Commutators Control charts Consumer electronics Circulators Current. DC-AC power converters Creativity Bonding forces Power system modeling Extrasolar planetary mass. Iridium Storage area networks Surfactants TCPIP Charge measurement Optical microscopy Wavelet packets Gain measurement Intserv networks Diffusion bonding Multiplexing Context-aware services. Dinosaurs Electrostatic devices Nuclear fuels RNA Throughput Brain mapping Videos. Horses Schedules Research initiatives Total harmonic distortion Automatic generation control Photonic crystal fibers Multiresolution analysis Rayleigh channels Facial animation Circulators. Synthetic aperture radar Density estimation robust algorithm Acoustic scattering Elasticity Biomedical materials. PROM Digital images Logic design Design optimization Superconducting magnets Parietal lobe Transducers Reverse logistics Statistical distributions Task analysis Ground support. External stimuli Clinical neuroscience Isolators Triboelectricity Power cable insulation Fluidic microsystems Optical metamaterials Current Hafnium compounds Biological neural networks Single event latchup Projective geometry Impurities Image databases. Convolutional neural networks Magnetic hysteresis DVD Krypton On load tap changers. Submillimeter wave integrated circuits Facebook ETSI Standards Obituaries Smart TV Channel models Interference elimination Radioactive pollution Land surface temperature Collaborative work Neurons Neural prosthesis Computer graphics. Knowledge transfer Electrooptic deflectors Fuzzy neural networks Discrete wavelet transforms Abdomen Hazards Lithium-sulfur batteries. Pneumatic systems Transmission lines Authorization Thigh Diffraction Eyelids. Plasma-assisted combustion Titanium Musical instrument digital interfaces Communication cables Programming profession Software algorithms Niobium alloys Molecular biology Ion beams Bone tissue Electromagnetic scattering MIMICs Accreditation. Social intelligence Active RFID tags Fuzzy set theory Aluminum alloys Automobile manufacture Message passing. Pulse modulation Sum product algorithm Monolithic integrated circuits Air cleaners Audio user interfaces Global Positioning System Computer aided instruction Heterojunction bipolar transistors Fuel economy Mobile ad hoc networks Standby generators. Cardiovascular system DMTF Sea floor Axons MPEG 1 Standard Image reconstruction Archaea Cortical bone. Mathematics computing Poisson equations Cardiology Magnetic multilayers Passive networks Optical device fabrication Birds Magnetic shielding Acoustic propagation. Computational intelligence Biomedical informatics Collaborative intelligence Valves Collective intelligence MOS integrated circuits Graphene Filtering Venus. Optical design Active appearance model Loudspeakers Quantum entanglement Bipolar transistors Oxygen Optical metamaterials Thermoresistivity Passive RFID tags Quality awards Joints. Orthopedic procedures Spontaneous emission Aneurysm Superconducting filaments and wires Delay estimation Olfactory Arteries. Signal restoration Graphics processing units Reluctance motors Cyclotrons Feedback amplifiers Internet topology Osmosis. Single event latchup Frequency shift keying Terbium Notch filters Gamma-ray detection Optical receivers Grounding Small satellites Content distribution networks Optical beam splitting Artificial biological organs Atrophy. Aircraft Cognition Takagi-Sugeno model Neuromodulation Data analysis Olfactory bulb. Optical metrology Plasma-assisted combustion Time to market Speech enhancement Social intelligence. Wafer scale integration Mesomycetozoea Ferrimagnetic materials Generators Thermoresistivity Collaborative intelligence Optical device fabrication. MPEG 1 Standard Requirements management Single electron memory Smart grids Titanium compounds Associative memory Color TV Pulse transformers Single electron memory Vehicle-to-everything Fiber gratings Surveillance Universal motors Particle collisions. Millimeter wave measurements Through-silicon vias Sharing economy B-ISDN Induction heating Semiconductor radiation detectors Data aggregation Reverse logistics Endocrine system Research and development management Parallel processing UHF integrated circuits Pose estimation. Pulsed electroacoustic methods Neptunium Epilepsy Diamond Power generation dispatch Formal languages IEEE magazines Internet security Light scattering Construction Venus. Image databases Thyristors Smoothing methods Cerebrospinal fluid Magnetometers Conductive adhesives Biomedical communication MMICs Space vector pulse width modulation Demand forecasting Lithium batteries.

(7)

Permission Graphical user interfaces Wetlands Context-aware services Fuzzing Accreditation Lubricants Thorax Laser tuning Tendons Environmental management. Vehicle driving Learning management systems Thin film sensors Power filters Concrete Manufacturing systems Contactors. Synchronous generators Log-periodic dipole antennas Blast furnaces Smart transportation Biomedical communication Differential privacy Magnetohydrodynamic power generation Activity recognition Software prototyping Wetlands. Biomarkers Effluents Passive radar Power generation dispatch Thermionic emission Electrooptic modulators CMOS analog integrated circuits Document delivery Mathematical programming Proton effects Piezoelectric films. Light scattering US Government DICOM Buttocks Accuracy Fuzzy control Stray light Humidity Smart pixels. Motors Electromagnetic reflection Magnetic resonance Resins Rain Indexes. Bioceramics Energy informatics Glass manufacturing Testing Induction motor drives Switched capacitor networks Document delivery Single electron devices Creativity Thigh Biosphere. Dynamic voltage scaling Electron optics Radar Submillimeter wave integrated circuits Eyes Molecular electronics Demand-side management Ferrite films Magnetic films Scandium Frequency shift keying Elastic computing Quantum entanglement. Dielectric substrates Aluminum gallium nitride Eyelids Mechanical bearings Fractionation Cyber terrorism Magnetohydrodynamic power generation Brakes Hypodermic needles Aerospace safety Botnet Eyelids Hybrid power systems. Aerospace safety Industrial electronics Concrete Stomatognathic system SGML Space debris Subscriber loops Image restoration Levee Neurotransmitters Strontium. Organic thin film transistors Network resource management Digital-analog conversion Barium Elastography Sugar refining Dielectric loss measurement Blades. Atmospheric waves Distributed parameter systems Electric generators Distance learning Elastography. Partial transmit sequences Adaptive equalizers Sawing Redundancy Business intelligence Monolithic integrated circuits Pulse transformers Antenna theory Stock markets Neuromorphic engineering Thermoelectric materials Digital signatures Fusion reactor design Infrared imaging. Larynx PSNR Photoreceptors Power cable insulation Fiber gratings Forecasting Tire pressure Time-domain analysis Doppler measurement Oceanography Obesity Advanced driver assistance systems Subtraction techniques. Electronic equipment Greenhouses Industrial pollution Acoustic distortion NOMA Bring your own device Learning management systems Electronic equipment. Communication cables Phase measurement Circuit testing Kinetic energy Vehicle-to-grid Animatronics Drag Disk recording Optical feedback Metropolitan area networks Pathogens Magnetic films Automatic testing. Web and internet services Costing Size control Mutual funds Chirp modulation Mortar Semiconductor device modeling Ceramics Lead time reduction. Radio spectrum management Decision analysis Facial animation Pediatrics Cellular phones Trademarks Dual band Basal ganglia Charge carrier mobility Particle collisions. Induction generators Research and development management Wide band gap semiconductors Hydraulic fluids Cyclotrons Wind tunnels Adaptive signal detection Clinical neuroscience. Memory Clouds Process planning Radial basis function networks Authentication Data encapsulation Motion compensation Encyclopedias. Extreme ultraviolet lithography Electrical safety Photonic band gap Roaming Electrical safety Surface acoustic waves. Bot (Internet) Finance Inertial navigation Coaxial components Digital images YouTube Biogeography Anatomy Signal restoration Nanocrystals Electromagnetic metamaterials Microphones Mammography Nanocrystals. Electromagnetic spectrum Software reviews Spectroradiometers Single electron devices Twitter Vehicle-to-grid Joining processes Quality control Optical fiber cables Thin film sensors Biomedical microelectromechanical systems Power system modeling. Task analysis Cellular networks Programming profession Occupational stress Combined source-channel coding Planning Knowledge management Volcanic ash. Test equipment Learning systems Nuclear and plasma sciences Unmanned autonomous vehicles Surface topography Delta modulation Resonant tunneling devices. Lead time reduction Finite volume methods Fungi Biology computing Image capture Echocardiography Fuzzy neural networks Belts Associative processing Gastroenterology Zinc oxide. Knowledge engineering Teleprinting Magnetic semiconductors Adhesives Biomagnetics. Vector quantization Wind Visual analytics Thin film inductors Client-server systems Discussion forums Microelectronic implants Matrix decomposition Hydrocarbons Aneurysm. Washing machines Wafer scale integration Servomechanisms Fractionation Facial animation Ultra large scale integration Hydrogen Out of order Surface cleaning Semiconductor device doping. Thomson effect Nose IEEE staff Wind farms Structural plates IEEE staff Conductive adhesives Capacitors. Null space Nails Home computing White blood cells Semiconductor device measurement Magnetostatic waves D-HEMTs Unmanned underwater vehicles Photoreceptors. Beta rays Breast biopsy Neptunium Orbits (stellar) Dendrites (neurons). US Government Capacity planning Curium Ceramic products Leaching Delamination Business intelligence Multimedia databases Cardiovascular diseases Graph theory. Neuromodulation Brain ventricles Electric vehicles Biomedical optical imaging Beams Fuzzy set theory Passive filters Molecular biology Chrome plating Optical fiber couplers.

(8)

Thigh Optical detectors Whole body imaging Cancer Avatars Web and internet services Thick film circuits. Masticatory muscles Fuzzy set theory Coatings Air cleaners Signal design Cortical bone Centralized control Biological tissues Ultra-dense networks. Osmosis Underwater cables Cancer Neurostimulation Facial animation Schottky gate field effect transistors Robotic assembly. Vehicle-to-infrastructure Grasping Call admission control Damping Software prototyping. Superluminescent diodes Phasor measurement units Quality assurance Remote handling equipment Biomarkers Geoscience Vehicle-to-infrastructure Breast biopsy Beams Ion beams Bills of materials Cable shielding. IEEE directories Proton effects Associative memory IEEE magazines Attenuation measurement B-ISDN. Medical specialties Spaceborne radar Ignition Interference elimination Autonomous robots. Medical specialties Bit rate Orthopedic procedures Military vehicles Optical saturation Rough surfaces Unsupervised learning Data centers Business process management Electromagnetic measurements Digital elevation models Reconfigurable logic. Uranium Fertilizers Frontal lobe Surface tension Ground penetrating radar Epilepsy Displacement measurement Elementary particle exchange interactions Magnetic shielding Digital signatures Mobile communication Engineering management Image generation Paints. Autonomic nervous system Aluminum Doppler measurement Learning systems Audio systems Tellurium Wearable computers Nerve tissues Emotion recognition. Indoor radio communication Spectroscopy Mie scattering Building automation Xenon Teleprinting Lane departure warning systems US Government Shafts Videos Bio-inspired computing Strontium. Radiation dosage Metastasis Engineering management Common Information Model (electricity) Differential privacy Mobile communication DICOM. Thomson effect Ion emission Cross-cultural communication Mesomycetozoea Design optimization Apertures. Timbre Electronics cooling Software testing Multiresolution analysis Cancellous bone. Production planning Software prototyping Equivalent circuits Land mobile radio cellular systems Visual analytics. Military vehicles Acquired immune deficiency syndrome Scandium Power filters Dysprosium compounds System testing Greenhouses Wine industry Biology computing X-rays Bladder Delamination Unsupervised learning. Optical planar waveguides Cyberethics Manufacturing systems Autonomic nervous system Beta rays Internet telephony Optical metamaterials Single machine scheduling Wireless cellular systems Finite volume methods Chemistry Acoustical engineering Neutrons. Sensory aids Biomedical telemetry Blood pressure Social intelligence Rough surfaces Gears Thick film inductors Micromotors Transmitters. Active noise reduction Lead acid batteries Mammary glands Oceanography Neon Nonlinear wave propagation Creativity Axles Autonomous vehicles Geoscience. Bipartite graph Photometry Induction motors Aerospace safety Magnetic field measurement MIM capacitors Flame retardants Animatronics Inductance Metastasis Production equipment. Electrostriction Frequency shift keying Superconducting integrated circuits Matlab Magnetrons Wounds White blood cells Gyroscopes. Partitioning algorithms Submillimeter wave integrated circuits Web servers Ion sources Optical arrays Stomach TEM cells Thyratrons Zinc oxide Sweat glands Proton effects. Optical device fabrication Morphology Optical coherence tomography Unmanned vehicles Iris recognition Product development Eyelids Thermoelectric materials. Hysteresis Lithium batteries Wide band gap semiconductors Resins Coagulation Nonlinear wave propagation Wavelength conversion. Data compression US Government Synchrocyclotrons Ransomware Surface resistance Psychiatry Processor scheduling Thallium Fingers Image processing Iridium Diamagnetic materials Soil pollution. Sensitivity and specificity Induction generators Social implications of technology Nonlinear filters Superconducting epitaxial layers Radar crosssections Intracranial system Knee Feedback amplifiers Computer crime Shift registers Uncertainty. Leaching Image generation Optical attenuators Software defined networking Supply and demand Displacement control Air pollution Production planning Network coding Magnetic sensors Titanium alloys Usability Thermal stresses. IEEE staff Linked data Frequency locked loops Proton effects Vertical cavity surface emitting lasers Mammary glands Gray-scale. Cyber warfare PIN photodiodes Cause effect analysis Switched capacitor networks Basal ganglia Magnetic field measurement Thick films Acoustic scattering Particle collisions Millimeter wave transistors Symbiosis Power system economics. Epitaxial growth Intelligent actuators Avatars Automobiles Frequency modulation Electrothermal effects Deep learning. Ring generators Bonding forces Electronics cooling Motion control Supply and demand Accelerated aging Magnetometers Mean square error methods. Radioactive pollution Optical switches Thick films Optical fiber couplers Baluns Solar powered vehicles Thyristors. Well logging Holmium Cellular networks Parietal lobe Empirical mode decomposition Research and development management Matching pursuit algorithms Data visualization Ocean salinity Nails Molecular beam applications. DC machines Coprocessors Cloud computing Elastography Video sharing Cryptography Urban planning Wetlands Teletext Water storage. Aerosols Vertical cavity surface emitting lasers Uninterruptible power systems Thin film sensors Computer generated music Neurotransmitters Mechanical splines Accuracy Discussion forums Business intelligence. Weather forecasting Organic inorganic hybrid materials Cadaver Contract management Smart manufacturing Planning Motion compensation Glial cells Cataracts Anesthetic drugs Disk recording Projective geometry Fasteners. Prefabricated construction Pistons Document image processing Partial transmit sequences Macrocell networks Social intelligence.

(9)

1736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Accurate Transistor Modeling by Three-Parameter Pad Model for Millimeter-Wave CMOS Circuit Design Seitaro Kawai, Shinji Sato, Shotaro Maki, Korkut Kaan Tokgoz, Graduate Student Member, IEEE, Kenichi Okada, Senior Member, and Akira Matsuzawa, Fellow, IEEE

Abstract— This paper proposes accurate CMOS device de-embedding and modeling methods. For millimeter-wave circuit design, accurate simulation models are required. For this reason, an accurate measurement is a key technique for device characterization, and de-embedding and modeling methods are also very important. In this work, a three-parameter pad model based on L-2L de-embedding method and a transistor model with frequency and bias dependency are proposed. The pad model is derived from the assumption that the capacitance of PADs becomes constant at high frequencies. In the transistor modeling, parasitic elements are extracted mathematically. A five-stage low-noise amplifier is fabricated by 65–nm CMOS technology to confirm the accuracy of simulation, and the simulation and measurement results match well with each other. Index Terms— Characterization, de-embedding, low-noise amplifier (LNA), millimeter-wave (mm-wave), modeling, transistor, transmission line.

I. I NTRODUCTION IRELESS communication system development in the millimeter-wave (mm-wave) frequency range attracts attention from both industry and academia in order to achieve high-data-rate systems such as 10 Gbps. One of the best candidate mm-wave frequencies to achieve a data rate of several Gbps is the 60-GHz carrier, where an unlicensed 9-GHz bandwidth is used in various countries. This 9-GHz unlicensed band enables tens of Gbps communication with a proper modulation scheme. Owing to the continuous development and advancement in standard CMOS technology, a high-data-rate transceiver (TRX) can be realized with low cost and ability of monolithic implementation with analog and digital baseband circuitry unlike compound semiconductor technologies [1]–[5]. For a complete TRX to be implemented with desired performances, accurate passive and active device

W

Manuscript received August 4, 2015; revised January 19, 2016; accepted March 26, 2016. Date of publication May 16, 2016; date of current version June 2, 2016. This work was supported in part by MIC, SCOPE, STARC, STAR, and VDEC in collaboration with Cadence Design Systems, Inc., Mentor Graphics, Inc., and Agilent Technologies Japan, Ltd. S. Kawai, K. K. Tokgoz, K. Okada, and A. Matsuzawa are with the Department of Physical Electronics, Tokyo Institute of Technology, Tokyo 152-8552, Japan (e-mail: [email protected]). S. Sato is with RICOH, Sendai 981-1241, Japan (e-mail: [email protected]). S. Maki is with Panasonic Corporation, Osaka 571-8501, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549527

characterization and modeling are needed, because the models provided by foundries, unfortunately, are not accurate at mm-wave frequencies. The very first part of device characterization is the de-embedding process, which is used to remove the effects of test fixtures (probing pads’ characteristics) from measured results of any kind of test element group (TEG). Furthermore, the accuracy of de-embedding process strongly affects the characterization and modeling of devices, and hence, the circuit performances. This is because the pads have large influence on measurements, particularly at mm-wave frequencies. Considering these reasons, accurate de-embedding and pad characterization are required. There are a vast number of studies in the literature about de-embedding [6]–[16]. In [6], several de-embedding methods are discussed regarding the characterization and modeling of transmission lines (TLs) and their influence on amplifier response. One of the most used de-embedding methods is the open-short method [7]. However, its accuracy is not good, especially on CMOS where there are no perfect open and short connections. Another method is the thru-only method [8]. In this thru-only structure, the left pad and right pad are connected with a short TL. While it has better accuracy than the open-short method, the short TL has a negative influence on the de-embedding accuracy. In addition, the poor isolation between probes also degrades the accuracy. The virtual-thru (hereon referred to as L-2L) de-embedding method [9], [10], uses two TLs, one with a length of L and the other with a length of 2L. Basically, TRL de-embedding has a similar calculation process as the L-2L method [11]. According to [6], the L-2L method is one of the most accurate methods as compared with the open-short and thru-only methods. However, the accuracy of the L-2L method is degraded in the very highfrequency region, such as more than 60 GHz, which is also a common issue in the TRL method [12], [13]. A PAD is a kind of passive component, and it is reciprocal but not symmetrical, so it should be expressed by three parameters. However, the devices-under-test (DUTs) used in the conventional methods are symmetrical and involve only two parameters [14], [15]. In this work, a double-T-type PAD model using three parameters is proposed. Moreover, to perform accurate simulation, the modeling method of CMOS transistor is important. The miniaturized CMOS transistor is seriously affected by parasitic capacitance and inductance. Thus, it is still difficult to build

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

KAWAI et al.: ACCURATE TRANSISTOR MODELING BY THREE-PARAMETER PAD MODEL FOR MILLIMETER-WAVE CMOS CIRCUIT DESIGN

Fig. 1.

Fig. 2.

Cross-sectional illustration of on-chip TL.

Fig. 3.

Chip photo of on-chip TL.

Fig. 4.

Graphical illustration of L-2L de-embedding method.

Fig. 5.

Double-T-type pad model.

1737

Illustration of PAD. (a) Cross-sectional view. (b) Top view.

well-characterized CMOS transistor models for mm-wave circuit design. Recently, several transistor modeling methods for mm-wave design have been studied [17]–[25]. In [17]–[24], transistor models are composed of additional resistances, inductances, and capacitances. However, in these approaches, parasitic parameters such as resistance, inductance, and capacitance are supposed to be non-bias-dependent and nonfrequency-dependent, which results in model degradation across wide bias and frequency ranges. In [25], the transistor model is composed of a dc transistor model and Y-parameters. However, it is only for small-signal modeling, and the linearity and noise figure cannot be well characterized. Referring to these reasons, in this paper, a new transistor characterization method is proposed, based on the accurate deembedding method using a three-parameter pad model [16]. In the pad model, the detailed calculation method to solve three parameters with the results of L-2L de-embedding method and the assumption of the constant capacitance of PADs at high frequencies is explained. A bias-dependent and frequencydependent transistor characterization method is also presented, which characterizes small-signal, linearity, and noise figure by using bias-dependent gate impedance, parasitic admittances between gate and source, gate and drain, source and drain. The outline of this paper is as follows. In Section II, a threeparameter pad model based on L-2L de-embedding method is proposed. In Section III, a transistor model with frequency and bias dependency is proposed and compared. In Section IV, the accuracy of proposed de-embedding and transistor model are evaluated. Finally, Section V gives a conclusion. II. PAD M ODEL A. Conventional PAD Model Fig. 1 shows a structure of the PAD employed in this work. The cross-sectional view of the guided coplanar waveguide TL employed in this work is shown in Fig. 2, and Fig. 3 shows the micrograph of a TL TEG. As explained above, to use the L-2L method two TLs are needed, with the length of one twice the length of the other. Fig. 4 briefly illustrates the L-2L de-embedding method.

In terms of T -parameters, the method is expressed as follows: T meas = T Lpad T DUT T Rpad T L+PAD = T Lpad T L T Rpad

(1) (2)

T 2L+PAD = T Lpad T 2L T Rpad (T 2L = T L T L )

(3)

T thru =

T Lpad T Rpad = T L+PAD T −1 2L+PAD T L+PAD .

(4)

Here, T L+PAD and T 2L+PAD are the measurement results of TLs. T LPAD and T RPAD are the T -parameters of the left and right pads, respectively. Since the measurement results of TL TEGs are symmetric and reciprocal, the thru has two known values in terms of S-, Y -, or Z -parameters. On the other hand, since pads are reciprocal passive devices, they are supposed to be expressed by double-T-type pad model using three parameters as shown in Fig. 5. It is impossible to determine these three parameters (Z 1 , Z 2 , Z 3 ) due to the limitations of the calculation of the L-2L method, and therefore some approximation is required.

1738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 6.

π -type pad model. (a) π -type pad model. (b) Pad thru connection.

Fig. 7.

T-type pad model. (a) T-type pad model. (b) Pad thru connection.

Thus, only using the thru response, the pads can be modeled as the combination of one shunt admittance and one series impedance with two different versions as π-type (Fig. 6) and T-type (Fig. 7) circuits. Fig. 6(b) illustrates the π-type model for thru, which can be expressed as follows: ⎤ ⎡  Y1 Y1    − ⎢ 2 + Y2 Y11 Y12 2 ⎥ ⎥. =⎢ Y thru = (5) ⎦ ⎣ Y21 Y22 Y1 Y1  + Y2 − 2 2 Then, the Y -parameters of the left and right pads can be calculated as   Y11 − Y12 2Y12 Y Lpad_π = (6) 2Y12 −2Y12   −2Y12 2Y12 . (7) Y Rpad_π = 2Y12 Y11 − Y12 Similarly, the T-type of thru is shown in Fig. 7(b), which is given in terms of Z -parameters in ⎡ ⎤  Z 2  + Z2   Z ⎢ 1 ⎥ Z 11 Z 12 2 2 ⎥. =⎢ Zthru = (8)   ⎣ Z 21 Z 22 Z2 Z2 ⎦  Z1 + 2 2 Again, in a similar way, Z -parameters of the left and right pads are provided in   Z 11 + Z 12 2Z 12 ZLpad_T = (9) 2Z 12 2Z 12   2Z 12 2Z 12 . (10) ZRpad_T = 2Z 12 Z 11 + Z 12 It is important to note that the results of π-type and T-type −1 are different (i.e., Y −1 Lpad  = Z Lpad and Y Rpad  = Z Rpad ) [15]. TLs is expressed as follows in terms of F-parameters (ABCD-parameters): ⎡ ⎤ cos γ  Z 0 sin γ  ⎦. F TL = ⎣ 1 (11) sin γ  cos γ  Z0

Fig. 8. Comparison of TL characteristics. (a) Characteristic impedance. (b) Quality factor. (c) Attenuation constant. (d) Phase constant.

Here, γ and  show the propagation constant and the length of transmission lines, respectively. When the double-T-type pad model is de-embedded by the π-type and T-type pad model, respectively, the de-embedded results can be expressed by the following equations in terms of F-parameters: −1 (12) F TL_π = F −1 Lpad_π F Lpad F TL F Rpad F Rpad_π ⎡ ⎤ Z1 cos γ  Z 0( + 1)2 sin γ  ⎥ ⎢ Z 2 ⎥ =⎢ 1 ⎣ ⎦ sin γ  cos γ  Z1 2 Z 0 ( Z 2 + 1) (13) −1 F TL_T = F −1 (14) Lpad_T F Lpad F TL F Rpad F Rpad_T ⎤ ⎡ Z0 sin γ  ⎥ cos γ  Z3 ⎢ ( Z 2 + 1)2 ⎥ ⎢ = ⎢ Z3 ⎥. (15) ⎦ ⎣ ( Z 2 + 1)2 sin γ  cos γ  Z0 Here, F L,Rpad_π,T are the left or right pad of the π- or T-model. F L,Rpad are the left- and right-pad model of the double-T-model, as shown in Fig. 5. Thus, Q, α, and β characteristics of TLs are not different depending on the pad model, but the characteristic impedance is different. When de-embedded by π-type, a (Z 1 /Z 2 + 1)2 factor difference occurs only in the characteristic impedance. Also, a 1/ (Z 3 /Z 2 + 1)2 factor difference occurs only in the characteristic impedance by T-type pad model. Fig. 8 compares the characteristics of the de-embedded TLs for π-type and T-type circuit of pads. It can be observed that Q, α, and β characteristics of TLs are the same with each other in the two cases, but the difference between the two cases in terms of characteristic impedance is getting larger beyond 20 GHz. As can be noticed from (11)–(15), this frequency depends on the pad size. This problem can be mitigated by using a longer transmission line [26]. It is well-known from the theory that the characteristic impedance of TL should be constant when the frequency is

KAWAI et al.: ACCURATE TRANSISTOR MODELING BY THREE-PARAMETER PAD MODEL FOR MILLIMETER-WAVE CMOS CIRCUIT DESIGN

Fig. 9.

1739

Comparison of pad models. (a) Capacitance. (b) Inductance.

high, and this relation is given by the following equation:

R + j ωL ∼ L (ωL  R, ωC  G). (16) Z0 = = G + j ωC C The reason of this difference can be understood by observing Fig. 9, which shows the capacitance (Y2 /ω, 1/2Z 2 ω) and inductance (1/2Y1 ω, Z 1 /ω) of π- and T-type circuit of pads, accordingly. The characteristic impedance behavior and capacitance of the pad models have a direct relation. The π-model overestimates the capacitance, and the characteristic impedance gets smaller as the frequency increases. The counterpart of this comment can be said for the T-type-model. Moreover, the capacitance of the pad resulting from top metal and ground should be constant. B. Proposed Pad Model As discussed in the previous section, π-type and T-type pad models are expressed by two parameters (Y1 , Y2 or Z 1 , Z 2 ), respectively, due to limitations of the calculation of L-2L method. However, three parameters should be needed to obtain the reciprocal passive components modeling. As mentioned, because only two parameters can be obtained from the L-2L calculation, it is necessary to make some assumptions. Here, following equation is assumed: Z 3 = k × Z 1 (0 ≤ k ≤ 1).

(17)

From this assumption, Z 1 and Z 2 can be expressed as follows by using conventional T-type results:

 Z 2 Z  2 (18) k + 1 − k 2 + 2k + 1 + 4k  Z1 = Z1 + 2 Z1

Z 2 Z 1 2 Z2 = (19) 1 − k + k + 2k + 1 + 4k  . 2 Z2 Then, k is adjusted such that the capacitor remains relatively constant up to 110 GHz. This time, k is found to be 0.4. Better to note that the accuracy of the probing position largely affects the accuracy of de-embedding. This value might change with probing position, so careful probing is required. The detailed analysis is described in [27]. Fig. 9 shows the capacitance and the inductance values of the calculated proposed pad model in comparison with π- and T-type. For the inductance of the proposed pad model, only Z 1 is included, and that of Z 3 is not included. The pad has large capacitance and inductance, and it is equivalent to a characteristic impedance of 17  if it is assumed as a transmission line. If the pad can be

Fig. 10. Comparison of pad models. (a) Additional parasitic elements. (b) Mathematical Y wrap model [25].

assumed to be a lumped component, the pad impedance does not have any influence on the TL characteristics. However, the pad usually has to be considered as a distributed component, so three-parameter modeling is required. As shown in Fig. 9, k is adjusted to keep the capacitance relatively constant up to 110 GHz. Fig. 8 provides Z 0 , Q, α, and β characteristics of a de-embedded TL by the proposed pad model. Compared with the results in Fig. 8, Q, α, and β are similar, and the characteristic impedance becomes constant in the highfrequency regime even though k is not optimized for the characteristic impedance. III. T RANSISTOR C HARACTERIZATION A. Proposed Transistor Modeling Method As shown in Fig. 10(a), a conventional transistor model is composed of additional parasitic elements and dc transistor model, such as BSIM4, and the value of parasitic elements are optimized to meet the measurement results. However, it is not easy to characterize the bias and frequency dependencies across the wide parameter range, since a fixed resistance value, capacitance, and inductance are used in conventional models [23], [24]. One of the most serious problems in the physical-based models is in the inaccuracy of high-frequency measurements. Basically, the values of additional parasitic components cannot be determined by the two-port measurement results by using VNA because of less information. In particular, the substrate network cannot be determined analytically by such limited measured information. In [25], a transistor modeling method is proposed using a mathematical approach, using a dc transistor model and a Y -parameters model (Y wrap ) characterizing the mismatch between the dc model and highfrequency measurements. The Y -parameters model is simply derived by subtracting the dc model from the measurements. The small-signal characteristics can be well characterized mathematically while it is still an issue for linearity modeling. To realize a bias-dependent and power-dependent model, an equivalent circuit shown in Fig. 11 is proposed, consisting of gate impedance and parasitic admittances between gate and source, gate and drain, and drain and bulk. These components are expressed by bias- and frequency-dependent admittances

1740

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Proposed transistor model.

Fig. 13. view.

Fig. 12.

Derivation of model parameters.

Z g , Ygs , Ygd , and Ydb , respectively. These parameters can be derived from the measured Y -parameters (Y meas ) defined by the following equation:   Ymeas11 Ymeas12 Y meas = . (20) Ymeas21 Ymeas22 On the other hand, the Y -parameters model is expressed as follows:   Ymodel11 Ymodel12 . (21) Y model = Ymodel21 Ymodel22 When Y meas = Y model , each of the parameters Z g , Ygs , Ygd , Ydb can be mathematically calculated. Here, three parameters FZ , Ytr , YY are defined as follows:   1 Zg (22) FZ = 0 1   Ytr11 Ytr12 Y tr = (23) Ytr21 Ytr22   YY11 YY12 (24) YY = YY21 YY22 where F Z stands for the F-parameters of Z g . Y tr is calculated from a transistor model for each bias condition. A BSIM4 transistor model is used, which is provided as a process design kit (PDK) from a foundry. Y Y shows the π network of Ygs , Ygd , and Ydb . The derivation procedure is also shown in Fig. 12, where F Y is Y Y , and F model is Y model of F-parameters. Then, Y model can be calculated by the following equation: Y model = f toy(F Z yto f (Y tr + Y Y ))    Ymodel11 Ymodel12 . = f toy F Z yto f Ymodel21 Ymodel22

(25) (26)

Here, f toy and yto f are the conversion function from F-parameters to Y -parameters and Y -parameters to

Chip photograph of transistor TEG. (a) Overall view. (b) Enlarged

F-parameters, respectively. By solving the above equation, Z g , Ygs , Ygd , and Ydb can be derived as follows: Ymeas21 − Ymeas12 − Ytr21 + Ytr12 (27) Zg = − Ymeas11 (Ytr21 − Ytr12 ) 1 (Ymeas21 (Ytr12 + Ytr11 ) (28) Ygs = − Ymeas21 − Ymeas12 −Ymeas12 (Ytr21 + Ytr11 ) + Ymeas11 (Ytr12 − Ytr21 )) Ytr12 Ymeas21 − Ytr21 Ymeas12 Ygd = (29) Ymeas21 − Ymeas12 1 Ydb = Ymeas11 Ymeas21 − Ymeas11 Ymeas12 (Ymeas22 (Ymeas11 Ymeas21 − Ymeas11 Ymeas12 ) −Ymeas12 Ymeas21 2 + Ymeas21 (Ymeas12 2 +Ymeas12 (Ytr21 − Ytr12 ) − Ymeas11 (Ytr22 − Ytr12 )) (30) +Ymeas11Ymeas12 (Ytr22 − Ytr21 )). These parasitic parameters are dependent on the bias voltage and frequency. Thus, Z g , Ygs , Ygd , and Ydb are modeled by numerical equation. The real and imaginary parts of parameters are expressed by the following polynomial functions: Real par t

: (a1 + a2 Vg + a3 Vg 2 ) 2

+(a4 + a5 Vg + a6 Vg ) f

(31) 2

+(a7 + a8 Vg + a9 Vg 2 ) f 4 I magi nar y par t

: (b1 + b2 Vg + b3 Vg 2 ) f +(b4 + b5 Vg + b6 Vg 2 ) f 3 +(b7 + b8 Vg + b9 Vg 2 ) f 5 .

(32)

These functions consider the frequency and bias dependencies. In terms of the bias dependency, only the gate bias is considered in (31) and (32) for values above threshold voltage because the model is for a mm-wave small-signal amplifier design in this work. However, (31) and (32) can potentially characterize a wide voltage range for both gate and drain bias conditions. B. Comparison of Transistor Models Fig. 13 shows the micrograph of a transistor TEG fabricated in 65-nm CMOS technology. As shown in Fig. 13, a transistor block has short access lines for both gate and drain sides, and the access lines are considered as a part of transistor

KAWAI et al.: ACCURATE TRANSISTOR MODELING BY THREE-PARAMETER PAD MODEL FOR MILLIMETER-WAVE CMOS CIRCUIT DESIGN

Fig. 14.

1741

S-parameters measurement setup.

Fig. 16. Modeling results of bias dependency at 60 GHz. (a) Re[S11 ]. (b) Im[S11 ]. (c) Re[S12 ]. (d) Im[S12 ]. (e) Re[S21 ]. (f) Im[S21 ]. (g) Re[S22 ]. (h) Im[S22 ].

and the measured S-parameters. It can be clearly seen that both the proposed model and [25] have a good agreement with the measurement results. Moreover, the bias voltage and frequency dependency can be successfully expressed. Fig. 16 shows the comparison of bias-dependent S-parameters from the proposed transistor model [25], PDK, and the measurement results at 60 GHz. The model parameters are extracted for the gate bias voltage of 0.3 V to 1.0 V. IV. E VALUATION W ITH F IVE - STAGE L OW-N OISE A MPLIFIER Fig. 15. Modeling results of frequency dependency at the gate bias of 0.5 V. (a) Re[S11 ]. (b) Im[S11 ]. (c) Re[S12 ]. (d) Im[S12 ]. (e) Re[S21 ]. (f) Im[S21 ]. (g) Re[S22 ]. (h) Im[S22 ].

block. A de-embedding is applied for the PADs and 50-μm transmission lines, and S-parameters of the transistor block including the access lines are calculated. Fig. 14 shows the S-parameters measurement setup. Fig. 15 shows the simulated S-parameters using the proposed transistor model, [25], PDK,

In the evaluation of the accuracy of de-embedding and modeling, a five-stage low-noise-amplifier (LNA) is designed, manufactured, and measured. Fig. 17 provides the schematic of the LNA, and Fig. 18 shows the micrograph of LNA. In the measurement results, the pad is not de-embedded. The simulation results also include the pad model. This LNA is constructed with TLs, MOM-capacitors (150 fF), decoupling transmission lines [metal–insulator–metal TL (MIM TL)], and transistors. Common source topology is employed to improve

1742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 17.

Schematic of the five-stage LNA.

Fig. 18.

Chip photograph of the five-stage LNA. Fig. 20.

Noise figure comparison of simulation and measurement.

Fig. 21.

Linearity comparison of simulation and measurement. TABLE I IIP3 C OMPARISON

Fig. 19. S-parameters comparison of simulation and measurement. (a) S21 . (b) S11 . (c) S22 .

the noise figure performance [28]. In the first two stages, transistors of gate length of 60 nm and gate width of 1.5 μm by 24 fingers are used to optimize the NF. The third and fourth stages use a gate length of 60 nm and a gate width of 2 μm by ten fingers to maximize the gain, and gate width of 2 μm by 15 fingers is used to improve noise figure. These components are characterized after de-embedding of the proposed threeparameter pad model. The comparison of the simulation and measurement results of S21 , S11 , and S22 are given in Fig. 19. S21 and S11 of the

simulation results have good agreement with the measurement result. However, S22 of the simulation result is a bit different from the measurement result, which is caused by MIM TL modeling error [2]. Because of the low impedance of MIM TL (2  ∼ 3 ), it is difficult to obtain accurate measurement results in a 50- system. The comparison of the simulation and measurement results of linearity at 60 GHz and NF are given in Figs. 20 and 21, respectively. The transistor channel noise model is provided through the BSIM4 model, and in addition thermal noise will be simulated from parasitic resistors in Z g , Yds , etc. Shown in Table I, simulated IIP3 of [25] is much better than measurement result because of the additional Y wrap . In the proposed transistor model, IIP3 becomes −22 dBm and agrees well with the measurement result.

KAWAI et al.: ACCURATE TRANSISTOR MODELING BY THREE-PARAMETER PAD MODEL FOR MILLIMETER-WAVE CMOS CIRCUIT DESIGN

V. C ONCLUSION Millimeter-wave characterization and modeling are the first and most important steps to construct the desired systems. Accuracy of conventional de-embedding methods are discussed, and it is concluded that symmetrical and reciprocal pad characteristics are not sufficiently accurate in the mm-wave range. Hence, a three-element and reciprocal pad model is proposed along with its calculation method. The characteristic impedance of the de-embedded TLs with the proposed method remains constant at high frequencies as the theory states. Also, the accuracy of transistor modeling strongly affects the performance of the mm-wave amplifier. In the proposed model, the parasitics are characterized by four bias- and frequencydependent parameters related to the gate impedance and parasitic admittances between gate and source, gate and drain, and drain and backgate. To evaluate the accuracy of the proposed de-embedding and transistor model, the simulation results and measurement results of five-stage LNA are compared and achieved a good match in S-parameters, IIP3 , and noise figure. A PPENDIX This section shows the derivation of (18) and (19). F-parameters F three−parameter and F T−type of three-parameter and T-type models are expressed as follows: ⎤ ⎡    1 0  1 Z1 ⎣ ⎦ 1 2Z 3 1 F three−parameter = 0 1 0 1 1 ⎤Z 2 ⎡  1 0  ⎦ 1 Z1 (33) ×⎣ 1 1 0 1 Z2   Z1 Z3 Z1 F three−parameter (1, 1) = 1 + 2 +2 1+ (34) Z2 Z2 Z2   Z 12 Z1 + 2Z 3 1 + F three−parameter (1, 2) = 2Z 1 + 2 Z Z2 2  Z1 (35) × 1+ Z2 2 Z3 F three−parameter (2, 1) = +2 2 (36) Z2 Z2   Z1 Z1 Z3 F three−parameter (2, 2) = 1 + 2 1+ (37) +2 Z2 Z Z ⎡ 2 ⎤ ⎡2 ⎤   1 0 1 0  1 Z1 ⎣ 1 ⎦⎣ 1 ⎦ F T−type = 1 1 0 1   Z Z 2   2 1 Z 1 × (38) 0 1 Z F T−type (1, 1) = 1 + 2 1 (39) Z2   Z (40) F T−type (1, 2) = 2Z 1 1 + 1 Z2 2 F T−type (2, 1) =  (41) Z2 Z (42) F T−type (2, 2) = 1 + 2 1 . Z2 Here, F 3− paramet er = F T−type is assumed, and the following equations can be derived:

Z3 Z1 +2 1+2 Z2 Z2

  Z Z1 1+ = 1 + 2 1 Z2 Z2 Z3 2 2 +2 2 =  . Z2 Z2 Z2

1743

(43) (44)

When k = 0, i.e., Z 3 = 0, Z 1 = Z 1 and Z 2 = Z 2 . When k = 0, the following quadratic equation can be derived from (43) and (44):   2 (45) Z 2 2 + (k − 1)Z 2 Z 2 − k Z 2 Z 1 + Z 2 = 0 and Z 1 and Z 2 can be expressed by the following equations:

 Z 2 Z 1 Z2 = (46) 1 − k + k 2 + 2k + 1 + 4k  2 Z2

 Z Z 2  2 2 Z1 = Z1 + k + 1 − k + 2k + 1 + 4k  . (47) 2 Z1 R EFERENCES [1] K. Okada et al., “A 60-GHz 16QAM/8PSK/qpsk/bpsk direct-conversion transceiver for IEEE802.15.3c,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 2988–3004, Dec. 2011. [2] K. Okada et al., “Full four-channel 6.3-Gb/s 60-GHz CMOS transceiver with low-power analog and digital baseband circuitry,” IEEE J. SolidState Circuits, vol. 48, no. 1, pp. 46–65, Jan. 2013. [3] T. Tsukizawa et al., “A fully integrated 60 GHz CMOS transceiver chipset based on WiGig/IEEE802.11ad with built-in self calibration for mobile applications,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2013, pp. 230–231. [4] V. Vidojkovic et al., “A low-power eadio chipset in 40 nm LP CMOS with beamforming for 60 GHz high-data-rate wireless communication,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2013, pp. 236–237. [5] K. Okada et al., “A 64QAM 60 GHz CMOS transceiver with 4-channel bonding,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 2014, pp. 346–347. [6] R. Minami, C. Han, K. Matsushita, K. Okada, and A. Matsuzawa, “Effect of transmission line modeling using different de-embedding methods,” in Proc. Eur. Microw. Conf., Dec. 2011, pp. 381–384. [7] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved de-embedding technique for on-wafer high-frequency characterization,” in Proc. Bipolar Circuits and Technol. Meeting, Sep. 1991, pp. 188–191. [8] H. Ito and K. Masu, “A simple through-only de-embedding method for on-wafer S-parameter measurements up to 110 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 383–386. [9] J. C. Rautio, “A de-embedding algorithm for electromagnetics,” Int. J. Microw. Millimeter-Wave Comput.-Aided Eng., vol. 1, no. 3, pp. 282–287, Jul. 1991. [10] J. Song, F. Ling, G. Flynn, W. Blood, and E. Demircan, “A deembedding technique for interconnects,” Electr. Performance of Electron. Packaging, pp. 129–132, Oct. 2001. [11] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Techn., vol. 27, no. 12, pp. 987–993, Dec. 1979. [12] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [13] A. M. Mangan, S. P. Voinigescu, M. T. Yang, and M. Tazlauanu, “De-embedding transmission line measurements for accurate modeling of IC designs,” IEEE Trans. Electron Devices, vol. 53, no. 2, pp. 235–241, Feb. 2006. [14] W. R. Eisenstandt and Y. Eo, “S-parameter-based IC interconnect transmission line characterization,” IEEE Trans. Comp., Hybrids, Manufact. Tech., vol. 15, no. 4, pp. 483–490, Aug. 1992. [15] T. Sekiguchi, S. Amakawa, N. Ishihara, and K. Masu, “On the validity of bisection-based thru-only de-embedding,” in Proc. IEEE Int. Conf. Microelectron. Test Structures, Mar. 2010, pp. 66–71.

1744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

[16] S. Kawai, K. K. Tokgoz, K. Okada, and A. Matsuzawa, “L-2L de-embedding method with double-T-type PAD model for millimeter-wave amplifier design,” Silicon Monolithic Integrated Circuits in RF Syst., pp. 43–45, Jan. 2015. [17] R. A. Minasian, “Simplifier GaAs MESFET model to 10 GHz,” Ellectron. Lett., vol. 13, no. 8, pp. 549–551, 1977. [18] W. R. Curtice and R. L. Camisa, “Self-consistent GaAs FET models for amplifier design and device diagnostics,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 12, pp. 1573–1578, Dec. 1984. [19] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [20] K. Matsushita, N. Takayama, N. Li, S. Ito, K. Okada, and A. Matsuzawa, “CMOS device modeling for millimeter-wave power amplifiers,” IEEE Radio-Frequency Integration Technol., pp. 68–71, Dec. 2009. [21] B. Heydari, M. Bohsali, E. Adabi, and A. M. Niknejad, “Millimeterwave devices and circuit blocks up to 104 GHz in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2893–2903, Dec. 2007. [22] C. H. Doan, S. Emami, A. M. Niknejad, and R. W. Brodersen, “Millimeter-wave CMOS design,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2005. [23] M. T. Yang, P. P. C. Ho, Y. J. Wang, T. J. Yeh, and Y. T. Chia, “Broadband small-signal model and parameter extraction for deep submicron MOSFETs valid up to 110 GHz,” in Proc. Radio Frequency Integrated Circuits Symp., Jun. 2003, pp. 369–372. [24] J. Wood and D. E. Root, “Bias-dependent linear scalable millimeterwave FET model,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 12, pp. 2352–2360, Dec. 2000. [25] K. Katayama, M. Motoyoshi, K. Takano, R. Fujimoto, and M. Fujishima, “Bias-voltage-dependent subcircuit model for millimeter-wave CMOS circuit,” IEICE Trans. Electron., vol. E95-C6, pp. 1077–1085, Jun. 2012. [26] S. Amakawa, K. Yamanaga, H. Ito, T. Sato, N. Ishihara, and K. Masu, “S-parameter-based modal decomposition of multiconductor transmission lines and its application to de-embedding,” in Proc. IEEE Int. Conf. Microelectron. Test Structures, Apr. 2009, pp. 177–180. [27] Q. Bu, N. Li, K. Okada, and A. Matsuzawa, “Evaluation of L-2L de-embedding method considering misalignment of contact position for millimeter-wave CMOS circuit design,” IEICE Trans. Electron., vol. E95-C5, pp. 942–948, May 2012. [28] N. Li et al., “A 24 dB gain 51–68 GHz CMOS low noise amplifier useing asymmetric-layout transistor,” in Eur. Solid-State Circuits Conf. Dig. Tech. Papers, Sep. 2010, pp. 342–345. Seitaro Kawai received the B.E. degree in electrical and electronic engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 2013, where he is currently working toward the M.E. degree in physical electronics. His current research interests include 60-GHz transceiver for high-speed and high-data-rate wireless communications, de-embedding, and device characterization.

Shinji Sato received the B.E. degree in electrical and electronic engineering and M.S. degree in physical electronics from the Tokyo Institute of Technology, Tokyo, Japan, in 2012 and 2014, respectively. He is currently with RICOH, Sendai, Japan.

Shotaro Maki received the B.E. and M.E. degrees in Physical Electronics from Tokyo Institute of Technology, Tokyo, Japan, in 2014 and 2016, respectively. He is currently working for Panasonic Corporation, Osaka, Japan.

Korkut Kaan Tokgoz (GSM’14) received the B.Sc. degree and M.Sc. degree in electromagnetics from Middle East Technical University, Ankara, Turkey, in 2009 and 2012, respectively, and the M.Eng. degree from Tokyo Institute of Technology, Tokyo, Japan, in 2014, where he is currently working toward the Ph.D. degree. He worked as Teaching and Research Assistant in the same department from 2009 to 2012 focusing on RF MEMS, surface micromachined lumped components and RF phase shifters. His current research interests include de-embedding, device characterization, millimeterwave CMOS transceivers for high-speed and high-data-rate wireless communications. Mr. Tokgoz was the recipient of the JASSO Honors Scholarship in 2012 and 2015, the KDDI Foundation Scholarship in 2014, Thailand-Japan Microwave Conference Young Researcher Encouragement Award in 2014, and NEC C&C Foundation Researcher Grant in 2016.

Kenichi Okada (S’99–M’03–SM’16) received the B.E., M.E., and Ph.D. degrees in communications and computer engineering from Kyoto University, Kyoto, Japan, in 1998, 2000, and 2003, respectively. From 2000 to 2003, he was a Research Fellow of the Japan Society for the Promotion of Science in Kyoto University. From 2003 to 2007, he was an Assistant Professor with the Precision and Intelligence Laboratory, Tokyo Institute of Technology, Yokohama, Japan. Since 2007, he has been an Associate Professor with the Department of Physical Electronics, Tokyo Institute of Technology, Tokyo, Japan. He has authored or coauthored more than 300 journal and conference papers. His current research interests include millimeter-wave CMOS wireless transceiver, digital PLL, 5G mobile system, and ultra-low-power RF circuits. Prof. Okada is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), the Information Processing Society of Japan (IPSJ), and the Japan Society of Applied Physics (JSAP). He received the Ericsson Young Scientist Award in 2004, the A-SSCC Outstanding Design Award in 2006 and 2011, the ASP-DAC Special Feature Award in 2011 and Best Design Award in 2014 and 2015, JSPS Prize in 2014, Suematsu Yasuharu Award in 2015, and 36 other international and domestic awards. He is a member of the technical program committees of ISSCC, VLSI Circuits, and ESSCIRC, and has served as an associate editor of the IEEE J OURNAL OF S OLID -S TATE C IRCUITS . He has been an IEICE Fellow since 2010.

Akira Matsuzawa (M’88–SM’01–F’02) received the B.S., M.S., and Ph. D. degrees in electronics engineering from Tohoku University, Sendai, Japan, in 1976, 1978, and 1997, respectively. In 1978, he joined Matsushita Electric Industrial Co., Ltd (Panasonic). Since then, he has been working on research and development of analog and Mixed Signal LSI technologies, ultra-high speed ADCs, intelligent CMOS sensors, RF CMOS circuits, and digital read-channel technologies for DVD systems. From 1997 to 2003, he was a general manager in the Advanced LSI Technology Development Center. In April 2003, he joined the Tokyo Institute of Technology, Tokyo, Japan, where he is a Professor in physical electronics. Currently, he is researching mixedsignal technologies, RF CMOS circuit design for SDR, and high speed data converters. Prof. Matsuzawa served as guest editor-in-chief for the special issue on analog LSI technology of IEICE T RANSACTIONS ON E LECTRONICS in 1992, 1997, and 2003, Vice-Program Chairman for the International Conference on Solid State Devices and Materials (SSDM) in 1999 and 2000, guest editor for special issues of the IEEE T RANSACTIONS ON E LECTRON D EVICES , committee member for analog technology in ISSCC, educational session chair of A-SSCC, executive committee member of VLSI symposia, IEEE SSCS elected Adcom, IEEE SSCS Distinguished Lecturer, Chair of IEEE SSCS Japan Chapter, and Vice President of the Japan Institution of Electronics Packaging. He received the IR100 Award in 1983, the R&D100 Award and the Remarkable Invention Award in 1994, and the ISSCC Evening Panel Award in 2003, 2005, and 2015. He has been an IEICE Fellow since 2010.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

1745

RF Modeling of FDSOI Transistors Using Industry Standard BSIM-IMG Model Pragya Kushwaha, Sourabh Khandelwal, Juan Pablo Duarte, Chenming Hu, and Yogesh Singh Chauhan

Abstract— In this paper, RF modeling and step-by-step parameter extraction methodology of the BSIM-IMG model are discussed with experimental data. BSIM-IMG is the latest industry standard surface potential based model for fully depleted siliconon-insulator (FDSOI) transistors. The impact of gate, substrate, and thermal networks is demonstrated with S-parameter data, which enable the BSIM-IMG model to capture RF behavior of the FDSOI transistor. The model is validated over a wide range of biases and frequencies and excellent agreement with the experimental data is obtained. Index Terms— BSIM-IMG, compact model, fully depleted silicon-on-insulator (FDSOI), MOSFET, parameter extraction, RF.

I. I NTRODUCTION HE RF market is seeing exponential growth due to an increasing demand for wireless high data bandwidth applications. The substrates on which RF integrated circuits (ICs) are manufactured have significant contribution in achieving this level of performance. High-resistivity (HR) RF silicon-on-insulator (RFSOI) (on an HR silicon substrate) has emerged as the best substrate choice over other substrates for low-cost RF solutions [1] (see Fig. 1). Mixed-signal system-on-chip devices and integration of complex high-power devices are now possible because of the RFSOI’s high linearity substrate, electrical isolation, and low insertion loss over a wide frequency range. These technologies have offered us to integrate multiple RF/analog functions (e.g., the RF switches, multimode and multiband power amplifiers, antenna tuners, and power controllers) in smaller space [2]. For battery-life limited wireless applications, fully depleted silicon-on-insulator (FDSOI) has emerged as a promising candidate for sub-micrometer technology nodes due to better control over short channel effects [3], [4], performance enhancement capability via back-bias tuning [5], [6], better thermal properties [7], and reduced random dopant fluctuations [8]. With continuous channel length scaling, FDSOI-based CMOS transistors are now becoming an appropriate choice in the

T

Manuscript received April 27, 2015; revised July 20, 2015 and January 16, 2016; accepted April 14, 2016. Date of publication April 28, 2016; date of current version June 2, 2016. This work was supported in part by the Semiconductor Research Corporation, in part by the DST Fast Track Scheme for Young Scientist, in part by a Ramanujan Fellowship, and in part by the Indian Space Research Organisation. P. Kushwaha and Y. S. Chauhan are with the Nanolab, Department of Electrical Engineering, Indian Institute of Technology Kanpur, Kanpur 208016, India (e-mail: [email protected]; [email protected]). S. Khandelwal, J. P. Duarte, and C. Hu are with the Electrical Engineering and Computer Science, University of California at Berkeley, Berkeley, CA 94704 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2557327

Fig. 1. Schematic of an FDSOI transistor [17] with an HR substrate without a trap rich layer below BOX. Device dimensions are: channel length L g = 100 nm, gate width Wg = 0.5 μm, number of fingers NF = 60, front gate–oxide thickness Tox = 1.2 nm, back gate–oxide thickness Tbox = 10 nm, and channel silicon thickness Tsi = 8 nm.

millimeter-wave range due to achievement of higher transconductance and cutoff frequency ( f T ) with the additional advantage of area and cost over III–V technology [9]. To achieve higher f T by scaling the RFSOI transistor is a challenging task because of increased fringing capacitance between the gate and the source/drain contacts [10]. Optimized multi-fingered transistor layouts are widely used to reduce gate resistance and to increase maximum frequency of oscillation ( f max ). The RFSOI’s market trends for production designs show that there is an urgent requirement for a robust compact model, which can capture FDSOI transistor behavior accurately at high frequency ranges [11]. For FDSOI transistors, there is a limited qualitative discussion on RF behavior [12] (i.e., impact of substrate [13] and self-heating [14]) in the literature. However, with great potential for high-frequency applications, a complete compact model for the RF-FDSOI is urgently required. This paper addresses this need. Recently, BSIM-IMG has been declared as the industry standard model for FDSOI transistors [15]–[17]. The FDSOI transistor’s characteristic has frequency dependence via several inherent phenomena like the self heating effect (SHE) [18], substrate effect [13], and gate resistance effect [19]. The dc compact model is not sufficient to predict correct device behavior of measured data over a wide frequency range [20]–[24]. To capture the high-frequency behavior of the FDSOI transistor, we have enhanced the BSIM-IMG model in this work for RF applications by incorporating parasitic components like gate resistance, substrate resistance, and thermal resistance networks.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 2. N -channel MOSFETs for two-port RF characterization. (a) Setup picture. (b) Photograph of the actual DUT.

Fig. 4. Drain current (Ids ) and trans-conductance (gm ) versus front gate voltage Vfg characteristics for L g = 100 nm. Bias conditions are: Vds = 50 mV and 1.1 V, substrate is grounded Vbg = 0 V. Symbols: experimental data; lines: BSIM-IMG model.

Fig. 3. Photograph of experimental setup used to perform RF measurements under different bias conditions. Wafer is visible on chuck along with RF probes.

This paper is organized as follows. In Section II, RF characterization is described. RF modeling and parameter extraction are discussed in Section III. Results are discussed and conclusions are drawn in Section IV. II. RF C HARACTERIZATION The FDSOI wafer with extremely thin 8-nm channel FDSOI transistors in an ultra-thin-BOX of 10 nm was obtained from CEA-LETI. Both dc and RF measurements are performed on a ground–signal–ground (G–S–G) pad set, as shown in Fig. 2. The transistor’s gate terminal is assigned as port-1, while the drain terminal is assigned as port-2. The source terminal is common and connected to the ground. The dc measurements are performed using Keysight’s B1500 parameter analyzer. The Keysight’s E5071C vector network analyzer with capability of measuring frequencies from 100 kHz–8.5 GHz is used to measure the two-port S-parameters (see Fig. 3). All the parasitics up to the pad including wires and probes are

Fig. 5. Ids and gds versus drain voltage Vds characteristics for L g = 100 nm. Bias conditions are: Vfg = 0.9 V to 1.1 V in steps of 0.1 V, substrate is grounded Vbg = 0 V. Thermal resistance Rth value extracted from step 2 shown in Fig. 15 is used here. Symbols: experimental data; lines: BSIM-IMG model.

removed using a calibration substrate via the short-open-loadthrough (SOLT) method. The pad to ports parasitic elements are de-embedded using open-short structures available along with the device-under-test (DUT). III. RF M ODELING AND PARAMETER E XTRACTION First, we have extracted dc parameters like series resistance and mobility using dc measured data. Figs. 4 and 5 show drain current behavior with gate voltage and drain voltage variation, respectively, for channel length 100 nm with channel width Wg = 0.5 μm and number of fingers NF = 60. The model shows good agreement with experimental data in all regions of operation, which implies accurate modeling of sub-modules like mobility and current saturation. FDSOI transistors have a severe SHE at low-frequency levels, which affects the device’s characteristic such as output conductance gds . We have extracted frequency-dependent

KUSHWAHA et al.: RF MODELING OF FDSOI TRANSISTORS USING INDUSTRY STANDARD BSIM-IMG MODEL

Fig. 6. Measured and extracted real part of Y22 for L g = 100 nm. 1st -order thermal network is used for fitting until the 20-MHz range. A five resistor– capacitor substrate network is used to validate the BSIM-IMG model in the medium frequency range. Above the GHz range, the gate resistor plays a major role in predicting device behavior correctly due to its lower time constant. Bias conditions are: Vfg = Vds = 1.1 V and Vbg = 0 V. Excellent fitting with experimental data shows the importance of thermal, substrate, and gate networks. Symbols: experimental data; lines: BSIM-IMG model.

1747

Fig. 7. Hot-chuck measurement result is used to determine the slope of Ids versus temperature (T) for L g = 100 nm, Wg = 0.5 μm and NF = 60. A line is drawn to show trend. Bias conditions are: Vfg = Vds = 1.1 V.

parameters (i.e., gds, gate capacitance C GG , and gate resistance Rg ), which affect the device’s analog/RF figure of merit (FoM). The frequency response of gds and C GG are obtained from the real part of Y22 and the imaginary part of Y11 , respectively. In this section, we have discussed the variation of Real Y22 , C GG , and Rg in a wide frequency range and their impact on the analog/RF FoM. A. Thermal Resistance Network As the frequency of the applied small signal increases, the device temperature gradually stops following the applied signal and remains effectively constant for frequency, fiso > 1/Rth ∗ Cth [25]. Here f iso is the isothermal frequency, Rth and Cth are the thermal resistance and capacitance of the thermal network [26], [27]. The isothermal frequency is used to determine the device thermal time constant. From our previous work [28], we know that isothermal frequency of this device is around 20 MHz. We have achieved the 1st transition in the Real Y22 curve around 20 MHz and, hence, parameters related to the SHE are extracted in the following frequency range 105 –107 Hz (i.e., below isothermal frequency, where the thermal contribution dominates over the electrical contribution). Fig. 6 shows the 1st -order thermal network is accurately capturing the Real Y22 variation with frequency up to 20 MHz. We have extracted the device’s thermal resistance (Rth ) by the smallsignal ac conductance technique [14]: G SHE is the difference of the Real Y22 value between isothermal frequency [28] and low frequency asymptotes [14] and the slope of Ids versus temperature is determined from the hot-chuck measurement, as shown in Fig. 7. As dynamic self heating is more pronounced below isothermal frequency, we have extracted Cth by

Fig. 8. Substrate network: five R’s and five C’s along with three capacitances (Csbox /Cdbox are capacitances between source/drain to BOX and Cox2 is the BOX capacitance) are used to validate the model for a wide frequency range. We have neglected deep-well junction capacitances. Gate parasitic network: two resistors (gate resistance Rg , gate-terminal to contact-pad resistance Rc ) in series along with front gate capacitance Cox1 are used to validate the model above the GHz range.

fitting the Real Y22 data until isothermal frequency. Extracted dc values of Real Y22 , shown in Fig. 5, is smaller than the RF values of Real Y22 because, at higher frequency range, dynamic self heating is removed [18]. B. Substrate Parasitic Network Fig. 6 shows that the model has deviation from measured data above 100 MHz even after using a self-heating (SHE) network since, after 40-50 MHz, dynamic self heating reduces or disappears [28]. The substrate related transition in the real

1748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 10. Current gain |H21 | variation with frequency for L g = 100 nm. Current gain reduces as frequency increases (|i L / iin | = gm /wT ∗C GG , wT = 2 ∗ π ∗ f req ). Here i L / iin are output/input currents. Bias conditions are: Vfg = Vds = 1.1 V and Vbg = 0 V. Symbols: experimental data; lines: BSIM-IMG model.

Fig. 9. Measured and simulated S-parameter. (a) Smith chart validation for S11 and S22 for channel lengths L g = 30 nm, 100 nm. (b) Phase of S11 and S22 for L g = 100 nm. Bias conditions are: Vfg = Vds = 1.1 V and Vbg = 0 V. Symbols: experimental data; lines: BSIM-IMG model.

part of Y22 occurs at frequencies between 100 MHz and a few GHz [12], [13], as shown in Fig. 6. This effect comes into the picture due to the majority carrier relaxation time of the silicon substrate, which is nothing but the silicon resistivity (ρ) multiplied by its permittivity (0 · Si ). The substrate under the BOX has resistivity around 20 -cm. The relaxation frequency for the silicon substrate is 1/2π · ρ · 0 · Si . The relaxation frequency is in the order of 100 MHz for the HR substrate. For frequencies lower than ∼100 MHz, the substrate will behave as a conductor and can be modeled by a resistor. However, for frequencies higher than 100 MHz, the substrate will behave as a dielectric and is modeled by a capacitor.

Hence, the substrate related transition occurs corresponding to its dielectric cutoff frequency around 100 MHz. In the GHz range, parallel substrate resistance (R1 to R5 ) and capacitances (C1 to C5 ) start affecting the two-port parameters behavior of the MOSFET [29]. References [30] and [31] show that a single resistor substrate network is sufficient to correctly predict Real Y22 behavior until a few GHz. The proposed substrate network shown in Fig. 8 is used to validate the BSIM-IMG model for several GHz frequency ranges. The substrate network shown in Fig. 8 is important for an accurate fitting of S22 in all bias ranges [32]. Fig. 9(a) shows the S11 (the input impedance when the output port (drain) is terminated with 50 ) and S22 (the output impedance when the input port (gate) is terminated with 50 ) on the Smith chart as a final validation of the RF model for a wide frequency range. The phase of S11 and S22 are shown in Fig. 9(b). The model has shown good agreement with the phase data, which implies that the input port components (i.e., gate resistance and gate capacitance) and the output port components (i.e., substrate network resistance and substrate capacitance) have been optimized well. Fig. 10 shows the current gain (|H21|) versus frequency, where the model has good fitting with the measured data at Vbg = 0 V. By extrapolating the |H21| characteristic, we can get f T [33]. C. Gate Parasitic Network High-k metal gate transistors are gaining momentum for RF applications due to high transient frequency achievement [10], [34]. High-k metal gate transistors suffer from gate resistance, which reduces the maximum frequency of oscillation [35], [36]. At high frequencies, parasitic resistances/capacitances start playing an important role and affect high-frequency performance of the device. We will discuss here gate capacitance and resistance extraction in detail.

KUSHWAHA et al.: RF MODELING OF FDSOI TRANSISTORS USING INDUSTRY STANDARD BSIM-IMG MODEL

Fig. 11. Measured and extracted imaginary part of Y11 /(2π · f req ) versus front gate voltage characteristic for L g = 100 nm. Note that C GG can also be measured using C–V measurements of large area devices, which is not shown here. Bias conditions are: Vds = 0 V and Vbg = 0 V. Symbols: experimental data; lines: BSIM-IMG model.

Fig. 12. Measured and extracted imaginary part of Y11 for L g = 100 nm. Low frequency measured data is not shown here. Bias conditions are: Vfg = Vds = 1.1 V and Vbg = 0 V. Symbols: experimental data; lines: BSIM-IMG model.

1) Gate Capacitance Network: Fig. 11 shows C GG = Imag(Y11 )/2π f req versus front gate voltage at low frequency. Fig. 12 shows Imag(Y11 ) versus frequency characteristic. It shows the frequency behavior of Imag(Y11 ) for high gate and drain voltages (Vfg = Vds = 1.1 V), where the model shows excellent fit. 2) Gate-Resistance Network: Gate resistance consists of distributed gate resistance (Rg ) and distributed contact resistance (Rc ). In strong inversion, the contribution from source/drain series resistance (Rs /Rd ) can be neglected and, hence, the contribution from gate resistance becomes dominant [37], [38]. Thus, effective gate resistance (Rg ) is extracted from the real part of H11(= 1/r eal(Y11)), as shown in Fig. 13.

1749

Fig. 13. Measured and extracted real part of H11 (gate resistance) versus frequency characteristic for L g = 100 nm. Symbols: experimental data; lines: BSIM-IMG model.

Fig. 14. RF fitting results are demonstrated for two channel lengths, called Device A and B. Device A dimensions are L g = 30 nm, Wg = 1 μm, and NF = 30 and Device B dimensions are L g = 100 nm, Wg = 0.5 μm, and NF = 60. Both devices have a similar front/back gate–oxide thickness and channel thickness as follows: Tox = 1.2 nm, Tbox = 10 nm, and Tsi = 8 nm. (a) Real Y22 versus frequency characteristic. (b) Imaginary part of Y11 /(2π · f req ) versus frequency characteristic. (c) Real H11 versus frequency characteristic. (d) |H21 | versus frequency characteristic. Symbols: experimental data; lines: BSIM-IMG model.

We have also compared the model results for short channel length L g = 30 nm data. Fig. 14(a) shows the impact of gate and substrate network on Real Y22 . As described earlier, at low and medium frequency ranges, thermal network and substrate networks comes into the picture while, at very high frequencies, the gate resistor network plays an important role due to its lower time constant [19]. Fig. 9(a) also shows S11 and S22 for channel lengths L g = 30 nm, 100 nm. Higher f T (|H21| intercept on the frequency axis) is achieved from the L g = 30 nm transistor due to higher drain current, as shown

1750

Fig. 15.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Illustration of RF parameter extraction flow in FDSOI MOSFETs.

in Fig. 14(d). The complete RF parameter extraction flow is shown in Fig. 15. IV. C ONCLUSIONS The industry standard BSIM-IMG model has been enhanced to capture the high-frequency behavior of FDSOI transistors. The impact of self-heating, gate, and substrate on RF characteristics have been discussed in detail and R–C networks for the same have been proposed. The step-by-step dc and RF parameter extraction procedure has been proposed and validated on the measured characteristics of 30- and 100-nm channel length transistors for a wide range of biases and frequencies. The BSIM-IMG model shows excellent match with measured data showing model’s readiness for high frequency circuits using FDSOI technology. R EFERENCES [1] D. Wang et al., “High performance SOI RF switches for wireless applications,” in Proc. IEEE Solid-State Integr. Circuit Technol. Conf., 2010, pp. 611–614. [2] J.-O. Plouchart, “Applications of SOI technologies to communication,” in Proc. IEEE Compound Semicond. Integr. Circuit Symp., 2011, pp. 1–4. [3] H. Van Meer and K. De Meyer, “Ultra-thin film fully-depleted SOI CMOS with raised G/S/D device architecture for sub-100 nm applications,” in Proc. IEEE Int. SOI Conf., 2001, pp. 45–46. [4] Q. Liu et al., “Ultra-thin-body and BOX UTBB fully depleted FD device integration for 22 nm node and beyond,” in Proc. IEEE VLSI Technol. Symp., 2010, pp. 61–62.

[5] J.-P. Noel et al., “Multi-Vt UTBB FDSOI device architectures for lowpower CMOS circuit,” IEEE Trans. Electron Devices, vol. 58, no. 8, pp. 2473–2482, Aug. 2011. [6] C. Fenouillet-Beranger et al., “Low power UTBOX and back plane BP FDSOI technology for 32 nm node and below,” in Proc. IEEE Int. IC Design Technol. Conf., 2011, pp. 1–4. [7] T. Takahashi, T. Matsuki, T. Shinada, Y. Inoue, and K. Uchida, “Comparison of self-heating effect (SHE) in short-channel bulk and ultra-thin BOX SOI MOSFETs: Impacts of doped well, ambient temperature, and SOI/BOX thicknesses on SHE,” in IEEE Int. Electron Devices Meeting, 2013, pp. 7.4.1–7.4.4. [8] O. Weber et al., “High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding,” in IEEE Int. Electron Devices Meeting, 2008, pp. 1–4. [9] T. C. Lim et al., “High frequency performance of sub-100 nm UTB FDSOI featuring TiN/Hfo2 gate stack,” Solid State Electron., vol. 53, no. 4, pp. 433–437, 2009. [10] C.-H. Jan et al., “RF CMOS technology scaling in high-k/metal gate era for RF SoC (system-on-chip) applications,” in IEEE Int. Electron Devices Meeting, 2010, pp. 22.2.1–27.2.4. [11] F. Zarate-Rincon, R. Murphy-Arteaga, R. Torres-Torres, A. Ortiz-Conde, and F. Garcia-Sanchez, “Modeling the impact of multi-fingering microwave MOSFETs on the source and drain resistances,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3255–3261, Dec. 2014. [12] S. Makovejev et al., “Self-heating and substrate effects in ultra-thin body ultra-thin BOX devices,” in Proc. 12th Int. Ultimate Integr. Silicon Conf., 2011, pp. 1–4. [13] V. Kilchytska, D. Levacq, D. Lederer, J. P. Raskin, and D. Flandre, “Floating effective back-gate effect on the small-signal output conductance of SOI MOSFETs,” IEEE Electron Device Lett., vol. 24, no. 6, pp. 414–416, Jun. 2003. [14] W. Jin, W. Liu, S. Fung, P. C. Chan, and C. Hu, “SOI thermal impedance extraction methodology and its significance for circuit simulation,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 730–736, Apr. 2001. [15] D. D. Lu, M. V. Dunga, C.-H. Lin, A. M. Niknejad, and C. Hu, “A computationally efficient compact model for fully-depleted SOI MOSFETs with independent controlled front and back-gates,” Solid State Electron., vol. 62, pp. 31–39, 2011. [16] S. Khandelwal et al., “BSIM-IMG: A compact model for ultra-thin body SOI MOSFETS with back-gate control,” IEEE Trans. Electron Devices, vol. 59, no. 8, pp. 2019–2026, Aug. 2012. [17] P. Kushwaha et al., “Modeling the impact of substrate depletion in FDSOI MOSFETS,” Solid State Electron., vol. 104, pp. 6–11, 2015. [18] S. Makovejev et al., “Wide frequency band assessment of 28 nm FDSOI technology platform for analogue and RF applications,” Solid State Electron., vol. 24, no. 6, pp. 414–416, 2015. [19] A. Scholten et al., “Experimental assessment of self-heating in SOI FinFETs,” in IEEE Int. Electron Devices Meeting, 2009, pp. 1–4. [20] P. Kushwaha et al., “BSIM-IMG with improved surface potential calculation recipe,” in Proc. IEEE India Conf., 2014, pp. 1–4. [21] J. P. Duarte et al., “BSIM-CMG: Standard FinFET compact model for advanced circuit design,” in Proc. Eur. Solid-State Circuits Conf., 2015, pp. 196–201. [22] P. Kushwaha et al., “BSIM-IMG: Compact model for RF-SOI MOSFETs,” in Proc. IEEE 73rd Int. Device Res. Conf., 2015, pp. 287–288. [23] S. Khandelwal et al., “Unified compact model covering drift-diffusion to ballistic carrier transport,” IEEE Electron Device Lett., vol. 37, no. 2, pp. 134–137, Feb. 2016. [24] Y. S. Chauhan et al., FinFET Modeling for IC Simulation and Design: Using the BSIM-CMG Standard. New York, NY, USA: Academic, 2015. [25] S. Makovejev et al., “Variability of UTBB MOSFET analog figures of merit in wide frequency range,” in Proc. 44th Eur. Solid-State Device Res. Conf., 2014, pp. 222–225. [26] S. Makovejev, S. Olsen, and J. Raskin, “RF extraction of self-heating effects in FinFETs,” IEEE Trans. Electron Devices, vol. 58, no. 10, pp. 3335–3341, Oct. 2011. [27] B. Tenbroek, M. Lee, W. Redman-White, R. Bunyan, and M. Uren, “Self-heating effects in SOI MOSFETs and their measurement by small signal conductance techniques,” IEEE Trans. Electron Devices, vol. 43, no. 12, pp. 2240–2248, Dec. 1996. [28] M. Karim et al., “Extraction of isothermal condition and thermal network in UTBB SOI MOSFETS,” IEEE Electron Device Lett., vol. 33, no. 9, pp. 1306–1308, Sep. 2012.

KUSHWAHA et al.: RF MODELING OF FDSOI TRANSISTORS USING INDUSTRY STANDARD BSIM-IMG MODEL

[29] D. Pehlke, M. Schroter, A. Burstein, M. Matloubian, and M. Chang, “High-frequency application of MOS compact models and their development for scalable RF model libraries,” in Proc. IEEE Custom Integr. Circuits Conf., 1998, pp. 219–222. [30] C. Enz, “MOS transistor modeling for RF integrated circuit design,” in Proc. IEEE Custom Integr. Circuits Conf., 2000, pp. 189–196. [31] S. F. Tin and K. Mayaram, “Substrate network modeling for CMOS RF circuit simulation,” in Proc. IEEE Custom Integr. Circuits Conf., 1999, pp. 583–586. [32] W. Liu, R. Gharpurey, M. Chang, U. Erdogan, R. Aggarwal, and J. Mattia, “RF MOSFET modeling accounting for distributed substrate and channel resistances with emphasis on the BSIM3v3 SPICE model,” in IEEE Int. Electron Devices Meeting, 1997, pp. 309–312. [33] S. Lee et al., “Record RF performance of 45-nm SOI CMOS technology,” in IEEE Int. Electron Devices Meeting, 2007, pp. 255–258. [34] J. Costa, “Passing the plateau of productivity: Development of RFSOI technologies on HR silicon substrates for reconfigurable wireless solutions,” IEEE Microw. Mag., vol. 15, no. 7, pp. S61–S73, Nov./Dec. 2014. [35] S. Voinigescu, S. Tarasewicz, T. MacElwee, and J. Ilowski, “An assessment of the state-of-the-art 0.5 μm bulk CMOS technology for RF applications,” in IEEE Int. Electron Devices Meeting, 1995, pp. 721–724. [36] L. Tiemeijer and D. Klaassen, “Geometry scaling of the substrate loss of RF MOSFETs,” in Proc. 28th Eur. Solid-State Device Res. Conf., 1998, pp. 480–483. [37] B. Dormieu, P. Scheer, C. Charbuillet, H. Jaouen, and F. Danneville, “Revisited RF compact model of gate resistance suitable for highk/metal gate technology,” IEEE Trans. Electron Devices, vol. 60, no. 1, pp. 13–19, Jan. 2013. [38] X. Jin et al., “An effective gate resistance model for CMOS RF and noise modeling,” in IEEE Int. Electron Devices Meeting, 1998, pp. 961–964.

Pragya Kushwaha is working toward the Ph.D. degree at the Indian Institute of Technology Kanpur, Kanpur, India. Her current research interests include RF characterization and modeling of state-of-the-art semiconductor devices.

Sourabh Khandelwal received the M.Tech. degree from the Indian Institute of Technology Bombay, Bombay, India, in 2007, and the Ph.D. degree from the Norwegian University of Science and Technology, Trondheim, Norway, 2013. From 2007 to 2010, he was a Research Engineer with IBM Semiconductor Research. He is currently a BSIM Program Manager/Post-Doctoral Researcher with the BSIM Group, Department of Electrical Engineering and Computer Science, University of California at Berkeley, Berkeley, CA, USA. He has authored several journal and conference publications in the area of device modeling and characterization. His doctoral research on the GaN compact model, the ASM-HEMT model, is under consideration for industry standardization at the Compact Model Coalition.

1751

Juan Pablo Duarte received the B.Sc. and M.S. degrees from the Korea Advanced Institute of Science and Technology, Daejeon, Korea, in 2010 and 2012, respectively, and is currently working toward the Ph.D. degree at the University of California at Berkeley, Berkeley, CA, USA.

Chenming Hu is the TSMC Distinguished Professor Emeritus of the University of California at Berkeley, Berkeley, CA, USA. He is a Former Chief Technology Officer with TSMC. He is a Board Director of SanDisk Inc. His research concerns 3D transistors and FinFET, which can be scaled to single digit nanometers. He has developed widely used integrated circuit (IC) reliability models and has led research efforts on BSIM, the first industry standard SPICE model used by most IC companies to design CMOS products since 1996. Prof. Hu was a recipient of the IEEE Andrew Grove Award, Solid State Circuits Award , Nishizawa Medal, Kaufman Award of the EDA industry, University Research Award of the U.S. Semiconductor Industry Association, and the Berkeley Distinguished Teaching Award, which is the University of Californai at Berkeley’s highest honor for teaching.

Yogesh Singh Chauhan received the Ph.D. degree from the Ecole Polytechnique Federale de Lausanne, Lausanne, Switzerland, in 2007. From 2007 to 2010, he was with IBM Semiconductor Research. In 2010, he was with the Tokyo Institute of Technology. From 2010 to 2012, he was with the University of California at Berkeley. In 2012, he joined the Indian Institute of Technology Kanpur, Kanpur, India, as an Assistant Professor. Since 2015, he has been an Associate Professor with the Indian Institute of Technology Kanpur. He is an Editor for the Institution of Electronics and Telecommunication Engineers Technical Review. His research interests are characterization, modeling, and simulation of advanced semiconductor devices. Dr. Chauhan is an Executive Committee Member of the IEEE U.P. Section and Chair of the Uttar Pradesh Chapter, IEEE Electron Devices Society. He was the recipient of the Ramanujan Fellowship from the Government of India (2012), the IBM Faculty Award (2013), and the PK Kelkar Research Fellowship (2015).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Novel 4-D Artificial-Neural-Network-Based Hybrid Large-Signal Model of GaAs pHEMTs Yunshen Long, Zheng Zhong, Member, IEEE, and Yong-Xin Guo, Senior Member, IEEE

Abstract— A novel hybrid large-signal model of GaAs pseudomorphic HEMTs (pHEMTs) is proposed for monolithic microwave integrated circuit design. This new model is based upon accurate electromagnetic (EM) description and creative multi-path artificial neural network (ANN) optimization. To precisely describe the EM effect in the high-frequency range, the extrinsic part of this model includes both lumped and distributed components. In order to re-grid the discrete data, the bias-dependent intrinsic elements are determined by ANNs rather than traditional interpolations. The dispersion effect is represented by nonlinear sources with the multi-path-dependent integration technique, which is described by processed multi-bias S-parameters. This proposed approach can be applicable to different bias conditions, which is also verified by different types of GaAs pHEMTs with good agreement. In addition, a class-AB Ka-band power amplifier and a Ka-band switch using a 0.15-µm GaAs pHEMT process were designed based on the novel hybrid model for further practical verification. Index Terms— Artificial neural network (ANN) three-dimensional nonlinear function, hybrid large-signal model, inconsistency between RF and dc current, integration path independence.

I. I NTRODUCTION

I

N RECENT years, GaAs pseudomorphic HEMTs (pHEMTs) are widely used in advanced nonlinear microwave circuits. As it is well known, computer-aided design (CAD) can assist to predict the behavior of circuits and especially where accurate large-signal models play an important role in active large-signal circuit designs, such as power amplifiers and mixers. Much work has been undertaken to characterize the devices based on a broad range of compact models. In general, empirical-function-based models primarily dominate in the research and industry fields [1]–[4], [31]. However, empirical models heavily rely on the detailed expression of the nonlinear functions. These functions are associated with many parameters, which are very ambiguous to extract. Alternatively Manuscript received January 14, 2015; revised May 13, 2015, September 19, 2015, and February 5, 2016; accepted April 14, 2016. This work was supported in part by the Singapore Ministry of Education Academic Research Fund Tier 2 under Grant MOE 2014-T2-2-15, in part by the Jiangsu Province Funding for Enterprise-Academic-Research Innovation Platform under Grant BY2012229, in part by the National Natural Science Foundation of China under Grant 61401296, and in part by the Natural Science Foundation for Youths of Jiangsu Province, China, under Grant BK20130375. The authors are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583, and also with the National University of Singapore Suzhou Research Institute, Suzhou 215123, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2555948

a table-based model can be employed to represent the nonlinear I–V and Q–V relationships. It requires no detailed nonlinear function expressions, which significantly lightens the load of extracting parameters [5]. However, it must be pointed out that table-based models still have some limitations. As the data within the box are discrete, some table-based models are inaccurate for the high-order distortion simulation when input signal magnitudes are small. This limitation originates from the algorithm of interpolation and extrapolation when discrete data are presented. Moreover, sometimes the convergence cannot be guaranteed in a large power environment where high nonlinearity is presented [7], [8]. In addition, the computation speed in table-based models is very slow, as look-up tables cover rather broad working ranges. Some researchers consider physical-based models to be a good candidate to characterize the devices. In common, physical-based models are very accurate, especially compared to table-based or empirical models when the condition is beyond measurement range [10]. Nevertheless, detailed fabrication knowledge is usually not available to the model builder and its compatibility to popular CAD software still needs to be further improved. In order to better characterize the active devices, artificial neural networks (ANNs) are increasingly recognized as a useful tool, especially when the device-under-test (DUT) is of complex nonlinearity and its internal working principle is not well known to the model builder [11]–[14], [28], [32], [33]. However, it is still difficult for some existing ANN-based small-signal models relying on traditional measurements to be directly upgraded to large-signal models, as the consistency between the small-signal model and the large-signal model is not guaranteed [12], [32], [33]. Note that some good ANN-based models [28] require nonlinear vector network analyzer (NVNA), which may not be available to most companies or research laboratories. The dispersion effect can usually compromise the accuracy of the large-signal model. The non-conservative data extracted inside the intrinsic part violate the condition for integration. Hence, many researchers employ pulse measurements to characterize the thermal and trapping effects [23]–[25]. However, inconsistent S-parameter performance between the small-signal model and the large-signal model on different bias conditions still remains a problem for flexible active circuit designs. The generated nonlinear model’s small-signal responses under different bias conditions rather than one specific bias point are crucial to circuit designers, which can also be used to check the flexibility and practicability of the model.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

have been designed based on the proposed modeling method for further verification. The conclusion will be given in Section V. II. L ARGE -S IGNAL M ODEL G ENERATION

Fig. 1. Equivalent representation of this proposed hybrid modeling method.

In this paper, a new ANN-based large-signal model is presented. One advantage of the proposed model is that it only requires traditional measurement setups including static dc measurement and bias-dependent S-parameters measurements. The process of the model is conducted in a linear environment. The proposed multi-path-dependent integration technique not only accurately describes the dispersion effect at microwave frequencies ensuring the consistency between the small-signal model and the large-signal model in all operation regions, but also guarantees the consistency between dc and RF currents of the generated model. The dispersion effects is mainly due to thermal and trapping effects, which happen in S-parameters on a switch-on condition. Therefore, rather than pulse measurements, multi-bias S-parameters covering all working ranges are well processed in this study to characterize the trapping and thermal effect. The large-signal model is consistently generated from the extracted small-signal models under all measured bias points, which is flexible and practical for active circuit designs. As shown in Fig. 1(a), this proposed ANN-based hybrid model includes a distributed extrinsic passive part and an intrinsic active part. The extrinsic part includes all the field-effect transistor (FET)’s metallic structures, while the intrinsic part is located under the metallic fingers as an active semiconductor channel. This passive/active hybrid modeling method can precisely describe the coupling effect caused by metallic structures such as stripes and grounded via-holes, especially in the high-frequency domain. The data for model formulation requires dc measurements and bias-dependent S-parameter measurements. The detailed model building procedure will be presented in Section II. In Section III, different types of GaAs pHEMTs are investigated to verify the proposed method. Moreover, in Section IV, a Ka-band power amplifier and switch monolithic microwave integrated circuits (MMICs)

Generally, the large-signal model of a GaAs pHEMT is gradually built up based on its small-signal model under multiple biases. Thus, the accuracy of the small-signal model largely determines the success of the corresponding large-signal model. The small-signal model is composed of the extrinsic part and the intrinsic part. In general, the extrinsic part cannot be separately and independently extracted. The values of the extrinsic part are determined as a whole through a special bias condition [16]. However, when the size of devices becomes larger and the working frequency becomes higher, the traditional extrinsic lumped components need to be modified [6], [22], [26], [27]. In this paper, to describe electromagnetic (EM) effects at high frequencies, the extrinsic part of the presented model will be composed of lumped and distributed components. The distributed part of the extrinsic parts is rebuilt in EM simulation software exactly with their own physical dimensions [22]. Hence, the parasitic effect and material losses caused by the extrinsic region can be strictly calculated by full-wave EM simulation. The EM simulated results of the distributed extrinsic parts are saved as multi-port scattering matrices to represent the distributed effects. The rest of the lumped extrinsic components are extracted through global optimization after de-embedding the inserted distributed components. The initial values are based on the “cold-FET” method [16]. As the values of intrinsic components are usually bias dependent, the intrinsic part of the small-signal model is extracted based on global optimization targeting to fit S-parameters under different biases conditions. The small-signal equivalent model is presented in Fig. 1(b), where the intrinsic part of the model is indicated inside the dashed box. As mentioned above, the components of the extrinsic part do not depend on the external voltage. Thus, due to the existence of voltage drop across the resistors in the extrinsic part, the dependent voltages of the intrinsic elements must be recalculated. Consequently, the intrinsic voltages can be modified from the extrinsic voltages as follows [29]: Vgs = VGS − Igs (Rg + Rs ) − Ids Rs Vds = VDS − Ids (Rd + Rs ) − Igs Rs

(1) (2)

where Vgs and Vds are the recalculated intrinsic voltages and VGS and VGD are the extrinsic voltages. Usually, the devices are measured based on equidistant external voltages for convenience, which means that the intrinsic voltages Vgs and Vds are no longer equidistant because of (1) and (2). Nevertheless, it is not easy to generate nonlinear current and charge sources based on non-equidistant data. At the same time, it is difficult to predict the values of extrinsic resistors before measurement. Therefore, it is very troublesome to set up suitable measurement grid individually beforehand to obtain equidistant intrinsic voltages.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LONG et al.: NOVEL 4-D ANN-BASED HYBRID LARGE-SIGNAL MODEL OF GaAs pHEMTs

Fig. 2.

3

Three-layer ANN for redistributing values of intrinsic components.

Traditionally, equidistant data can be generated by interpolation. However, the main limitation of interpolation is that it may sometimes produce discontinuities. Moreover, the commonly used cubic interpolation technique will even not produce a valid result if the points are outside of the convex hull. To overcome this limitation, ANNs will be employed to uniformly redistribute the values of intrinsic part with respect to the intrinsic voltages. ANNs are constructed by simple cells operating in parallel with different weights. These cells are stimulated like biological nervous systems. Commonly, the collections between these cells determine the function of the neural networks. Generally speaking, the ANN can be regarded as a very powerful continuous function, which can approximate any nonlinear function after the collections, or weights, are well adjusted. The weights along the cells, or neurons, can be determined by suitable training algorithm and input–output data. One of the advantages is that ANNs are very smooth as they have theoretically infinite order of derivatives. A three-layer neural network is shown in Fig. 2 to demonstrate the working principal of the ANN. The first layer is for input variables. In this proposed pHEMTs modeling case, the input can be different sets of intrinsic voltages. The second layer contains a number of neurons with different weights waiting for training from measurement data. The hyperbolic tangent (tansig) function is selected as a transfer function to produce the nonlinearity within the network. As shown in (3), it is mathematically equivalent to the tanh function, but has a fast running speed in the implementation within MATLAB, tansig(x) =

2 − 1. 1 + e−2x

(3)

The third layer is the output for post-processing, which will produce sincere values of the intrinsic elements. It is worth noting that all the measurement data are preprocessed with minimum and maximum mapping to the range from −1 to 1, which can accelerate the learning procedures of proposed neural networks. During the training course, the weights are being adjusted. Different sets of the raw intrinsic voltages are put into the first layer of ANNs and the related raw intrinsic element values are put into the last layer of ANNs. After training is finished, targeted equidistant voltages are put into the well-trained ANNs to uniformly redistribute the intrinsic elements. Finally, these intrinsic elements are reversely mapped from −1 to 1 through the same algorithm reversely adopted in preprocessing. The recalculated

Fig. 3. Dots represent the original Cgs with respect to intrinsic voltages. The surface represents the uniformly redistributed data by ANN.

Fig. 4. Dots represent the original G m with respect to intrinsic voltages. The surface represents the uniformly redistributed data by ANN.

Cgs and G m are shown in Figs. 3 and 4, which demonstrates the consistency between redistributed data and original data. Based on the uniformly redistributed elements of the intrinsic part, the large-signal model can be constructed by different sorts of nonlinear sources. Within the presented model, Igs and Igd represent conduction currents. The charges are described by Q gs and Q gd . The non-quasi-static effect is described by Rgs and Rgd , which are located in series with charge sources [17]–[20]. The differential parameters in the small-signal model can be accordingly integrated based on (4)–(8) without considering the dispersion effect [15], [30]. The topology of the generated dispersionless large-signal model is shown in Fig. 1, Igs (Vgs , Vds ) = Igs (Vgs0 , Vds0 )  Vgs G gs (v, Vds0 ) · dv + Vgs0



Igd (Vgs , Vds ) = Igd (Vgs0 , Vds0 ) +

Vgs

(4)

G gd (v, Vds0 ) · dv

Vgs0



Vds



G gd (Vgs , v) · dv

(5)

Vds0



Vgs

Q gs (Vgs , Vds ) =

Cgs (v, Vds0 ) · dv

Vgs0



+

Vds Vds0

Cds (Vgs , v) · dv

(6)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Traditional method for integration can compromise the accuracy of the model under the bias at (Vds2 , Vgs2 ) and (Vds3 , Vgs3 ) due to the loss of partial-derivative information about gm .



Vgs

Q gd (Vgs , Vds ) =

Cgd (v, Vds0 ) · dv

Vgs0





Vds

(Cds (Vgs , v) + Cgd (Vgs , v)) · dv

Vds0



IRF (Vgs , Vds ) = IRF (Vgs0 , Vds0 ) +  +

Vgs

(7)

gm (v, Vds0 ) · dv

Vgs0 Vds

gds (Vgs , v) · dv.

(8)

Vds0

However, due to self-heating and trapping effects of GaAs pHEMT devices, the existence of the dispersion effect can compromise the consistency between the small-signal model and the large-signal model, which can lead to degenerated performance of the large-signal model. One of the problems caused by the dispersion effect in the large-signal model is the violation of integration path independence among intrinsic elements, which means that the intrinsic elements, especially gm and gds , do not satisfy the conservation condition. The accuracy of the model will be compromised when improper integration is enforced [5]. In this paper, to mathematically handle the dispersion effect, the detailed mechanism of non-conservative data is worthy of investigation. It is common to see an accurate small-signal model, but the transformation to the large-signal model is vulnerable to naive integration. If traditional integration is improperly enforced, it is found that the accuracy of the model will be badly compromised in one direction (it depends on the details of the choices about the direction and the path for integration), where the information of the partial derivatives along this direction will be lost. Nevertheless, it is interesting that the accuracy along the other specific integration path can be guaranteed without considering the conservation condition by executing loop integration. For example, if the integration is executed as indicated in (8), the information of partial derivative gm will be compromised if the position is away from Vds0 . The high accuracy of gm can be ensured in the whole region only when the partial differential data is strictly conservative. On the other hand, the information of gds is automatically reserved in the whole region regardless that the data is conservative or not if the integration is executed as indicated by (8). To further demonstrate this phenomenon, Fig. 5 is shown to illustrate the inaccuracy

caused by naive integration in the process of traditional multi-bias S-parameters measurements data [8], [30] when the dispersion effect is not considered. Based on (8), the value of the current source at bias point (Vds2 , Vgs2 ) follows the integration path as AB D E from (Vds0 , Vgs0 ). Similarly, the value at bias point (Vds3 , Vgs3 ) follows the integration path as ABC. It can be found that only the information of gds is strictly conserved at (Vds2 , Vgs2 ) and (Vds3 , Vgs3 ). The information of gm on (Vds2 , Vgs2 ) and (Vds3 , Vgs3 ) is provided by integration executed along AB, which is highly relying on the assumption on that the device is not dispersive. However, this is not true for most power devices, as the violation of conservation can be easily observed when the traditional integration is enforced. To deal with this issue, a suitable integration path can be treated as a good solution to the problem. However, the model in [21] with only one specific integration path cannot effectively support the large-signal simulation covering all working bias ranges. To make the solution more flexible and practical in broad working conditions, variable integration paths are introduced to fulfill the accuracy requirement. In Fig. 5, it can be found that only the bias point (Vds1 , Vgs1 ) conserves both partial-derivative information, as both directions are crossing point B when integration is executed. Therefore, a three-dimensional nonlinear function can properly handle the dispersion effect where the newly added dimension is used to properly describe the voltage-dependent integration path. The average port voltages are chosen as variable integration paths in this paper. The following is the partial derivatives described by multi-path integration: ∂ IRF (Vgs , Vds , Vgs0 ) ∂ Vgs ∂ IRF (Vgs , Vds , Vgs0 ) = ∂ Vds

gm = gds

(9) (10)

where Vgs0 is chosen as the average voltage to indicate the different integration paths. In this way, the accuracy of gm and gds can be ensured in different application environments, which can be verified by broadband S-parameters. It is needed to point out that the small-signal response is very crucial to the practical success of the large-signal model, which is sometimes ignored. In general, the design of active circuits is associated with a selection of suitable bias condition and good matching with passive circuits. A good small-signal performance of a power amplifier is the foundation for large-signal applications. Thus, an accurate and practical large-signal model should perform well under both small- and large-signal excitations. In addition, another problem caused by the dispersion effect is the inconsistency between the measured RF and static currents. The RF current is obtained by the integration from the differential parameters in the small-signal model. The static current is directly obtained from the dc measurement. An accurate dc model is important for active circuit designs in bias adjustment. In this paper, to eliminate the discrepancy between RF and static current, the average voltage is novelly used to describe both RF and dc currents. As indicated by (9) and (10), one of the average voltages has been chosen

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LONG et al.: NOVEL 4-D ANN-BASED HYBRID LARGE-SIGNAL MODEL OF GaAs pHEMTs

5

to indicate integration paths to describe the dispersion effect at RF frequencies. The other average voltage Vds0 can be included to consistently characterize the dc current. To not only guarantee the consistency between dc and RF currents, but also reserve the information of partial derivatives, the following are the conditions that Ids must satisfy: ∂ Ids (Vgs , Vds , Vgs0 , Vds0 ) ∂ Vgs ∂ Ids (Vgs , Vds , Vgs0 , Vds0 ) gds (Vgs, Vds , Vgs0 , Vds0 ) = ∂ Vds Ids (Vgs , Vds , Vgs0 = Vgs , Vds0 = Vds ) = Ids_DC gm (Vgs, Vds , Vgs0 , Vds0 ) =

(11) (12) (13)

Ids (Vgs, Vds , Vgs0 , Vds0 ) = Ids_DC (Vgs0 , Vds0 )  Vgs + gm (v, Vds0 ) · dv  +

Vgs0 Vds

gds (Vgs , v) · dv.

(14)

Vds0

Ids_DC is the measured dc performance. The difference between (9) and (11) is the introduction of Vds0 , which plays an important role in characterizing the dc performance. Equations (11) and (12) only contain the perturbation information, which guarantees the accuracy of the partial derivatives without considering the constant part in (8). At the same time, (13) can provide the detailed information about the constant term in the primitive function. To obtain Ids , it is required to integrate the differential parameters at each bias point. The integration path is exactly indicated by the specific bias point. During multi-bias S-parameter measurements, constant or average bias points are equal to the “switch-on bias” points. Each average bias point (Vgs0 , Vds0 ) is associated with a traditional two-dimensional current source, which is indicated by (13). Besides, to combine (8) and (13), we can have (14), where two additional dimensions Vgs0 and Vds0 are introduced to handle non-conservative partial-derivative information and eliminate the inconsistency between dc and RF currents. Thus, this newly introduced four-dimensional nonlinear current sources can be understood as a two-dimensional traditional current source mapped into another two-dimensional average voltage bias condition function. In this way, consistency is achieved among the small-signal model, the large-signal model, and dc performance. When the FET is switched on, the channel current will cause thermal effects. In various bias conditions, different channel currents will cause different thermal effects while the trapping effects will also exist in this switch-on condition measurement. Therefore, the thermal and trapping phenomena are captured and described through switch-on S-parameters. Thus, it is meaningful to extract dispersion effects by processing multi-bias S-parameter modeling, which is usually ignored by the traditional methods [8], [30]. In [7], [8] is compared with the work of [7] to demonstrate that the ignorance of dispersion effect will cause the inaccuracy. Fig. 6 is presented as the necessary steps of the model construction.

Fig. 6.

Necessary steps of the model construction.

In large-signal simulations, the harmonic-balance simulation mainly relies on numerical computations, whose linear part is calculated in the frequency domain and the nonlinear part is calculated in the time domain. Numbers of iterations are executed to minimize the error function of the sum of the current at all nodes based on Kirchoff’s current law (KCL). When convergence is achieved, which means that the error function is driven to a target small value, the resulting voltages approximate a steady-state solution. However, sometimes convergence cannot be guaranteed. One reason for the divergence is the unsuitable topology of the large-signal model. In [7] and [8], too many different orders of differential equations are involved, which makes the computation vulnerable to divergence when high nonlinearity is presented. On the other hand, the equivalent circuit model in Fig. 1 can semi-physically represent the devices, which is more robust for convergence in numerical computation. Another cause of divergence is the unreasonable values obtained through an improper extrapolation algorithm. Considering that ANNs are very smooth and capable of approximating any nonlinear functions, it is reasonable to use the ANN to represent the nonlinear multi-path-integration function. In addition, the ANN-based model can provide more robust convergence in dc, transient, and harmonic-balance simulations compared to the table-based model [9]. The training data originates from the current generated along each specific bias point indicated by (8) and (13). Fig. 7 describes the topology of the represented neural network. Compared with Fig. 2, it is clear that two additional dimensions Vgs0 and Vds0 have been integrated by the ANN in this proposed model to ensure the accuracy of the small-signal performance. Compared with traditional static current expression, it is worth noting that the parameters Vgs0 and Vds0 are introduced to address the dispersion effect. As mentioned above, rather than performing traditional pulse measurements, these two parameters are directly employed through the processing

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Three-layer ANN representing the integration-path-dependent nonlinear RF current source.

Fig. 9. Comparison of measured and simulated static I–V characteristics of a 4 × 150 μm GaAs device. The simulations are generated from ANN after training. The dots are measured results.

Fig. 8. (a) On-wafer measurement probe station setup and micrographs of: (b) 4 × 75 μm, (c) 4 × 150 μm, and (d) 8 × 100 μm GaAs pHEMTs devices.

of multi-bias S-parameters. As directly originated from the small-signal models, the generated large-signal model is very practical for active circuit designs. The presented model can be easily implemented in the Advanced System Design (ADS), compatible to various sorts of simulations, such as dc, ac, and harmonic-balance simulations. III. L ARGE -S IGNAL M ODEL V ERIFICATION AND E XPERIMENT R ESULTS To verify the accuracy of the presented ANN-based hybrid large-signal modeling approach, several types of on-wafer GaAs pHEMTs (4 × 75 μm, 4 × 150 μm, 8 × 100 μm) are investigated on the Cascade Summit 9000 probe station with an Agilent 8510C vector network analyzer. The measurement setup is presented in Fig. 8(a) and the micrographs of the 4 × 75 μm, 4 × 150 μm, 8 × 100 μm GaAs pHEMTs are shown in Fig. 8(b)–(d), respectively. A variety of precise measurements, which include the I–V curve, S-parameters, Gain/power-added efficiency (PAE), Pin/Pout, third-order intermodulation distortion (IMD3) testing, etc., have been performed and compared with their corresponding modeled performances to reveal the accuracy of this proposed model. First of all, the on-wafer voltage-current measurement is performed to get the static I–V characteristics. S-parameters are then measured under different bias conditions. The frequency range is from 2 to 40 GHz, which can be applicable to Ka-band circuit designs afterwards. To build the hybrid large-signal model of GaAs pHEMTs, the components of their extrinsic part are extracted first. After de-embedding the distributed components, the remainder of the lumped components is extracted through optimizations, where initial values are obtained from the “cold-FET” [16].

Fig. 10. Comparison of measured and simulated static I–V characteristics of a 8 × 100 μm GaAs device. The simulation results are generated from ANN after training. The dots are measured results.

The intrinsic part is obtained after de-embedding all the extrinsic components under different working biases. The intrinsic elements are then uniformly redistributed by ANNs. The path-dependent integration at each bias point is then executed to generate the nonlinear sources represented by the ANN in the large-signal model. In the process of ANN building, 60% data are used for training and the rest is for validation. There are three layers in the ANN network and ten neurons in the hidden layer. The Levenberg–Marquardt backpropagation algorithm in MATLAB is selected for optimization. Figs. 9 and 10 show the dc performance of the 4 × 150 μm and the 8 × 100 μm GaAs pHEMTs. The static I–V characteristics are described by the well-trained ANN with the introduction of average voltages. It can be seen clearly in this figure that every measured I–V characteristic point is precisely represented by the proposed hybrid large-signal model and its overall agreement is very good. It is well known that the accuracy of the small-signal responses from the nonlinear model under different bias conditions is the basis of the success of the large-signal model. The performances of the small-signal responses under different bias conditions of GaAs pHEMTs are shown in Figs. 11–14. To further exhibit the advantage of this proposed model, the commercial large-signal model EE-HEMT model [28] is used to model the same 8 × 100 μm GaAs pHEMT for comparison in Figs. 12–14. To show the flexibility of the presented model,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LONG et al.: NOVEL 4-D ANN-BASED HYBRID LARGE-SIGNAL MODEL OF GaAs pHEMTs

Fig. 11. Simulated (solid line) 4 × 150 μm GaAs pHEMT ANN model and measured S-parameter (circles) under bias Vgs = −0.5 V and Vds = 5 V.

Fig. 12. Simulated (solid line) 8 × 100 μm GaAs pHEMT ANN model and measured S-parameter (circles) under bias Vgs = −0.5 V and Vds = 5 V. The dashed line is simulated by the EE-HEMT model for comparison.

Fig. 13. Simulated (solid line) 8 × 100 μm GaAs pHEMT ANN model and measured S-parameter (circles) under bias V gs = −1 V and V ds = 3 V. The dashed line is simulated by the EE-HEMT model for comparison.

Figs. 12 and 13 show the model’s performance in the saturation while Fig. 14 demonstrates its performance in the cutoff region. It is clear that the proposed model consistently keeps its accuracy under different operation conditions while the EE-HEMT model can only provide accurate S-parameter modeling in the saturation region. The modeling performance degenerates in linear and pinch-off regions. Therefore,

7

Fig. 14. Simulated (solid line) 8 × 100 μm GaAs pHEMT ANN model and measured S-parameter (circles) under bias Vgs = −2 V and Vds = 3 V. The dash line is simulated by the EE-HEMT model for comparison.

Fig. 15. Comparison of simulated (solid line) and measured (circles) gain/PAE performances of 8 × 100 μm GaAs pHEMT. The working frequency is 10 GHz.

Fig. 16. Comparison of simulated (solid line) and measured (circles) gain/PAE performances of 4 × 75 μm GaAs pHEMT. The working frequency is 35 GHz.

as mentioned before, such inconsistent S-parameter modeling performance of the traditional large-signal model makes it unsuitable for the related applications under different operation regions. Figs. 15 and 16 show the gain and PAE versus the input power of different transistors (8 × 100 μm, 4 × 75 μm) at 10 and 35 GHz in a 50- environment. Furthermore, a consistent agreement between measurement and modeled responses at several harmonics can be found in Fig. 17,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Simulated (solid line) result from 8 × 100 μm GaAs pHEMT ANN model and measured (circles) output power up to third order. The working frequency is 10 GHz.

Fig. 19. Simulated (red solid line) result from 8 × 100 μm GaAs pHEMT ANN model and measured (blue circles) result of the lower side of IMD3. The center frequency is 5 GHz. The space frequency is 1 MHz.

Fig. 20. Micrograph of a fabricated Ka-band MMIC power amplifier with 8 × 100 μm and 4 × 75 μm GaAs pHEMTs.

Fig. 18. Contours of normalized measured (circles) and simulated (solid line) delivered power from 4 × 75 μm GaAs pHEMT. The working frequency is 10 GHz. The input power is 10 dBm. The maximum power delivered is 22 dBm. Contours are in 1-dB step.

where 10 GHz is chosen as the sample operating frequency for testing. It is easy to estimate that the third-order intercept point (IP3) of this 8 × 100 μm device is around 12 dBm from the accurate simulated and measured results in Fig. 17. The comparisons from Figs. 15–17 prove that both the stability and the nonlinear modeling performances of the proposed model are very satisfactory. In Fig. 18, the measured and modeled contours of load–pull testing are compared to validate its accurate large-signal modeling performance. The source is fixed to 50  and the maximum delivered power is 22 dBm with input power as 10 dBm at 10 GHz. As IMD3 is more and more important in modern nonlinearity characterization, Fig. 19 shows the simulated and measured IMD3 lower side performance when the center frequency is 5 GHz and space frequency is 1 MHz. IV. R ELATED MMIC D ESIGNS AND V ERIFICATION To further verify this presented approach, a Ka-band power amplifier MMIC using 0.15-μm GaAs pHEMT technology has been designed. With the help of the

proposed ANN-based models, two kinds of GaAs pHEMTs (4 × 75 μm and 8 × 100 μm) were adopted in this MMIC design. Fig. 20 shows the actual layout photograph of the fabricated MMIC chip with a size of 2.8 mm × 0.9 mm. The left side is input and right side is output, respectively. A three-stage topology has been adopted in this power amplifier. The first two stages perform as the drive amplifier stages and the last stage acts as the power amplifier stage. At the input port, RF power is first amplified through a 4 × 75 μm and an 8 × 100 μm GaAs pHEMT devices. Due to the large drain current capability, four 4 × 75 μm GaAs pHEMTs are adopted parallel in the third stage to meet the output power requirement. Finally, the output power is combined at the output port of this MMIC PA. This PA is designed to operate in class-AB mode with a 28-dBm output power and a small-signal gain of 18 dB (±1 dB flatness) from 32 to 37 GHz. Its detailed performances are presented and discussed in the following sections. Fig. 21 is its measured and simulated gain versus frequency, where a good agreement can be found. Fig. 22 shows the input return loss of this power amplifier while Fig. 23 is its output power against input power at 35 GHz. All these performances show a good consistency between the measured and simulated results generated by the proposed ANN-based models. Furthermore, using the same 0.15-μm GaAs pHEMT technology, a Ka-band single-pole double-throw (SPDT) switch has been designed to validate the flexibility of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LONG et al.: NOVEL 4-D ANN-BASED HYBRID LARGE-SIGNAL MODEL OF GaAs pHEMTs

9

Fig. 21. Simulated (solid line) and measured (circles) gain of the power amplifier versus frequency. Fig. 25. Simulated (solid line) and measured (circles) performances of the Ka-band switch. Dashed line is simulated by the EE-HEMT model for comparison.

EE-HEMT model and proposed model. It is clear that the proposed model can provide a much better simulation result compared with the commercial one. V. C ONCLUSION

Fig. 22. Simulated (solid line) and measured (circles) return loss of the power amplifier.

Fig. 23. Simulated (solid line) and measured (circles) gain against input power at 35 GHz of the power amplifier.

Fig. 24. Micrograph of a fabricated Ka-band MMIC SPDT with 4 ∗ 75 μm GaAs pHEMTs.

In this paper, an ANN-based hybrid large-signal modeling method for GaAs pHEMTs has been presented. The extrinsic part of the presented model has been extracted based on both lumped and distributed components, which are more consistent to handle the EM effects in high frequency. The values of bias-dependent intrinsic elements have been uniformly redistributed by the ANN rather than traditional interpolations, which is more effective considering its smoothness and the powerful capability of nonlinear approximation. The dispersion effect has been considered by a multi-path integration technique implemented by a four-dimensional ANN. The thermal and trapping effects have been directly described by multi-bias S-parameters. In this approach, the generated large-signal model is accurately consistent with small-signal models. At the same time, the discrepancy between RF and static currents has also been eliminated by introducing average voltages, which considers the dispersion effect as a whole. Different experiments results such as dc, S-parameters, and different harmonics of output power have been compared with simulated performance from the proposed large-signal model and it is shown that the accuracy is satisfactory. Different sizes of GaAs pHEMTs have been investigated for verification. Finally, a Ka-band power amplifier and a Ka-band switch have been designed with the proposed model for further nonlinear verification, which shows good agreement. Besides its accuracy, this novel hybrid large-signal model can also be easily implemented in CAD software and could be very useful GaAs pHEMT technology based nonlinear microwave circuit design in the future. R EFERENCES

proposed model. 4 × 75 μm GaAs pHEMT has been adopted in this MMIC design. Fig. 24 is the layout of the fabricated circuit, where port 1 is the switch input and ports 2 and 3 are the switch outputs. Fig. 25 is the performance of the switch compared with the simulation results from the commercial

[1] W. R. Curtice, “A MESFET model for use in the design of GaAs integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. MTT-28, no. 5, pp. 448–455, May 1980. [2] W. R. Curtice and M. Ettenberg, “A non-linear GaAs FET model for use in the design of output circuits for power amplifier,” IEEE Trans. Microw. Theory Techn., vol. MTT-33, no. 12, pp. 1383–1394, Dec. 1985.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

[3] I. Angelov, L. Bengtsson, and M. Garcia, “Extension of the Chalmer’s HEMT and MESFET model,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 10, pp. 1664–1674, Oct. 1996. [4] Z. Zhong, Y.-X. Guo, and M. S. Leong, “A consistent charge model of GaAs MESFETs for Ku-band power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 9, pp. 2246–2253, Sep. 2011. [5] D. E. Root, S. Fan, and J. Myer, “Technology independent non quasi static FET models by direct construction from automatically characterized device data,” in 21st Eur. Microw. Conf., Stuttgart, Germany, Sep. 1991, pp. 927–932. [6] K. S. Yuk, G. R. Branner, and D. J. McQuate, “A wideband multiharmonic empirical large signal model for high-power GaN HEMTs with self-heating and charge-trapping effects,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3322–3332, Dec. 2009. [7] Y. Long, Y.-X. Guo, and Z. Zhong, “A 3-D table based method for non-quasi-static microwave FET device modeling,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3088–3095, Oct. 2012. [8] S. M. Homayouni, D. Schreurs, G. Crupi, and B. Nauwelaers, “Technology-independent non-quasi-static table based nonlinear model generation,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 2845–2852, Dec. 2009. [9] D. E. Root, “Future device modeling trends,” IEEE Microw. Mag., vol. 13, no. 7, pp. 45–59, Nov./Dec. 2012. [10] S. Khandelwal and T. A. Fjeldly, “Analysis drain–current nonlinearity using surface-potential-based model in GaAs pHEMT,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3265–3270, Sep. 2013. [11] H. Zaabab, Q. J. Zhang, and M. S. Nakhla, “A neural network modeling approach to circuit optimization and statistical design,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 6, pp. 1349–1358, Jun. 1995. [12] Q. J. Zhang, K. C. Gupta, and V. K. Devabhaktuni, “Artificial neural networks for RF and microwave design-From theory to practice,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1339–1350, Apr. 2003. [13] Y. Cao, X. Chen, and G. Wang, “Dynamic behavioral modeling of nonlinear microwave devices using real-time recurrent neural network,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 5, pp. 1020–1026, May 2009. [14] P. Roblin, D. E. Root, J. Verspecht, Y. Ko, and J. P. Teyssier, “New trends for the nonlinear measurement and modeling of high-power RF transistors and amplifiers with memory effects,” in 21st Eur. Microw. Conf., Stuttgart, Germany, Sep. 1991, pp. 927–932. [15] I. Schmale and G. Kompa, “An improved physics-based nonquasi-static FET-model,” in 27th Eur. Microw. Conf., 1997, pp. 328–330. [16] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 7, pp. 1151–1157, Jul. 1988. [17] A. T. Yang, C. H. Chan, J. T. Yao, R. R. Daniels, and J. P. Harrang, “Modeling and simulation of high-frequency integrated circuits based on scattering parameters,” in 28th ACM/IEEE Design Automat. Conf., San Francisco, CA, USA, Jun. 1991, pp. 752–757. [18] R. R. Daniels, A. T. Yang, and J. P. Harrang, “A universal large/small signal 3-terminal FET model using a nonquasi-static charge based approach,” IEEE Trans. Electron Devices., vol. 40, no. 10, pp. 1723–1729, Oct. 1993. [19] E. S. Mengistu and G. Kompa, “A large signal model of GaN HEMTs for linear high power amplifier design,” in 26th Eur. Microw. Conf., Oct. 1994, pp. 854–859. [20] A. Orzati et al., “A 110-GHz large-signal lookup-table model for InP HEMTs including impact ionization effects,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 468–474, Feb. 2003. [21] V. Cuoco, M. P. v. d. Heijden, and L. C. N. de Vreede, “The ‘smoothie’ data base model for the correct modeling of non-linear distortion in FET devices,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3, pp. 2149–2152. [22] B. L. Ooi, Z. Zhong, Y. Wang, and X. C. Shan, “A distributed millimeter-wave small-signal HBT model based on electromagnetic simulation,” IEEE Trans. Veh. Technol., vol. 57, no. 5, pp. 2667–2674, Sep. 2008. [23] A. Jarndal, A. Z. Markos, and G. Kompa, “Improved modeling of GaN HEMTs on Si substrate for design of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 644–651, Mar. 2011. [24] G. Zucchelli, A. Santarelli, A. Raffo, G. V. Annini, and F. Filicori, “Influence of dispersive effects on large-signal models based on differential parameter integration,” in Eur. Gallium Arsenide and Other Compound Semicond. Appl. Symp. Dig., 2003, pp. 349–352. [25] A. Raffo et al., “Nonlinear dispersive modeling of electron devices oriented to GaN power amplifier design,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 710–718, Apr. 2010.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[26] G. Crupi et al., “Accurate multibias equivalent-circuit extraction for GaN HEMTs,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3616–3622, Oct. 2006. [27] G. Crupi, D. Schreurs, A. Raffo, A. Caddemi, and G. Vannini, “A new millimeter-wave small-signal modeling approach for pHEMTs accounting for the output conductance time delay,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 4, pp. 741–746, Apr. 2008. [28] J. Xu, R. Jones, S. A. Harris, T. Nielsen, and D. E. Root, “Dynamic FET model—DynaFET—for GaN transistors from NVNA active source injection measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa, FL, USA, Jun. 2014. [29] A. Jarndal and G. Kompa, “Large-signal model for AlGaN HEMT accurately predicts trapping and self-heating induced dispersion and intermodulation distortion,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 11, pp. 2830–2836, Dec. 2007. [30] G. Crupi et al., “Nonlinear modeling of GaAs pHEMTs for millimeter-wave mixer design,” Solid State Electron., vol. 104, no. 2, pp. 25–32, Feb. 2015. [31] E. Sijercic and B. Pejcinovic, “Comparison of non-linear MESFET models,” in 9th IEEE Int. Electron., Circuits, Syst. Conf., Dubrovnik, Croatia, Sep. 2002, vol. III, pp. 1187–1190. [32] Z. D. Marinkovic, O. R. Pronic, and V. V. Markovic, “Bias-dependent model of microwave FET S-parameters based on prior knowledge ANNs,” in 8th Neural Network Appl. Electr. Eng. Seminar, 2006, pp. 185–188. [33] H. Taher, D. Schreurs, and B. Nauwelaers, “Extraction of small signal equivalent circuit model parameters for statistical modeling of HBT using artificial neural,” in Eur. Gallium Arsenide and Other Semicond. Appl. Symp., 2005, pp. 213–216.

Yunshen Long received the B.Eng. degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2010, and the Ph.D. degree from the National University of Singapore (NUS), Singapore, in 2014. His research interests include microwave semiconductor devices, sub-system modeling, and monolithic microwave integrated circuit (MMIC) design.

Zheng Zhong (S’08–M’12) received the B.Eng. and M.E. degrees from the University of Science and Technology of China (USTC), Hefei, China, in 2003 and 2006, respectively, and the Ph.D. degree in microwave engineering from the National University of Singapore (NUS), Singapore, in 2010. Since 2010, he has been a Research Fellow with the Department of Electrical and Computer Engineering, NUS. His main research interests include RF/microwave semiconductor device modeling and characterization, microwave and millimeter-wave microwave integrated circuit (MIC)/monolithic microwave integrated circuit (MMIC) design, and RF energy harvesting.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LONG et al.: NOVEL 4-D ANN-BASED HYBRID LARGE-SIGNAL MODEL OF GaAs pHEMTs

Yong-Xin Guo (SM’05) received the B.Eng. and M. Eng. degrees in electronic engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1992 and 1995, respectively, and the Ph.D. degree in electronic engineering from the City University of Hong Kong, Hong Kong, in 2001. From September 2001 to January 2009, he was with the Institute for Infocomm Research, Singapore, as a Research Scientist. In February 2009, he joined the Department of Electrical and Computer Engineering, National University of Singapore (NUS), as an Assistant Professor and, in January 2013, became a tenured Associate Professor. He is currently the Director of the Center for Microwave and Radio Frequency, Department of Electrical and Computer Engineering, NUS. He is concurrently a Senior Investigator with the National University of Singapore Suzhou Research Institute (NUSRI), Suzhou, China, and the Director of the Center of Advanced Microelectronic Devices, NUSRI. He has authored or coauthored 176 international journal papers and 187 international conference papers. Thus far, his publications have been cited more than 2086 times and his H-index is 28 (source: Scopus). He holds sevcen granted/filed U.S. or Chinese patents. He has graduated seven Ph.D. students at NUS. His current research interests include monolithic microwave integrated circuit (MMIC) modeling and design, RF energy harvesting and wireless power for biomedical applications and Internet of Things (IoT), microstrip antennas for wireless communications, implantable/wearable antennas, on-chip antennas, and antennas in package.

11

Dr. Guo is the General Chair of the 2017 International Applied Computational Electromagnetics Society (ACES) Symposium, August 1–4, 2017, Suzhou, China. He was the General Chair of the 2015 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Workshop Series on Advanced Materials and Processes for RF and THz Applications (IMWS-AMP 2015), Suzhou, China, and the IEEE MTT-S International Microwave Workshop Series 2013 (IMWS2013) on “RF and Wireless Technologies for biomedical and Healthcare Applications” in Singapore. He served as a Technical Program Committee (TPC) Co-Chair for the IEEE International Symposium on Radio Frequency Integration Technology (RFIT2009). He has been a TPC Member and Session Chair for numerous conferences and workshops. He serves as Associate Editor for IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS , IET Microwaves, Antennas & Propagation, and Electronics Letters. He was a recipient of the 2009 Young Investigator Award, National University of Singapore, the 2013 Raj Mittra Travel Grant Senior Researcher Award, and the Best Poster Award of the 2014 International Conference on Wearable & Implantable Body Sensor Networks (BSN 2014), Zurich, Switzerland. He was a corecipient of the Design Contest Award of the 20th International Symposium on Low Power Electronics and design (ISLPED), Rome, Italy, 2015. His Ph.D. students have been the recipients of Best Student Paper Awards of the 2015 IEEE MTT-S IMWS-Bio, Taipei, Taiwan, 2013 IEEE iWEM, Hong Kong, 2011 National Microwave and Millimeter-Wave Conference, Qingdao, China, and the 2010 IEEE ICMMT, Chengdu, China.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

1763

Multi-Band Complexity-Reduced GeneralizedMemory-Polynomial Power-Amplifier Digital Predistortion Farouk Mkadem, Anik Islam, and Slim Boumaiza, Senior Member, IEEE Abstract— This paper expounds a complexity-reduced generalized memory polynomial (CR-GMP) model for multi-band power amplifier (PA) digital predistortion (DPD). First, PA block diagrams characterizing the behavior of PAs under multi-band stimulus are proposed. Second, CR-GMP forward models are derived from the feedback block diagrams of the PA, driven with both dual- and tri-band signals, leading to a general formulation for PAs driven with multi-band signals. The resulting models are used to linearize two PAs driven with dual- and tri-band signals. The proposed CR-GMP models are compared to a dual-input digital predistortion (2D-DPD) model and a triple-input digital predistortion (3D-DPD) model and show similar linearization performance while requiring fewer coefficients. Due to the presence of cross terms in the dual-band CR-GMP formulation, the proposed model is robust against time-delay misalignment between dual-band signals, whereas the 2D-DPD is not. With a reduced number of coefficients and the presence of cross terms, the proposed CR-GMP models represent excellent candidates for the linearization of highly nonlinear PAs driven with multi-band signals. Index Terms— Behavioral modeling, complexity reduction, digital predistortion (DPD), memory effects, multi-band power amplifier (PA), Volterra series.

I. I NTRODUCTION

C

URRENT state-of-the-art radio transmitters are only capable of processing single-band signals with optimized linearity and efficiency. The development of transmitters that maintain ultra-linearity and high efficiency over multiple frequencies requires new techniques and methodologies, particularly for the power amplifier (PA) stage. Indeed, the nonlinearity of the PA is more pronounced in the case of multiband signals, where linearity and efficiency are compromised to ensure multi-band operation. Several linearization techniques have been devised to mitigate PA nonlinearity at high input powers, consequently

Manuscript received December 12, 2014; revised March 12, 2015, July 13, 2015, January 7, 2016, and April 21, 2016; accepted April 25, 2016. Date of publication May 13, 2016; date of current version June 2, 2016. This work was supported by the University of Waterloo, Waterloo, ON, Canada and by the Natural Sciences and Engineering Research Council of Canada (NSERC). F. Mkadem was with the EMRG Research Group, Electrical and Computer Engineering Department, University of Waterloo, Waterloo, ON, Canada N2L 3G1. He is now with PMC-Sierra, Burnaby, BC, Canada V5A 4V7 (e-mail: [email protected]). A. Islam and S. Boumaiza are with the EMRG Research Group, Electrical and Computer Engineering Department, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: a5islam2uwaterloo.ca; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561279

improving the achievable PA linearity versus power efficiency tradeoff. Among these techniques, digital predistortion (DPD) is currently the most popular. Several DPD schemes, mainly single-input single-output (SISO) schemes, have been devised to linearize PAs driven by single-band signals. Additional distortions are exhibited with multi-band signals, and more sophisticated models are required to address them. Advanced single-band models have been optimized and successfully linearized PAs driven with wideband signals. A number of Volterra series’ derivations and approximations have been introduced in the literature such as the memory polynomial (MP) [1], the Volterra series with dynamic deviation reduction (DDR) [2], and the complexity-reduced Volterra series [3] for use with wideband signals. In the context of multi-band scenarios, the conventional implementation of behavioral models faces several issues. The main issue is that the separation of the carrier frequencies can be very large (in the order of hundreds of MHz), making a SISO behavioral model/DPD non-viable due to the unrealistic sampling rate required to cover a large range of frequencies. Thus, multiple-input multiple-output (MIMO) behavioral models/DPDs are envisioned. In the literature, behavioral models for PAs driven with dualband signals have been developed using one of two strategies: generalization of a SISO model structure to accommodate dual-band signals [4]–[6] and pruning of the general Volterra series formulation [7]. In [4], the authors extended the SISO MP formulation to a dual-input digital predistortion (2D-DPD) model. The 2D-DPD allows for the construction of a predistorted signal using two input signals to model/linearize cross distortion generated by the interaction of the two bands. However, it has been demonstrated that the 2D-DPD model suffers from numerical instability [5], [6]. Moreover, this paper demonstrates that 2D-DPD is very sensitive to time-delay misalignment between the transmitted signals, resulting in the degradation of the modeling/DPD performance. One particular problem with the generalization of SISO models to multiple input cases is the increase in the number of coefficients. In [8], the authors proposed the deployment of a two-box model structure (memoryless block + MP) to limit the increase in the number of coefficients to only the memoryless block. The derived model requires fewer coefficients than the 2D-DPD; however, nonlinear identification algorithms are needed to extract the coefficients accurately. A popular technique to circumvent this issue is the use of two-step linear identification. First, the memoryless block is

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

identified, then its response is used for the identification of the memory block [9]. Unfortunately, it has been demonstrated in the literature that two-box models achieve inferior linearization compared to both Volterra series and MP models for SISO scenarios [10]. The same result is expected in the case of dual-band signals. In [6], authors generalized the DDR-based Volterra series model to the dual-band case. The number of required coefficients was, however, greater than that of the 2D-DPD. Rather than generalizing SISO models to PAs driven with dual-band signals, a pruned Volterra series scheme was proposed in [7]. Systematic pruning and derivations were applied to yield a simple baseband equivalent (BBE) low-complexity model that does not require empirical pruning and uses only a small number of coefficients. However, the resulting model included kernels that needed pre-computation before the identification of the coefficients, leading to an increase in the model’s implementation complexity. In [12], the authors proposed the application of multi-band DPD using a single feedback loop at the cost of increasing the sampling rate and signal processing complexity. Indeed, [12] necessitates a prior PA modeling step in order to construct the DPD function. Dual-band behavioral models/DPD have received a lot of attention in recent years, whereas fewer researchers have investigated tri-band or higher. In fact, generalizing the SISO model to MIMO cases, or pruning the Volterra formulation to construct tri-band or higher band DPD models, noticeably increases the required number of coefficients and the model complexity. For example, Younes et al. [11] extended the 2D-DPD formulation to a tri-band signal (triple input digital predistortion or 3D-DPD) scenario. The model achieved more than a 15-dB reduction in the adjacent channel power ratio (ACPR); however, the number of coefficients needed was over 100. The 3D-DPD is expected to suffer from the same limitations as the 2D-DPD, namely, numerical instability and sensitivity to time-delay misalignment. Alternatively, Younes et al. [13] proposed a phase-aligned pruned Volterra series and demonstrated better performances (around 2 dB) than the 3D-DPD. However, the required number of coefficients was higher than in the 3D-DPD. In this paper, a different strategy is used to construct a MIMO behavioral model/DPD. First, block diagrams that describe the behavior of PAs driven with dual- and tri-band signals are established. The block diagrams form the basis for the development of dual-, tri-, and multi-band generalized MP (GMP) models that describe the output of the PA accurately without the need for a SISO structure or pruning of a general Volterra-series expression. The derivations of the dual- and tri-band models are similar to the derivation of the single-band case presented in [3]. In this paper, a general multi-band behavioral model/DPD is proposed. It is shown that the resulting models are inherently low complexity and able to take into account cross modulation. Additional pruning is proposed to further reduce the number of coefficients required, while maintaining good linearization capabilities. It is also demonstrated in this paper that timedelay misalignment between the transmitted signals affects

Fig. 1.

DPD for a multi-band PA.

the performance of the 2D-DPD. However, due to the circuit inspired construction of the proposed dual-band GMP (2D-GMP) model, it is robust against time-delay misalignment, and its linearization performances are not degraded. Similar results will be true for the tri- and multi-band models. This paper is organized as follows. In Section II, a block diagram characterizing the behavior of a PA driven with a dual-band signal is proposed. This block diagram is used to develop a feed-forward 2D-GMP model. Section III studies the case of a PA driven with a tri-band signal and presents a general multi-band GMP model. Section IV introduces the measurement setup and modulated signals used for the validation of the proposed DPD models. Section V details the measurement results for the proposed dual- and tri-band GMP models compared with the established 2D-DPD and 3D-DPD models. Section VI discusses the sensitivity of the 2D-DPD to time-delay misalignment and demonstrates the robustness of the proposed models. Finally, conclusions are given in Section VII. II. B EHAVIORAL M ODELING AND P REDISTORTION OF PA S D RIVEN W ITH D UAL -BAND S IGNALS Behavior models/DPD for PAs driven with multi-band signals have been proposed to mimic the behavior of the PAs/correct their nonlinear response under multi-band stimulus. Distortions in PAs driven with multi-band signals are more complex than in PAs driven with a single-band signal due to the cross-modulation distortions [4] resulting from the mix between the multiple bands. The MIMO model shown in Fig. 1 was used to linearize the PA under multi-band stimulus, where x i (n), u i (n) and yi (n) are the input, predistorted, and output signals for each band of the (DPD + PA) cascade, respectively, and y (n) is the output signal. A reduced-complexity Volterra series was introduced for PAs driven with a single-band signal [3]. The model enabled the generation of a reduced-complexity Volterra series that offered comparable results to the Volterra-series DDR model, but required fewer coefficients. The nonlinearity order and memory depth were allowed to reach high values without excessively augmenting the number of coefficients to be identified. The inherently low complexity and excellent modeling and linearization capabilities were mainly attributed to the model’s construction procedure. In [3], a block diagram of a PA driven with a singleband signal was first given by the circuit inspired model of [14] and [15] (as shown in Fig. 2), where x (n) and y (n)

MKADEM et al.: MULTI-BAND CR-GMP PA DPD

Fig. 2.

1765

Simplified circuit inspired model of a single-band PA.

are the real discrete-time input and output signals of the PA, respectively, sampled at Ts = 1/ (2 f max ) with f max being the highest RF component. This block diagram was then used to derive a feed-forward model, as given in (1), where x˜ (n) and y˜ (n) are the BBE of the signals x (n) and y (n), respectively, N and m are the nonlinearity orders and the memory depth, respectively, and pi , αimk , and βimk are coefficients. This procedure allowed for good modeling and linearization of the PA with inherently reduced complexity, requiring little or no pruning, y˜ (n) =

N 

+

+

i=1 k=2 j =1 i odd k even N  m N−1  

Simplified circuit inspired model of a dual-band PA.

low frequencies. Fig. 3 is described by (2)–(4), where γ j is the j th instance of the discrete time-domain representation of the feedback FIR filter, FIRfb , and m denotes the memory depth, y (n) = y1 (n) + y2 (n) yi (n) = G i [u i (n)] = G i [x 1 (n) + x 2 (n) + z (n)]

pi x˜ (n) |x˜ (n)|i−1

i=1 i odd m N−2  N−1  

Fig. 3.

i∈{1,2}

z (n) = FIRfb [y (n)] =

m 

γ j y (n − j).

(2) (3) (4)

j =1

αi j k x˜ (n) |x˜ (n)|i−1 |x˜ (n − j)|k

βi j k x˜ (n − m) |x˜ (n)|i |x˜ (n − j)|k−1 .

i=0 k=1 j =1 i even k odd

The gain characteristics G 1 (.) and G 2 (.) are represented by the memoryless polynomial given in (5), where N1 and N2 are the memoryless nonlinear orders for each band, respectively, G i (u) =

i∈{1,2}

(1) In the following sections, the assumptions used to develop (1) are given and the dual-, tri-, and multi-band models are proposed. Fig. 2 shows a block diagram of a PA driven with a singleband signal. It is represented by a memoryless block, G (.), that models the gain characteristic of the PA around its carrier frequency. In addition, FIRfb (finite impulse response filter for feedback) illustrates the mechanism by which the feedback of low frequencies generates memory effects. The same block diagram can be used for the dual-band case. In fact, let us consider dual-band signals modulated around f 1 and f 2 , and a PA with a carrier frequency of ( f 1 + f 2 ) /2. If G (.) is a gain characteristic of the PA around ( f 1 + f 2 ) /2, and FIRfb is a filter that illustrates the feedback of low frequencies, then Fig. 2 shows the behavior of the PA driven with a dual-band signal. Unfortunately, the function G (.) must be very wideband to cover the two bands around f 1 and f 2 . Alternatively, two different functions, G 1 (.) and G 2 (.), may be considered to describe the gain characteristic of the PA around the two carrier frequencies, f 1 and f 2 . Fig. 3 represents a special case of Fig. 2 for a typical PA driven with a dual-band signal. This block diagram is composed of two nonlinear memoryless functions and one feedback filter, where x 1 (n) and x 2 (n) are the two input RF signals, and y1 (n) and y2 (n) are their respective output RF signals. These signals are sampled at Ts = 1/ (2 f max ), where f max is the highest RF frequency component. The FIRfb filter is maintained as it continues to illustrate the feedback of

pi,1 u + pi,2 u 2 + · · · + pi,Ni u Ni .

(5)

Using the same derivations applied in [3] and (2)–(4), a dual-band model can be deduced. In [3], the following two main assumptions were as follows. • The PA is modeled using a finite memory feedforward model, which requires the recursive model of Figs. 2 and 3 to have a finite output memory span (fading memory system [16]). Therefore, if the overall memory of the PA model is equal to m, the second and following entries of the delayed output signal to the FIR filter would be out of the output’s memory span. Consequently, the delayed output signal expression includes only delayed input terms. • Based on Fig. 3, γ j represents the memory effect contribution of signal y (n − j) added to the current input signals, x 1 (n) and x 2 (n), resulting in the output signal, yi (n). Therefore, to ensure a stable system, the absolute value   of γ j should be considered to be less than one (i.e., γ j  < 1). Therefore, higher   powers ofaγ j are considered to be negligible (i.e., γ j  < 1 → γ j   1, where a > 1). Using these last approximations and (2)–(5), the following expression is deduced as a dual-band model: yi (n) = +

Ni  k 

δx 1k−r (n) x 2r (n)

k=1 r=0 q  Ni max(N k−1  m  1 ,N2 )   

θ x 1k−1−r (n) x 2r (n) q−s

j =1 k=1

q=1

r=0 s=0

x1

(n − j) x 2s (n − j)



1766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE I N UMBER OF C OEFFICIENTS C OMPARISON B ETWEEN THE M ODIFIED 2D-GMP, THE 2D-CR-GMP, AND THE 2D-DPD M ODELS

where δ=

     k k−1 q pi,k ; θ = kγ j pi,k p p,q . r r s

(6)

In the DPD technique, the envelope signal is deployed to construct the predistorted signal in the digital domain. Therefore, (6) is transformed here to its BBE form, where the complex envelope signal is denoted by x˜i (n) such that 2x i (n) = x˜i (n) e j wi n + x˜i∗ (n) e− j wi n , where wi is the carrier angular frequency of the signal in band i , i ∈ {1, 2}. According to [3] and [17], only components around the two carrier frequencies are significant to the PA output formulation in general, and all of the terms that lead to spectral components far from the two carrier frequencies are discarded. Writing (6) using the baseband input and output signals leads to (7), shown at the bottom of the following page, representing a 2D-GMP formulation. This formulation allows cross terms between the two delayed input signals. This feature is crucial to correct for any time-delay misalignment between the two signals, as discussed in Section VI. The proposed 2D-GMP has inherently reduced complexity when compared to other conventional models such as the dual-band Volterraseries model. It is important to note that the nonlinearity order Ni in (7) results in an order of nonlinearity equal to Ni + max (N1 + N2 ) − 1 in the closed-form expression. When dealing with memory effects, the memory nonlinearity order is higher than the memoryless nonlinearity (denoted hereafter as Pi = Ni + max (N1 + N2 ) − 1) [3]. In fact, for the second and third summations of (7), the nonlinearity order is equal to k + q − 1. For k = Ni and q = max (N1 + N2 ), the total nonlinearity is increased to Pi . In this context, the nonlinearity of Ni in the 2D-GMP model of (7) is higher than in the 2D-DPD model or any polynomial-based model. Consequently, a comparison between these models is ineffective, as the number of coefficients is high in the 2D-GMP, due to the increase of nonlinearity to Pi . To alleviate this inequality, a pruning of (7) is proposed to unify the nonlinearity order of the memoryless part [first summation of (7)] and the memory part [second and third summation of (7)] to Pi = 2Ni − 1. Therefore, (7) is written as (8), shown at the bottom of the following page, and referred to hereafter as the modified 2D-GMP model. The resulting number of coefficients is illustrated in Table I. The number of coefficients for the modified 2D-GMP is

Fig. 4.

Simplified circuit inspired model of a tri-band PA.

lower than that of the 2D-DPD, although the proposed model includes cross terms and the 2D-DPD does not. Since the proposed model was derived from a block diagram for a PA driven with dual-band signals, only necessary kernels are present; whereas the 2D-DPD is a generalization of the MP model with no particular selection of kernels, resulting in a high number of coefficients. To allow memory taps to assume a large value, while keeping a realistic and manageable number of coefficients, a reformulation of (8) is proposed in (9), shown at the bottom of the following page. The reformulation tolerates a large memory span for low nonlinearity orders and a small memory span for high nonlinearity orders. Different m values are used in the second and third summations of (8), leading to (9), which is identified as the 2D-complexity-reduced GMP (2D-CR-GMP) model. In this case, M is not a single integer number, but a  vector of integers (i.e., M = M1 . . . Mmax(N1 ,N2 ) ). One approach to selecting values for M is to start with the highest values (i.e., m), as M1 and decrease the values of Mk by a step equal to 1 until 0, when all consequent values are set equal to 0. This is summarized in (10). The resulting numbers of coefficients for (8) and (9) are summarized in Table I. It is clear that the proposed 2D-CR-GMP allows a large value for m, while keeping a manageable number of coefficients. In addition, the 2D-CR-GMP model allows cross terms, resulting in better modeling and linearization capabilities, especially when time-delay misalignment between the two signals exists,  k = 2 · · · max (N1 , N2 ) M1 = m, (10) Mk+1 = max (0, Mk−1 − 1), kodd. III. B EHAVIORAL M ODELING AND P REDISTORTION OF PA S D RIVEN W ITH T RI - AND M ULTI -BAND S IGNALS A. Behavioral Model of a PA Driven With a Tri-Band Signal In order to generalize (9) to a PA driven with a tri-band signal, the same procedure that yielded the 2D-CR-GMP model is followed. A simplified block diagram of a PA driven with a tri-band signal is proposed and a feed-forward model is then derived. Fig. 4 shows a PA driven with a tri-band

MKADEM et al.: MULTI-BAND CR-GMP PA DPD

1767

signal represented by three nonlinear memoryless functions and a feedback filter, where x 1 (n), x 2 (n), and x 3 (n) are the three input RF signals and y1 (n), y2 (n), and y3 (n) are their respective RF output signals. As with the dual-band case, the three nonlinear functions can be attributed to the PA exhibiting different gain characteristics in each frequency range and the filter simulating the feedback of the low frequencies that produce memory effects. Fig. 4 is described by (11)–(14), where γ j is the j th instance of the discrete time-domain representation of feedback filter FIRfb , and m denotes the memory depth, y (n) = y1 (n) + y2 (n) + y3 (n) yi (n) = G i [u i (n)] , i ∈ {1, 2, 3} u i (n) = x 1 (n) + x 2 (n) + x 3 (n) + z (n), i ∈ {1, 2, 3} m  γ j y (n − j). z (n) = FIRfb [y (n)] =

The static nonlinearities, G 1 (.), G 2 (.), and G 3 (.), are characterized by memoryless polynomials given in (15), where N1 , N2 , and N3 are the memoryless nonlinear orders, G i (u) = pi,1 u + pi,2 u 2 + · · · + pi,Ni u Ni, i ∈ {1, 2, 3}. (15) Following the derivations of [3] that led to the 2D-GMP model in Section II, it is easy to derive the tri-band signal case, (16), using the same assumptions stated above, Ni  r k   σ x 1k−r (n) x 2r−t (n) x 3t (n) yi (n) = k=1 r=0 t =0 N p q k−1 r Ni  s m  3   

+

(11) (12) (13)



⎞ q−r x 1k−s−1 (n) x 1 (n − j) · ⎝ x 2s−u (n) x 2r−t (n − j) ⎠ x 3u (n) x 3t (n − j)

(14)

j =1

y˜i (n) =

Ni  k 

ϕ x˜i (n) |x˜ 1 (n)|k−r−1 |x˜2 (n)|r

k=1 r=0 k odd r even N k−1 1 ,N2 )−1  i −1 max(N 

+

k=2 k even

+

q=2 q even

where

 ϕ=δ y˜i (n) =

k −r

r=0 s=0 j =1 r even s even

  r

α=θ

r 2

y˜i (n) =

q=2 q even

q=1 q odd

k 

m1 =

s 2

β=θ

k−r−1 2

r 2

q−s−1 2

s 2

(7)

α x˜i (n) |x˜1 (n)|k−r−2 |x˜1 (n − j)|q−s |x˜ 2 (n)|r |x˜2 (n − j)|s

β x˜ i (n − j) |x˜1 (n)|k−r−1 |x˜1 (n − j)|q−s−1 |x˜2 (n)|r |x˜2 (n − j)|s

(8)

r=0 s=0 j =1 r even s even

q=2 q even

q M(m  1 )

q=1 q odd

α x˜i (n) |x˜1 (n)|k−r−2 |x˜1 (n − j)|q−s |x˜2 (n)|r |x˜2 (n − j)|s

r=0 s=0 j =1 r even s even

q M(m Ni max(N k−1  1 ,N2 )    2 ) k=1 k odd

q−s 2

δ x˜i (n) |x˜ 1 (n)|k−r−1 |x˜2 (n)|r

+

k=2 k even

r 2

r=0 s=0 j =1 r even s even

k=1 r=0 k odd r even N k−1 1 ,N2 )−1  i −1 max(N 

where

q  m 

q  Ni max(N m k−1  1 ,N2 )   

2N i −1 

+

k−r−2 2

     q −s k −r −1 r s

δ x˜i (n) |x˜1 (n)|k−r−1 |x˜2 (n)|r

+

k=1 k odd

β x˜ i (n − j) |x˜1 (n)|k−r−1 |x˜1 (n − j)|q−s−1 |x˜2 (n)|r |x˜2 (n − j)|s

     k −r −1 r q −s s

k=1 r=0 k odd r even N k−1 i −1 max(N 1 ,N2 )−1   k=2 k even

α x˜i (n) |x˜1 (n)|k−r−2 |x˜1 (n − j)|q−s |x˜ 2 (n)|r |x˜2 (n − j)|s

r=0 s=0 j =1 r even s even

q=1 q odd

k−r−1 2 2N −1 k i  

+

q  m 

q  Ni max(N m k−1  1 ,N2 )    k=1 k odd

ϑ

k=1 j =1 p=1 q=1 r=0 s=0 t =0 u=0

β x˜i (n − j) |x˜1 (n)|k−r−1 |x˜1 (n − j)|q−s−1 |x˜2 (n)|r |x˜2 (n − j)|s

r=0 s=0 j =1 r even s even

(1 + (k − r − 2) + (q − s) + r + s) + 1 (1 + (k − r − 1) + (q − s − 1) + r + s) + 1 and m 2 = 2 2

(9)

1768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE II C OMPARISON OF N UMBER OF C OEFFICIENTS R EQUIRED BY M ODIFIED 3D-GMP, 3D-CR-GMP, AND 3D-DPD M ODELS

Fig. 5.

where δ=

       k r r s pi,k σ = δ ϑ =θ , r t t u

and θ=

   q k−1 kγ j pi,k p p,q . r s

Test bed for the multi-band DPD.

IV. T EST S ETUP AND E XPERIMENTAL C ONDITIONS

(16)

Writing (16) as the BBE model, (17), shown at the bottom of the following page, is obtained and denoted as 3D-GMP. Since the total nonlinearity of (17) is Pi = Ni + max (N1 + N2 + N3 ) − 1, in order to compare this model with the polynomial-based model, the memoryless nonlinearity [the first summation (17)] is set to Pi = 2Ni − 1. This results in the modified 3DGMP model given in (18), shown at the bottom of the following page. From Table II, it can be seen that the modified 3DGMP requires fewer coefficients than the 3D-DPD, although cross terms are included in the proposed model. As was the case for the modified 2D-GMP model, the derivation of the proposed model from a block diagram means only the necessary kernels are selected. To allow for a large value in the memory span m, while keeping a manageable number of coefficients, the same pruning applied to obtain the 2D-CR-GMP is used here.   In other words, different memory taps values, i.e., M = M1 , . . . , Mmax(N1 ,N2 ,N3 ) , in the second and third summations of (18) are used. This leads to (19), shown at the bottom of page 1770, called hereafter the tri-band complexity-reduced generalized memory polynomial (3D-CR-GMP) model, is selected as in (10). The resulting number of coefficients is summarized in Table II. B. Behavioral Model of a PA Driven With Multi-Band Signals In this paper, dual- and tri-band models are derived from a simplified circuit inspired PA model. The formulations given in (9) and (19) show similarities in their derivations, which leads to the proposed general model of a PA driven with multiband signals given in (20), shown at the bottom of page 1770, where K is number of bands. This model can be reduced to single-, dual-, tri-band, or any number of bands, depending on the number of inputs.

For the validation of the proposed models, two wideband PAs were used as the devices-under-test (DUTs): • 45-W single-ended gallium-nitride (GaN) PA (1.9–2.9 GHz); • 20-W Doherty GaN PA (700–950 MHz). The two PAs were driven into their nonlinear regions to ensure the presence of highly dynamic nonlinearities requiring correction. The following different signal standards were deployed: • 20-MHz 4-carrier (4C) wideband code division multiple access (WCDMA) signals with a peak-to-average power ratio (PAPR) equal to 7.14 dB sampled at a rate equal to 2 × 92.16 MHz (effective bandwidth equal to 92.16 MHz); • 20-MHz 1001 WCDMA signals with a PAPR equal to 7.11 dB sampled at a rate equal to 2 × 92.16 MHz (effective bandwidth equal to 92.16 MHz); • 20-MHz long-term evolution (LTE) signals with a PAPR equal to 7.73 dB sampled at a rate equal to 2 × 92.16 MHz (effective bandwidth equal to 92.16 MHz). The test bed used to assess the proposed models’ linearization performance is given in Fig. 5. An 8-Gsample/s arbitrary waveform generator (AWG M9180A) allowed the generation of signals from dc up to 4 GHz, covering a wide range of cellular frequencies, including the two DUTs’ operating frequencies. Modulations and up-conversions of the multi-band signals were digitally performed, the resulting real signal being uploaded to the AWG. A spectrum analyzer (PXA N9030A) was used to capture the different output signals with an analysis bandwidth equal to 100 MHz. 100-k samples are captured where 10-k samples are used for training and 90-k ones are used for performance evaluation. A typical PA driven with multi-band signals has different group delays for the different carrier frequencies. These group delays result in time-delay misalignment between the signals (i.e., different times needed by the input signals to transform to the output signals). To correct for this misalignment, some of the input signals should be intentionally delayed before modulation to equal the total time delay between the different

MKADEM et al.: MULTI-BAND CR-GMP PA DPD

1769

input and output signals. Unfortunately, the groups vary based on many variables (e.g., PA power, carrier temperatures); thus, there is no guarantee that the correction applied is valid over time. Typically, the DPD approach should handle this time-delay misalignment. It is shown in Section VI that when cross terms exist in the DPD structure, as in the case of the 2D-CR-GMP model, the linearization is not sensitive to this issue. However, in the absence of these cross terms, as in the case of 2D-DPD, the performance of the DPD degrades even for a small timedelay misalignment. V. DPD OF A PA D RIVEN W ITH D UAL - AND T RI -BAND S IGNALS In the previous sections, behavioral modeling of PAs driven with dual-, tri-, and multi-band signals was discussed. Derivations based on a simplified circuit inspired PA model have demonstrated a CR-GMP model that allows for cross terms, while keeping a manageable number of coefficients. However, the objective of this paper is the construction of a multiband DPD approach. This section of the paper presents measurement results acquired using the test bed presented in Section IV. The key to DPD is the construction of an accurate inverse behavioral PA model capable of producing distortions out of phase with those generated by the PA. An indirect learning algorithm allows for the identification of DPD coefficients by swapping the input and output signals of the PA behavioral model. The behavioral models developed in Sections II and III were used as models for the DPD of the DUT. To begin, the DPD parameters for nonlinearity order Pi and memory depth m were selected. The normalized mean square error (NMSE) was then used to evaluate the inverse

y˜i (n) =

Ni  k−1  r 

+

+

y˜i (n) =

q=2 q even

Ni max(N 1 ,N2 ,N3 )  k=1 k odd

2N k−1 i −1  

q=1 q odd r 

r even s even t even u even

q=2 q even

q=1 q odd

(17)

ϕ x˜ i (n) |x˜1 (n)|k−r−1 |x˜2 (n)|r−t |x˜3 (n)|t

Ni max(N 1 ,N2 ,N3 )  k=1 k odd

k−s−1 s−u u r s  m   |x˜ 2 (n)| |x˜3 (n)| β x˜ i (n − j) |x˜1 (n)| q−r−1 r−t t | |x˜2 (n − j)| |x˜3 (n − j)| t =0 u=0 j =1 x˜ 1 (n − j)|

r=0 s=0 r even s even t even u even

+

+

The two DUTs were driven with a combination of dual-input WCDMA signals. The single-ended GaN PA was driven with a 4C 20-MHz WCDMA signal around 2.14 GHz and a 20-MHz 1001 WCDMA signal around 2.8 GHz (combined signal PAPR of 9.28 dB). The Doherty PA was driven with a 20-MHz 1001 WCDMA signal around 750 MHz and a 4C 20-MHz WCDMA around 900 MHz (combined signal PAPR of 9.24 dB). The DUTs were driven to compression to ensure high nonlinearity. To identify the 2D-CR-GMP nonlinearity and memory depth, several tests were conducted. A memoryless 2D model given in (23) was identified to determine the best nonlinearity degree, Pi . The nonlinearity order was chosen as the smallest value that corresponded to the NMSE plateau: Pi was selected

q k−s−2 s−u u k−1  r s  m    |x˜2 (n)| |x˜3 (n)| α x˜i (n) |x˜ 1 (n)| q−r r−t t |x˜ (n − j)| |x˜2 (n − j)| |x˜3 (n − j)| r=0 s=0 t =0 u=0 j =1 1

q k−1  

k=1 r=0 t =0 k odd r even t even Ni max(N1 ,N2 ,N3 )−1  k=2 k even

A. Dual-Band CR-GMP Polynomial DPD

ϕ x˜ i (n) |x˜1 (n)|k−r−1 |x˜2 (n)|r−t |x˜3 (n)|t

k=1 r=0 t =0 k odd r even t even Ni max(N1 ,N2 ,N3 )−1  k=2 k even

modeling capabilities. Finally, the linearization performance of the DPD was evaluated by observing the error vector magnitude (EVM) and output spectrum reduction through the ACPR. Sections V-A examines the dual-band case and Sections V-B examines the tri-band case. NMSE and EVM are given in (21) and (22), respectively, where x, x pr , x pr Modeled , and y are the normalized original, predistorted, modeled predistorted, and measured output signal vectors, where a signal  vector is given by x = x (1) · · · x (N) for N measurement. Please note that EVM here is used as it basic definition: vector difference at a given time between the ideal desired output, x, and the measured (PA produced) output, y,

  mean x pr − x prModeled    (21) NMSE = 20log10 mean x pr    mean |x − y| EVM = 20log10 . (22) mean |x|

q k−s−2 s−u u k−1  r s  m    |x˜2 (n)| |x˜3 (n)| α x˜i (n) |x˜ 1 (n)| q−r r−t t |x˜ (n − j)| |x˜2 (n − j)| |x˜3 (n − j)| r=0 s=0 t =0 u=0 j =1 1 r even s even t even u even

q k−1  

k−s−1 s−u u r s  m   |x˜ 2 (n)| |x˜3 (n)| β x˜ i (n − j) |x˜1 (n)| q−r−1 r−t t |x˜ |x˜2 (n − j)| |x˜3 (n − j)| − j)| t =0 u=0 j =1 1 (n

r=0 s=0 r even s even t even u even

(18)

1770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE III

as 7 for both DUTs. The memory depth of model (9) was determined at the highest value where Pi = 7: m was selected to be 3 for both DUTs, u˜ i (n) =

2N i −1 

k 

δ y˜i (n) | y˜1 (n)|k−r−1 | y˜2 (n)|r .

EVM W ITH AND W ITHOUT DPD FOR THE GaN S INGLE -E NDED PA

(23)

k=1 r=0 k odd r even

To evaluate the performance of the 2D-CR-GMP approach with the obtained parameters, its modeling accuracy was compared to that of the 2D-DPD. For this section, the time-delay misalignment was calibrated to be zero, while in Section VI, the time-delay misalignment is non-zero. Tables III and IV summarize the linearization performance through the EVM values obtained under the different scenarios. Measured performances were compared against the 2D-DPD, where odd only and even–odd orders were selected. Both DPD schemes succeeded in linearizing the DUT, reducing the EVM by more than 10 dB in all cases. This is clear from Figs. 6–9 where the linearized output spectrums achieved −50 dBc in all cases. Therefore, the 2D-CR-GMP

y˜i (n) =

2N k−1 i −1  

r 

+

+

q=2 q even

To examine the 3D-CR-GMP model, the single-ended GaN PA was modeled and linearized with a combination of tri-band signals composed of a 20-MHz LTE signal around 2.14 GHz,

q k−1  r s M(m    1 ) α x˜ (n) |x˜ (n)|k−s−2 |x˜ (n)|s−u |x˜ (n)|u i 1 2 3 q−r r−t t |x˜1 (n − j)| |x˜2 (n − j)| |x˜3 (n − j)| r=0 s=0 t =0 u=0 j =1 r even s even t even u even

Ni max(N 1 ,N2 ,N3 )  k=1 k odd

B. 3D-CR-GMP DPD

ϕ x˜ i (n) |x˜1 (n)|k−r−1 |x˜2 (n)|r−t |x˜3 (n)|t

k=1 r=0 t =0 k odd r even t even ,N2 ,N3 )−1 Ni max(N1  k=2 k even

showed comparable EVM and ACPR reductions and inverse modeling performance as the 2D-DPD model.

q=1 q odd

q k−1  

r s M(m   2 ) β x˜i (n − j) |x˜1 (n)|k−s−1 |x˜2 (n)|s−u |x˜3 (n)|u q−r−1 r−t t | |x˜2 (n − j)| |x˜3 (n − j)| t =0 u=0 j =1 x˜ 1 (n − j)|

r=0 s=0 r even s even t even u even

(1 + (k − s − 2) + (s − u) + u + (q − r ) + (r − t) + t) + 1 2 (1 + (k − s − 1) + (s − u) + u + (q − r − 1) + (r − t) + t) + 1 m2 = 2

K −1  k K −1 2N k1 i −1     k1 −k2 −1 ki −ki+1 |x˜ K (n)|k K |x˜i (n)| y˜i (n) = ··· ϕ x˜ i (n) |x˜1 (n)| m1 =

k1 =1 k2 =0 k1 odd k2 even

k K =0 k K even

i=2

Ni 

max (Ni )i∈{1,...,K } −1



k1 

k1 =2 k1 even

q1 =2 q even

k2 =0 k2 even

+

···

q K =0 j =1 q K even

|x˜1 (n − j)|

k1 =1 k1 odd

···

q1 =1 q odd

q K −1 M(m 2 )   q K =0 j =1 q K even

q1 

k K =0 q2 =0 k K even q2 even

q1 −q2

 |x˜i (n)|ki −ki+1 |x˜ K (n)|k K  K −1 i=2   qi −qi+1 qK |x˜i (n − j)| |x˜ K (n − j)|

k1 −k2 −2

 K −1 

i=2

i )i∈{1,...,K } Ni max (N 

+



k K −1

···

α x˜i (n) |x˜1 (n)|

q K −1 M(m 1 )  

(19)

k1  k2 =0 k2 even



k K −1

···

q1 

k K =0 q2 =0 k K even q2 even

 K −1   k −k −1 |x˜i (n)|ki −ki+1 |x˜ K (n)|k K x 1 (n)| 1 2 β x˜i (n − j) | i=2  K −1   qi −qi+1 q1 −q2 −1 qK |x˜ K (n − j)| |x˜1 (n − j)| |x˜i (n − j)| i=2

(20)

MKADEM et al.: MULTI-BAND CR-GMP PA DPD

1771

TABLE IV EVM W ITH AND W ITHOUT DPD FOR THE D OHERTY GaN PA

Fig. 8. Measured 750-MHz-band power spectrum density of the GaN Doherty PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

Fig. 6. Measured 2.14-GHz-band power spectrum density of the GaN singleended PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

Fig. 9. Measured 900-MHz-band power spectrum density of the GaN Doherty PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers. TABLE V EVM OF THE 3D-CR-GMP M ODEL V ERSUS THE 3D-DPD M ODEL

Fig. 7. Measured 2.80-GHz-band power spectrum density of the GaN singleended PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

a 4C WCDMA signal around 2.6 GHz, and a 1001 WCDMA signal around 2.7 GHz (combined PAPR of 10.43 dB). The memoryless 3D model given in (24) was identified to determine the nonlinearity degree Pi , chosen to be the smallest value that corresponded to the NMSE plateau: Pi was selected to be 7. The memory depth of the model (19) was determined at the highest value where Pi = 7: m was selected to be 2, y˜i (n) =

2N k−1 i −1  

r  ϕ x˜i (n) |x˜1 (n)|k−r−1 . |x˜2 (n)|r−t |x˜ 3 (n)|t

k=1 r=0 t =0 k odd r even t even

(24)

To evaluate the performance of the 3D-CR-GMP approach, its modeling accuracy was compared to 3D-DPD with odd only and even–odd order capabilities. The nonlinearity and memory depth of the 3D-DPD and 3D-CR-GMP were set at 7 and 2, respectively. Table V summarizes the EVM values obtained for the two models. Both DPD schemes linearized the DUTs by reducing the EVM more than 10 dB in the three bands. It can be seen in Figs. 10–12 that the linearized output spectrums pass the spectrum mask [18] for all three bands. It is worth mentioning that the 3D-CR-GMP used only 31 coefficients in each band to linearize the PA output; whereas the 3D-DPD required a much larger number of coefficients.

1772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE VI EVM W ITH AND W ITHOUT DPD FOR THE GaN S INGLE -E NDED PA, W ITH 4.6-ns T IME -D ELAY M ISALIGNMENT

TABLE VII Fig. 10. Measured 2.14-GHz-band power spectrum density of the GaN singleended PA driven with tri-band signals without DPD and when linearized with the 3D-CR-GMP and 3D-DPD linearizers.

EVM W ITH AND W ITHOUT DPD FOR THE D OHERTY GaN PA, W ITH 10-ns T IME -D ELAY M ISALIGNMENT

Fig. 11. Measured 2.6-GHz-band power spectrum density of the GaN singleended PA driven with tri-band signals, without DPD and when linearized with the 3D-CR-GMP and 3D-DPD linearizers.

Fig. 13. Measured 2.14-GHz-band power spectrum density of the GaN singleended PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

Fig. 12. Measured 2.7-GHz-band power spectrum density of the GaN singleended PA driven with tri-band signals without DPD and when linearized with the 3D-CR-GMP and 3D-DPD linearizers.

VI. DPD S ENSITIVITY TO T IME -D ELAY M ISALIGNMENT Measurement validation of the sensitivity to time-delay misalignment of the 2D-DPD and the 2D-CR-GMP models was conducted. The single-ended and Doherty PAs were driven with dual-band signals with 4.6- and 10-ns time-delay misalignments, respectively. These time-delay misalignments are equivalent to half a sample for the single-ended PA and one sample for the Doherty PA. The 2D-CR-GMP and 2D-DPD

were used to linearize the two PAs, and the performances are summarized in Tables VI and VII and Figs. 13–16. Tables VI and VII show the EVM results after linearization for the 2D-CR-GMP and 2D-DPD models with odd only and even–odd orders. When comparing these tables with those presented in Section V, it is clear that the 2D-CR-GMP maintained EVM results in the presence of time-delay misalignment; whereas the 2D-DPD EVMs degraded by more than 2 dB for the higher bands of both DUTs. Similarly, the 2D-CR-GMP achieved the same out-of-band reduction; this is also evident from the ACPR reduction shown in Figs. 13–16. However, the 2D-DPD sometimes violates the −45 dBc mask. Tables VIII and IX summarize the ACPR, given in (25), of Figs. 13–16, where Pch is the average power in the channel (the in-band power) and Padj is the maximum leakage power of the adjacent channel,   (25) ACPR = 10log10 Padj − 10log10 (Pch ) .

MKADEM et al.: MULTI-BAND CR-GMP PA DPD

1773

TABLE VIII M EASURED A DJACENT P OWER R ATIO FOR THE GaN S INGLE -E NDED PA, W ITH 4.6-ns T IME -D ELAY M ISALIGNMENT

TABLE IX M EASURED A DJACENT P OWER R ATIO FOR THE D OHERTY GaN PA, W ITH 10-ns T IME -D ELAY M ISALIGNMENT Fig. 14. Measured 2.80-GHz-band power spectrum density of the GaN singleended PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

cross terms exist, the multi-band DPD performances degrade in the presence of time-delay misalignment. This is the case for the proposed 2D-CR-GMP, 3D-CR-GMP, and multi-band CR-GMP DPDs. However, due to the construction process of the 2D-DPD and 3D-DPD, no cross terms were allowed; therefore, the performances of these models degrade when time-delay misalignment occurs. It is important to note that the 2D-CR-GMP and the other proposed multi-band models are robust against the time-delay misalignment compared to the 2D-DPD model Fig. 15. Measured 750-MHz-band power spectrum density of the GaN Doherty PA driven with a dual-band 20-MHz WCDMA signal without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

Fig. 16. Measured 900-MHz-band power spectrum density of the GaN Doherty PA driven with a dual-band 20-MHz WCDMA signal, without DPD and when linearized with the 2D-CR-GMP and 2D-DPD linearizers.

It is worth mentioning here that the time-delay misalignment was selected to be very small; however, there is no guarantee that the misalignment is small in real applications. Thus, more severe degradation can be observed in the case of the 2D-DPD. From the discussion above, it is easy to generalize the DPD sensitivity to time misalignment for higher bands. In other words, if the multi-band DPD has proper cross terms in its formulation, the linearization is robust against time-delay misalignment. Conversely, if no cross-terms or inadequate

VII. C ONCLUSION This paper has presented a closed-form deterministic approach to identifying CR-GMP models for the linearization of multi-band nonlinear PAs. First, block diagrams that describe the PA behavior under multi-band signals have been proposed. Second, derivations of dual- and tri-band models (2D-CR-GMP and 3D-CR-GMP) have been detailed. A general form behavioral model/DPD for PAs driven with multi-band signals was then proposed. Extensive validation of the 2D-CR-GMP and 3D-CR-GMP models has shown good linearization capabilities for two different PAs driven with wideband and multi-band signals. The proposed models were then compared to contemporary 2D-DPD and 3D-DPD models and it was shown that the 2D-CR-GMP is robust to time-delay misalignment between the different input and output signals. The proposed models are inherently low complexity and allow for high nonlinearity and large memory span, while keeping a manageable number of coefficients. ACKNOWLEDGMENT The authors would like also to acknowledge the support of Agilent Technologies for their donation of the Advanced Design System (ADS) software, and Freescale Semiconductor, for their donation of PAs. R EFERENCES [1] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001.

1774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

[2] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [3] F. Mkadem, M. C. Fares, S. Boumaiza, and J. Wood, “Complexityreduced Volterra series model for power amplifier digital predistortion,” Analog Integr. Circuits Signal Process., vol. 79, no. 2, pp. 331–343, May 2014. [4] S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “2-D digital predistortion (2-D-DPD) architecture for concurrent dual-band transmitters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2547–2553, Oct. 2011. [5] C. Quindroit, N. Naraharisetti, P. Roblin, S. Gheitanchi, V. Mauer, and M. Fitton, “2D forward twin nonlinear two-box model for concurrent dual-band digital predistortion,” in Proc. IEEE Radio Wireless Symp., Jan. 2014, pp. 1–3. [6] H. Xiang, C. Yu, J. Gao, S. Li, Y. Wu, M. Su, and Y. Liu, “Dynamic deviation reduction-based concurrent dual-band digital predistortion,” Int. J. RF Microw. Comput.-Aided Eng., vol. 24, pp. 401–411, Aug. 2013. [7] B. Fehri and S. Boumaiza, “Baseband equivalent Volterra series for digital predistortion of dual-band power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 700–714, Mar. 2014. [8] C. Quindroit, N. Naraharisetti, P. Roblin, S. Gheitanchi, V. Mauer, and M. Fitton, “2D forward twin nonlinear two-box model for concurrent dual-band digital predistortion,” in Proc. IEEE Radio Wireless Symp., Jan. 2014, pp. 1–3. [9] F. Mkadem and S. Boumaiza, “Extended hammerstein behavioral model usig artificial neural networks,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 4, pp. 745–751, Apr. 2009. [10] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [11] M. Younes, A. Kwan, M. Rawat, and F. M. Ghannouchi, “ThreeDimensional digital predistorter for concurrent tri-band power amplifier linearization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–4. [12] C. Yu and A. Zhu, “Single feedback loop-based digital predistortion for linearizing concurrent multi-band transmitters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [13] M. Younes, A. Kwan, M. Rawat, and F. M. Ghannouchi, “Linearization of concurrent tri-band transmitters using 3-D phase-aligned pruned Volterra model,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4569–4578, Dec. 2013. [14] T. R. Cunha, J. C. Pedro, and E. G. Lima, “Low-pass equivalent feedback topology for power amplifier modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1445–1448. [15] C. Maziere, A. Soury, E. Ngoya, and J. M. Nebus, “A system level model of solid state amplifiers with memory based on a nonlinear feedback loop principle,” in Eur. Microw. Conf., Oct. 2005, vol. 1, pp. 4–6. [16] J. Staudinger, J. C. Nanan, and J. Wood, “Memory fading Volterra series model for high power infrastructure amplifiers,” in IEEE Radio Wireless Symp., Jan. 2010, pp. 184–187. [17] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [18] Universal Mobile Telecommunications System (UMTS); Base Station (BS) Radio Transmission and Reception (FDD) (3GPP TS 25.104 Ver. 6.7.0 Rel. 6), ETSI TS 125 104 v6.7.0ETSI, Geneva, Switzerland, 2004.

Farouk Mkadem received the Engineering Diploma degree from the École Polytechnique de Tunisie, Tunis, Tunisia, in 2008, and the M.A.Sc. and Ph.D. degrees from the University of Waterloo, Waterloo, ON, Canada, in 2010 and 2014, respectively. His research interests include behavioral modeling and linearization of wideband front ends and digital predistortion of RF power amplifiers.

Anik Islam received the B.A.Sc. degree from the University of Waterloo, Waterloo, ON, Canada, in 2013. and is currently working towards his M.A.Sc. degree at the University of Waterloo. In 2014, he joined the Emerging Radio Systems Group, University of Waterloo, as a Research Associate. His research is focused on the construction and identification of nonlinear dynamic models for the predistortion of RF power amplifiers.

Slim Boumaiza (S’00–M’04-SM’07) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004, respectively. From May 2005 to August 2007, he was with the Electrical Engineering Department, University of Calgary, Calgary, AB, Canada, as an Assistant Professor and a Faculty Member with the iRadio Laboratory. He is currently with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada, where he leads the Emerging Radio System Research Group, which conducts multidisciplinary research activities in the general areas of design of RF/microwave and millimeter components and systems for wireless communications. He has authored or coauthored over 150 refereed journal and international conference papers. His specific current research interests include RF/digital signal processing (DSP) mixed design of intelligent RF transmitters, design, characterization, modeling and linearization of high-power RF amplifiers, reconfigurable and multiband transceivers, and adaptive DSP.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Developing Low-Cost W -Band SIW Bandpass Filters Using the Commercially Available Printed-Circuit-Board Technology Zhang-Cheng Hao, Senior Member, IEEE, Wen-qi Ding, and Wei Hong, Fellow, IEEE Abstract— In this paper, high-performance W -band substrate integrated waveguide (SIW) filters have been investigated by using the low-cost printed-circuit-board (PCB) technology. Two types of SIW bandpass filters including cascaded quadruplet (CQ) and cascaded triplet (CT) filters were studied. The coupling matrix theory has been used to synthesize initial geometries, and the aggressive space mapping (ASM) algorithm was adopted as fast and low computation cost optimization method for realizations of investigated filters. A fin-line SIW-WR10 waveguide transition was developed for experiments. As demonstrations, two W -band prototypes having a 2.5% fractional bandwidth centered at 80 GHz were fabricated on a 0.508-mm-thick RO5880 substrate and measured by a vector network analyzer. Good agreement between simulated and measured results is obtained. This work demonstrates that high-performance W -band planar filters can be realized by utilizing the low-cost commercial PCB technology, and some design rules are recommended. Index Terms— Aggressive space mapping, coupling matrix, fin line, substrate integrated waveguide (SIW) filter, transmission zero, W -band.

I. I NTRODUCTION

W

ITH the increasing tension of wireless communication frequency resources, the W -band (75–110 GHz) has attracted wide attention due to its wide bandwidth, low atmospheric attenuation level, and limited signal perturbation. Its typical application includes the collision avoidance radar for cars at 77 GHz, point-to-point wireless local-area networks, and passive millimeter-wave imaging technology at 94 GHz [1]–[4]. Hence, W -band is being considered as most likely to replace the K -band and K u-band in the high-speed wireless space communication systems. While requirements on the development of the W -band circuits are increasing, studies on low-cost planar W -band filters, although being the key module in RF systems, have rarely been reported. So far, a few techniques have been reported to develop the high-performance W -band filters in recent years [5]–[14]. The micro-machined waveguide has a high quality factor, high power handling capability, and low insertion loss. It is a promising technique for developing low-loss Manuscript received August 28, 2015; revised January 27, 2016, and March 27, 2016; accepted April 3, 2016. This work was supported in part by the National Natural Science Foundation of China under Grant 61471118 and the Specialized Research Fund for the Doctoral Program of Higher Education of China under Grant 20120092110012. The authors are with the State Key Laboratory of Millimeter-Waves, School of Information Science and Engineering Southeast University, China (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2553029

filters in the W -band [5]–[7]. However, this type of filter has inevitable drawbacks of relatively low integration capability and a high cost. The coplanar waveguide (CPW) can be used to design a W -band filter with a planar form [8]. However, CPW filters generally suffer from dielectric loss, serious dispersion, radiation loss from discontinuities, and unwanted parasitical modes. It has a low quality factor, a high insertion loss and a poor selectivity in W -band. Some W - and D-band filters were proposed in [9]–[14] with good results based on thickfilm and low-temperature cofired ceramic (LTCC) techniques, respectively. However, in spite of the good performance they achieved, the massive production for commercial applications of those techniques is significantly limited by their huge processing costs and low integration capability. For the ease of integration and low-cost in microwave and millimeter-wave systems, the substrate integrated waveguide (SIW) technique has attracted much interest [9], [14]–[17], [23], [24], [26], [27]. SIW is realized by two lines of closely spaced metallic via-holes or walls. It has a low cost and a relatively high quality factor and is easy to integrate with other circuits developed on the same substrate [17]. These advantages make it a good candidate for developing modern millimeter-wave components and systems. Thus the printedcircuit-board (PCB)-based SIW technique appears to be more suitable for high-volume application than the aforementioned techniques in high millimeter-wave frequency, for example, the W -band or D-band. A preliminary research for low-cost E-band receiver is reported in [17] by using the SIW technique, and key components including the E-band array antenna and filter are fabricated by using the special laboratory-developed highperformance PCB process. Although good performance such as a low insertion loss of 1.0 dB is reported for the E-band filter, no detailed design procedure and measurement S-parameter response is shown for the filter. More importantly, the long lateral rectangular metallic walls that are adopted in [17] for the implementation of side walls of SIW and coupling irises are not compatible with commercial PCB process. Hence, more investigations are desired for developing W -band SIW filters for massive upcoming E-band or W -band commercial wireless systems. Unfortunately, despite the fact that SIW filters have been studied extensively [9], [14]–[16], [26], based on the massive-production available commercial PCB process, the literature concerning high-frequency millimeter-wave SIW filters, especially at W -band frequencies, is scarce, to the best of the authors’ knowledge.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

On the other hand, because commercial PCB-based SIW filters use a large amount of metallic vias which require highdensity meshing associated with a large number of unknowns, long computation time has to be used for the full-wave simulations. That makes the full-wave optimization is unacceptable for the design of SIW filters. Hence, novel high-efficiency and high-accuracy design technique needs to be developed for SIW filters’ design. To this end, the space mapping (SM) or the aggressive space mapping (ASM) algorithm in [18]–[22] that combines the speed and maturity of circuit simulators with the accuracy of EM solvers has been adopted in this paper. High-performance W -band SIW filters implemented by using low-cost commercially available PCB technology are reported in this paper. To relax fabrication errors from the commercial PCB process, complicated structures are avoided in the design, and two types of W -band PCB-based SIW filters are developed by deploying simple filtering topologies, i.e., the cascaded quadruplet (CQ) and cascaded triplet (CT) topologies that can provide high selectivity with transmission zeros. A hybrid design method that combines the coupling matrix synthesizing theory and ASM is proposed in the design. In order to measure fabricated prototypes with standard WR10 waveguide, a fin-line SIW-WR10 waveguide transition is developed in Section II. In Section III, a four-pole SIW CQ-filter utilizing negative coupling structure is synthesized, designed and measured with two transmission zeros. And the design procedure that involves the coupling matrix synthesizing and ASM optimization is explained in detail. As more investigations, Section IV provides the synthesis, realization, and experiments of a SIW CT-filter. Finally, Section V concludes this study.

Fig. 1. Configurations of the W -band fin-line SIW-waveguide transition: (a) 3-D structure and (b) planar layout.

II. F IN -L INE SIW-WR10 WAVEGUIDE T RANSITION Generally, the vector network analyzer (VNA) uses a WR10 rectangular waveguide as the interface for W -band measurement. Hence, a SIW-rectangular wave transition has to be designed for the test. In our work, a fin-line structure shown in Fig. 1 has been deployed for the W -band SIW-waveguide transition due to its compact structure, low loss, and ease of integration [23]–[25]. As shown in Fig. 1(b), the two antipodal fins transform the TE10 mode electrical fields into the quasiTEM mode electrical fields through a tapered aperture at first, and then transforms the quasi-TEM mode electrical fields into the quasi-TE10 mode electrical fields through a tapered microstrip line structure. As shown in Fig. 1(b), The proposed fin-line SIWwaveguide transition contains two parts, i.e., the fin-line and the narrow–wide SIW transition. To simplify the fin-line structure and tuning process, the fin-line is comprised by five fold lines for implementing the impedance matching of the proposed transition [24]. By properly adjusting positions of each node and the SIW narrow–wide transition, the fin-line SIW-waveguide transition can be designed to support a wide-frequency-band transmission, and its geometries are: W t0 = 1.27 mm, W t1 = 1.108 mm, W t2 = 1.03 mm, W t3 = 0.663 mm, W t4 = 0.59 mm, Lt0 = 4.495 mm, Lt4 = 0.405 mm, Lt1 = Lt2 = Lt3 = 1.236 mm, Lt5 = 2.4 mm.

Fig. 2. (a) Photographs for the fabricated fin-line SIW-waveguide transition and the test fixture. (b) Measured and simulated responses of the fabricated fin-line SIW-waveguide transition.

The designed W -band fin-line SIW-waveguide transition is fabricated by using a low-cost commercial PCB technology. The metalized via has a diameter of 0.3 mm and the pitch between vias is 0.5 mm. Fig. 2(a) is a photograph of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAO et al.: DEVELOPING LOW-COST W -BAND SIW BANDPASS FILTERS USING THE COMMERCIALLY AVAILABLE PCB TECHNOLOGY

Fig. 4.

3

Negative coupling structure.

shown in Fig. 3(a), which provide positive coupling. Moreover, resonators 1 and 4 that resonant with TE10 -like modes were directly excited by inductive irises. The initial size of the TE10 -like mode-based SIW cavity should be determined before the design, and it can be evaluated according to the following formula [27] when setting the resonating frequency as the center frequency of 80 GHz:  1 c0 1 + 2 (1) f0 = √ 2 2 εr aeff leff where d2 aeff = a − 0.95 p d2 . (2) leff = l − 0.95 p

Fig. 3. (a) Configurations of the W -band SIW CQ-filter. (b) Coupling topologies of the proposed W -band SIW CQ-filter.

fabricated transition. Simultaneously, a compact test fixture with flange joints is fabricated to house the transition in measurement. Fig. 2(b) depicts simulated and measured results in 75–85 GHz. In the simulation, the equivalent conductivity of the copper that used in the commercial PCB technology is set as 0.6 × 107 S/m. It can be seen that the measured reflection coefficient is below −10 dB, while the measured insertion loss is 0.94–1.4 dB. Good agreement between simulated and measured results is obtained, which indicates the designed transition can be integrated with W -band SIW filters designed below for measurements. III. W -BAND SIW CQ-F ILTER A. Filter Structure and Its Initial Size A W -band SIW CQ-filter is designed with a center frequency of 80 GHz and a bandwidth of 2 GHz. The in-band return loss is larger than 20 dB, and two transmission zeros are set at 77 and 83 GHz to improve the selectivity of the filter, respectively. Fig. 3 shows the geometric configuration and coupling topology of the proposed filter. Two symmetry ring slots, as shown in Fig. 4, were placed between resonators 1 and 4 to invert the phase of the signal and produce negative couplings [15]. All of the other coupling between two SIW cavity resonators are obtained by magnetic post-wall irises

a and l are the width and length of the TE10 -like modebased SIW cavity, respectively, d and p are the diameter of metallized via and pitch between adjacent via-holes, c0 is the light velocity in vacuum, and εr is the relative dielectric constant of the substrate. The classical coupling matrix theory was used to synthesize the coupling matrix for the desired specification [29], [30]. The corresponding normalized coupling matrix for the proposed CQ filter is ⎡ ⎤ 0 0.028 0 −0.0034 ⎢ 0.028 ⎥ 0 0.023 0 ⎥. M =⎢ (3) ⎣ 0 0.023 0 0.028 ⎦ −0.0034 0 0.028 0 And the external quality factor Qe is 28.93. According to (1) and (2), the geometries of the SIW cavity are chose as: a = 2.5 mm, d = 0.3 mm, p = 0.5 mm, εr = 2.2, and l = 1.675 mm. Then coupling coefficients in (3) can be extracted based on the designed SIW cavity, and the coupling coefficient k can then be calculated by the following relation [29]: k=

f 12 − f 22 f 12 + f 22

(4)

where f 1 and f 2 can be obtained by extracting the eigen mode of two resonators in HFSS. They stand for high and low resonant frequency, respectively. Fig. 5(a) and (b) represent the positive coupling between cavity resonators, i.e., SIW cavities 1, 2 and 2, 3, respectively. By adjusting the width, i.e., W c, of the via irises, coupling coefficients against the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I PARAMETER VARIATIONS OF THE I TERATION FOR THE W -B AND SIW CQ-F ILTER

Fig. 6. Initial full-wave response and the desired specification of the W -band SIW CQ-filter (where the W -band equivalent conductivity of the copper used in the PCB process are included).

were obtained by adjusting the inner radius r0 , as illustrated in Fig. 5(c). The external quality factor can be obtained by full-wave analyzing of a SIW transmission line fed SIW cavity with via-irises. By changing the width W0 in Fig. 5(d), the external quality factor Qe is calculated by [29] 2π f0 τd ( f0 ) (5) 4 where τd ( f 0 ) represents the group delay value at center frequency f 0 = 80 GHz. The extracted Qe and its corresponding fitted curve are presented in Fig. 5(d). Once all the relationships among the coupling coefficients and the geometries presented in Fig. 3 are determined, the initial geometries, presented in the Table I, of the CQ filter were then extracted by using fitted curves in Fig. 5 for desired coupling coefficients in (3). The full-wave simulated results for the CQ filter with obtained initial geometries are presented in Fig. 6, where design specifications are presented as well for comparison. Apparently, because inter-couplings from other cavities were not included in the coupling extraction process, big discrepancies between simulated results and specifications can be observed in Fig. 6, including a frequency shift of around 1.0 GHz and a bad return loss. Nevertheless, this initial design is good enough for the optimizing design of the W -band SIW CQ filter. It should be mentioned that, in order to model accurately the coupling coefficient and the loss for W -band operation, Qe =

Fig. 5. (a) Positive coupling coefficient of the lateral coupling structure. (b) Positive coupling coefficient of the broadside coupling structure. (c) Negative coupling coefficient of the coupling structure (r1 = 0.5 mm). (d) External quality factor of the input/output structure.

W c were obtained, and the desired coupling coefficient can be obtained through a curve-fitting program developed in MATLAB. Similarly, negative couplings among SIW cavities

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAO et al.: DEVELOPING LOW-COST W -BAND SIW BANDPASS FILTERS USING THE COMMERCIALLY AVAILABLE PCB TECHNOLOGY

a practical copper model used in the commercial PCB process is adopted as metallic material in the simulation instead of the perfect conductor. Traditionally, the copper in the commercial PCB process has a conductivity of 58 000 000 S/m. However, as the frequency increases, its conductivity decreases rapidly [28]. Moreover, being associated with the wavelength of the operating frequency, the surface roughness of the commercial PCB process at the W -band is much larger than that at microwave frequency. Hence, an equivalent conductivity should be used in the simulation for W -band application for accurate predictions. According to our experiences from studying the losses of SIW with the fin-line transition shown in Figs. 1 and 2, an equivalent conductivity of 6 000 000 S/m is adopted in full-wave simulations. B. Optimization Design As shown in Fig. 3(a), the proposed W -band SIW CQ-filter has six variables to be optimized for achieving the desired specification. Because the SIW filter is comprised by various metallic vias and half circular slots, very high density meshing is required by full-wave simulator HFSS for such high operation frequency up to 80 GHz. This leads to a long computationtime for each full-wave simulation. For example, by using a high performance workstation, the Lenovo Thinkstation P900 which has 40 cores and 128 GB high speed memory, each full-wave simulation takes around 8 minutes. Hence, it is impossible to accomplish the design fully depends on the fullwave simulation, and smarter optimization strategy needs to be adopted in the design. We use a well-known optimization method, i.e., the aggressive space mapping (ASM), in our design for achieving desired specifications with high accuracy and high efficiency. To this end, two models named as the coarse- and finemodel need to be established at first. The fine-model is built in the full-wave simulator HFSS, as shown in Fig. 3(a). As mentioned above, six geometrical variables represented as X f = [L 1 , L 2 , W0 , We , W2 , r0 ] in the fine-model needs to be optimized for archiving desired specifications. Accordingly, same number of variables should be used in the coarse-model as well for the implementation of the ASM. We used resonating frequencies of SIW cavities, external quality factors and the coupling matrixes as optimization variables in the coarsemodel, and it is denoted as Xc = [ f 1 , f2 , Q e , M12 , M23 , M14 ]. The reason for using the coupling matrix theory based circuit as the coarse-model is that the coupling matrix theory is independent to the physical structures of the designed filter. It is well known that the modelling accuracy of the coarse-model effects seriously the convergence of the ASM, and to improve the design efficiency, the commercial circuit simulators such as ADS and AWR Microwave Office are usually adopted to establish the physical structure related coarse-model, for example, equivalent circuits for coupled microstrip lines, waveguide transmission lines or co-planar waveguide structures [18]–[21], owning to their powerful circuit simulator and optimization algorithms. However, for some special cases such as the topologies shown in Figs. 3 and 4, it is impossible to find a circuit model in the commercial circuit simulators to model the

Fig. 7.

5

Coarse-model of the SIW CQ-filter.

special physical structure. Hence, the coupling matrix based coarse-model is more generalized than other types of coarsemodel for filter design [22]. In this paper, in order to utilize the powerful circuit simulator and optimization algorithms, the coarse-model in Fig. 7 is developed in a ADS for representing the coupling matrix response, which uses phase shifters and the RLC circuits to represent losses, coupling coefficients and resonating frequencies of the SIW cavities [29]. To model the loss of the filter in the coarse-model, the resonators in Fig. 7 are modelled with resistors of R, which can be evaluated as R = Z ∗ Qu/Qe [29], where Z is the terminal impedance at the I/O ports, i.e., 50 , Qe is the external quality factor and is calculated by (5), and Qu is the unloaded quality factor of resonators, respectively. The Qu is obtained from an engine mode analysis by using the full-wave simulator HFSS for a SIW cavity with a resonating frequency of 80 GHz. With the assumed equivalent conductivity for the copper used in the commercial PCB process, the extracted Qu is 230. It should be mentioned that, since the geometries of the SIW cavity are slightly changed in the optimization, the resistance R is involved in the coarse-model optimization within a small range for good matching the loss performance of the fine-model response, when extracting the coarse model variables in ASM. To implement the ASM, we used the corresponding response of the coupling matrix in (3) as the optimal response for the coarse model. For this case, the corresponding variable vector is denoted as X c ∗ and the optimal response is denoted as Rc (X c ∗). Similarly, the geometrical variable vector and the full-wave response for the initially designed W -band (i,i=0) (i,i=0) SIW CQ-filter are represented as X f and R f (X f ), respectively. Then, the ASM optimization procedure can be summarized as follows. (i) Step 1) Extract the X c by using a parameter-extraction procedure, in which the Gradient optimization method in ADS are used and the following error function is used for the objective: (i) Mi n. R f (X f ) − Rc (X c (i) ) (6) X c (i)

where the |·| is the 2-norm, and the i means the i th iteration. Step 2) Update the X f with a parameter-mapping proce(i+1) (i) = X f + h (i) ,and h (i) is dure, in which X f computed by using a quasi-Newton Step as follows: B (i) h (i) = − f (i)

(7)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where f (i) = X c(i) − X c∗ and B (i) is the Broyden matrix. In the i th iteration, B (i) is updated by using a Broyden’s update process [18]–[22], and for the first iteration, the B (0) is determined by using (8) instead of an identity matrix in

(X ca − X c(0) ). (0) (8) B = di ag (0) (X af − X f ) (0)

where X f is the vector of initial geometries, X af is an additionally calculated vector of geometries, X c(0) and X ca are correspondingly extracted circuitvariable vectors through step 1). The X af is determined by a fine-model manual calibration process. (0) For example, if the response R f (X f ) shifts to higher frequency and has a wider bandwidth, a little bit larger lengths and smaller widths were then used for X af to adjust the corresponding fine-model response to the desired operation frequency, and vice versa. The objective of the ASM is to minimize the function of (i) (∗) R f (X f ) − Rc (X c ) . If this condition is not reached, then go back to step 1) for the next iteration. The error vectors and the parameter updating procedures are carried out through a code developed in the MATLAB software. Design specifications are achieved only through four iterations in this paper. Full-wave responses for the final design and desired specifications are plotted in Fig. 8(a), where good agreements can be observed. For each iteration, the coarsemodel only needs less than one second computation time, and the fine-model needs around 8 minutes computation time. Table I shows the variations of parameters after a few iterations for the SIW CQ-filter. Finally, the designed W -band SIW CQ-filter is integrated with the pre-designed fin-line SIW-waveguide transition mentioned in Section II for experiments, and final responses are illustrated in Fig. 8(b). Compared with the responses in Fig. 8(a), an additional insertion loss of around 0.85 dB caused by fin-line SIW-waveguide transitions can be observed. C. Experiments The SIW CQ-filter is fabricated on a 0.508-mm-thick Rogers5880 substrate. A photograph of the fabricated SIW CQ-filter is shown in Fig. 9(a). A test fixture with flange joints is designed and fabricated for measurement, and air boxes are etched to implement the open boundary for half circular slots. Fig. 9(b) depicts simulated and measured results in 75–85 GHz. The measured in-band return loss is larger than 10 dB, while the minimum insertion loss is 3.21 dB. Two transition zeroes are located at 76.9 and 81.7 GHz, respectively. The measured insertion loss is 0.31 dB worse than the simulated one. This is due to the increased dielectric loss at the W -band. In the simulation, a loss tangent of 0.0009 provided by the manufacture at 10 GHz is adopted for the W -band application. The discrepancies between simulated and measured reflection coefficients are mainly from the fabrication tolerance. The fabricated filter has been investigated under a microscope, and the enlarged photograph which shows the

Fig. 8. (a) Final full-wave responses of the W-band SIW CQ-filter. (b) Response of the W -band SIW CQ-filter integrated with fin-line SIW-waveguide transitions.

details of the fabrication is illustrated in Fig. 10(a), where a small discrepancies can be observed between the designed and fabricated filters, except the etched coupling slots. Since the coupling slots are etched by using a chemical etching technology instead of a fine laser machining technology in the commercially available PCB process, very high accurate half ring slots for W -band applications are hardly obtained by using currently commercially available PCB process. Effects from fabrication tolerances have been studied by using fullwave simulation. When all via radius, i.e., r 1 and r 2, have a ±2% variations, a small frequency shifting can be observed in Fig. 10(b) with a degenerated return loss. The frequency shifting is resulted by the varied metallic vias which change the size of SIW cavities, and the degenerated return loss is resulted by the varied coupling among SIW cavities. The effects of varied width of the coupling slots, i.e., r 0, are shown in Fig. 10(c), where the r 0 has a variation of 2%. The coupling slots are used for the cross coupling which generates transmission zeroes at stopbands. Hence, its variation results

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAO et al.: DEVELOPING LOW-COST W -BAND SIW BANDPASS FILTERS USING THE COMMERCIALLY AVAILABLE PCB TECHNOLOGY

7

Fig. 9. (a) Photograph of the W -band SIW CQ-filter; (b) Measured and simulated responses of the W -band SIW CQ-filter integrated with fin-line SIW-waveguide transitions. TABLE II R ESIMULATED AND D ESIGN G EOMETRIES OF THE W -B AND SIW CQ-F ILTER

in the locations of the transmission zeroes. Generally, the larger coupling slots lead to a strong cross coupling and a higher selectivity, as shown in Fig. 10(c). According to the measured geometries in Fig. 10(a), a set of geometries are listed and compared with the designed geometries in Table II, which have been used for resimulations. Since it is very hard to accurately model the fabricated half circular slots in the full-wave simulation, we use half rings as the coupling slots in HFSS. Resimulated and measured results are compared in Fig. 10(d) as well, where good agreements can be observed. Because the investigated filter has a very strong resonating character, the fabrication accuracy seriously affects the filtering performance at such high frequency. Nevertheless, Fig. 10(b) and Table II indicated that the low-cost commercially available PCB technology can be used for massive production of W -band SIW filters with enough high accuracy.

Fig. 10. (a) Photograph under microscope for the fabricated W -band SIW CQ-filter. (b) Tolerance analysis with varied r1 and r2 (±2% variations). (c) Tolerance analysis with varied r0 (±2% variations). (d) Resimulated and measured responses.

Through above investigation of the fabricated W -band SIW CQ filter, our experiences show that the commercial PCB process can provide a 5-μm tolerance for the radius of metallic

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. (a) Configurations of the W -band SIW CT-filter. (b) Coupling topologies of the W -band SIW CT-filter.

vias on normal thick substrate, i.e., smaller than 1.57 mm, and the distance tolerance between adjacent metallic vias is 10 μm. However, as the space between adjacent metallic vias becomes smaller than 150 μm, the distance tolerance increase significantly due to the easy breaking of substrates. Based on the above study, we recommend that a minimum via radius of 150 μm and a minimum space of 200 μm for the distance between adjacent vias can be adopted as good design rules for implementation W -band SIW components by using currently commercially available PCB process. In addition, in order to obtain a high yield, open structures such as slots are suggested to be avoided in the design. IV. W -BAND SIW CT-F ILTER

Fig. 12. (a) Coupling coefficient for the coupled CT cell and SIW cavity. (b) Initial full-wave response and the desired specification of the W -band SIW CT-filter (where the W -band equivalent conductivity of the copper used in the PCB process are included).

A. Filter Structure and Its Initial Size The second example is a W -band SIW CT-filter. Similar to the CQ filter mentioned above, this filter has a center frequency of 80 GHz, a bandwidth of 2 GHz and an in-band reflection coefficient smaller than −20 dB. A transmission zero located at 82.5 GHz is desired to improve the filter selectivity. Fig. 11 shows the configurations and coupling topologies of the CT-filter, in which the CT cell is used to produce the desired transition zero [29], [30]. Again, the coupling matrix synthesizing procedure is used to synthesize the normalized coupling matrix for the given specification. The normalized coupling matrix is presented in ⎡ ⎤ 0.006 0.0278 0 0 0 ⎢ 0.0278 0.001 0.0188 0.00705 0 ⎥ ⎢ ⎥ ⎢ 0.0188 −0.009 0.0188 0 ⎥ M =⎢ 0 ⎥ ⎣ 0 0.00705 0.0188 0.001 0.0278 ⎦ 0 0 0 0.0278 0.006 (9) and the external quality factor Qe is 29.175.

Similarly, models in Fig. 7(b) and (d) are used to extract the coupling coefficient between SIW cavities and the external quality factor. In addition, the coupling between the CT cell and the SIW cavity, i.e., 2, 3 and 3, 4, is extracted by using the model in Fig. 12(a) with (5), where a curve is fitted for obtain desired coupling coefficients. Fig. 12(b) shows the fullwave response of the W -band SIW CT filter with extracted initial geometries. Apparently, large discrepancies between full-wave simulated and desired responses can be observed. And optimizations need to be carried out for achieving desired specifications. B. Optimization Design Again, the ASM is used to optimize the investigated W -band SIW CT-filter for achieving desired specifications with extracted initial geometries. Fig. 13 shows the coarsemodel built in ADS, and the fine-model established in HFSS is shown in Fig. 11(a). Again, the resistor R in the coarse-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAO et al.: DEVELOPING LOW-COST W -BAND SIW BANDPASS FILTERS USING THE COMMERCIALLY AVAILABLE PCB TECHNOLOGY

Fig. 13.

9

Coarse-model of the W -band SIW CT-filter.

TABLE III PARAMETER VARIATIONS OF THE I TERATION FOR THE SIW CT-F ILTER

model is adopted to represent the loss of the filter, which is evaluated as in CQ filter design. Variable vectors of the coarseand fine-model, i.e., X c and X f , are expressed as in Xc = [ f 1 , f 2 , f 3 , Q e , M12 , M23 , M24 ] X f = [L 1 , L 2 , L 3 , W0 , W1 , We , W13 ]

(10)

and (11), respectively. At first, the optimal coarse-model and fine-model variables are obtained from (9), and the corresponding geometries in Figs. 5 and 12(a), respectively, as Xc∗ = [79.96, 79.95, 80.46, 29.175, 0.0278, 0.0188, 0.00705] X0f

= [1.45, 1.6, 1.5, 1.0, 0.75, 0.67, 0.53].

(11)

To initially set up the mapping relation among variables of the coarse- and fine-models, a manual calibration process is then adopted in the design by adjusting the SIW cavities with small perturbation [21], [22], and the calibrated fine-model variables are denoted as Xaf = [1.464, 1.616, 1.515, 1.01, 0.735, 0.677, 0.519]. (12) The parameter extracting process in step (1) in Section III-B is then used to extract X c0 and X ca by fitting the coarse-model response to the fine-model response, and they are

Fig. 14. (a) Final full-wave response of the W -band SIW CT-filter. (b) Final response of the W -band SIW CT-filter integrated with fin-line SIW-waveguide transitions.

of the W -band SIW CT-filter were obtained through four iterations. As shown in Fig. 14(a), desired specifications were achieved except for a bit of frequency shifting of the transmission zero. The lower transmission zero resulted in a higher selectivity for the designed filter. The full-wave response of the filter that integrated the fin-line SIW-waveguide transition is shown in Fig. 14(b). Although the in-band reflection coefficient becomes a little bit worse, it is acceptable for experiments.

Xc0 = [81.471,81.629,80.528, 31.155, 0.0451, 0.0148, 0.0143] Xca = [80.991,81.257,79.735, 28.996, 0.0416, 0.0152, 0.0137]. C. Experiments By using the low-cost commercial PCB technology, (13) the designed CT-filter is fabricated on a 0.508-mm-thick Once X 0f , X af , X c0 , and X ca are obtained, iterations following Rogers5880 substrate. The photograph of the fabricated filter the description in Section III-B are used to complete the and the measured results are shown in Fig. 15(a). design. The measured insertion loss is 3.89 dB at 80 GHz. Table III shows variations of parameters in the ASM opti- It includes the loss from two fin-line SIW-waveguide mization of the W -band SIW CT filter. The final geometries transitions. The in-band reflection coefficient is smaller

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE IV R ESIMULATED AND D ESIGN G EOMETRIES OF THE SIW CT-F ILTER

full simulations are carried out to extract the quality factor of the W -band SIW cavity by using an equivalent conductance. The SIW cavity of the measured W -band filter has a quality factor of 191.2, while the SIW cavity used in the design has a quality factor of 230, as discussed above. This indicates that a lower equivalent conductivity may be used in the design for obtaining higher accurate predictions. Fabrication tolerances have been investigated by using fullwave simulations, as shown in Fig. 15(b). The 2% metallic via radius variation may lead to a frequency shifting of around 0.35 GHz and a degenerated return loss. The fabricated CT filter is investigated under a microscope as well, and its enlarged photograph is shown in Fig. 15(d). The measured geometries are listed in Table IV and used for resimulation. The resimulated and measured results are compared and illustrated in Fig. 15(d), where excellent agreements can be observed. From Fig. 15 and Table IV, it can be concluded that, although the investigated CT-filter adopted a weak crosscoupling that is sensitive to the fabrication tolerance, the lowcost commercially available PCB technology can offer enough accuracy for realizing W -band high-performance SIW filters. In addition, since open structures as coupling slots in the above-mentioned W -band SIW CQ filter is avoided in the CT filter design, the commercially available PCB process can provide higher fabrication accuracy for the CT filter than the CQ filter in massive production. V. C ONCLUSION

Fig. 15. (a) Measured and simulated responses of the W -band SIW CT-filter integrated with fin-line SIW-waveguide transitions. (b) Tolerance analysis with varied r1 and r2 (±2% variations). (c) Photograph under microscope for the W -band SIW CT-filter. (d) Resimulated and measured responses.

than −13 dB. Due to the increased dielectric loss at W -band, the measured insertion loss is 0.39 dB worse than that of the simulation. From the measured insertion loss of the CT filter,

The possibility of developing high-performance W -band SIW bandpass filters has been investigated in this paper through studying two types of W -band SIW filters, i.e., the CQ and CT filters. More complicated filters can be designed based on those basic filtering topologies. The ASM algorithm, which only requires a small number of full-wave simulations, is adopted in the design for improving the design efficiency. A simple fin-line structure is developed as SIW-WR10 waveguide transition for the filter measurement. Experiments have been carried out to validate the design, and good performance including high selectivity and low insertion loss was obtained. Fabrication tolerance has been analyzed, and it shows that high-performance W -band SIW filters can be realized for massive commercial applications by using a low-cost commercial PCB process. Based on this study, some design rules are recommended for acquiring high fabrication

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAO et al.: DEVELOPING LOW-COST W -BAND SIW BANDPASS FILTERS USING THE COMMERCIALLY AVAILABLE PCB TECHNOLOGY

accuracy by utilizing the currently commercially available PCB process for W -band SIW components. ACKNOWLEDGMENT The authors would like to thank B. Yang for valuable discussions and suggestions during this work. R EFERENCES [1] D. M. Kang, J. Y. Hong, J. Y. Shim, J. H. Lee, H. S. Yoon, and K. H. Lee, “A 77 GHz automotive radar MMIC chip set fabricated by a 0.15 μm MHEMT technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2111–2114. [2] C. Riva, C. Capsoni, L. Luini, M. Luccini, R. Nebuloni, and A. Martellucci, “The challenge of using the W band in satellite communication,” Int. J. Sat. Commun. Netw., pp. 187–200, May 2014. [3] L. Yujiri, “Passive millimeter wave imaging,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 98–101. [4] A. Jebril et al., “Perspectives of W-band for space communications,” in Proc. IEEE Aerospace Conf., Mar. 2007, pp. 1–12. [5] X. Shang, M. Ke, Y. Wang, and M. J. Lancaster, “Micromachined W-band waveguide and filter with two embedded H-plane bends,” IET Microw. Antennas Propag., vol. 5, no. 3, pp. 334–339, Aug. 2011. [6] X. -Y. Liao, L. Wan, Y. Yin, and Y. -C. Zhang, “W-band low-loss bandpass filter using rectangular resonant cavities,” IET Microw. Antennas Propag., vol. 8, no. 15, pp. 1440–1444, Jul. 2014. [7] S. V. Robertson, L. P. B. Katehi, and G. M. Rebeiz, “Micromachined W -band filters,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 4, pp. 598–606, Apr. 1996. [8] F. Aryanfar and K. Sarabandi, “Compact millimeter-wave filters using distributed capacitively loaded CPW resonators,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 3, pp. 1161–1165, Mar. 2006. [9] D. Stephens, P. R. Young, and I. D. Robertson, “Millimeter-wave substrate integrated waveguides and filters in photoimageable thickfilm technology,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 12, pp. 3832–3838, Dec. 2005. [10] S. Song and K. S. Seo, “A W -band air-cavity filter integrated on a thinfilm substrate,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 200–202, Apr. 2009. [11] J. M. Maeng, N. Jeon, S. Song, and K. Seo, “W-band cross-coupled filters and a duplexer on a thin-film substrate for low-cost front-end integration,” in Proc. Electron. Compon. Technol. Conf., May 2009, pp. 1594–1598. [12] S. Song, C. S. Yoo, and K. S. Seo, “W -band bandpass filter using micromachined air-cavity resonator with current probes,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 4, pp. 205–207, Apr. 2010. [13] Z. G. Wang and L. Hu, “A W-band bandpass filter using novel processing method based on LTCC technology,” in Proc. IEEE Int. Conf. Commun. Prob.-Solving, Dec. 5–7, 2014, pp. 684–686. [14] S. -W. Wong, K. Wang, Z. -N. Chen, and Q. -X. Chu, “Electric coupling structure of Substrate Integrated Waveguide (SIW) for the application of 140-GHz bandpass filter on LTCC,” IEEE Trans. Compon. Pack. Manuf. Technol., vol. 4, no. 2, pp. 316–322, Feb. 2014. [15] X. -P. Chen and K. Wu, “Substrate integrated waveguide cross-coupled filter with negative coupling structure,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 142–149, Jan. 2008. [16] T. Wu, W. Hong, and J. Chen, “A high-rejection substrate integrated waveguide filter for Q-LINKPAN applications,” in IEEE MTTS int. Microw. Millimeter-Wave Wireless Techn. Applicat. Workshop, Sep. 18–20, 2012, pp. 1–4. [17] N. Ghassemi, J. Gauthier, and K. Wu, “Low-cost E-band receiver frontend development for gigabyte point-to-point wireless communications,” in Proc. Eur. Microw. Conf., Oct. 2013, pp. 1011–1014. [18] J. W. Bandler et al., “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Techn., vol. MTT-52, no. 1, pp. 337–361, Jan. 2004. [19] J. W. Bandler, R. Biernacki, S. -H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 2874–2882, Dec. 1995. [20] S. Ye and R. R. Mansour, “An innovative CAD technique for microstrip filter design,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 5, pp. 780–786, Dec. 1997.

11

[21] F. Feng, C. Zhang, V.-M.-R. Gongal-Reddy, Q.-J. Zhang, and J. Ma, “Parallel space-mapping approach to EM optimization,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1135–1148, May 2014. [22] Y. L. Zhang, T. Su, Z. P. Li, and C. H. Liang, “A hybrid computeraided tuning method for microwave filters,” Progress Electromagn. Res., vol. 139, pp. 559–575, Mar. 2013. [23] C. -L. Zhong, J. Xu, Z. -Y. Zhi, and C. X. Jin, “Broadband transition between half mode substrate integrated waveguide and rectangular waveguide,” Electron. Lett., vol. 45, no. 3, pp. 168–170, Jan. 2009. [24] X. -Y. Peng, J. -X. Chen, H. -J. Tang, D. -B. Hou, P. -P. Yan, and W. Hong, “Broadband and low-loss rectangular waveguide to substrate integrated waveguide transition with fin line,” in IEEE MTT-S Int. Microw. Symp. Dig., May 17–22, 2015, pp. 1–3. [25] J. D. Mingo, A. Moliner, and A. Comeron, “Waveguide-to-coupled finline transition in Ka band,” IEEE Microw. Guided Wave Lett., vol. 6, no. 10, pp. 363–365, Oct. 1996. [26] X. -C. Zhu, W. Hong, K. Wu, H. -J. Tang, Z.-C. Hao, J. -X. Chen, and P. Chu, “Design and implementation of a triple-mode planar filter,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 5, pp. 243–245, May 2013. [27] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 2, pp. 333–335, Feb. 2002. [28] X.-C. Zhu, W. Hong, K. Wu, K. Gong, Z.-C. Hao, H.-J. Tang, and J.X. Chen, “Extraction of dielectric and rough conductor loss of printed circuit board using differential method at microwave frequencies,” IEEE Trans. Microw. Theory Techn., vol. MTT-63, no. 2, pp. 494–503, 2015. [29] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [30] R. J. Cameron, “Advanced coupling matrix synthesis techniques formicrowave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jan. 2003.

Zhang-Cheng Hao (M’08–SM’15) received the B.S. degree in microwave engineering from XiDian University, Xi’an, China, in 1997, and the M.S. degree and Ph.D. degree in radio engineering from Southeast University, Nanjing, China, in 2002 and 2006, respectively. In 2006, he was a Postdoctoral Researcher with the Laboratory of Electronics and Systems for Telecommunications, École Nationale Supérieure des Télécommunications de Bretagne, Bretagne, France, where he was involved with developing millimeterwave antennas. In 2007, he joined the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University, Edinburgh, U.K., as a Research Associate, where he was involved with developing multilayer integrated circuits and ultra-wideband components. In 2011, he joined the School of Information Science and Engineering, Southeast University, Nanjing, China, as a Professor. He holds 20 granted patents and has authored or coauthored over 100 referred journal and conference papers. His current research interests involve microwave and millimeter-wave systems, submillimeter-wave and terahertz components and passive circuits, including filters, antenna arrays, couplers and multiplexers. Dr. Hao has served as the reviewer for many technique journals, including the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECH NIQUES ,the IEEE T RANSACTIONS ON A NTENNS AND P ROPAGATION , and IEEE M ICROWAVE W IRELESS C OMPONENTS L ETTERS . He was the recipient of the Thousands of Young Talents presented by China government in 2011 and the High Level Innovative and Entrepreneurial Talent presented by Jiangsu Province, China, in 2012.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Wenqi Ding was born in Jiangxi Province, China, 1991. He received the B.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2013. He is currently working toward the M.S. degree at Southeast University, Nanjing, China. His research interests include microwave and millimeter-wave passive components, especially the filter and diplexer.

Wei Hong (M’92–SM’07–F’12) received the B.S. degree from the University of Information Engineering, Zhengzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves and serves as the Director of the laboratory since 2003. He is currently a Professor and the Dean of the School of Information Science and Engineering, Southeast University. In 1993, 1995, 1996, 1997, and 1998, he was a short-term Visiting Scholar with the University of California at Berkeley and at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter wave theory and technology, antennas, and RF technology for wireless communications. He has authored and coauthored over 300 technical publications and two books. Dr. Hong is a Fellow of CIE, the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) AdCom Member, Vice-Presidents of the Microwave Society and Antenna Society of CIE, Chairperson of the IEEE MTT-S/AP-S/EMC-S Joint Nanjing Chapter, and served as an associate editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES during 2007–2010, and as an editorial board member for IJAP, China Communications, and Chinese Science Bulletin. Three times he was the recipient of the First-Class Science and Technology Progress Prizes issued by the Ministry of Education of China and Jiangsu Province Government. In addition, he was also the recipient of the Foundations for China Distinguished Young Investigators and for “Innovation Group” issued by the National Science Foundation of China.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Model-Based Vector-Fitting Method for Circuit Model Extraction of Coupled-Resonator Diplexers Ping Zhao, Student Member, IEEE, and Ke-Li Wu, Fellow, IEEE

Abstract— In this paper, a novel rational function approximation method, namely, model-based vector fitting (MVF), is proposed for accurate extraction of the characteristic functions of a coupled-resonator diplexer with a resonant type of junction from noise-contaminated measurement data. MVF inherits all the merits of the vector-fitting (VF) method and can also stipulate the order of the numerator of the model. Thus, MVF is suitable for the high-order diplexer system identification problem against measurement noise. With the extracted characteristic functions, a three-port transversal coupling matrix of a diplexer can be synthesized. A matrix orthogonal transformation strategy is also proposed to transform the obtained transversal matrix to a target coupling matrix configuration, whose entries have one-to-one relationship with the physical tuning elements. The whole model extraction procedure is analytical and robust, and can be used in a computer-aided tuning (CAT) program for coupled-resonator diplexers. A practical tuning example of a diplexer with a common resonator is given in detail to demonstrate the effectiveness and the practical value of the proposed method. Index Terms— Computer-aided tuning (CAT), coupling matrix, microwave diplexer, rational approximation, vector fitting (VF).

I. I NTRODUCTION

C

OUPLED resonator networks are commonly utilized as frequency-selective devices in RF and microwave passive circuits such as filters, diplexers, and multiplexers, and play very important roles in modern communication systems. It is well known that the transfer and reflection characteristics of a coupled-resonator network can be described by a set of rational functions [1]. The concept has been successfully applied not only to the design of bandpass filters, but also other functional microwave networks such as power dividers [2], diplexers [3], multiple-input multiple-output (MIMO) antenna decoupling networks [4], and nonreciprocal networks [5]. There are various ways to implement a coupled-resonator network: coupled waveguide resonant cavities, coupled coaxial combline resonators, and coupled dielectric resonators of multiple modes are commonly seen in today’s communication systems.

Manuscript received October 16, 2015; revised March 31, 2016; accepted April 21, 2016. This work was supported by The Chinese University of Hong Kong under a Postgraduate Scholarship. This work was supported in part by the Development and Reform Commission of Shenzhen Municipality under Grant Shen Fa Gai (2013) 1673. The authors are with the Department of Electronic Engineering and the Shenzhen Engineering Laboratory of Wireless Locating Technology and Systems, Shenzhen Research Institute, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2558639

In mass production of such microwave devices, the physical realization is highly sensitive to the dimensional tolerance of the resonators as well as the coupling elements. Therefore, manual tuning is necessary in the production process to meet the stringent system specifications. Traditionally, the tuning is accomplished by skilled technologists through consecutive manual adjustments based on their years of accumulated experience. Tuning a coupled-resonator device with a complex coupling topology is a demanding, time-consuming, and costly process. A computer-aided tuning (CAT) tool that can identify those unsatisfying coupling values and deterministically guide the tuning process is highly appreciated in the microwave industry. With such a CAT tool, the tuning process will depend much less on human experience and the cost of the production can be greatly reduced. Up to now the majority of research efforts devoted to CAT algorithms are for bandpass filters [6]–[11]. With the measured (or electromagnetic (EM) simulation) results of the device, the circuit model that corresponds to the current tuning state is extracted, which is then compared with the designed circuit model to suggest the tuning direction and amount for the next tuning step. Obviously, the most critical and difficult part in the CAT procedure is the extraction of the circuit model from measured data. Although this task can be undertaken by optimization techniques [7], such approaches are time consuming and heavily depend on a set of good initial values. It is much more difficult to analytically extract the circuit model of a diplexer than that of a filter for three major reasons. First, the interaction between the two channel filters makes the diplexer a high-order system. The traditional rational function approximation method, like the Cauchy method, has the problem of ill conditioning when it is used to deal with high-order systems. Second, to meet the stringent requirement of Tx and Rx isolation, complex coupling topologies are commonly seen in a diplexer introducing finite-position transmission zeroes (TZs) to improve the isolation. As a result, how to obtain the circuit model, which can give the identical response with the device and has the same coupling structure, is a challenging problem. Third, the measured frequency response of the diplexer is inevitably contaminated by measurement noise, and the isolation characteristics can be completely buried by the noise floor. Although there are some discussions on diplexer circuit model extraction in the literature [12], no practical application has yet been presented.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Complete circuit model that corresponds to practical measurement or EM simulation of a general three-port coupled-resonator network.

To address the mentioned issues, a new rational function approximation method called the model-based vector fitting (MVF) is proposed in [13]. This paper is a substantial extension of [13] where several issues related to the implementation of MVF, including the selection of initial poles and the iterative pole relocation procedure, are further elaborated upon in this paper. Additionally, the details of how to synthesize the three-port transversal coupling matrix from the obtained rational functions are explained. A novel similarity transformation strategy is also proposed in this paper, which reconfigures the transversal coupling matrix to the right matrix configuration. The strategy can be generalized to be suitable for other starjunction diplexers with common resonant nodes. The basic theory on the multi-port coupled-resonator network underlying the circuit model extraction method is briefly introduced first. The MVF method is then proposed for fitting the characteristic functions of the coupled-resonator diplexer, which evolves from the original vector-fitting (VF) technique and solves the “over-fitting” and “under-fitting” problems with the VF approach. By introducing a set of pole-located monomials as the basis functions, MVF enjoys the merits of the traditional Cauchy method, by which the order of the numerator of a rational function can be stipulated in the fitting procedure and the VF method, by which the conditioning of the system equations is better and the accuracy of the fitting is improved by the iterative pole relocation procedure. How a three-port transversal coupling matrix is synthesized from the poles and residues determined in MVF is described, and then the transversal matrix is reconfigured to the right form following a sequence of similarity transformations. The measured data of several tuning states of a coupled-resonator diplexer with a common resonator are used to illustrate the complete circuit model extraction procedure. It can be seen that the extracted circuit model with the method proposed in this paper can capture very subtle adjustment made to the physical tuning elements, which validates the robustness and the practical value of the proposed method. II. A DMITTANCE M ATRIX OF A C OUPLED R ESONATOR D IPLEXER A. De-Embedding of the Phase Offset For any practical measurement or EM simulation setup of a coupled-resonator diplexer, the proper circuit model that can represent the entire system is depicted in Fig. 1. As discovered in [9], there is a constant phase loading θx that results from the high-order modes associated with I/O coupling at each port. Besides, a piece of transmission line l x is there for

Fig. 2. (a) Phases of S11 , S22 , and S33 of the raw measured data. (b) Phases of S11 , S22 , and S33 after removing the phase loading and de-embedding the transmission line at each port.

connection of the device in practical measurement setup, or for extending and clearly defining the port in EM simulation. The center block of Fig. 1 is the body of the diplexer composed of coupled resonators, which can be described by a three-port coupling matrix M. In [9], the phase loading effect and the transmission line are removed by observing the asymptotic phase response of each reflection coefficient beyond the passband. For example, Fig. 2(a) shows the phases of the measured reflection coefficients from one of the tuning states of the testing diplexer shown in Fig. 3(a) with respect to the normalized low-pass domain angular frequency variable, and Fig. 2(b) shows the phases after phase loading and transmission lines are de-embedded, where the phases of the reflection coefficients approach zero as s approaches infinity. Correct removal of the phase offset is crucial for identifying the true poles and zeroes of the Y -parameters. B. Multi-Port Coupling Matrix After the phase offset at each port is de-embedded, the S-parameters are then converted to Y -parameters numerically with respect to unitary reference admittance at all ports. The Y -parameters are then consistent with the short-circuit admittance characteristics of a coupled-resonator circuit model with J -inverters as the leading elements at all port. A multi-port coupled-resonator network can be described by a coupling

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO AND WU: MVF METHOD FOR CIRCUIT MODEL EXTRACTION OF COUPLED-RESONATOR DIPLEXERS

3

property with very small numerical errors, provided that the phase offset at each port has been correctly de-embedded. Thus, a transversal coupling matrix can still be synthesized, which will be discussed in Section IV. III. MVF F ORMULATION

Fig. 3. (a) Photograph of the testing diplexer. (b) Routing diagram of the testing diplexer. Circles marked P1–P3 represent the three ports and the other circles represent resonators. Solid straight lines are either mutual couplings between resonators or I/O couplings.

matrix in the form of block matrices as   M p M pn M= MTpn Mn

(1)

where M p is a p-by- p sub-matrix consisting of direct couplings between the p ports, Mn is an n-by-n sub-matrix containing all the mutual couplings between the n resonators and the self-couplings of resonators, M pn is a p-by-n sub-matrix holding all the I/O couplings, and superscript T denotes the matrix transpose. The relationship between the Y -parameters and M is found to be [14] Y = j M p + M pn (sIn + j Mn )−1 MTpn n  1 M pn (:, k)M pn (:, k)T = j Mp + s + j Mn (k, k)

(2)

k=1

where M pn (:, k) denotes the kth column vector in the matrix M pn . From (2), two basic properties can be observed: 1) the elements in the Y -parameters share a set of common poles and 2) the residue matrix is symmetric and is of rank one. The second property is called the compactness of the residues. To correctly restore the coupling matrix of a diplexer, the above-mentioned two properties must be satisfied by the Y -parameter rational functions. The first property can be enforced during the rational fitting procedure, as will be demonstrated in Section III. The second condition will not be implemented since otherwise quadratic constraints are involved and nonlinear optimization techniques are needed to solve the problem. However, in applying the MVF technique to the measured data of a physical coupled-resonator network, the residues obtained by the rational function approximation procedure to be discussed next always well satisfy the second

To explain the circuit model extraction procedure, measured data of the coaxial resonator diplexer shown in Fig. 3(a) are used. The diplexer is introduced in [15], whose lower frequency band is 2.478–2.568 GHz, and upper frequency band is 2.620–2.718 GHz. The routing diagram of the diplexer is shown in Fig. 3(b), where hollow circles with numbers inside represent resonators and the solid straight lines represent couplings. The two channel filters both consist of five resonators, and resonator No. 6 constitutes a resonant type of junction. Once the measured data are obtained, the physical frequency is mapped to the low-pass frequency domain by   f0 f f0 − (3) ω= BW f0 f √ where, in this case, f 0 = 2.478 × 2.718 = 2.595 GHz, and BW = 2.718 − 2.478 = 0.24 GHz, respectively. The complex frequency variable s = j ω will be used in the rational function description of the characteristic functions. The phase offset at each port is first de-embedded from the measured S-parameters, as mentioned in Section II-A. The S-parameters are then converted to Y -parameters for identifying the poles and residues in order to obtain the transversal coupling matrix for the diplexer. A. Original VF A method of finding the rational function approximation can find applications in many engineering fields. A milestone in the history of solving the rational function approximation problem is the development of the VF technique [16], [17]. VF outperforms the Cauchy formulation in that it adopts partial fractions as the basis functions instead of the monomials, thus rectifies the ill-conditioning problem and substantially improves the robustness of the system equations. In the diplexer circuit model extraction problem, there are six rational functions to be determined, i.e., Y11 , Y12 , Y13 , Y22 , Y23 , and Y33 in the three-port reciprocal Y -matrix of a diplexer. Using the VF approach, to ensure all the rational functions share the same poles, the system equations are assembled in the form of block matrices as ⎡ ⎤ ⎤ c11 ⎡ 0 0 0 0 −Y11 A2 ⎢ ⎥ ⎡ ⎤ A1 0 y11 ⎢c12 ⎥ ⎢0 A ⎥ ⎢ ⎥ 0 0 0 0 − Y12 A2 ⎥ 1 ⎥⎢ ⎢ ⎥ ⎢ ⎥ c13 ⎥ ⎢y12 ⎥ ⎢ ⎢0 0 A1 0 0 0 − Y13 A2 ⎥ ⎢ ⎥ ⎢y13 ⎥ ⎥⎢ ⎥ ⎢ ⎢c22 ⎥ = ⎢ ⎥ ⎢0 y22 ⎥ 0 0 A1 0 0 − Y22 A2 ⎥ ⎢ ⎥ ⎢ ⎥ ⎢ ⎢ ⎥ ⎢c23 ⎥ ⎢ ⎥ ⎣y23 ⎦ ⎣0 0 0 0 A1 0 − Y23 A2 ⎦ ⎢ ⎢ ⎥ y33 ⎣c33 ⎦ 0 0 0 0 0 A1 − Y33 A2 c˜ (4)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where the i th row of A1 =

1 1 1 ··· 1 si − a1 si − a2 si − a N

 (5a)

A1 ∈ Cm×(N+1)

 1 1 1 the i th row of A2 = ··· (5b) si − a1 si − a2 si − a N A2 ∈ Cm×N Y pq = diag{Y pq (si )} ∈ Cm×m (5c) T m×1 y pq = [Y pq (s1 ) · · · Y pq (sm )] ∈ C (5d) T  pq pq pq ∈ C(N+1)×1 c pq = c1 c2 · · · c N d pq 

c˜ = c˜1 c˜2 · · · c˜ N

T

(5e) ∈C

N×1

.

(5f)

In (5a) and (5b), ak is the kth pole of the system equations. pq In (5e) and (5f), ck is the residue corresponding to ak and pq d is the constant term of the numerator rational function of Y pq . c˜k is the residue of the denominator rational function. m is the number of sampling points and N is the order of the system. For the diplexer shown in Fig. 3, N = 11 because there are a total of 11 resonators. It can be seen from (5a) and (5b) that the VF formulation uniformly adopts partial fractions as the basis functions to fit all six of the Y -parameters. After the residues c pq and c˜ are obtained by solving (4) in the sense of least squares (LSs), the new system poles are located to the zeroes of N  c˜k +1=0 (6) s − ak k=1

which is known as the iterative pole relocation process of VF. Direct computation of the roots of the high-order numerator polynomial of (6) can be inaccurate. Alternatively, they can be accurately and conveniently calculated as the eigenvalues of the matrix [16] (7) A − b · c˜ T where A is an N-by-N diagonal matrix holding the original poles ak . b is a column vector of ones and its dimension is N. c˜ is defined by (5f) and superscript T denotes the matrix transpose. The system (4) are then updated with the newly computed poles ak and are solved in an iterative manner until convergence is achieved. Convergence of the iterative pole relocation procedure is achieved when the elements of the vector c˜ become sufficiently close to zero. The procedure converges fast, normally within a few iterations, and it is not sensitive to the choice of the initial set of poles ak . For general applications the starting poles are suggested to be chosen as complex numbers with small negative real parts, and their imaginary parts covering the frequency interval of interest, to avoid the ill-conditioning problem of the system matrix [17]. In the system identification problem of the testing diplexer, the 11 initial poles are chosen as − 0.01−1j,−0.0079−0.79j, −0.0058 − 0.58j − 0.0037 − 0.37j − 0.0016 − 0.16j, −0.0005 + 0.05j − 0.0026 + 0.26j − 0.0047 + 0.47j − 0.0068 + 0.68j, −0.0089 + 0.89j, −0.011 + 1.1j

Fig. 4. (a) Measured and fitted data of Y12 with the VF approach. (b) Measured and fitted data of Y23 . Dash lines are the Y -parameter converted from the measured S-parameter with phase offset removed. Solid lines represent the rational approximation result given by VF.

where the imaginary parts are linearly distributed in [−1, 1.1] and the real parts are all negative and the values are 1% of those of the imaginary parts. The comparison between the measured and the fitted Y12 and Y23 are shown in Fig. 4(a) and (b) in a logarithmic scale. Two issues are identified in the fitting results. The first one is that the trans-admittances Y12 is under-fitted outside of the passband, where those data with small magnitude are not well fitted. Another issue is the over-fitting problem in Y23 fitting, where the model is trying to fit the measurement noise, whereas the true response is obviously buried by measurement noise beyond the passband. B. MVF Formulation In order to deal with the “under-fitting” and “over-fitting” problems in the original VF formulation for diplexer system identification, the MVF formulation is proposed, which introduces the pole-located monomials as the basis functions and includes proper weighting factors to improve the accuracy of the fitting result. As the system is solved in the sense of LS, which aims at minimizing the sum of the squares of absolute errors, those data with large absolute values will dominate in the procedure, leading to absolutely small, but relatively large, fitting errors for those small data, which also contain important information

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO AND WU: MVF METHOD FOR CIRCUIT MODEL EXTRACTION OF COUPLED-RESONATOR DIPLEXERS

of the current state of the coupled resonators. In order to stress the significance of those small-valued data, the weighting factors, chosen as 1 (8) W pq =  |Y pq | are multiplied to the data of Y12 and Y13 , which magnify the LS error of small data and minify the error of large data, thus the relative accuracy can be balanced. However, at the same time, when the LS error of small data is stressed, the measurement noise is also magnified, which deteriorates the “over-fitting” problem. It is well known that, for a coupled-resonator filter, both the order of the numerator of the transfer function S12 and that of the trans-admittance Y12 are equal to the number of TZs, which can be told from the routing diagram of the resonators. It is the same with a coupled-resonator diplexer network. For example, in the testing diplexer, there is a tri-section in each channel filter that introduces one TZ, and the five resonators from the other channel filter contribute five additional complex TZs on the left half s-plane. Thus, both orders of the numerators of Y12 and Y13 are 6. The order of the numerator of Y23 is 2 as a result of the two cascaded tri-sections. Taking the parasitic couplings into consideration, a more practical estimation is that the orders of the numerators of Y12 , Y13 , and Y23 are 7, 7, and 4, respectively. The original VF formulation (4) uniformly adopts the partial fractions as the basis functions for all six of the Y -parameters in the Y -matrix. The model of linear combination of partial fractions gives the numerator an excessive degree of freedom than that actually needed, which makes the model over attempting to fit the measurement noise in the fitting of the trans-admittances. Thus, the VF approach leads to the “over-fitting” problem. In other words, the order of the numerator cannot be fixed to be a number less than N − 1 in the following equation:  N   cki j ij si −ak + d p(si ) k=1 =  , i = 1, 2, . . . , m Y pq (si )   ij N σ (si )  c˜k si −ak + 1 k=1

(9) where m denotes the total number of sampled data. To rectify this problem, for the self-admittance functions Y11 , Y22 , and Y33 , whose numerators and denominators are of the same order (if the diagonal elements of j M p in (2) are allowed to be non-zero), partial fractions are adopted as the basis functions. For the trans-admittance functions Y12 , Y13 , and Y23 , a new set of basis functions called pole-located monomials defined by 1 N  k=1

(s − ak )

,

s N  k=1

(s − ak )

,...

sNz N 

(10)

(s − ak )

k=1

are adopted, where N z is the stipulated order of the numerator. Combining the weighting factors in (8) and replacing the partial fraction basis functions for trans-admittance by the

5

new pole-located monomial basis functions in (10), (4) can be reformulated as ⎡ ⎤ ⎡ ⎤ c ⎤ ⎡ y11 0 0 0 0 0 −Y11 A2 ⎢ 11⎥ A1 ⎢c12⎥ ⎢ ⎥ ⎢ 0 W12 A3 0 0 0 0 −W12 Y12 A2⎥ ⎥⎢c13⎥ ⎢W12 y12⎥ ⎢ ⎢ ⎥ ⎢ ⎥ ⎢0 0 W A 0 0 0 −W Y A W y 13 3 13 13 2⎥⎢ ⎥ ⎢ 13 13⎥ ⎥ ⎢ ⎥⎢c22⎥=⎢ ⎥ ⎢0 0 0 A 0 0 −Y 1 22 A2 ⎥⎢ ⎥ ⎢ y22 ⎥ ⎢ c23⎥ ⎣ ⎣0 0 0 0 A4 0 −Y23 A2 ⎦⎢ y 23 ⎦ ⎣c33⎦ 0 0 0 0 0 A1 −Y33 A2 y33 c˜ (11) where 1 W12 = diag{ √ } ∈ Rm×m |Y12 (si )| 1 W13 = diag{ √ } ∈ Rm×m |Y13 (si )| the i th row of A3 ⎡ ⎢ ⎢ =⎢ N ⎣

(12a) (12b)

⎤ si

1 (si − ak )

k=1 m×8

N 

···

(si − ak )

k=1

si7 N 

(si − ak )

⎥ ⎥ ⎥ ⎦

k=1

A3 ∈ C

(12c)

the i th row of A4 ⎡ ⎢ ⎢ =⎢ N ⎣

si

1 (si − ak )

k=1 m×5

A4 ∈ C

⎤ N  k=1

.

(si − ak )

···

si4 N 

(si − ak )

⎥ ⎥ ⎥ ⎦

k=1

(12d)

Different from the variables in (4), with the pole-located monomials as the basis functions for Y12 , Y13 , and Y23 , the variables c12 ∈ C8×1 , c13 ∈ C8×1 , and c23 ∈ C5×1 in (11) are not the residues. In stead they are the coefficients of the basis functions defined by (10). With the new basis functions, the iterative pole relocation procedure of the original VF formulation are retained to guarantee the accuracy of the poles and residues obtained. The initial poles are chosen as the same with those in previous VF formulation and convergence is also achieved within a few iterations. For comparison purposes, the fitting of Y12 and Y23 using the MVF approach are shown in Fig. 5(a) and (b), respectively. The improvement is obvious as compared with Fig. 4(a) and (b) in that those small data in Y12 and Y13 are better fitted in the presence of measurement noise and Y23 is accurately recovered under the noise floor. The MVF adopts mixed basis functions to fit the elements in the Y -matrix together. In the new formulation, the orders of the numerators are stipulated to be consistent with the coupling topology of the diplexer. Thus it can reduce the model’s sensitivity to the measurement noise in a practical measurement environment.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Since no constraint is applied to the residues in the MVF procedure, (15) is not guaranteed to be satisfied. However, when applying the MVF formulation to measured data of the diplexer, it is observed that (15) is automatically satisfied with only very small errors. Therefore, a transversal coupling matrix can be synthesized with the following strategy: for k = 1 to N Mk,k = 1j∗ ak k k k k if |r11 | > |r22 | and |r11 | > |r33 |    M P1,k = r11 k , M P2,k = r12 k / r11 k , M P3,k = r13 k / r11 k

elseif |r22 k | > |r11 k | and |r22 k | > |r33 k |    M P2,k = r22 k , M P1,k = r12 k / r22 k , M P3,k = r23 k / r22 k elseif |r33 k | > |r11 k | and |r33 k | > |r22 k |    M P3,k = r33 k , M P1,k = r13 k / r33 k , M P2,k = r23 k / r33 k end Mk,P1 = M P1,k , Mk,P2 = M P2,k , Mk,P3 = M P3,k , end M P1,P1 = K 11 /1j, M P2,P2 = K 22 /1j, M P3,P3 = K 33 /1j

Fig. 5. (a) Measured and fitted Y12 with the MVF approach. (b) Measured and fitted Y23 with the MVF approach.

IV. S YNTHESIS OF THE T RANSVERSAL C OUPLING M ATRIX The poles ak and the residues r pq k can be obtained once the MVF procedure has converged and the rational functions are transformed to the form of N  r pq k Y pq = K pq + . (13) s − ak k=1

Comparing (13) with (2), it can be seen that the relationship between the poles and residues of the Y -parameter rational functions and the elements in the transversal coupling matrix is M P1,k 2 = r11 k M P1,k M P2,k = r12 k M P1,k M P3,k = r13 k M P2,k 2 = r22 k M P2,k M P3,k = r23 k M P3,k 2 = r33 k Mk,k = 1j∗ ak ,

k = 1, 2, . . . N.

(14)

From (14), it can be seen that in order to synthesize a transversal coupling matrix, the residues should satisfy the compactness condition

V. T RANSFORMATION OF T HREE -P ORT C OUPLING M ATRIX The derivation of the transversal coupling matrix alone cannot help with the tuning of the diplexer because one-to-one correspondence between physical tuning elements and the coupling matrix elements is established only after the matrix is transformed to the right configuration. Many coupling matrix rotation recipes are available for coupled-resonator filters [18], and a general multi-port coupling matrix reconfiguration procedure is proposed in [19], where many coupling topologies are discussed. However, for the star-junction diplexers with resonant types of junctions discussed in this paper, a straightforward procedure exists and can be implemented in the diplexer circuit model extraction program. A. General Procedure for Diplexers The three-port coupling matrix of the diplexer can be reconfigured by matrix similarity transformation by following the same rules for filter transformations, i.e.,

r12 k r12 k = r11 k r22 k r13 k r13 k = r11 k r33 k r23 k r23 k = r22 k r33 k ,

where 1j stands for the imaginary unit j in a complex number, and Mx,y denotes the element in the row of node x and column of node y in the coupling matrix M. For example, the poles and residues obtained for one of the tuning states of the testing diplexer are listed in Table I. The synthesized transversal coupling matrix using the proposed strategy above is given in Table II. The responses of the synthesized coupling matrix are superimposed to the raw measured data for comparison in Fig. 6. It can be seen that the responses of the extracted transversal coupling matrix agree well with the measured data up to −80 dB.

k = 1, 2, . . . , N.

(15)

[M ] = [R][M][R]T

(16)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO AND WU: MVF METHOD FOR CIRCUIT MODEL EXTRACTION OF COUPLED-RESONATOR DIPLEXERS

7

TABLE I TABLE OF O BTAINED P OLES AND R ESIDUES BY MVF A PPROACH F ROM M EASURED D ATA OF THE T ESTING D IPLEXER

TABLE II S YNTHESIZED T HREE -P ORT T RANSVERSAL C OUPLING M ATRIX C ORRESPONDING U SING THE MVF F ORMULATION

where R is an orthogonal rotation matrix, which can be specified by a pivot [i, j ] and a rotation angle θ . Since the port nodes are arranged as the first three nodes in the matrix, the pivot index cannot enter the first three rows and columns, i.e., i, j = 1, 2, 3. By the same token with filter cases, the transformation (16) can change the coupling topology of the network while reserving the reflection and transfer characteristics of the network. Note that if the coupling matrix represents a lossy network, both M and R in (16) are complex matrices. The transformation procedure to reconfigure the three-port transversal coupling matrix to the desired coupling topology

for star-junction diplexers with resonant types of junctions can be divided into the following three steps. Step 1) Eliminate the non-existing I/O couplings. This procedure will create non-zero cross couplings between every two resonators. Step 2) Eliminate the non-existing cross couplings between the two channel filters. After this step, the threeport coupling matrix will be transformed into a block form, in which the coupling matrices for the two channel filters are separated into different blocks. Step 3) Transform the block matrix for each channel filter to the desired topology.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III ROTATION S EQUENCE TO R ECONFIGURE THE C OUPLING M ATRIX

Fig. 6. Comparison of the measured and fitted S11 , S12 , and S13 data with the model extracted by MVF formulation. Dashed color lines are measured data. Black solid lines are the response given by the extracted three-port transversal coupling matrix with the MVF technique.

Fig. 7. (a) Transversal coupling matrix. (b) Matrix after I/O coupling are annihilated. (c) Matrix after the couplings between the two channel filters are annihilated to the best effort. (d) Final target topology.

The last step allows the utilization of those well-established filter transformation strategies in [18] since the two channel filters can be reconfigured individually. Thus the general procedure can be applied to those diplexers that are composed of high-degree channel filters and with many TZs connected by a common resonator junction. B. Specific Example In this paper, a customized recipe is introduced to transform the three-port transversal coupling matrix to the desired coupling topology for the testing diplexer shown in Fig. 3(b) by following the three steps in the general procedure.

The matrix transformation procedure is depicted in Fig. 7(a)–(d), where the hollow circles represent expected non-zero entries at each stage. The first three rows/columns of the coupling matrix correspond to P1–P3 in Fig. 3(b) and the remaining 11 rows/columns correspond to resonators No. 1–11. Resonators No. 1–5 constitute the lower band channel filter and resonators No. 7–11 constitute the upper band channel filter. Resonator No. 6 is the common resonant node. The coupling matrices in Fig. 7 are partitioned according to the role that each row/column plays for a clear demonstration of the coupling matrix reconfiguration procedure. Fig. 7(a) is the three-port transversal coupling matrix. In the first step, non-existing I/O couplings are annihilated. The resultant matrix configuration is shown in Fig. 7(b). In the second stage, the cross-couplings between the two channel filters are annihilated as many as possible. This leaves the TZ of each channel filter with a trisection connected to the common resonator. In the last stage, the trisections are “pulled” to the right positions. The non-zero entries in the coupling matrix in Fig. 7(d) have a one-to-one relationship with the coupling topology of Fig. 3(b). The details of the rotation sequence are provided in Table III, where the 1 ∼ 27 steps correspond to stage I, 28 ∼ 55 steps correspond to stage II and the last four steps are in stage III. Once the first two stages are finished, the cross couplings will be separated into the two channel filters. Having had that, the reconfiguration of each channel filter can be done individually with well-established filter transformation recipes in [18].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO AND WU: MVF METHOD FOR CIRCUIT MODEL EXTRACTION OF COUPLED-RESONATOR DIPLEXERS

9

TABLE IV F INAL C OUPLING M ATRIX

The distribution of the non-zero entries in Fig. 7(a)–(d) is verified by ideal cases with the specific coupling topology. However, when the rotation strategy is applied to the extracted coupling matrix, some stray couplings may be left in addition to the normal couplings. Starting from the obtained transversal coupling matrix in Table II and following the proposed matrix rotation sequence in Table III, one can obtain a coupling matrix as given in Table IV. It is seen that some of the nonzero entries shown in bold fonts do not correspond to any coupling element in Fig. 3(b). They are left over as lumped effects of all the spurious stray couplings in the diplexer. Normally their absolute values are smaller compared with those of normal couplings. It is also noticed that all the matrix elements present small imaginary parts, which count for the power dissipation of the resonant cavities as well as the coupling elements like the lossy filter coupling matrix [20]. The measured data of the testing diplexer are used to demonstrate the robustness and effectiveness of the proposed method. Since there is one-to-one correspondance between the entries in the coupling matrix and physical tuning elements, the extracted model provides a direct guidance on the tuning process. Four different tuning states are plotted in Fig. 8(a)–(d). In Fig. 8(a), the two channels overlap each other in the spectrum because some of the resonators are badly detuned. The passbands of the two channels become recognizable in Fig. 8(b) although the ports are still poorly matched. Fig. 8(c) corresponds to a state in the fine tuning stage, where the responses of the device are extremely sensitive to the depth of the tuning screws. Then very careful and subtle adjustments should be made. Fig. 8(d) shows the final well-tuned state where the common port reflection coefficient is lower than −20 dB within both passbands. The coupling coefficients corresponding to the four states are obtained by the MVF method and the proposed

TABLE V E XTRACTED C OUPLING VALUE C ORRESPONDING TO THE F OUR S TATES IN F IG . 8

coupling matrix reconfiguration strategy, and their real parts are listed in Table V. The responses given by the extracted coupling matrix are superimposed on the raw measured data in Fig. 8. Very good agreement is achieved for all tuning states.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Four different tuning states of the testing diplexer. Solid black lines are the response given by the extracted coupling matrix. Dashed lines are measured data.

VI. C ONCLUSION In this paper, a practical circuit model extraction method for coupled-resonator diplexers with resonant types of junctions has been proposed for the first time. All the self-coupling and mutual coupling values of the diplexer can be determined together to reveal the current tuning state of the device. It utilizes the MVF to accurately determine the rational system functions from the noise-contaminated measurement data. A transversal coupling matrix of the coupled-resonator network can then be obtained. A three-port coupling matrix orthogonal transformation strategy has been proposed to transform the transversal coupling matrix to the desired matrix configuration corresponding to the physical realization. The MVF technique and the synthesis and reconfiguration of the three-port coupling matrix together form a complete scheme to extract the circuit model from the measured frequency-domain response of coupled-resonator diplexers with resonant types of junctions. A testing diplexer has been used and fine tuned with the help of the proposed method for illustration. The proposed method can be implemented in a robotic tuning system for smart manufacturing. R EFERENCES [1] M. E. Van Valkenburg, Introduction to Modern Network Synthesis. New York, NY, USA: Wiley, 1960. [2] T. Skaik, M. Lancaster, and F. Huang, “Synthesis of multiple output coupled resonator circuits using coupling matrix optimization,” IET Microw. Antennas Propag., vol. 5, no. 9, pp. 1081–1088, Jun. 2011. [3] S. Tamiazzo and G. Macchiarella, “Synthesis of duplexers with the common port matched at all frequencies,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 46–54, Jan. 2014. [4] L. Zhao, L. Yeung, and K.-L. Wu, “A coupled resonator decoupling network for two-element compact antenna arrays in mobile terminals,” IEEE Trans. Antennas Propag., vol. 62, no. 5, pp. 2767–2776, May 2014.

[5] Q. Zhang, T. Guo, B. A. Khan, T. Kodera and C. Caloz, “Coupling matrix synthesis of nonreciprocal lossless two-port networks using gyrators and inverters,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 9, pp. 2782–2792, Sep. 2015. [6] J. B. Ness, “A unified approach to the design, measurement, and tuning of coupled-resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 4, pp. 343–351, Apr. 1998. [7] P. Harscher, R. Vahldieck, and S. Amari, “Automated filter tuning using generalized low-pass prototype networks and gradient-based parameter extraction,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2532–2538, Dec. 2001. [8] G. Macchiarella and D. Traina, “A formulation of the Cauchy method suitable for the synthesis of lossless circuit models of microwave filters from lossy measurements,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 243–245, May 2006. [9] M. Meng and K.-L. Wu, “An analytical approach to computer-aided diagnosis and tuning of lossy microwave coupled resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3188–3195, Dec. 2009. [10] G. Macchiarella, “Extraction of unloaded Q and coupling matrix from measurement on filters with large losses,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 6, pp. 307–309, Jun. 2010. [11] H. Hu and K.-L. Wu, “A generalized coupling matrix extraction technique for bandpass filters with uneven-Qs,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 2, pp. 244–251, Feb. 2014. [12] D. Traina, G. Macchiarella, and T. K. Sarkar, “Robust formulations of the Cauchy method suitable for microwave duplexers modeling,” IEEE Trans Microw. Theory Techn., vol. 55, no. 5, pp. 974–982, May 2007. [13] P. Zhao and K.-L. Wu, “Circuit model extraction for computer-aided tuning of a coupled-resonator diplexer,” in IEEE MTT-S Int. Microw. Symp. Dig., Pheonix, AZ, USA, May 2015. [14] A. Garcia-Lamperez and M. Salazar-Palma, “Analytical synthesis of coupling matrices for N -port networks with reactance compensation,” in Eur. Microw. Week Adv. N -Port Netw. Space Appl. Workshop, Amsterdam, The Netherlands, Oct. 2012. [15] P. Zhao and K.-L. Wu, “An iterative and analytical approach to optimal synthesis of a multiplexer with a star-junction,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3362–3369, Dec. 2014.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHAO AND WU: MVF METHOD FOR CIRCUIT MODEL EXTRACTION OF COUPLED-RESONATOR DIPLEXERS

[16] B. Gustavsen and A. Semlyen, “Simulation of transmission line transients using vector fitting and modal decomposition,” IEEE Trans. Power Del., vol. 13, no. 2, pp. 605–614, Apr. 1998. [17] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Delivery, vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [18] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Hoboken, NJ, USA: Wiley, 2007. [19] G. Macchiarella and S. Tamiazzo, “Generation of canonical forms for multiport filtering networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa, FL, USA, Jun. 2014. [20] V. Miraftab and M. Yu, “Generalized lossy microwave filter coupling matrix synthesis and design using mixed technologies,” IEEE Trans Microw. Theory Techn., vol. 56, no. 12, pp. 3016–3027, Dec. 2008. Ping Zhao (S’14) received the B.Sc. degree from Nanjing University, Nanjing, China, in 2012, and is currently working toward the Ph.D. degree at The Chinese University of Hong Kong, Shatin, Hong Kong. His research is focused on synthesis and computer-aided tuning (CAT) algorithms for multiport microwave coupled-resonator networks, including diplexers, multiplexers, and coupled- resonator decoupling networks with applications in cellular base stations and satellites. Mr. Zhao was the recipient of the Honorable Mention in the 2014 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Student Paper Competition. He was also the recipient of the Best Student Paper Award of the 2014 IEEE HK AP/MTT Postgraduate Conference.

11

Ke-Li Wu (M’90–SM’96–F’11) received the B.S. and M.Eng. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, as a Research Engineer and a Group Manager. In March 1993, he joined the Corporate R&D Division, COM DEV International (the largest Canadian space equipment manufacturer), where he was a Principal Member of Technical Staff. Since October 1999, he has been with The Chinese University of Hong Kong, Shatin, Hong Kong, where he is currently a Professor and the Director of the Radiaofrequency Radiation Research Laboratory (R3L). He has authored or coauthored numerous publications in the areas of electromagnetic (EM) modeling and microwave passive components, microwave filters, and antenna engineering. His current research interests include partial element equivalent circuit (PEEC) and derived physically expressive circuit (DPEC) EM modeling of high-speed circuits, RF and microwave passive circuits and systems, synthesis theory and practices of microwave filters, antennas for wireless terminals, lowtemperature co-fired ceramic (LTCC)-based multichip modules (MCMs), and RF identification (RFID) technologies. Prof. Wu is a Member of the IEEE MTT-8 Subcommittee (Filters and Passive Components). He also serves as a Technical Program Committee (TPC) Member for many prestigious international conferences including the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2006 to 2009. He was the recipient of the 1998 COM DEV Achievement Award for the development of exact EM design software of microwave filters and multiplexers and the Asia–Pacific Microwave Conference Prize in 2008 and 2012, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

An Integrated Filtering Antenna Array With High Selectivity and Harmonics Suppression Chun-Xu Mao, Steven Gao, Member, IEEE, Yi Wang, Senior Member, IEEE, Zhengpeng Wang, Member, IEEE, Fan Qin, Benito Sanz-Izquierdo, and Qing-Xin Chu, Senior Member, IEEE Abstract— In this paper, a new design of an antenna array with integrated functions of filtering, harmonics suppression, and radiation is proposed. The device employs a multi-port network of coupled resonators, which is synthesized and designed as a whole to fulfill the functions of filtering, power combination/division, and radiation. The 50- interfaces between the cascaded filter, power divider, and antenna in traditional RF front-ends are eliminated to achieve a highly integrated and compact structure. A novel resonator-based four-way out-of-phase filtering power divider is proposed and designed. It is coupled to the patch array, rendering a fourth-order filtering response. The coupling matrix of the resonator network is synthesized. The physical implementations of the resonators and their couplings are detailed. Compared to a traditional patch array, the integrated filtering array shows an improved bandwidth and frequency selectivity. In addition, the harmonic of the antenna array is suppressed due to the use of different types of resonators. To verify the concept, a 2×2 filtering array at S-band is designed, prototyped, and tested. Good agreement between simulations and measurements has been achieved, demonstrating the integrated filtering antenna array has the merits of wide bandwidth, high frequency selectivity, harmonics suppression, stable antenna gain, and high polarization purity. Index Terms— Bandwidth, filtering antenna array, frequency selectivity, harmonics suppression, integrated design.

I. I NTRODUCTION

T

HE current developments of wireless systems such as mobile communications, wireless local area networks, and satellite communications demand the RF front-end system to be compact, light weight, low cost, and multi-functional. Traditionally, the different functional components in the frontend, such as the filter and antenna, are designed separately and cascaded through 50- terminals and matching networks. Due to the difference in bandwidths between the filter and

Manuscript received September 13, 2015; revised February 27, 2016 and April 10, 2016; accepted April 25, 2016. This work was supported by the “DIFFERENT” project funded under EC FP7 Grant 6069923. The work of Y. Wang was supported by U.K. EPSRC under Contract EP/M013529/1. C.-X. Mao, S. Gao, and B. Sanz-Izquierdo are with the School of Engineering and Digital Arts, University of Kent, Canterbury CT2 7NZ, U.K. (e-mail: [email protected]; s. [email protected]). Y. Wang is with the Department of Engineering Science, University of Greenwich, London SE10 9LS, U.K. (e-mail: [email protected]). Z. Wang is with the School of Electronic Information Engineering, Beihang University, Beijing 100191, China. F. Qin is with the School of Electronics and Information, Northwestern Polytechnical University, Shaanxi, China. Q.-X. Chu is with the School of Electronic and Information Engineering, South China University of Technology, Guangdong, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561925

the antenna, they are usually not well matched, especially at the edges of the operating band. This mismatch degrades the frequency performance of the system. Another critical issue in wireless communication systems is the interference caused by harmonics. These harmonics could be suppressed or eliminated in filter designs, for instance, by introducing transmission zeros [1], using spur-line filters [2] or discriminating coupling [3]. The microstrip antenna array has been widely used in wireless systems for its merits of low profile, light weight, and low cost [4]. A large feeding network is often required to feed each antenna element with given magnitude and phase. Quarter-wavelength transmission lines and matching stubs are commonly used for impedance matching. The feeding network could be very complex [5]. Due to the resonant characteristics and relatively high Q-values of microstrip antennas, microstrip arrays have the major drawback of narrow bandwidth. To enhance the bandwidth, techniques such as adding air gaps, increasing the thickness of the substrates have been used at the expense of increased thickness and complexity [5]–[7]. To overcome the aforementioned problems while maintaining a compact structure of the front end, the integrated design of filters, power dividers, antennas, and other passive components is one promising solution. Much effort has been made into the research of passive component integration. In [8], novel multiplexers were demonstrated based on all-resonator structures, eliminating the conventional transmission-line-based signal distribution networks. The integration of filters and antennas has attracted significant research interests during the past several years [9]–[22]. By virtue of their resonant nature, some antennas can serve as the last resonators of the filters. As a result, the antennas can contribute to the poles of the filter and, therefore, the bandwidth and frequency selectivity. In [9], a microstrip filtering antenna array was proposed by using coupled resonators to design the feeding network. To the best of our knowledge, [9] was the only filtering array previously demonstrated in the literature. Compared with [9], the filtering array in this paper achieves a much wider bandwidth (5.6% versus 3.0%) and a higher order filtering (fourth order versus third order) with a similar shape factor of a 2 × 2 array. In [17] and [18], the integrated design also helped suppress the harmonics in the high band of the filtering antenna. In this paper, a novel 2 × 2 antenna array using an allresonator network is proposed. The filter and power-dividing networks in the traditional RF frontend are replaced by a

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Topology of coupled resonators for the fourth-order filtering array proposed in this paper.

Fig. 1. Architecture of a RF front-end with a power divider fed antenna array. (a) Traditional. (b) Proposed.

group of coupled resonators. The array of patches serve not only as the radiating elements, but also the last resonator of the filter. First, the network of resonators is represented by a coupling matrix and synthesized to guide the physical dimensioning of the circuits. A resonator-based four-way out-of-phase power divider is then designed. The divider is integrated with a patch array through coupling. Comparisons between the integrated filtering array and a traditional antenna array are made regarding the bandwidth, frequency selectivity, and harmonics suppression. The harmonics of the integrated design is suppressed by the use of three types of resonators with different harmonics characteristics. This paper is organized as follows. Section II explains the integration approach and the synthesis of the coupling matrix. Section III describes the design processes. Section IV presents the measured results followed by a conclusion in Section V. II. I NTEGRATION A PPROACH A. RF Front-End Architecture Fig. 1(a) shows a traditional architecture of an RF front end with an antenna array fed by a power divider. The power divider and the antennas are designed individually with the assumption of ideal 50- interfaces between them. However, this assumption is not always accurate, especially when the bandwidths of each component are different. In this case, the performance of the system will be deteriorated, especially around the edges of the operating band. To overcome these problems, a compact, multi-functional, and integrated architecture is proposed in this paper, as shown in Fig. 1(b). Here the filter, power divider, and antenna array are co-designed as a whole. As a result, the 50- interfaces and the matching networks between them are removed. The overall bandwidth of the integrated system is no longer limited by the component with the narrowest band—the patch array in this case. Instead the bandwidth will be enhanced by coupling between the resonators and the resonant antennas. B. Synthesis of the Coupling Matrix The multiple functions—filtering, power division, and radiation—are integrated into a single network of coupled resonators. It is essentially a four-way all-resonator based

topology, as proposed and shown in Fig. 2. The circles represent resonators or resonant antenna elements, whereas the lines between them represent the coupling. It should be noted that resonators 5, 7, 9, and 11 work as resonators and radiators simultaneously. Resonators 1–3 act as the power distribution elements, which are traditionally realized by transmissionline T-junctions. Such a network has the fourth-order filtering characteristics. A single coupling matrix M can be used to represent the topology in Fig. 2. Due to its high symmetry, such a matrix can be directly synthesized. Detailed analyses can be found in [25]. Basically, the coupling coefficients Mi j of the multi-port network can be related to those of a fourthorder two-port Chebyshev filter, as denoted by Mij , using the following equations: M M12 = √12 2  M24 M24 = √ 2  M45 = M45 M13 = M12 M24 = M26 = M38 = M3,10 M45 = M67 = M89 = M10,11 . The specifications in this design are given as follows: center frequency f 0 = 2.39 GHz, bandwidth BW = 130 MHz, return loss RL = 10 dB, and order N = 4. The coupling coefficients and external quality factors can be derived as Q ex = 29.9, M12 = M13 = 0.0275, M24 = M26 = M38 = M3,10 = 0.0230, and M45 = M67 = M89 = M10,11 = 0.0389. Mi, j is the coupling coefficient between the resonator i and j . All resonators are synchronously tuned, i.e., Mi,i = 0. It should be noted that no source–load coupling is considered in the synthesis. Fig. 3 shows the theoretical frequency response corresponding to the coupling matrix. III. D ESIGN A. Resonators and Antennas Microstrip resonators have been used to implement the topology in Fig. 2. Resonators 1–3 should be symmetrical in geometry. The ring strip resonators are chosen due to their symmetry and high-Q value, as shown in Fig. 4(a). The total length of the resonator is about a wavelength at the resonant frequency. As for resonators 4, 6, 8, and 10, hairpin resonators, as shown in Fig. 4(b), are adopted to couple power to the ring strip resonator and to feed the antenna again through coupling in this design. The hairpin is a half-wavelength resonator.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MAO et al.: INTEGRATED FILTERING ANTENNA ARRAY WITH HIGH SELECTIVITY AND HARMONICS SUPPRESSION

Fig. 3. Theoretical S-parameters of the proposed topology corresponding to the synthesized coupling matrix. Fig. 5.

3

|S21 | of the three resonators in Fig. 4.

Fig. 4. Configuration of three types of resonators with weak coupling to the input and output ports. (a) Ring strip resonator. (b) Hairpin resonator. (c) Square patch resonator. R1 = 23.6 mm, R21 = 12 mm, R22 = 17.5 mm, and R3 = 31.5 mm.

Resonators 5, 7, 9, and 11 are square patches, as shown in Fig. 4(c). They not only act as the radiating elements, but also the last resonators of the filtering network. Therefore, they contribute to one pole in the frequency response. The length of the square patch is approximate a half of a guided wavelength. It is worth mentioning that here the patch antenna is treated as a two-port component as a first-order approximation to illustrate its resonance and harmonic performance. The different structures and therefore different resonant characteristics of the three types of resonators have the added benefit of having distinct harmonic frequencies. As shown in Fig. 5, when the fundamental modes of the resonators are tuned to the same frequency at 2.4 GHz, their second-order harmonics vary widely with each other. This property has been used to suppress the harmonics in the higher band. B. Coupling The couplings between the resonators are estimated using simulations. The coupling strength between the two ring resonators and between the ring resonator and hairpin resonator can be adjusted by changing the spaces (S1 , S2 ) between them. The coupling between the hairpin and the patch can be realized through a slot in the ground and the coupling strength is controlled by tuning the length and width of the slot. All the coupling coefficients are extracted using (1) [23], Mi j =

f j2 − fi2 f j2 + fi2

(1)

Fig. 6. Coupling coefficients between resonators. (a) Two ring strip resonators with S1 . (b) Ring strip resonator and hairpin resonator with S2 . (c) Hairpin resonator and the patch resonator with length of slot L S . (d) Hairpin resonator and the patch resonator with width of slot W S .

where f i and f j are the resonant frequencies from the two coupled resonators. Using full-wave simulation, f i and f j can be obtained and coupling coefficients can be calculated. Fig. 6 shows the coupling coefficients between the resonators as a function of geometry parameters. During these simulations, the couplings to the input and output ports are kept weak so as to ensure the revealed coupling characteristics are from the two interacting resonators. Fig. 6(a) shows the coupling between two ring strip resonators and Fig. 6(b) shows the coupling between the ring strip and the hairpin resonator. As for the coupling between the hairpin resonator and patch resonator, which are located on different circuit layers, the coupling is realized by slitting a slot in the ground plane. By increasing the length or the width of the slot, the coupling coefficient increases, as presented in Fig. 6(c) and (d). To realize the required coupling coefficients, the initial values of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8.

Fig. 7. Simulated frequency response of the resonator-based four-way power divider. (a) Magnitude. (b) Phase.

parameters have been found to be S1 = 1.5 mm, S2 = 1.6 mm, L S = 11 mm, and W S = 0.8 mm. C. Resonator-Based Out-of-Phase Power Divider Without cascading with a separate filter, the resonatorbased power divider demonstrates an embedded filtering function. Fig. 7(a) shows the circuit layout and the simulated S-parameter of the four-way third-order power divider. The power fed from the center ring strip resonator is divided and coupled to the other two ring resonators at both sides. This is then coupled to the hairpin resonator. The S-parameter in Fig. 7 shows a filtering performance with three poles in the band. These poles are introduced by the coupled resonators. At the harmonic frequencies (from 4.5 to 5 GHz), the filtering divider detunes due to the different second-order harmonics among the different resonators. It is evident from Fig. 7(a) that the harmonics are suppressed as a result. It is also noted that as no decoupling structure (such as a resistor as in a Wilkinson divider) is used, the isolation between the four outputs is poor (−8 dB). However, this is not deemed as an issue in an antenna array design. In other cases when a graceful degradation is required in multi-channel devices such as multiport power amplifiers, output isolation would be essential. Fig. 7(b) shows the phase response of the power divider. Due to the symmetrical configuration, ports 2 and 3 have

Simulated current distribution at 2.4 GHz.

consistent phase response, so do ports 4 and 5. However, when we compare ports 2 and 4, or ports 3 and 5, 180◦ phase difference can be observed. This can be visualized in the current density distribution at 2.4 GHz, as presented in Fig. 8. The current reverses at the two coupling locations with the hairpin resonators. The electrical length between the two locations is about half of a wavelength, which causes the 180◦ phase difference. The out-of-phase output not only makes the design more compact, but also improves the cross polarization discrimination (XPD) [24]. It is worth noting that the |S41 |(|S51 |) response is different from the |S21 |(|S31 |) response out of the band. For |S41 |(|S51 |), three transmission zeros at 2.1, 2.25, and 2.45 GHz are visible, with the first two located at the lower band and the third one located at the higher band. These transmission zeros can be attributed to the source–load coupling between the port 1 and Port 4 (5), which are on the same side of the circuit and in proximity, as well as the cross coupling between the non-adjacent ring and the hairpin. These couplings were not considered in the synthesis of the coupling matrix. However, the resultant transmission zeros significantly improve the frequency selectivity of the filtering divider. Parameter studies have been performed to investigate the relationship between the couplings and the transmission zeros. As shown in Fig. 9, the coupling between the source (Port 1) and load (Ports 4 and 5) is varied by tuning the distance Dis between the hairpin and the feedline at Port 1. This should also affect the cross coupling between the non-adjacent ring and the hairpin. When Dis is over 10 mm, only one transmission zero is observable at the lower band and the other at the higher band. When Dis decreases, two transmission zeros appear at the lower band, whereas the third one shifts closer to the passband. This mechanism of creating and controlling the transmission zero can be used to design filter antennas with further improved frequency selectivity without increasing the order of the filters. D. Filtering Antenna Array Based on the topology in Fig. 2 and replacing the outputs of the third-order filtering power divider with the radiating patches, an antenna array with integrated fourth-order filtering

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MAO et al.: INTEGRATED FILTERING ANTENNA ARRAY WITH HIGH SELECTIVITY AND HARMONICS SUPPRESSION

Fig. 9.

|S41|(|S51|) with different Dis.

5

Fig. 11. Simulated |S11 | of the filtering antenna array and a traditional antenna array.

E. Comparison With Traditional Design

Fig. 10. Exploded view of 2 × 2 filtering antenna array. L A = 120 mm, L P = 31.5 mm, L D = 60 mm, L S = 11.6 mm, W S = 0.9 mm, L R = 24.6 mm, L H = 16.7 mm, W H = 12 mm, S0 = 0.25 mm, S1 = 1.7 mm, S2 = 1.6 mm, H1 = 1.525 mm, and H2 = 0.787 mm.

characteristics can be realized. Fig. 10 is the exploded view of the multi-layer structure of the 2 × 2 filtering antenna array. The radiating patches are printed on the top layer of the upper substrate (Rogers 4003 with a dielectric constant of 3.55). The filtering power-dividing network is printed on the bottom layer of the lower substrate (Rogers 5880 with a dielectric constant of 2.2). The power-dividing network and the patches share a common ground plane in the middle layer. The patches on the top layer act as the last resonators and coupled to the hairpin resonators through the slots in the ground plane. The space between the patches L D is 60 mm, i.e., 0.48λ at the center frequency of 2.39 GHz. It should be noted that in this all-resonator-based design the traditionally separated filter and the interfaces between the filter and antenna are eliminated, which results in a compact and highly integrated structure. The design and simulation were performed using High Frequency Simulation Software (HFSS 15), and the optimized parameters are given in the caption of Fig. 10.

Fig. 11 shows the simulated S11 of the filtering antenna array in comparison with a traditional patch array. The patches of the traditional patch array are fed by a microstrip-line power divider through the slots in the ground and the other parameters of the two-patch array are identical. It can be seen from Fig. 11 that four reflection zeros at 2.33, 2.36, 2.41, and 2.45 GHz are clearly visible for the integrated antenna array, demonstrating the expected fourth-order filter characteristics. A −10-dB impedance bandwidth of 5.6% is achieved. In contrast, only one resonant mode can be observed for the traditional patch array and the fractional bandwidth is 1.6%. The integrated design improves the bandwidth of the antenna array significantly. It should also be noted that the frequency selectivity of the proposed antenna is significantly improved due to the higher order resonant characteristics. To better quantify the frequency selectivity, the ratio of the −10-dB bandwidth (BW−10 dB ) and the −3-dB bandwidth (BW−3 dB ) is estimated. From Fig. 11, the ratios for the traditional antenna array and the integrated antenna array are calculated as 30% and 87%, respectively. Higher order harmonics are common in distributed microwave components such as microstrip filters and antennas. This is a source of interference and could degrade the quality of the system. In this integrated design, the use of three types of resonators with different harmonics, as discussed in Section III (see Figs. 4 and 5), suppresses the harmonics effectively. This is evident in Fig. 12, which shows the simulated S11 and realized gains of the proposed filtering antenna array and the traditional patch antenna array over a wide frequency range. For the traditional antenna, a spurious band occurs around 4.5 GHz with a return loss of 6.2 dB due to harmonics. For the proposed antenna array, the harmonic band is almost eliminated with a return loss of only 0.7 dB. From the gain curves, the gain reaches 10.1 dBi at the harmonics for the traditional array. This is suppressed by 25 dB in the proposed design. In the fundamental operation band, the traditional array has a peak gain of 9.9 dBi at 2.4 GHz, and slowly decreases. The integrated design has a flat gain

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Simulated |S11 | and antenna gain of the filtering antenna array in comparison with a traditional patch array over an extended frequency range including the harmonics.

Fig. 14. Theoretical, simulated, and measured |S11 | of the filtering antenna array.

TABLE I PARAMETER C OMPARISON W ITH T RADITIONAL A RRAY

Fig. 13. Photograph of the 2 × 2 filtering antenna array. (a) Front view. (b) Back view.

of 9.7 dBi between 2.30 and 2.42 GHz. It drops sharply to below −20 dBi below 2.24 GHz and above 2.53 GHz. Table I summaries the parameter comparison with the traditional antenna in terms of the resonant poles, bandwidth, frequency selectivity, harmonic level, and gain in band, as well as gain at the harmonic. IV. R ESULTS AND D ISCUSSIONS Fig. 13 shows the prototype of the 2 × 2 filtering antenna array. The antenna is measured using a ZVL vector network analyzer. The simulated and measured S11 , as well as the theoretical result from the synthesis, are presented in Fig. 14. The measured result agrees very well with the simulated

Fig. 15. Normalized measured co- and cross-polarization radiation patterns at 2.4 GHz. (a) E-plane. (b) H -plane.

and theoretical results with an impedance bandwidth from 2.31 to 2.46 GHz. There is an unexpected dip between 2.2 and 2.3 GHz. The cause of this is unclear. It may be a result of spurious coupling. Fig. 15 shows the normalized simulated and measured radiation patterns of the filtering antenna array in the E- and H -plane for co- and cross-polarization. The patterns exhibit

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MAO et al.: INTEGRATED FILTERING ANTENNA ARRAY WITH HIGH SELECTIVITY AND HARMONICS SUPPRESSION

7

TABLE II C OMPARISON W ITH O THER F ILTERING A NTENNAS

Fig. 16. Measured gain of the filtering antenna array as a function of frequency.

expected radiation performance with maximum antenna gain in the broadside. The XPD in the E- and H -plane are better than −36 and −28 dB, respectively, which is attributed to the slot coupling and the out-of-phase power divider. Another feature of this design is that, different from [9], the feeding network is shielded from the array. Fig. 16 shows the measured and simulated realized antenna gains of the filtering antenna array over a wide frequency range from 2 to 5.4 GHz. The simulated gain of the traditional antenna array is also included for comparison. It is observed that the simulated and measured results agree well with each other. The filtering antenna array has a flat gain response of 9 dBi from 2.30 to 2.45 GHz. In the measured gainfrequency curve, two nulls can be observed at the both sides of the passband, resulting in a rapid drop of the gain out of the band. The gain reduces to −25 dBi below 2.24 GHz and above 2.53 GHz. These nulls can be attributed to the source–load coupling and the cross coupling, as discussed in Section III-C. It is worth noting that the frequencies of the nulls in the gain curve are slightly different from those of the transmission zeros of the power divider in Fig. 9. This is because, in the filtering array, the hairpins are coupled to the patch antennas rather than the output ports as in the power divider. The characteristics of the couplings have changed. Nevertheless the nulls still make a significant impact on the increase of the frequency selectivity. Around the frequency bands of harmonic frequencies, the antenna gains are significantly reduced by 22 dB as compared with the traditional patch antenna. This verifies the capability of harmonic suppression of the integrated design. There are some small discrepancies between the simulated and the measured gain curves, especially outside the operation band. This is due to the reduced measurement sensitivity at these rejection bands where the power level is very low. For the traditional antenna array, the gain decreases slowly when the frequency deviates from the center frequency. The comparison of the gains between the two antenna arrays demonstrates that the integrated filtering antenna array has a much improved performance of frequency selectivity and harmonic suppression.

Table II compares the filtering antenna in this paper with the only other reported filtering array in the literature [9] and one filtering antenna in [21]. The comparison mainly focuses on bandwidth, antenna size, gain, number of substrates, and gain at the harmonic. This comparison shows that this work exhibits a wider bandwidth than that in [9] and an improved harmonic suppression than that in [21]. These enhancements are attributed to the mixed use of different types of resonators and the out-of-phase divider. A disadvantage is the use of two substrates. V. C ONCLUSION In this paper, a 2 × 2 highly integrated antenna array with high frequency selectivity and improved bandwidth has been proposed and demonstrated. First, the topology of the filtering antenna has been studied and the coupling matrix has been synthesized to guide the design process. The resonant characteristics of the three types of resonators were then investigated and an all-resonator-based third-order four-way out-of-phase power divider was designed. The couplings between the resonators were studied. The integrated antenna array showed an improved bandwidth and frequency selectivity when compared with the traditional antenna array. Furthermore, harmonics were suppressed by utilizing different types of resonators. The measured results agree very well with the simulations, showing that the proposed filtering antenna array has excellent performance in terms of frequency selectivity, bandwidth, radiation characteristics, and antenna gain. It has also been observed that the source–load coupling and cross coupling between the non-adjacent resonator exist in the proposed design, which were not fully considered in the initial synthesis of the coupling matrix, and have helped generate transmission zeros in the power divider and then nulls in the gain curve. These useful features further increase the frequency selectivity of the filtering array. Parameter studies have showed potential ways to control the transmission zeros. In the future, a more systematic approach can be taken to make use of the coupling and implement transmission zeros for the benefit of the integrated design of filters and antennas. R EFERENCES [1] S. Sun and L. Zhu, “Periodically nonuniform coupled microstrip-line filters with harmonic suppression using transmission zero reallocation,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1817–1822, May 2005.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

[2] A. Griol, J. Marti, and L. Sempere, “Microstrip multistage coupled ring bandpass filters using spur-line filters for harmonic suppression,” Electron. Lett., vol. 37, no. 9, pp. 572–573, Apr. 2001. [3] X. Y. Zhang and Q. Xue, “Harmonic-suppressed bandpass filter based on discriminating coupling,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 695–697, Nov. 2009. [4] S. Gao, Q. Luo, and F. Zhu, Circularly Polarized Antennas. London, U.K.: Wiley, 2014. [5] D. Pozar and S. Targonski, “A shared-aperture dual-band dual-polarized microstrip array,” IEEE Trans. Antennas Propag., vol. 49, no. 2, pp. 150–157, Feb. 2001. [6] S. Gao and A. Sambell, “Low-cost dual-polarized printed array with broad bandwidth,” IEEE Trans. Antennas Propag., vol. 52, no. 12, pp. 3394–3397, Dec. 2004. [7] S. Gao and A. Sambell, “Dual-polarized broad-band microstrip antenna fed by proximity coupling,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 526–530, Jan. 2005. [8] X. B. Shang, Y. Wang, W. L. Xia, and M. Lancaster, “Novel multiplexer topologies based on all-resonator structure,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 11, pp. 3838–3845, Nov. 2013. [9] C. K. Lin and S. J. Chung, “A filtering microstrip antenna array,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2856–2863, Mar. 2011. [10] F. Queudet, I. Pele, B. Froppier, Y. Mahe, and S. Toutain, “Integration of pass-band filters in patch antennas,” in Proc. 32nd Eur. Microw. Conf., 2002, pp. 685–688. [11] A. Abbaspour-Tamijani, J. Rizk, and G. Rebeiz, “Integration of filters and microstrip antennas,” in Proc. IEEE AP-S Int. Symp., Jun. 2002, pp. 874–877. [12] T. L. Nadan, J. P. Coupez, S. Toutain, and C. Person, “Optimization and miniaturization of a filter/antenna multi-function module using a composite ceramic-foam substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 219–222. [13] C. K. Lin and S. J. Chung, “A compact filtering microstrip antenna with quasi-elliptic broadside antenna gain response,” Antenna Wireless Propag. Lett., vol. 10, pp. 381–384, 2011. [14] X. W. Chen, F. X. Zhao, L. Y. Yan, and W. M. Zhang, “Compact filtering antenna with flat gain response within the passband,” Antennas Wireless Propag. Lett., vol. 12, pp. 857–860, 2013. [15] O. A. Nova, J. C. Bohorquez, N. M. Pena, G. E. Bridges, L. Shafai, and C. Shafai, “Filter-antenna module using substrate integrated waveguide cavities,” Antennas Wireless Propag. Lett., vol. 10, pp. 59–62, 2011. [16] W. J. Wu, Y. Z. Yin, S. L. Zuo, Z. Y. Zhang, and J. J. Xie, “A new compact filter-antenna for modern wireless communication systems,” Antennas Wireless Propag. Lett., vol. 10, pp. 1131–1134, 2011. [17] L. Yang, P. Cheong, L. Han, W. W. Choi, K. W. Tam, and K. Wu, “Miniaturized parallel coupled-line filter-antenna with spurious response suppression,” Antennas Wireless Propag. Lett., vol. 10, pp. 726–729, 2011. [18] D. Zayniyev and D. Budimir, “An integrated antenna-filter with harmonic rejection,” in 3rd Eur. Antennas Propag. Conf., 2009, pp. 393–394. [19] C. T. Chuang and S. J. Chung, “Synthesis and design of a new printed filtering antenna,” IEEE Trans. Antennas Propag., vol. 59, no. 3, pp. 1036–1042, Mar. 2011. [20] J. H. Zuo, X. W. Chen, G. R. Han, L. Li, and W. M. Zhang, “An integrated approach to RF antenna-filter co-design,” Antennas Wireless Propag. Lett., vol. 8, pp. 141–144, 2009. [21] Y. Yusuf and X. Gong, “Compact low-loss integration of high-Q 3-D filters with highly efficient antennas,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 857–865, Apr. 2011. [22] Y. Yusuf and X. Gong, “Co-designed substrate-integrated waveguide filters with patch antennas,” IET Antennas, Propag., vol. 7, no. 7, pp. 493–501, Apr. 2013. [23] J. S. Hong and M. J. Lancaster, Microwave Filger for RF/Microwave Application. New York, NY, USA: Wiley, 2001. [24] J. Granholm and K. Woelders, “Dual polarization stacked microstrip patch antenna array with very low cross-polarization,” IEEE Trans. Antennas Propag., vol. 49, no. 10, pp. 1393–1402, Oct. 2001. [25] A. Mohammed and Y. Wang, “Four-way waveguide power dividers with integrated filtering function,” in 44th Eur. Microw. Conf., Paris, France, Sep. 2015, pp. 486–489.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Chun-Xu Mao was born in Hezhou, Guangxi, China. He received the M.S. degree in RF and microwave engineering from the South China University of Technology, Guangdong, China, in 2013, and is currently working toward the Ph.D. degree at the University of Kent, Canterbury, U.K. His research interests include ultrawideband (UWB) antennas, multiple-input multiple-output (MIMO) antennas, integration of passive devices, satellite antennas, and space-borne synthetic aperture radar. Steven (Shichang) Gao (M’01) is currently a Professor and Chair of RF and Microwave Engineering with the University of Kent, Canterbury, U.K. His research concerns smart antennas, phased arrays, multiple input multiple output (MIMO), satellite antennas, RF/microwave/millimeter-wave circuits, satellite communications, ultra-wideband (UWB) radars, synthetic-aperture radars, and mobile communications. He has coauthored over 250 papers. He coedited Space Antenna Handbook (Wiley, 2012) and coauthored Circularly Polarized Antennas (IEEE and Wiley, 2014). He holds several patents. Prof. Gao is a Fellow of the Institution of Engineering and Technology (IET), U.K. He is an IEEE Antennas and Propagation Society (AP-S) Distinguished Lecturer. He is an Associate Editor for the IEEE T RANSAC TIONS ON A NTENNAS AND P ROPAGATION , an Associate Editor for Radio Science, and the Editor-in-Chief for the Wiley Book Series on “Microwave and Wireless Technologies.” He was General Chair of LAPC 2013 and a Keynote Speaker or Invited Speaker at numerous international conferences, including AES’2014 (China), IWAT’2014 (Australia), SOMIRES’2013 (Japan), APCAP’2014 (China). Yi Wang (M’09–SM’12) received the B.Sc. degree in physics and M.Sc. degree in condensed matter physics from the University of Science and Technology, Beijing, China, in 1998 and 2001, respectively, and the Ph.D. degree in electronic and electrical engineering from The University of Birmingham, Edgbaston, Birmingham, U.K., in 2005. In 2011, he became a Senior Lecturer with the University of Greenwich, London, U.K. His current research interests include multi-role microwave circuits and their co-design, multi-port filtering networks, antennas, millimeterwave and terahertz devices for metrology, communications, and sensors. Zhengpeng Wang (M’15) received the Ph.D. degree in electromagnetic field and microwave technology from Beihang University, Beijing, China, in 2012. From 2009 and 2010, he was a Visiting Researcher with the Antenna and Applied Electromagnetic Laboratory, University of Birmingham, Edgbaston, Birmingham, U.K. He is currently an Associate Professor with Beihang University, Beijing, China. His research interests include reconfigurable filters, reconfigurable antennas, filtering antennas, and near-field antennas. Fan Qin received the B.S. and M.S. degrees in electromagnetic field and microwave technique from Northwestern Polytechnical University, Xi’an, China, in 2010 and 2013, respectively, and is currently working toward the Ph.D. degree at Northwestern Polytechnical University, Shaanxi, China. Benito Sanz-Izquierdo is currently a Lecturer with the University of Kent, Cantebury, U.K. Qing-Xin Chu (M’99–SM’11) received the B.S., M.E., and Ph.D. degrees in electronic engineering from Xidian University, Xi’an, Shaanxi, China, in 1982, 1987, and 1994, respectively. He is currently a Chair Professor with the School of Electronic and Information Engineering, South China University of Technology, Guangdong, China. Since 2011, he has also been with Xidian University, Xi’an, Shaanxi, China, as a Distinguished Professor of the Shaanxi Hundred-Talent Program. His current research interests include antennas in wireless communication, microwave filters, spatial power-combining arrays, and numerical techniques in electromagnetics. Dr. Chu is the Foundation Chair of the IEEE Guangzhou Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) Chapter. He is a Senior Members of the China Electronic Institute (CEI).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Design of 3-dB Wideband Microstrip Power Divider With an Ultra-Wide Isolated Frequency Band Ching-Wen Tang, Senior Member, IEEE, and Jui-Ting Chen Abstract— A 3-dB planar wideband power divider with an ultra-wide stopband and isolated frequency band is proposed. In order to extend the passband, the quasi-coupled lines are adopted. Moreover, the open and shorted stubs added at the input and output ports, respectively, can increase the bandwidths of the passband and stopband. Furthermore, in order to broaden the isolated frequency band, the impedance Ziso with the series connected resister and capacitor on the right side of the middle coupled line is adopted. The detailed derivation is proposed as well. In addition, this power divider is fabricated on the substrate Rogers RO4003C with a compact size of 15.19 mm × 11.4 mm. Index Terms— Broad stopband, compact power divider, wide isolated frequency band, wide passband.

I. I NTRODUCTION

P

OWER dividers, essential microwave passive components for wireless communication systems, are widely used for antenna arrays, power amplifiers, mixers, and phase shifters [1]. In particular, the most used power divider is the Wilkinson divider [2]. It is composed of two quarterwavelength transmission lines and one isolated resistor with electrical isolation between two output ports. Moreover, two output ports of the Wilkinson power divider are perfectly matched and with equal phase. However, the Wilkinson power divider is with a narrow bandwidth and a large circuit size. In addition, spurious responses cause interference with various circumstances. Therefore, the power divider is not suitable for many wideband communication systems. In order to increase bandwidth and enhance isolation between output ports, with equal power division, of the conventional Wilkinson power divider, several sections of quarter-wavelength transmission lines are often series connected [3], [4]. However, the circuit size is large and more resistors are required for isolation. In [5], the compact Wilkinson power divider is realized with lumped elements, but results in a narrow frequency band. Although

Manuscript received July 19, 2015; revised January 24, 2016 and March 6, 2016; accepted April 3, 2016. This work was supported in part by the Ministry of Science and Technology, Taiwan, under Grant MOST 104-2221-E-194-012 and Grant MOST 104-2221-E-194-013-MY2. C.-W. Tang is with the Department of Communications Engineering and the Department of Electrical Engineering, Advanced Institute of Manufacturing with High-Tech Innovations, National Chung Cheng University, Chiayi 621, Taiwan (e-mail: [email protected]). J.-T. Chen is with the Department of Electrical Engineering, National Chung Cheng University, Chiayi 621, Taiwan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2554552

the frequency bandwidth of the Wilkinson power divider can be increased with the modified configuration, parallelstrip lines [6], the multilayered structure would complicate the fabricating process. In addition, by embedding transversal signal-interference filtering sections into the arms of conventional Wilkinson-type power-divider topologies, RF/microwave power-distribution actions with intrinsic mono/multi-band bandpass filtering capabilities can be obtained [7]. In order to develop the wideband power divider with a compact size, the one-sectioned Wilkinson power divider is utilized. Moreover, a pair of stepped-impedance open stubs with parallel coupled lines [8] or microstrip coupled lines with open-ended shunted stubs [9] are employed for two symmetrical output ports. In addition, with π-shaped compensated circuit series connected at output ports, a wideband quadrature power divider can be realized [10]. Furthermore, a more compact power divider is realized by three parallel-coupled lines [11]–[13]. In [14], a compact planar power divider is realized with the quarter-wavelength coupled lines replacing two quarter-wavelength transmission lines of the conventional Wilkinson power divider. Moreover, by cascading two sections of coupled lines, a planar dual-band power divider can be realized [15]. However, harmonic frequencies would appear periodically. In order to suppress the second and third harmonics, the quarter-wavelength transmission lines are replaced with cascaded two-sectioned coupled lines [16]. In addition, wide frequency bands can be observed by cascading shunted stubs at two output ports of the conventional Wilkinson power divider [17]. Compared with studies mentioned above, a wide passband, an ultra-wide isolated frequency band between two output ports, a broad transmission stopband, and a compact size are featured altogether in the newly proposed 3-dB power divider. Fig. 1 shows the structure of the proposed power divider, composed of quasi-coupled lines with complex matching impedance Z iso , one open stub shunted at the input port, and two shorted stubs shunted at two output ports separately. The quasi-coupled lines, series connected with one transmission line and three coupled lines, are utilized for the power splitting. Moreover, by shifting the impedance Z iso to the right-hand side of the middle coupled line, a broad isolated frequency band between two output ports can be observed. In addition, with weak–strong–weak coupling [18] and low impedance of the middle coupled line, the adopted

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1.

where Z A is the input impedance on the left side of Fig. 2(a), and can be shown as Z A7 Z A6 (1a) ZA = Z A7 + Z A6 (1b) Z A7 = − j 2Z 3 cot θ3 Z A5 + j 2Z 3 tan θ3 (1c) Z A6 = 2Z 3 · 2Z 3 + j Z A5 tan θ3 Z A4 + j Z 1e tan θ1 (1d) Z A5 = Z 1e · Z 1e + j Z A4 tan θ1 Z A3 + j Z 2e tan θ2 Z A4 = Z 2e · (1e) Z 2e + j Z A3 tan θ2 Z A2 + j Z 1e tan θ1 (1f) Z A3 = Z 1e · Z 1e + j Z A2 tan θ1 Z A1 Z 0 Z A2 = (1g) Z A1 + Z 0 (1h) Z A1 = j Z 4 tan θ4 .

Schematic diagram of the proposed compact power divider.

Fig. 2. Equivalent circuits of the proposed power divider in Fig. 1. (a) Even mode with left-hand side excitation. (b) Even mode with right-hand side excitation. (c) Odd mode with right-hand side excitation.

quasi-coupled lines would result in a wide stopband. Furthermore, with the impedance Z iso by series connecting the resistor R and the capacitor C, a transmission zero would appear within the passband. That is, there would be a conspicuous isolation. Consequently, with the assistance of open and shorted stubs, available passband frequencies at the input port can be significantly increased and the isolated frequency band between two output ports can be widened. The analysis of the prototypical power divider is provided in the following section. II. D ESIGN P ROCEDURES FOR THE P ROTOTYPICAL P OWER D IVIDER The S-parameter of the proposed power divider in Fig. 1 can be obtained with the aid of the even–odd-mode analysis. Moreover, the even- and odd-mode equivalent circuits can be expressed as Fig. 2. As the total electrical length of the traditional Wilkinson power divider, that of the proposed power divider’s even-mode equivalent circuit, shown in Fig. 2(a) and (b), is a quarter wavelength, i.e., 2θ1 + θ2 + e θ3 = π/2. With the derived reflection coefficient in at input port 1 equivalent to the parameter S11 of the proposed power divider, S11 can be then expressed as S11 = in e =

Z A − 2Z 0 Z A + 2Z 0

(1)

e Moreover, according to Fig. 2(b), the reflection coefficient out at the output port 2 (or port 3) can be obtained as Z B − Z0 out e = (2) Z B + Z0 where Z B is the input impedance on the right side of Fig. 2(b), and can be presented as Z B7 Z B6 ZB = (2a) Z B7 + Z B6 (2b) Z B7 = j Z 4 tan θ4 Z B5 + j Z 1e tan θ1 Z B6 = Z 1e · (2c) Z 1e + j Z B5 tan θ1 Z B4 + j Z 2e tan θ2 Z B5 = Z 2e · (2d) Z 2e + j Z B4 tan θ2 Z B3 + j Z 1e tan θ1 (2e) Z B4 = Z 1e · Z 1e + j Z B3 tan θ1 Z B2 + j 2Z 3 tan θ3 Z B3 = 2Z 3 · (2f) 2Z 3 + j Z B2 tan θ3 Z B1 · 2Z 0 (2g) Z B2 = Z B1 + 2Z 0 (2h) Z B1 = − j 2Z 3 cot θ3 .

Consequently, from the odd-mode circuit shown in Fig. 2(c), o at the output port 2 (or port 3) the reflection coefficient out can be derived as ZC − Z0 out o = (3) ZC + Z0 where Z C is the input impedance on the right side of Fig. 2(c), and can be formulated as Z C5 Z C4 (3a) ZC = Z C5 + Z C4 Z C5 = j Z 4 tan θ4 (3b) Z C3 + j Z 1o tan θ1 (3c) Z C4 = Z 1o · Z 1o + j Z C3 tan θ1 Z C2 · Z2iso Z C3 = (3d) Z C2 + Z2iso Z C1 + j Z 2o tan θ2 (3e) Z C2 = Z 2o · Z 2o + j Z C1 tan θ2 Z C1 = j Z 1o tan θ1 . (3f)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TANG AND CHEN: DESIGN OF 3-dB WIDEBAND MICROSTRIP POWER DIVIDER

3

TABLE I C ALCULATED PARAMETERS OF THE P OWER D IVIDER W ITH S ERIES C ONNECTED R AND C AS Z iso

Therefore, the parameter S23 of the proposed power divider can be obtained as out e − out o S23 = . (4) 2 With θ1 = θ2 = θ3 and θ4 = 4θ1, a wide stopband and a broad isolated frequency band can be obtained. Therefore, three transmission zeros resulted from shorted stubs, which are shunted at two output ports, can be expressed as   n · 45◦ · f0 , where n = 1, 2, 3 (5) f zn = θ1 where f 0 is the passband’s central frequency. Consequently, with return loss RL and isolation I determined, the impedances Z 3 , Z 2e , Z 2o , and Z iso of the power divdier can be obtained, where 2Z 0 is selected for two shorted stubs’ impedance Z 4 . In addition, the return loss RL and isolation I can be expressed as RL(dB) = −20 · log |S11 | I(dB) = −20 · log |S23 | .

(6) (7)

In order to obtain a wider passband, −0.1 is chosen for both the real and imaginary parts of S11 . Therefore, RL within the passband is greater than 17 dB. Moreover, with S23 = 0 at f 0 , a transmission zero appears between the output ports 2 and 3. Thus, the isolated frequency band can be broadened. Moreover, because the higher even-mode impedance Z 1e results in a wider passband, 120 and 88.7  are chosen for the optimal impedances Z 1e and Z 1o , respectively. Therefore, transmission zeros f z1 , f z2 , and f z3 , obtained from (5) and located at 2 f 0 , 4 f 0 , and 6 f 0 , respectively, can expand the stopband. The impedances Z 3 , Z 2e , Z 2o , and Z iso can be then derived, and Table I displays the calculated parameters. Consequently, Fig. 3(a) shows the prototype of the proposed power divider. On the other hand, with 17 dB selected for the return loss RL and isolation I, the Z iso will be 75 . Moreover, Table II displays the theoretically calculated parameters. As a result, Fig. 3(b) presents narrower frequency bands with isolation between ouput ports and return loss at two output ports. III. D ESIGN AND S IMULATION OF THE P ROPOSED P OWER D IVIDER An exemplary power divider is developed at the central frequency of 2.4 GHz. Transmission zeros f z1 , f z2 , and f z3 obtained from (5) and located at 4.8, 9.6, and 14.4 GHz, respectively, can then expand the stopband. With the assistance of the series connected R and C as Z iso, a power divider with a wider passband can be realized. Fig. 4(a) shows theoretically calculated results of the proposed power divider, where the series connected R and C are 74.89  and 1.42 pF, respectively. With the in-band return loss greater

Fig. 3. Reponses of the portotypical power divider. (a) With series connected R and C as Z iso . (b) With real number of Z iso . TABLE II C ALCULATED PARAMETERS OF THE P OWER D IVIDER W ITH R EAL N UMBER OF Z iso

than 15 dB, a wide passband of the proposed power divider appears from 1.22 to 4.2 GHz. Moreover, with the out-band attenuation greater than 15 dB, there is a broad stopband, 4.63–14.57 GHz. Furthermore, with the isolation between two output ports greater than 15 dB, an ultra-wide frequency band ranges from 1.77 to 16.94 GHz. On the other hand, with the resistor R and capacitor C parallel connected to realize Z iso as Fig. 4(b), R and C become 104.136  and 0.398 pF, respectively. However, a narrower frequency band ranges from 1.85 and 3.19 GHz with isolation greater than 15 dB between two output ports. Unfortunately, in terms of fabrication, there are no resistance of 74.89  and capacitance of 1.42 pF for surfacemount technology (SMT) resistor R and capacitor C series connected for Z iso . Approximate values, 68  and 1.5 pF, are selected for the SMT resistor R and capacitor C, respectively; consequently, Fig. 5 shows the simulation of the modified power divider. Therefore, with the in-band return loss greater than 15 dB, a wide passband of the modified power divider appears from 1.3 to 4.23 GHz. Moreover, with the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Theoretically simulated results of the proposed power divider with various Z iso . (a) With series connected R and C of 74.89  and 1.42 pF, respectively. (b) With parallel connected R and C of 104.136  and 0.398 pF, respectively.

Fig. 5. Simulated results of the modified power divider with Z iso , realized by series connecting R and C, 68  and 1.5 pF, respectively. TABLE III D IMENSIONS OF THE FABRICATED P OWER D IVIDER Fig. 6. Design example of the proposed power divider. (a) Layout. (b) EM simulated responses by adopting R and C with ideal values and SMT components. (c) Photograph.

out-band attenuation greater than 15 dB, there is a broad stopband, 4.64–14.56 GHz. Furthermore, with the isolation between two output ports greater than 15 dB, an ultra-wide frequency band ranges from 1.69 to 14.97 GHz.

IV. FABRICATION AND M EASUREMENT The proposed power divider is fabricated on a Rogers RO4003C substrate, whose dielectric constant, loss tangent, and layer thickness are 3.55, 0.0027, and 0.813 mm, respectively. These theoretical parameters can be translated into physical dimensions as Table III, with the aid of the electromagnetic (EM) simulator IE3D. Fig. 6(a) shows the layout

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TANG AND CHEN: DESIGN OF 3-dB WIDEBAND MICROSTRIP POWER DIVIDER

5

TABLE IV C OMPARISONS OF R ECENT S TUDIES ON THE P OWER D IVIDER

loss, and port isolation. In particular, within the frequency band from 1.445 to 4.61 GHz, i.e., 104.5% for the fractional bandwidth, the measured insertion loss is less than 0.66 dB and the return loss is greater than 15 dB. Moreover, within the stopband, 5.02–15.25 GHz, the measured harmonic suppression is greater than 17.2 dB. Since the layout of the fabricated circuit would not be exactly the same as the theoretical design, the measured transmission zeros appear at 5.19, 9.62, 11.63, and 14.78 GHz. In addition, the measured isolation between two output ports is greater than 15 dB within the frequency band from 1.67 to 15.3 GHz, and 18.1 dB within the frequency band from 1.85 to 14.9 GHz. Furthermore, it is also indicated that the proposed power divider results in an ultrawide passband, an ultra-wide isolated frequency band, and a broad stopband. As for the imbalance between two output ports shown in Fig. 7(c), the measured amplitude and phase differences are less than 0.15 dB and 6.8◦, respectively, within the passband of 1.445–4.61 GHz. V. C ONCLUSION

Fig. 7. Comparison of the proposed power divider’s measurement and EM simulation by adopting R and C with ideal values. (a) S11 , S22 , and S33 . (b) S21 , S31 , and S23 . (c) Amplitude and phase difference between two output ports.

of the fabricated power divider, whose overall size is 15.19 mm × 11.4 mm. Moreovere, Fig. 6(b) compares EM simulted results of the proposed power divider by adopting R and C with ideal values and SMT components. It is indicated that the SMT components slightly impact on the return loss and isolation at two output ports. In addition, Fig. 6(c) presents the photograph of the fabricated power divider. Fig. 7(a) and (b) demonstrates the EM simulation and measurement of the fabricated power divider’s return loss, insertion

A 3-dB planar wideband power divider with an ultrawide stopband and isolated frequency band has been developed. In order to result in a wide stopband and a broad isolated frequency band between two output ports, the quasi-coupled lines have been adopted. Moreover, for the harmonic suppression within the stopband, the open stub and two shorted stubs are utilized for input and output ports, respectively. In addition, the measured results match well with the simulated results. Table IV, where FBW, RL, and IL stand for the fractional bandwidth, return loss, and insertion loss, respectively, compares the proposed power divider with those in other recent studies. R EFERENCES [1] D. M. Pozar, Microwave Engineering, 3rd ed. New York, NY, USA: Wiley, 2005. [2] E. Wilkinson, “An N-way hybrid power divider,” IRE Trans. Microw. Theory Techn., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

[3] S. B. Cohn, “A class of broadband three-port TEM-mode hybrids,” IEEE Trans. Microw. Theory Techn., vol. MTT-16, no. 2, pp. 110–116, Feb. 1968. [4] H. Oraizi and A.-R. Sharifi, “Design and optimization of broadband asymmetrical multisection Wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 2220–2231, May 2006. [5] L. H. Lu, Y. T. Liao, and C. R. Wu, “A miniaturized Wilkinson power divider with CMOS active inductors,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 775–777, Nov. 2005. [6] L. Chiu and Q. Xue, “A parallel-strip ring power divider with high isolation and arbitrary power-dividing ratio,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 11, pp. 2419–2426, Nov. 2007. [7] R. Gomez-Garcia, R. Loeches-Sánchez, D. Psychogiou, and D. Peroulis, “Single/multi-band Wilkinson-type power dividers with embedded transversal filtering sections and application to channelized filters,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 6, pp. 1518–1527, Jun. 2015. [8] S. W. Wong and L. Zhu, “Ultra-wideband power divider with good in-band splitting and isolation performances,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 518–520, Aug. 2008. [9] M. G. Kim, J. S. Kim, and R. Mittra, “Modified Wilkinson power divider for suppression of nth harmonics,” Electron. Lett., vol. 48, no. 24, pp. 1540–1542, Nov. 2012. [10] H. S. Tae, W. S. Lee, S. T. Khang, K. S. Oh, and J. W. Yu, “Wideband quadrature power divider with π -type compensation circuit,” in 43th Eur. Microw. Conf., Oct. 2013, pp. 148–150. [11] Y. A. Lai, C. M. Lin, J. C. Chiu, C. H. Lin, and Y. H. Wang, “A compact Ka-band planar three-way power divider,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 840–842, Dec. 2007. [12] S. Kim, S. Jeon, and J. Jeong, “Compact two-way and four-way power dividers using multi-conductor coupled lines,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 3, pp. 130–132, Mar. 2011. [13] T. S. Dang, C. W. Kim, and S. W. Yoon, “Ultra-wideband power divider using three parallel-coupled lines and one shunt stub,” Electron. Lett., vol. 50, no. 2, pp. 95–96, Jan. 2014. [14] X. Tang and K. Mouthaan, “Analysis and design of compact two-way Wilkinson power dividers using coupled lines,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, pp. 1319–1322. [15] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupledline dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 286–294, Feb. 2011. [16] D. Kang, Y. H. Pang, and H. H. Chen, “A compact Wilkinson power divider/combiner with two-section coupled lines for harmonics suppression,” in Proc. Asia–Pacific Microw. Conf., Dec. 2012, pp. 995–997. [17] F. Wei, Q. L. Huang, X. W. Shi, and L. Chen, “Compact UWB power divider with one narrow notch-band,” in Proc. Int. Microw. Millim.-Wave Technol. Conf., May 2012, pp. 1–3. [18] C. W. Tang, C. T. Tseng, S. H. Chiu, and P. H. Wu, “Design of wide passband/stopband microstrip bandpass filters with the stepped coupled line,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1095–1103, Mar. 2013. [19] S. S. Gao, S. Sun, and S. Xiao, “A novel wideband bandpass power divider with harmonic-suppressed ring resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 3, pp. 119–121, Mar. 2013. [20] Y. C. Li and Q. Xue, “Dual-functional power divider with harmonic suppression,” in IEEE MTT-S Int. Wireless Symp. Dig., Apr. 2013, pp. 1–4.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[21] P. Cheong, K. I. Lai, and K. W. Tam, “Compact Wilkinson power divider with simultaneous bandpass response and harmonic suppression,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1588–1591. [22] H. Oraizi and M. S. Esfahlan, “Miniaturization of Wilkinson power dividers by using defected ground structures,” Progr. Electromagn. Res. Lett., vol. 4, pp. 113–120, 2008. [23] K. K. M. Cheng and W. C. Ip, “A novel power divider design with enhanced spurious suppression and simple structure,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3903–3908, Dec. 2010. [24] L. Chen and F. Wei, “SCRLH resonators power UWB divider,” Microw. RF, vol. 51, no. 5, May 2012, [Online]. Available: http://mwrf.com/components/scrlh-resonators-power-uwb-divider

Ching-Wen Tang (S’02–M’03–SM’07) received the B.S. degree in electronic engineering from Chung Yuan Christian University, Chungli, Taiwan, in 1991, and the M.S. and Ph.D. degrees in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1996 and 2002, respectively. From 1997 to 2001, he was an RF Engineer with the RF Communication Systems Technology Department, Computer and Communication Laboratories, Industrial Technology Research Institute, Hsinchu, Taiwan, where he was involved in the development of low-temperature co-fired ceramic (LTCC) multilayer-circuit RF components. From 2001 to 2003, he was a Project Manager with Phycomp Taiwan Ltd., Kaohsiung, Taiwan, where he was involved in the development of LTCC components and modules. Since 2003, he has been with National Chung Cheng University, Chiayi, Taiwan, where he is currently a Professor and holds a joint appointment with the Department of Communications Engineering and the Department of Electrical Engineering. He has authored or coauthored over 130 refereed journal and conference papers. His recent research includes the analysis and design of microwave/millimeter-wave planar-type and multilayered circuits. Dr. Tang was an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (2010–2013). He was a Vice-Chair of the IEEE Microwave Theory and Technique Society (IEEE MTT-S) Tainan Chapter (2013–2014). He has been a Chair of the IEEE MTT-S Tainan Chapter since 2015.

Jui-Ting Chen was born in Kaohsiung, Taiwan, in 1988. He received the B.S. degree in electronic engineering from Feng Chia University, Taichung, Taiwan, in 2010, and the M.S. degree in electrical engineering from National Chung Cheng University, Chiayi, Taiwan, in 2015. His current research interests include the design and analysis of RF and microwave circuits.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Planar Balanced Crossover Yi-Hsin Pang, Member, IEEE, Everett D. Lin, and Yen-Yin Chen Abstract— The mixed-mode S-parameters of a generic four-port balanced crossover have been analyzed. According to the S-parameters, a four-port balanced crossover has been presented for the first time. The crossover consists of two microstrip ring-shaped circuits connected by four transmission-line sections and exhibits bisymmetry. Employing even–odd-mode analysis, design equations have been proposed and theoretically verified. For a special case where quarter-wavelength transmission-line sections are utilized, all of the impedances of transmission-line sections in the reduced circuits could be arbitrarily selected. Bandwidth analysis has been conducted to provide a guideline of determining these impedances. For verification, a 2.45-GHz balanced crossover which occupies an area of 0.95×0.95 λ2 has been implemented and measured. The measured differential-mode return loss, isolation, and insertion loss at 2.45 GHz are 25.0, 20.8, and 0.86 dB, respectively. The measured bandwidths in the differential mode are 22.0%, 4.1%, and 5.6% for the 17-dB return loss, 20-dB isolation, and 1-dB insertion loss, respectively. Crossmode S-parameters are less than −31 dB at 2.45 GHz with −20-dB bandwidths of 13%. Bandwidths of 20-dB common-mode rejection are no less than 45.0%. The measured data agree with the full-wave simulated results and validate the proposed design. Index Terms— Balanced crossover, common-mode rejection, differential mode, planar.

I. I NTRODUCTION

A

FOUR-PORT microwave crossover is a circuit which permits signals to cross each other without interference in between. In addition to the requirement in high-density microwave circuits, it is a fundamental component used in Butler matrix for modern beam-forming technology [1]. Air bridges or underpasses could be used in crossovers [2]–[4]. Vias are then required and increase the fabrication complexity. Transition structures without vias have been utilized to realize crossovers [5]–[7]. Etched ground plane is however required. A single-layered planar crossover could be constructed by simply cascading two 90° hybrids [8], from which planar crossovers with two- or multi-section branch-line structures for dual-band or wideband applications could be inspired [9]–[15]. By cascoding two quarter-wavelength branch-line couplers, wideband planar crossovers have also been obtained [16]. Planar crossovers utilizing single or double microstrip rings

Manuscript received August 11, 2015; revised December 20, 2015, and February 26, 2016; accepted March 23, 2016. This work was supported in part by the Ministry of Science and Technology, Taiwan, R.O.C., under Grant MOST 104-2221-E-390-008-. Y.-H. Pang is with the Department of Electrical Engineering, National University of Kaohsiung, Kaohsiung 811, Taiwan, R.O.C. (e-mail: [email protected]). E. D. Lin is with the Institute of Electronics Engineering, National Tsing Hua University, Hsinchu 300, Taiwan, R.O.C. Y.-Y. Chen is with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan, R.O.C. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2551703

Fig. 1. Application of a balanced crossover in a Butler matrix utilizing balanced antennas and circuitries. (a) The use of a composite balanced crossover composed of a single-ended crossover and four baluns. (b) The use of a balanced-to-balanced crossover without baluns.

Fig. 2.

Generic four-port balanced crossover.

have been presented as well [17]–[20]. All of these works are single-ended circuits. For better immunity against environment noise as well as even-order harmonic distortion, balanced circuits are preferred than their single-ended counterparts [21]. Baluns are required for the connection between single-ended circuits and balanced structures. Additional power loss and noise would be produced and degrade the system performance. To avoid the use of baluns, balanced passive circuits, such as filters [21], antennas [22], and power dividers/combiners [23], have been developed. To the best of our knowledge, no balanced crossover has been reported in literature. With the development of balanced antennas, balanced crossovers would be required to get rid of baluns in a Butler matrix consisting of balanced antennas, as depicted in Fig. 1. A balanced crossover could also find its applications in high-density microwave circuits for unavoidable crossing of differential signals. In this paper, general design requirements of a four-port balanced crossover which exhibits bisymmetry are developed. With the requirements, a planar balanced crossover is presented for the first time. It utilizes two microstrip ring-shaped circuits which are connected by four transmissionline sections. Design equations have been derived. Bandwidth analysis of the proposed circuit composed of λ/4 transmissionline sections has also been carried out. A 2.45-GHz prototype has been realized and validated by measurement as well as simulation. II. T HEORY Fig. 2 portrays a generic four-port (Ports A, B, C, and D) balanced crossover. It consists of eight single-ended ports (Ports 1 to 8). Ports 1 (3, 5, 7) and 2 (4, 6, 8) represent the

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

balanced two ports of Port A(B, C, D) with positive and negative polarities, respectively. The mixed-mode S parameters of the crossover are represented by   S dd S dc   S mi x = (1) S cd S cc where S dd and S cc are 4 × 4 submatrices and are called the differential- and common-mode S-parameters, respectively. S dc and S cd are also 4 × 4 submatrices and are called crossmode S parameters. More specifically, S dc (S cd ) describes the conversion of common-mode (differential-mode) waves into differential-mode (common-mode) waves [24]. Each of the submatrices can be written as ⎡ pq pq pq pq ⎤ S A A S AB S AC S AD ⎢ pq pq pq pq ⎥  pq  ⎢ S B A S B B S BC S B D ⎥ ⎥ (2) =⎢ S pq pq pq ⎥ ⎢ pq ⎣ SC A SC B SCC SC D ⎦ pq

pq

pq

pq

S D A S D B S DC S D D where the superscript pq could be dd, dc, cd, or cc and describes one of the above four submatrices. A lossless balanced crossover with differential-mode operation, commonmode rejection, and prohibition of cross-mode conversion should have the following mixed-mode S parameters: ⎡  S



dd



0

0 e j ϕ1

⎢ 0 ⎢ 0 =⎢ ⎢ e j ϕ1 0 ⎣ 0 e j ϕ2

0

are given by



[S]

⎥ 0 e j ϕ2 ⎥ ⎥ 0 0 ⎥ ⎦ 0 0

(3a)

   S cd = S dc = [0]4×4 ⎡



e j ϕ3

0

0

jϕ  ⎢ ⎢ 0 e 4 0 S cc = ⎢ ⎣ 0 0 e j ϕ5

0

0

(3b) 0



0 ⎥ ⎥ ⎥. 0 ⎦

(3c)

0 e j ϕ6

In (3), ϕ1 , ϕ2 , . . ., and ϕ6 are arbitrary constants. The eight-port single-ended S-parameters are then investigated and could be obtained by [24] 1  cc S 2 mn 1  cc S = 2 mn 1  cc S = 2 mn 1  cc S = 2 mn

Sm + n+ = Sm + n− Sm − n+ Sm − n−

 1 cd + S dc + Smn 2 mn  1 cd + S dc − Smn 2 mn  1 cd − S dc + Smn 2 mn  1 cd − S dc − Smn 2 mn

dd + Smn dd − Smn dd + Smn dd − Smn

Fig. 3. Balanced crossover with two symmetry planes P P  and Q Q  . (a) The whole circuit. (b) The reduced circuits for even–odd-mode analysis.

 (4a)  (4b)  (4c)  (4d)

where m and n represent A, B, C, or D. The subscripts m + and m − (n + and n − ) describe the balanced two ports of Port m(n) with the positive and negative polarities, respectively. Substituting (3) into (4), the eight-port single-ended S-parameters



e j ϕ3 ⎢ e j ϕ3 ⎢ ⎢ 0 ⎢ 1⎢ ⎢ 0 = ⎢ j ϕ1 2⎢ e ⎢ j ϕ1 ⎢−e ⎢ ⎣ 0 0

e j ϕ3 e j ϕ3 0 0 −e j ϕ1 e j ϕ1 0 0

0 0

e j ϕ4 e j ϕ4 0 0 e j ϕ2 −e j ϕ2

0 0

e j ϕ4 e j ϕ4 0 0 −e j ϕ2 e j ϕ2

e j ϕ1 −e j ϕ1 0 0 j e ϕ5 e j ϕ5 0 0

−e j ϕ1 e j ϕ1 0 0 j e ϕ5 e j ϕ5 0 0

0 0

e j ϕ2 −e j ϕ2 0 0 e j ϕ6 e j ϕ6

⎤ 0 0 ⎥ ⎥ −e j ϕ2⎥ ⎥ e j ϕ2 ⎥ ⎥ ⎥. 0 ⎥ ⎥ 0 ⎥ ⎥ e j ϕ6 ⎦ e j ϕ6 (5)

Consider a balanced crossover possessing bisymmetry, as shown in Fig. 3(a), where P P  and Q Q  represents the symmetry planes. Owing to the bisymmetry, ϕ5 = ϕ3 and ϕ6 = ϕ4 are enforced in (3c) and (5). Even–oddmode analysis could be applied to get the four reduced circuits shown in Fig. 3(b), where S.C. and O.C. represent, respectively, the symmetry plane being short-circuited and open-circuited [9]. The two-port S-parameters of each reduced circuit can be derived from (5) as  ee ee   j ϕ   ee  S11 S13 e 3 0 S = (6a) ee = 0 e j ϕ4 S ee S33  31    eo eo  eo  S11 S13 e j ϕ3 0 S = = (6b) eo eo 0 e j ϕ2 S31 S33   oe oe   j ϕ  oe  S11 S13 e 1 0 = (6c) S = oe oe 0 e j ϕ4 S31 S33     oo oo  oo  S13 S11 −e j ϕ1 0 S = , (6d) oo S oo = 0 −e j ϕ2 S31 33

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PANG et al.: PLANAR BALANCED CROSSOVER

3

Fig. 5. Design graph of the proposed circuit (0° < θ1 , θ5 < 90°, p = y5 /y1 , θ2 = θ3 = θ4 = θ6 = θ7 = 90°).

where y1 tan θ1 − y5 cot θ5 (9a) y1 + y5 cot θ5 tan θ1 y5 tan θ5 − y1 cot θ1 eo yin = y5 . (9b) y5 + y1 cot θ1 tan θ5  oe   eo   oo   oo   S  =  S  =  S  =  S  = 1 is obviously obtained. The 11 33 11 33 oe oo requirement of S11 = −S11 in (6) leads to oe yin = y1

Fig. 4.

Proposed planar balanced crossover with bisymmetry.

where S ee , S eo , S oe , and S oo are two-port S parameters of the reduced networks labeled with (e, e), (e, o), (o, e), and (o, o), respectively. A planar balanced crossover with two planes of symmetry P P  and Q Q  is proposed and depicted in Fig. 4. Z j and θ j ( j = 1, 2, . . . , 7) are, respectively, the characteristic impedance and electrical length of the transmission-line section. Although single or double microstrip rings have been used to design crossovers in [16]–[20], there are differences between the proposed crossover and these published works in circuit topology and functionality. In [16] and [17], the ports are directly connected to ring-to-ring links. [18] uses a single ring connected with a circular microstrip patch. In [19], [20], and this work, double rings are used and ports are not directly connected to the ring-to-ring links. There are tapers between the outer rings and ports for impedance matching in [19] while these impedance transformers are not required in [20] and our proposed balanced crossover. In addition, these published works are single-ended crossovers while our proposed crossover is a balanced circuit. Let y j = Z 0 /Z j ( j = 1, 2, . . . , 7) be the normalized characteristic admittance of the transmission-line section of line impedance Z j where Z 0 is the port impedance. It can be shown that, if θ2 = θ6 = 90° at the operating frequency f = f 0 , the proposed circuit has ee eo = S11 = e jπ (7a) S11 ee oe S33 = S33 = e j π (7b) in its reduced circuits as depicted in Fig. 3(b). If θ3 = θ4 = θ7 = 90° at f 0 is also selected, the following S parameters can be obtained at f 0 : oe 1 − j yin oe S11 = (8a) oe 1 + j yin eo 1 − j yin eo S33 = (8b) eo 1 + j yin 1 + j y1 cot θ1 oo S11 = (8c) 1 − j y1 cot θ1 1 + j y5 cot θ5 oo S33 = (8d) 1 − j y5 cot θ5

y12 cot2 θ1

y1 tan θ1 − y5 cot θ5 = 1. y1 cot θ1 + y5 cot θ5

(10a)

eo oo Similarly, the condition of S33 = −S33 results in

y52 cot2 θ5

y5 tan θ5 − y1 cot θ1 = 1. y5 cot θ5 + y1 cot θ1

(10b)

In the following analysis, 0° < θ1 , θ5 ≤ 90° is assumed for simplicity. From (10), θ5 , y1 , and y5 could be solved in terms of θ1 and p = y5 /y1 as q = p2 tan θ1 + cot θ1     p q ± q2 − 4 θ5 = tan−1 2  tan θ5 + p tan θ1 y1 = tan θ1 tan θ1 tan θ5 − p y5 = py1 .

(11a) (11b) (11c) (11d)

Validity of (11) is discussed as follows. 1) If p > 1, θ1 could be arbitrarily selected. The positive sign in (11b) should be taken so that y1 in (11c) would be a positive real number. 2) If p = 1, θ1 > 45° should be selected.     3) If 0 < p < 1, θ1 ≥ tan−1 (1/ p2 ) 1 + 1 − p2 should be chosen for admissible θ5 and y1 . With predetermined values of p and θ1 satisfying the above constraints, (11) could be used to find θ5 , y1 , and y5 . It should be noticed that (7)–(11) are independent of y2 , y3 , y4 , y6 , and y7 . In addition, there is a special case for (11) if θ1 = 90°. If θ1 = 90°, θ5 = 90° is obtained by (11b). Any positive values of y1 and y5 are theoretically applicable in this special case. Fig. 5 depicts the solutions of (11) for p = 0.6, 0.8, . . ., and 2.0. For p < 1, the size (θ1 + θ5 ) would be reduced if the minus sign is selected in (11b) when θ1 is large (θ1 < 90°). With this choice, however, y1 and y5 will be very large since the numerator in (11c) is proportional to tan θ1 while the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I D IFFERENT D ESIGNS OF THE BALANCED C ROSSOVER (θ2 = θ3 = θ4 = θ6 = θ7 = 90°)

center frequency f 0 . With the use of λ/4 transmission-line sections where λ is the guided wavelength at f0 , the magnitude of S parameters would be locally symmetric about f 0 and usually have wider bandwidths. The following discussions focus on this condition. Though it is not required, y5 = y1 , y6 = y2 , and y7 = y3 are adopted for the consideration of symmetry between the two orthogonal transmission paths (Ports A to C and Ports B to D). To have a proper choice of dd cc cc admittances, bandwidth analysis for S dd A A , S B A , S B A , and SC A has been performed. Let θ be the electrical length of each transmission-line section at frequency f , that is, θ = 90° at f = f 0 . Employing even–odd-mode analysis for the proposed crossover, the following S-parameters could be obtained at frequency f :  1  oe oo S11 + S11 (12a) S dd AA = 2 1 oo S dd (12b) B A = S31 2 1 ee S cc (12c) B A = S31 2  1  ee eo S11 − S11 (12d) SCccA = 2 where 1 − y oe,eo oe,eo S11 = (13a) 1 + y oe,eo 1 + yoee,oo yeee,oo   1 + j yoee,oo 1 + j yeee,oo   j yoee,oo − yeee,oo     = 1 + j yoee,oo 1 + j yeee,oo   oe,eo y + j y1 tan θ + j y d f − j y2   = oe,eo + y1 t y1 + j y oe,eo + j yd tan θ

ee,oo S11 = 

(13b)

ee,oo S31

(13c)

y oe,eo

(13d)

f

Fig. 6. (a) S dd AA ,

Theoretical S-parameters of the three different dd , S dd , and S cd . (b) S cc , S dc , S cc , and SC A BA BA AA BA BA

designs in Table I. cc . SC A

denominator approaches to a constant. The impedance is hard to be realized. The positive sign is therefore preferred in (11b) when θ1 is large. For p = 1 and p > 1, the size decreases as θ1 approaches to 45° and 0°, respectively. The line impedances are however too low to be implemented. To verify (11), three different designs with realizable line impedances are theoretically studied. The three designs, denoted by Designs A ( p = 0.6 and θ1 = 85°), B ( p = 1.0 and θ1 = 60°), and C ( p = 1.4 and θ1 = 50°), have the design parameters listed in Table I. In Table I, y2 = y3 = y6 = y7 = 1.0 and y4 = y1 are adopted but not necessarily required. Fig. 6 shows theoretical S-parameters of these designs. For simplicity, only eight of the mixed-mode S-parameters are drawn. These S-parameters show that a balanced crossover is realized within a certain bandwidth for each design and (11) is thus validated. It is mentioned that all of the line impedances can be arbitrarily chosen for θ1 = θ2 = · · · = θ7 = 90° at the

+ j y1 tan θ (1 + j y2 y1 + j (1 + j y2t oe,eo ) tan θ y3 (tan θ − cot θ ) + y4 tan θ yd = y4 y4 − y3 (tan θ − cot θ ) tan θ t oe,eo )

y oe,eo = y1 f

yoee,oo = −y1 cot θ + y2 t ee,oo yeee,oo = y2 t ee,oo + t oe = t ee = −

2y3 t ee,oo +y4 tan θ 1 2 y4 y4 −2y3 t ee,oo tan θ + y1 tan θ y1 ee,oo +y tan θ 3t 4 y1 − 12 y4 2y y4 −2y3 t ee,oo tan θ tan θ

1

1

(13f) (13g) (13h)

= tan θ. (13i) t oo Fig.  dd  7 illustrates the bandwidth of the proposed circuit for  S  < −20 dB. As shown in Fig. 7, the maximum −20-dB AA bandwidth of S dd A A is around 24%. For each set of (y2 , y3 , y4 ), there is an optimal y1 for the maximal bandwidth. The optimal y1 decreases when y2 or y3 increases, or y4 decreases. In general, the maximal bandwidth decreases with  the increasing  < −20 dB of y3 if y4 ≤ 1. The bandwidth of  S dd BA is depicted in Fig. 8. y2 has less effect on the bandwidth of S dd B A so that only the bandwidths at y2 = 0.5 and 2.0 are illustrated. Fig. 8 shows that the bandwidth of S dd B A increases t eo

=−

(13e)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PANG et al.: PLANAR BALANCED CROSSOVER

Fig. 7.

Bandwidth of |S dd AA | < −20 dB (θ1 = θ2 = · · · = θ7 = 90°).

Fig. 8.

Bandwidth of |S dd B A | < −20 dB (θ1 = θ2 = · · · = θ7 = 90°).

5

Fig. 10.

Bandwidth of |S cc B A | < −20 dB (θ1 = θ2 = · · · = θ7 = 90°).

Fig. 11. Theoretical S parameters of the proposed circuit (y1 = y4 = y5 = 1.414, y2 = y3 = y6 = y7 = 1.0, and θ1 = θ2 = · · · = θ7 = 90°).

Fig. 9.

cc | < −20 dB (θ = θ = · · · = θ = 90°). Bandwidth of |SC 1 2 7 A

if y1 or y3 decreases, or y4 increases. In Fig. 9, bandwidth of  SCccA  < −20 dB is shown, and has a minimum value of around 15% at y1 = y3 = 2.0 and y2 = y4 = 0.5.

   Fig. 10 shows that the minimum bandwidth of  S cc BA < −20 dB is also around 15%. The decrease of y1 or increase of y2 would enhance the bandwidths of common-mode rejection. Figs. 7–10 provide a guideline to design the proposed circuit. With the tradeoff between bandwidths of S dd A A and S dd , and consideration of fabrication, y = y = 1.414 1 4 BA and y2 = y3 = 1.0 are selected. This design is denoted as Design D in Table I. Its theoretical −20-dB bandwidths of dd cc cc S dd A A , S B A , SC A , and S B A are 22.1%, 3.9%, 67.4%, and 44.3%, respectively. Fig. 11 shows the theoretical S-parameters of cd Design D. Due to its symmetry, S cd A A = SC A = 0 is inherently pq confirmed and is not shown in Fig. 11. Besides, S A A = pq pq pq pq pq pq pq S B B = SCC = S D D , S B A = SC B = S DC = S D A , and pq pq SC A = S D B are ensured for any mode pq = dd, dc, cd, or cc. The other S-parameters not shown in Fig. 11 can be obtained by reciprocity. For the differential mode, good return loss and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Design graph of the proposed circuits (θ1 = θ2 = · · · = θ7 = 90°, y5 = y1 , and y6 = y2 ). Solid lines: contours for bandwidth dd | > −0.3 dB. Dashed lines: contours for in-band maximum of |S dd |. of |SC A AA (a) y3 = y7 = 0.5 and y4 = 1.0. (b) y3 = y7 = 0.5 and y4 = 1.414. (c) y3 = y7 = 1.0 and y4 = 1.0. (d) y3 = y7 = 1.0 and y4 = 1.414. (e) y3 = y7 = 1.5 and y4 = 1.0. (f) y3 = y7 = 1.5 and y4 = 1.414.

Fig. 13. Design graph of the proposed circuits (θ1 = θ2 = · · · = θ7 = 90°, y5 = y1 , and y6 = y2 ). Solid lines: contours for bandwidth dd of |SC A | > −1.0 dB. Dashed lines: contours for in-band maximum of |S dd AA |. (a) y3 = y7 = 0.5 and y4 = 1.0. (b) y3 = y7 = 0.5 and y4 = 1.414. (c) y3 = y7 = 1.0 and y4 = 1.0. (d) y3 = y7 = 1.0 and y4 = 1.414. (e) y3 = y7 = 1.5 and y4 = 1.0. (f) y3 = y7 = 1.5 and y4 = 1.414.

isolation are achieved at the center frequency and have the bandwidths as mentioned above. The theoretical bandwidth of 1-dB insertion loss (1/SCddA ) is 16%. S cd B A in Fig. 11 reveals prohibition of mode conversion at center frequency with a −20-dB bandwidth of 12%. Wideband common-mode rejection with the predicted bandwidth is also observed. The 1-dB bandwidth of common-mode return loss is about 72%. The proposed balanced crossover is theoretically validated. Figs. 12 and 13 provide design graphs of the proposed balanced crossover for some selected values of y3 and y4 . For simplicity, θ1 = θ2 = · · · = θ7 = 90° at f 0 , y5 = y1 , y6 = y2 , and y7 = y3 are adopted. In Figs. 12 and 13, solid  dd  lines  dd give contours for bandwidth of SC A > −0.3  dB and  S  > −1.0 dB, respectively. Bandwidth of  S dd  could be CA CA analyzed using   1 oe oo S − S11 (14) SCddA = 2 11 oe,oo where S11 are given by (13a) and (13b), respectively. Within the corresponding frequency band, the in-band max  are represented by dashed lines. For given imum of  S dd AA   specifications (bandwidth of  SCddA  and tolerable maximum of

   in-band  S dd A A ), a design procedure of the proposed crossover is described as follows. Step 1) Choose y3 and y4 arbitrarily. If y3 and y4 are the selected values in Fig. 12 or Fig. 13, design graphs in Fig. 12 or Fig. 13 could be used in the following steps. Otherwise, design graphs for the chosen y3 and y4 should be generated using (12)–(13). Step 2) According to the given specifications (bandwidth    of  S dd  and tolerable maximum of in-band  S dd ), the CA AA interception point of solid and dashed lines could be obtained. Values of y1 and y2 are accordingly determined. Step 3) Choose y5 = y1 , y6 = y2 , and y7 = y3 . Electrical length of each transmission-line section is designed to be 90° at the center frequency. Step 4) Use Fig. 8, (12)–(13), or a microwave circuit simulator to find the bandwidth of differential-mode isolation. If the realized bandwidth of differentialmode isolation is not enough, try to select a smaller value of y3 or a larger value of y4 and redesign the circuit from step 1).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PANG et al.: PLANAR BALANCED CROSSOVER

7

Fig. 15. Fig. 14. Theoretical S-parameters of the proposed circuit (y1 = y5 = 1.25, y2 = y6 = 1.1, y3 = y7 = 0.5 and y4 = 1.414. θ1 = θ2 = · · · = θ7 = 90°).

Proposed balanced crossover. (a) Layout. (b) Photograph.

TABLE III L AYOUT D IMENSIONS OF THE FABRICATED C ROSSOVER (U NIT: mm)

TABLE II L INE I MPEDANCES OF THE BALANCED C ROSSOVER

  For example, 0.3-dB bandwidth of  SCddA  = 10% and  dd  in-band  S A A  < −16 dB are specified. At the first step, y3 = 0.5 and y4 = 1.414 are selected. Using Fig. 12(b), y1 = 1.25 and y2 = 1.1 are approximately determined. Following steps 3) and 4), differential-mode S-parameters of the designed crossover in this example are depicted in Fig. 14. The simulated results show that the bandwidth of 0.3-dB insertion loss is 9.8% and the in-band return loss is better than 16.3 dB, as predicted by the designed graphs. In this example, the in-band isolation is better than 17.5 dB. By observing Figs. 7 and 8, the maximum bandwidth  dd  of S  < 20-dB differential-mode return loss and isolation ( AA    < −20 dB) is around 7.6% with y1 = −20 dB and  S dd BA y5 = 1.17, y2 = y6 = 2.0, y3 = y7 = 0.5, and y4 = 1.414. Within the operating band, differential-mode insertion loss is larger than 0.12 dB. In the above design example shown in Fig. 14, bandwidth of 0.3-dB insertion loss could be 10% with in-band return loss and isolation loss better than 16 dB in the differential mode. The proposed circuit could be applicable in narrow-band systems, such as wireless local area network (WLAN) in 2.4–2.5 GHz. III. M EASUREMENT A 2.45-GHz balanced crossover has been designed with the normalized admittances and electrical lengths of Design D in Table I. Table II lists the line impedances of the designed prototype, the port impedances of which are 50-. The designed circuit was implemented on a RO4003C substrate which has dielectric constant εr = 3.55, thickness h = 0.508 mm, and loss tangent tan δ = 0.0028. The fabricated crossover has been measured by Agilent E5071C two-port vector network analyzer for verification. For each two-port measurement, a pair of ports is connected to the analyzer and the other ports are connected to 50- terminators.

The measured single-ended S parameters are then combined to obtain the mixed-mode results according to (4). Full-wave simulation using Keysight Momentum has also been carried out for comparison. The layout and photograph of the fabricated crossover are shown in Fig. 15 with dimensions shown in Table III. The circuit occupies a size of 69.66 × 69.66 mm2 (excluding feed lines), which is around 0.95 × 0.95 λ2 . Fig. 16 shows the measured mixed-mode S parameters which are in good agreement with the full-wave simulated data. Frequency deviation of less than 50 MHz is observed and may be contributed by the fabrication tolerance and variation of dielectric constant of the substrate. Good symmetry of the fabricated circuit is also achieved. As shown in Fig. 16(a), the measured differential-mode return loss at 2.45 GHz is better than 25.0 dB for each port. Each return loss is larger than 17 dB in the frequency range of 2.14–2.68 GHz, the bandwidth of which is 22.0%. The differential-mode isolation (1/S dd B A, dd ) at 2.45 GHz is measured to be at 1/SCddB , 1/S dd , and 1/S DC DA least 20.8 dB with a 20-dB bandwidth about 4.1%. Insertion loss 1/SCddA and 1/S dd D B are measured (simulated) to be 0.74 dB (0.42 dB) and 0.86   dB (0.41  dB) at 2.45 GHz and have  1-dB bandwidths ( SCddA  ,  S dd D B > −1 dB) of 7.5% (10.7%) and 5.6% (10.7%), respectively. Fig. 16(b) and (c) depicts the cross-mode S parameters. The S cd,dc A A,B B,CC,D D,C A,D B in simulation is less than −52 dB from 1.0 GHz to 4.0 GHz, while it is less than −28 dB in measurement. The discrepancy is caused by fabrication inaccuracy and imperfect matched terminators. For S cd,dc B A,C B,DC,D A, the mode conversion is less than −31 dB at 2.45 GHz in both measured and simulated data. Its −20-dB bandwidth is about 13%. In Fig. 16(d), both the measured and simulated results show common-mode return loss better than 0.2  dB for each port. (simulated)  The measured  bandwidths      cc of S cc > −1 dB,  S B A,C B,DC,D A < −20 dB,  D  A A,B B,CC,D   and SCccA,D B  < −20 dB are around 66% (69%), 45% (46%),

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Composite balanced crossover realized by using a single-ended crossover with four λ/2 transmission lines at one port of each pair of balanced ports.

IV. D ISCUSSION

Fig. 16. Simulated and measured mixed-mode S parameters. (a) S dd . (b) S cd . (c) S dc . (d) S cc (meas: measurement; sim: simulation).

and 67% (67%), respectively. A wideband common-mode rejection of the proposed crossover is realized. Measured and simulated data validate the proposed design.

The proposed crossover occupies an area of 0.95 × 0.95 λ2 which is comparable to sizes of balanced-to-balanced threeport power dividers (0.5 × 0.75 λ2 in [23] and 0.97 × 0.87 λ2 in [25] without miniaturization technique). For a single-ended crossover, the size is around 0.25 × 0.25 λ2 [16], [17]. For a composite balanced crossover composed of a singleended crossover and four Marchand baluns, as depicted in Fig. 1(a) [26], its size is estimated to be 0.75 × 0.75 λ2 . However, as described in the Introduction, vias are required and should be avoided in a planar crossover. The vias, coupled lines, and open-end structures in Marchand baluns would also introduce additional loss and noise. If a Wilkinson power divider along with a 180° phase shifter [27] or a rat-race coupler [28] is used as the balun in the composite balanced crossover, the circuit size would be larger than that of the proposed balanced crossover. Lump elements also makes the composite balanced crossover unfavorable. A composite balanced crossover realized by using a singleended crossover with four λ/2 transmission lines at one port of each pair of balanced ports, as shown in Fig. 17, is simulated for comparison. The single-ended crossover presented in [17] is adopted with normalized impedances z 1 = 0.525, z 2 = 1.016, electrical lengths θ1 = 125°, and θ2 = 38°. For this work, design parameters of Fig. 14 are chosen. By using a microwave circuit simulator with ideal transmissionline model, the composite balanced crossover and this work are simulated, as shown in Fig. 18, and compared as follows. 1) This work occupies an area of around 1λ2 . For the composite balanced crossover, the area could be about 0.25 × 0.25 λ2 with the λ/2 transmission lines being folded. 2) With the specifications of 20-dB return loss, 20-dB isolation, and 1-dB insertion loss, the bandwidths of this work and the composite balanced crossover are 7.6% and 9.4%, respectively. It could be observed that the bandwidth of the differential-mode isolation of the composite balanced crossover is larger than that of this work.   3) The bandwidth of  SCcdA  < −20 dB for the composite balanced crossover is 13%. However, the cross-mode insertion loss of this work is theoretically infinite at any frequency. In addition, the bandwidth of 20-dB crossmode isolation of this work is 15%.    4) For common-mode rejection ( S cc A A > −1 dB), this work exhibits a bandwidth of 71% which is wider than the bandwidth (38%) of the composite balanced crossover.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PANG et al.: PLANAR BALANCED CROSSOVER

9

transmission line would be higher than the unloaded line. Insertion loss would be deteriorated due to the high impedance microstrip lines. A tradeoff between size, bandwidth, and insertion loss would be required with the capacitive-loaded technique. V. C ONCLUSION

Fig. 18. Circuit simulation of this work (y1 = y5 = 1.25, y2 = y6 = 1.1, y3 = y7 = 0.5, and y4 = 1.414. θ1 = θ2 = · · · = θ7 = 90°) and the composite balanced crossover in Fig. 17 with single-ended crossover proposed dd in [17] (z 1 = 0.525, z 2 = 1.016, θ1 = 125° and θ2 = 38°). (a) S dd AA , S B A , dd . (b) S cd , S cd , and S cc . (S cd = 0 at any frequency for this work). and SC A BA CA AA CA

Based on the analysis of a generic four-port balanced crossover, a planar balanced crossover has been presented and analyzed in this paper. Design equations have been derived and theoretically verified. According to bandwidth analysis of the proposed crossover utilizing λ/4 transmission-line sections, common-mode rejection has a bandwidth of at least 15% while tradeoff between the differential-mode return loss and isolation is observed. With a selection of optimal impedances, a 2.45-GHz balanced crossover with rejection of mode conversion and common-mode transmission has been experimentally validated and supported by full-wave simulation. The maximum bandwidth of 20-dB differential-mode return loss and isolation is about 7.6%. To improve the bandwidth of the crossover, it has to enlarge the bandwidth of differentialoe = −S oo mode insertion loss. According to (12a) and (14), S11 11 oe oo is required for 0-dB insertion loss. In this work, S11 = −S11 is only satisfied at the center frequency and hence the bandwidth oe = −S oo could be fulfilled at multiple nearby is limited. If S11 11 frequencies, bandwidth of the crossover could be enlarged. From the reduced networks shown in Fig. 3(b), a possible oe = −S oo at multiple nearby frequencies is to solution for S11 11 design a filter-like structure between Ports 1 and 3. The filterlike structure would introduce transmission poles at multiple oe oo = −S11 could be satisfied at nearby frequencies so that S11 these frequencies and the bandwidth would be increased. ACKNOWLEDGMENT

In summary, compared with the composite balanced crossover consisting of the single-ended crossover and four λ/2 transmission lines at one port of each pair of balanced ports, this work occupies a larger area and narrow-band isolation. However, this work exhibits very wideband crossmode insertion loss and common-mode rejection. In realistic situation, in addition to the structural asymmetry between the positive and negative single-ended ports caused by the λ/2 transmission lines, extra imbalance would be caused by the loss of these λ/2 transmission lines. These λ/2 transmission lines would be folded or meandered for connection with their previous or following balanced circuits. Imbalanced would also be introduced by the discontinuity and coupling effects due to the meandering of these transmission lines, especially at very high frequency. It is thus necessary to develop a truly balanced planar crossover as proposed in this work. The size of the proposed crossover could be reduced by loading conventional transmission lines with shunt capacitive elements, such as lump capacitors [28] and microstrip opencircuited stubs [25]. However, the size is reduced at the expense of bandwidth due to the dispersion of these capacitiveloaded transmission lines. Besides, impedance of the host

The authors would like to acknowledge National Chip Implementation Center (CIC), National Applied Research Laboratories, Taiwan, R.O.C. for their support in simulation software. R EFERENCES [1] C.-C. Chang, R.-H. Lee, and T.-Y. Shih, “Design of a beam switching/steering butler matrix for phased array system,” IEEE Trans. Antennas Propagat., vol. 58, no. 2, pp. 367–374, Feb. 2010. [2] G. E. Ponchak and E. Tentzeris, “Development of finite ground coplanar (FGC) waveguide 90 degree crossover junctions with low coupling,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, pp. 1891–1894. [3] W. Liu, Z. Zhang, Z. Feng, and M. F. Iskander, “A compact wideband microstrip crossover,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 5, pp. 254–256, May 2012. [4] S. Y. Eom, A. Batgerel, and L. Minz, “Compact broadband microstrip crossover with isolation improvement and phase compensation,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 7, pp. 481–483, Jul. 2014. [5] K. U-yen, E. J. Wollack, S. H. Moseley, T. R. Stevenson, W.-T. Hsieh, and N. T. Cao, “Via-less microwave crossover using microstrip-CPW transitions in slotline propagation mode,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1029–1032. [6] A. M. Abbosh, “Wideband planar crossover using two-port and fourport microstrip to slotline transitions,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 9, pp. 465–467, Sep. 2012. [7] A. Abbosh, S. Ibrahim, and M. Karim, “Ultra-wideband crossover using microstrip-to-coplanar waveguide transitions,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 10, pp. 500–502, Oct. 2012.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

[8] J. S. Wight, W. J. Chudobiak, and V. Makios, “A microstrip and stripline crossover structure,” IEEE Trans. Microw. Theory Techn., vol. MTT-24, no. 5, p. 270, May 1976. [9] F.-L. Wong and K.-K. M. Cheng, “A novel, planar, and compact crossover design for dual-band applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 568–573, Mar. 2011. [10] J. Shao, H. Ren, B. Arigong, C. Li, and H. Zhang, “A fully symmetrical crossover and its dual-frequency application,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2410–2416, Aug. 2012. [11] Z.-W. Lee and Y.-H. Pang, “Compact planar dual-band crossover using two-section branch-line coupler,” Electron. Lett., vol. 48, no. 21, pp. 1348–1349, Oct. 2012. [12] F. Lin, Q.-X. Chu, and S. W. Wong, “Dual-band planar crossover with two-section branch-line structure,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2309–2316, Jun. 2013. [13] S.-Y. Hsieh and P.-L. Chi, “Miniaturized dual-band composite right/lefthanded crossover,” in Proc. Asia–Pacific Microw. Conf., Nov. 2013, pp. 282–284. [14] J. J. Yao, “Nonstandard hybrid and crossover design with branchline structures,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3801–3808, Dec. 2010. [15] J. Yao, C. Lee, and S. P. Yeo, “Microstrip branch-line couplers for crossover application,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 87–92, Jan. 2011. [16] C.-W. Tang, K.-C. Lin, and W.-C. Chen, “Analysis and design of compact and wide-passband planar crossover,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 2975–2982, Dec. 2014. [17] Y.-C. Chiou, J.-T. Kuo, and H.-R. Lee, “Design of compact symmetric four-port crossover junction,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 545–547, Sep. 2009. [18] Y. Wang, A. M. Abbosh, and B. Henin, “Broadband microwave crossover using combination of ring resonator and circular microstrip path,” IEEE Trans. Compon. Packag. Manuf. Technol., vol. 3, no. 10, pp. 1771–1777, Oct. 2013. [19] Y. Chen and S.-P. Yeo, “A symmetrical four-port microstrip coupler for crossover application,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 11, pp. 2434–2438, Nov. 2007. [20] Y.-C. Chiou, C.-H. Tsai, and J.-T. Kuo, “Comments on ’a symmetrical four-port microstrip coupler for crossover application’,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1859–1860, Jul. 2009. [21] C.-H. Wu, C.-H. Wang, and C. H. Chen, “Novel balanced coupled-line bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 2, pp. 287–295, Feb. 2007. [22] M. A. B. Abbasi, M. Rizwan, H. Zahra, S. Shahid, and W.-H. Yeh, “Wideband balanced antenna with open-end loop for handset applications,” in Proc. Int. Symp. Antennas Propagat., Dec. 2014, pp. 479–480. [23] B. Xia, L.-S. Wu, and J. Mao, “A new balanced-to-balanced power divider/combiner,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2791–2798, Sep. 2012. [24] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common-mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [25] L.-S. Wu, Y.-X. Guo, and J.-F. Mao, “Balanced-to-balanced Gysel power divider with bandpass filtering response,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4052–4062, Dec. 2013.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[26] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 2, pp. 402–406, Feb. 2001. [27] M. A. Antoniades and G. V. Eleftheriades, “A broadband Wilkinson balun using microstrip metamaterial lines,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 209–212, Aug. 2005. [28] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2012, ch. 7 and 8.

Yi-Hsin Pang (M’09) received the B.S., M.S., and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1994, 1996, and 2003, respectively. From January 2004 to July 2008, he was a Design Engineer with the SoC Technology Center of Industrial Technology Research Institute, Hsinchu, Taiwan. Since August 2008, he joined the faculty of the Department of Electrical Engineering, National University of Kaohsiung, Kaohsiung, Taiwan, where he is currently an Assistant Professor. His areas of interest include microwave couplers and filters, computational electromagnetics, and Web-based electromagnetic education.

Everett D. Lin received the B.S. degree in electrical engineering from National University of Kaohsiung, Kaohsiung, Taiwan, in 2015. He is currently working toward the M.S. degree at the Institute of Electronics Engineering at National Tsing Hua University, Hsinchu, Taiwan. His current research includes the design and analysis semiconductor of SiC power device.

Yen-Yin Chen was born in Tainan, Taiwan, in 1993. She received the B.S. degree in electrical engineering from National University of Kaohsiung, Kaohsiung, Taiwan, in 2015. She is currently working toward the M.S. degrees in electrical engineering at National Taiwan University of Science and Technology, Taipei, Taiwan. Her research interests include Wilkinson power dividers, couplers, filters, and self-oscillating active integrated antennas.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Broadband Integrated Class-J Power Amplifier in GaAs pHEMT Technology Amirreza Alizadeh, Student Member, IEEE, and Ali Medi, Senior Member, IEEE

Abstract— This paper presents a design methodology for class-J monolithic microwave integrated circuit (MMIC) power amplifiers (PAs). Theoretical derivations of optimum load impedances, output power, efficiency, and maximum bandwidth are described in presence of nonlinear drain–source resistance of transistors (R D S). A procedure is developed for ideal transistor sizing where transistors are concurrently stabilized and sized to achieve the maximum power-added efficiency (PAE). A 3.5–7 GHz, 0.5-W class-J PA is implemented in a 0.1-µm AlGaAs–InGaAs pHEMT technology to check the accuracy of the proposed approach. With chip dimensions of 1.57 × 1.29 mm2 , the PA achieves 56% average PAE over the frequency band while maintaining an average 11-dB small-signal gain. Index Terms— Class-J, monolithic microwave integrated circuit (MMIC), pHEMT, power amplifiers (PAs), wideband amplifiers.

I. I NTRODUCTION

H

IGH power-added efficiency (PAE), high output power, and wide bandwidth are the main characteristics of RF/microwave power amplifiers (PAs). Efficient spectrum allocations lead to bandwidths of 5% or lower for the PAs employed in wireless communication systems. In these PAs, transistors must be terminated in the optimum impedances at the fundamental and higher order harmonics. Furthermore, very high levels of device gain compression are typically needed to obtain high PAEs resulting in limited bandwidth (less than 10%) and nonlinear operation. Hence, broadband systems, such as radars and environmental climate monitoring (ECM) systems, have not benefited from these techniques because of the narrowband nature of multiharmonic impedance-termination networks. Moreover, future systems, including WiMax, 4G, 5G, and beyond, will likely require larger bandwidths due to their wider spectral allocations caused by the extended bandwidth of baseband signals. In most of these systems, to address the stringent linearity requirement of the digital modulation signals, PAs should operate in the back-off region, which results in significant efficiency degradation; however, envelope-tracking (ET) techniques can be employed to alleviate this problem [1]. Broadband integrated PAs have gained a lot of attention as they reduce the need for multiple amplifiers in wireless systems, saving the development costs and accelerating

Manuscript received September 9, 2015; revised February 7, 2016 and March 25, 2016; accepted April 3, 2016. The authors are with the Department of Electrical Engineering, Sharif University of Technology, Tehran 11365-9363, Iran (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2552167

the implementation cycles. Monolithic microwave integrated circuit (MMIC) PAs offer compact size, high operating frequency, fewer sample-to-sample variations, and high reliability compared with their hybrid microwave integrated circuit (HMIC) counterparts. A newly presented mode of operation, class-J, has shown the theoretical potential of obtaining linear PAs that have similar efficiency and linearity of conventional class-AB designs [2], [3]. The Class-J amplifier achieves not only high efficiency over wide bandwidths, but also good linearity and back-off efficiency [4], [5]. The class-J mode uses the secondharmonic voltage enhancement technique [2], [3], [6], [7]. This technique poses an immediate problem as the device absorbs second-harmonic power [6], [8]. The class-J approach utilizes a 45◦ phase shift between drain voltage and currentgenerator signals to terminate the second harmonic into a purely reactive impedance [2], [3]. Although this significantly simplifies the design of matching networks for the class-J mode, the overlap between drain voltage and current signals degrades the efficiency improvement of the second harmonic tuning approach by cos(π/4) yielding to maximum drain efficiency of 78%. Nonlinear characteristics of drain-source capacitance (CDS ) can be employed to reduce the phase shift needed for the ideal class-J operation [8]. The lower phase shift caused by the nonlinear CDS improves the drain efficiency of a class-J PA to be higher than 78%, as explained in [6] and [8]. To date, there have been few reports of broadband class-J PAs [1], [3], [5], [9]–[13]. Employing a 10-W galliumnitride (GaN) high electron mobility transistor (HEMT), a 9–10 W class-J PA with PAE of more than 50% across the 1.6–2.4-GHz bandwidth is presented in [3], where the optimum class-J impedances are chosen to prevent the drain– source voltage (VDS ) from knee-clipping. As described in [3], if VDS becomes lower than the knee voltage of the transistor (VK ), bandwidth of the PA will decrease because of the strong nonlinearity introduced by the clipping mechanism. Based on a Cree GaN HEMT, a 1.6–2.2-GHz class-J PA with 55%–68% drain efficiency and 10-W output power as well as a 39–40-dBm broadband class-J PA with more than 50% drain efficiency across the 0.5–1.8-GHz bandwidth are presented in [9]. A simple double-stub matching architecture is used for load matching of the 1.6–2.2-GHz PA, whereas a stepped-impedance microstrip-line network is employed for the 0.5–1.8-GHz PA [9]. A pool of matching networks is created for the above-mentioned topologies, and the best one in each case is chosen. Despite excellent results, this method can only be used as long as closed-form design

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Equivalent circuit of the transistor in class-J operation. CDS is absorbed in the OMN. Fig. 1. Simulated CDS and RDS of a 8 × 40 μm transistor versus VDS . (VGS = −0.9 V).

equations for matching topologies are available. In addition, the selected topologies are not practical in integrated designs as they occupy large area. Fabricated in a 0.32-μm silicon-oninsulator (SOI) CMOS process, a 0.65–1-GHz, 1-W two-stage stacked CMOS PA with peak PAE of 69% is developed for broadband ET operation [1]. The output stage is based on a class-J architecture using the multisection matching network with integrated Miller capacitors [1]. While broadband interstage matching is realized with a reconfigurable network using SOI CMOS switches to select desired frequency bands, a broadband output matching network (OMN) is realized off the chip [1]. In this study, a 0.5-W, fully integrated class-J PA with an average PAE of 56% across the frequency band of 3.5–7 GHz is presented. The PA is fabricated in a 0.1-μm pHEMT GaAs technology, which is described in Section II. The theory of class-J operation with a nonlinear RDS is explained in Section III. Design procedure of the class-J PA is described in Section IV where transistor biasing, stabilizing, and sizing are discussed. Measurement results of class-J PA are presented in Section V, followed by the conclusion in Section VI. II. T ECHNOLOGY A single recess AlGaAs-InGaAs pHEMT technology with 0.1-μm gate length is utilized for implementation of the class-J PA. Substrate thickness is 50 μm, and typical drain– gate breakdown voltage of the transistor is 9.6 V. The cutoff frequency ( f T ) and the maximum frequency ( f max ) of the transistors are 110 and 160 GHz, respectively. The process provides two metal layers with 1- and 4-μm thickness. According to the data provided by the foundry, maximum current densities of first and second metal layers are 4 and 6 mA/μm, respectively. The process offers metal-insulator-metal (MIM) SiN capacitors with 650-pF/mm2 density as well as thin film and mesa resistors with 50- and 150-/ sheet resistances. The process also offers air bridges, back-vias, and back-via grounded MIM capacitors. Back-vias provide a grounding path from surface to substrate. Air bridges are used when it is needed to route metal 2 above metal 1, and they are utilized to build on-chip inductors. III. C LASS -J O PERATION W ITH N ONLINEAR RDS Cripps [14] has introduced the class-J mode of operation where the voltage waveform is shaped by employing

Fig. 3.

Optimal phase shift needed for class-J operation.

appropriate passive fundamental and second-harmonic terminations. The intrinsic drain current is a half-cosine waveform which consists of the fundamental and even-order harmonics, whereas only the fundamental and second-harmonic components are present at drain voltage. Higher order voltage components are assumed to be zero due to large output capacitor of the device. Frequency components of drain current flow through the OMN and create a voltage at drain of the transistor. This voltage has the same frequency components as the drain current unless a nonlinear component is introduced in the OMN. A nonlinear component can generate odd- or evenorder harmonics in a way that the optimal class-J phase shift between drain voltage and current waveforms would no longer be 45◦ . Generally, drain–source capacitance (CDS ), drain–source resistance (RDS ), and gate–source capacitance (CGS ) of a transistor could be nonlinear. Harmonic generating property of CDS and its impact on the optimal class-J operation is discussed in [8]. It is shown that a nonlinear CDS can present a negative resistance to the transistor at the second harmonic frequency reducing the 45◦ phase shift for class-J operation. Moreover, it is demonstrated that drain voltage shape is not much affected by the harmonic generation of CGS while considering the nonlinear CDS . As mentioned in Section II, the proposed class-J PA is implemented in a 0.1-μm AlGaAs–InGaAs pHEMT technology with VK of about 0.7 V. Fig. 1 illustrates CDS and RDS of a 8 × 40 μm transistor in terms of VDS . It can be seen that CDS of transistors in the process shows nonlinear behavior only for VDS < VK . Therefore, CDS is assumed to be linear as VDS of transistors in class-J operation is higher than VK . While CDS of transistors are linear, RDS demonstrates a nonlinear behavior versus VDS , and it could change the optimum class-J conditions. In the following, theoretical formulations for class-J operation with a nonlinear RDS is derived where it

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH AND MEDI: BROADBAND INTEGRATED CLASS-J PA IN GaAs pHEMT TECHNOLOGY

3

Fig. 4. (a) Real parts (solid line) and imaginary parts (dashed line) of the normalized fundamental impedance (Z 1 J /Ropt B ) and (b) the normalized second-harmonic impedance ([Z 2 J ]/Ropt B ) of class-J operation for k1 = 0.1 (blue), k1 = 0.2 (green), and k1 = 0.3 (red).

is assumed that CGD of the transistor is small, and CGS has no impact on the VDS waveform, as stated in [8]. Fig. 2 presents the equivalent circuit of the transistor in class-J operation. It is assumed that CDS and CGS of the transistor are absorbed in the OMN and the input matching network (IMN), respectively. In general, the optimum drain–source voltage of a class-J PA can be written as [2], [3], [8], [11] √ VDS (θ ) = VDD − (VDD − VK )[ 2 cos(θ − δ) − 0.5 cos(2θ − 2δ)] (1) where VDD is the supply voltage, VK is the knee voltage of the transistor, and δ is the required phase shift between drain voltage and current waveforms for optimal class-J operation. For a typical class-J PA, δ is equal to 45◦ . The current of the nonlinear RDS could be modeled as i RDS (θ ) = i R0 + i R1 cos(θ − δ) + i R2 cos(2θ − 2δ) + · · ·

(2)

wherein i Rk denote the Fourier coefficients of i RDS . Drain current of a class-J PA with a nonlinear RDS preserves its half-sinusoid shape and could be expressed as Imax 2Imax Imax i D (θ ) = + cos(θ ) + cos(2θ ) + · · · π 2 3π

(3)

in which θ = ωt is the angular phase, and Imax is the maximum drain current of the transistor. Henceforth, the total current supplied by the current source and the nonlinear RDS is i t (θ ) = i RDS (θ ) + i D (θ ) Imax ]+[i R1  (−δ) = [i R0 + π 2Imax Imax ] cos(θ )+[i R2  (−2δ) + ] cos(2θ )+· · ·. + 2 3π (4) Therefore, the optimum class-J impedances for the fundamental frequency (Z 1 J ) and second-harmonic frequency (Z 2 J ) could be calculated as √ √ Ropt B 2 (−δ) 2 (−δ) 2(VDD − VK ) = (5) Z1J = Imax 1 + k1  (−δ) 1 + k1  (−δ) 1 (−2δ) 3π Ropt B (6) Z2J = − 8 1 + k2  (−2δ)

where Ropt B = 2(VDD − VK )/Imax is the optimum impedance of a class-B PA at the fundamental frequency. Terms k1 and k2 in above equations are defined as 2i R1 3πi R2 k2 = . (7) Imax 2Imax To insure class-J operating condition, Z 2 J must be purely capacitive. This results in k1 =

1 cos−1 (−k2 ). (8) 2 Thus, the optimal phase shift needed for class-J operation is plotted in Fig. 3, where δ increases as k2 becomes larger. This means that overlap between VDS (θ ) and i t (θ ) increases, and PAE is degraded compared to a class-J PA with a linear RDS . Applying (8) to (5) and (6), the impedances of the fundamental and second harmonic frequencies can be calculated as √ √ √ Ropt B ( 2k1 + 1 − k2 + j 1 + k2 )  (9) Z1J = 1+k2 2 2 (k1 + 1−k 2 ) + 2 δ=

Z2J = − j

1 3π Ropt B  . 8 1 − k2

(10)

2

The variations of the normalized fundamental impedance (Z 1 J /Ropt B ) and the normalized secondharmonic impedance ([Z 2 J ]/Ropt B ) versus k2 are shown in Fig. 4(a) and (b), respectively. Impedances are plotted for k1 = 0.1, 0.2, and 0.3 in each subplot. It is noteworthy that the second-harmonic impedance is independent of k1 , as in (10). Nonlinear characteristics of R D S change the optimum impedances of class-J operation, and OMN should be designed to match these new impedances to a 50- load. The load quality factor (Q L ) of class-J operation can be calculated as √ 1 + k2 [Z 1 J ] = √ (11) QL = √ [Z 1 J ] 2k1 + 1 − k2 where [Z 1 J ] and [Z 1 J ] denote the real part and the imaginary part of Z 1 J , respectively. The maximum bandwidth ( f / f 0 ) of class J operation is [15] √ √ π( 2k1 + 1 − k2 ) π = (12) BWMax = √ Q L ln( 1m ) 1 + k2 ln( 1m )

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. (a) Load quality factor (Q L ) of class-J operation and (b) the maximum bandwidth of class-J operation for k1 = 0.1 (solid line), k1 = 0.2 (dashed line), and k1 = 0.3 (dotted line).

Fig. 6. (a) Normalized output power (Pout J /Pout B ) and (b) the normalized drain efficiency (η J /η B ) of class-J operation for k1 = 0.1 (solid line), k1 = 0.2 (dashed line), and k1 = 0.3 (dotted line). (Imax = 1 A and i R0 = 40 mA).

in which m is the minimum reflection coefficient of the matching network which is constant over the desired band and unity everywhere else. The load quality factor (Q L ) of class-J operation is presented in Fig. 5(a) for k1 = 0.1, 0.2, and 0.3. The maximum bandwidth of class-J operation is also plotted in Fig. 5(b) for m = 10 dB. It can be seen that nonlinear characteristics of RDS degrade the bandwidth of class-J operation and must be taken into account in wideband designs. Fig. 6 presents the normalized output power (Pout J /Pout B ) and the normalized drain efficiency (η J /η B ) of a class-J PA versus k2 , for k1 values of Fig. 5. To plot η contours, it is assumed that Imax = 1 A and i R0 = 40 mA. As k1 increases, both η and Pout increase since the fundamental current increases. However, k2 increment degrades both η and Pout because of wider overlap between VDS (θ ) and i t (θ ). It is worth noting that for a linear RDS (k1 = k2 = 0), (9), (10), (13), and (14) reduce to typical class-J equations given in [2], [6], [8], [9], and [11]. The output power of a class-J PA can be calculated as √ (V D D − VK )Imax  ( 1 − k2 + 2k1 ) 4 √ = Pout B ( 1 − k2 + 2k1 )

Pout J =

(13)

where Pout B is the output power of a class-B PA with a linear R D S . The drain efficiency of a class-J PA could be

Fig. 7. Setup for concurrent sizing and stabilizing of transistors in the process. A large inductor is employed for gate biasing.

written as ηJ =

 √ VK π 1 (1 − )( )( 1 − k2 + 2k1 ) πi 4 VDD 1 + R0

= ηB (

1 1+

πi R0 Imax



Imax

)( 1 − k2 +

√ 2k1 )

(14)

where η B is the drain efficiency of a class-B PA with a linear RDS . A theoretical design methodology has been developed for a class-J PA with a nonlinear drain–source resistance. It has been shown that the optimum impedance terminations, maximum output power, and maximum drain efficiency of a class-J PA with a nonlinear RDS could be different than a PA with linear RDS . It has been also demonstrated that the nonlinear

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH AND MEDI: BROADBAND INTEGRATED CLASS-J PA IN GaAs pHEMT TECHNOLOGY

Fig. 8.

5

(a) Output power, (b) PAE, and (c) LSG of transistors versus their width. NOF for each transistor size is held constant (NOF = 8).

Fig. 9. (a) Normalized VDS (VDS / VDD ), the normalized RDS (RDS /RDSMax ), i D (θ ), and 10 × i RDS (θ ) for the ideal δ = 0◦ phase shift and (b) VDS / VDD , i D (θ ), i RDS , and it (θ ) for δ = 49◦ . TABLE I VALUES OF THE E LEMENTS U SED IN D ESIGN OF THE C LASS -J PA

Fig. 10.

Schematic of the designed class-J PA.

RDS limits the maximum achievable bandwidth of a class-J PA and must be taken into account in wideband designs. Based on the desired output power and PAE, the following methodology is suggested to select the proper bias point and transistor size. These steps along with other design issues are introduced in the following.

The knee voltage of transistors in the process is 0.7 V, and typical breakdown voltage (VBD ) of transistors is 9.6 V. Hence, the maximum available VDD can be calculated as VDDMax =

IV. D ESIGN P ROCEDURE A. Bias Selection The maximum and minimum drain voltages of a class-J PA are calculated as [11] Max(VDSJ ) = 2.9 VDD − 1.9 V K

(15)

Min(VDSJ ) = VK .

(16)

VBD + 1.9VK 2.9

(17)

which results in maximum supply voltage of 3.8 V in this case. To provide class-J operation, transistors are biased at gate-source voltage of VGS = −0.9 V with quiescent bias current of Iq = 6 mA(∼ = 0.02Imax ) for each transistor [2], [3], [11].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Output power (blue) and power-added efficiency (green) contours of four 8 × 40 μm transistors when LP is performed at (a) the fundamental and (b) the second-harmonic impedances at 5.25 GHz (Pin = 17 dBm). TABLE II C LASS -J S PECIFICATIONS O BTAINED BY T HEORY AND LP/SP A NALYSES

Fig. 12.

Loss of the OMN versus frequency.

B. Stability and Transistor Size Selection Transistor sizing could be important in overall performance of class-J PAs. A novel methodology for optimum sizing of transistors with regard to the quality factor (Q) of the inductance in the OMN is presented in [11]. Although this method is interesting, it could be applied to simple matching networks with only one inductor. In this paper, the design of a stabilizing network and the ideal sizing of transistors are concurrently done to maximize the PAE of the stabilized transistor cell when it is terminated to the optimum fundamental and second-harmonic impedances. Fig. 7 shows the schematic of the stabilizing network. To ensure low-frequency stability, a large inductor is employed, which also provides a dc bias path to gate of transistors. The series RC circuit is to stabilize the transistor across the entire bandwidth. For each transistor size, a 2-D pool of resistances and capacitances is created, and load-pull/source-pull (LP/SP) analyses at 2-dB compression point are performed for each RC case in the pool to determine output power, PAE, and large-signal gain (LSG). Number of fingers (NOF) for each transistor size is held constant in this procedure (NOF = 8). Optimum RC for each transistor size is chosen, and optimum results for output power, PAE, and LSG are shown in Fig. 8(a)–(c), respectively. It can be seen that the optimum transistor size for maximum PAE and LSG is W = 40 μm. Optimum results for W = 40 μm are obtained by R = 95  and C = 0.4 pF. The optimal 8 × 40 μm transistor delivers 22-dBm output power. Hence, considering the loss of OMN (e.g., 1 dB), four 8 × 40 μm transistors must be used in parallel to provide 27-dBm output power.

C. Nonlinear RDS of Transistors in the Process To specify the nonlinear RDS of four 8 × 40 μm transistors with Imax of about 1 A, discrete sets of (VGS , VDS ) are sampled during a time period of an ideal class-J PA (δ = 0). Parallel transistors are biased at each (VGS , VDS ) sample, and RDS is calculated using the simulator. Therefore, RDS of an ideal class-J PA is determined for one period of time. Fig. 9(a) shows the normalized VDS (VDS /VDD), the normalized RDS (RDS /RDSMax ), i d (θ ), and i RDS (θ ) = VDS (θ )/RDS (θ ) over two periods of time for the ideal δ = 0◦ case. Since RDS is nonlinear, i RDS does not preserve the harmonic content of VDS , and could be formulated as in (2). From the timedomain waveform of i RDS , i R0 = 40 mA, k1 = 0.13, and k2 = 0.14 are extracted using Fourier series. Thus, employing (8)–(14), these values result in δ = 49◦, Z 1 J = Ropt B (0.88 + j 1.26), Z 2 J = − j 1.19RoptB , Q L = 1.44, BWMax = 94.4%, Pout J = 1.1 × Pout B , and η J = 0.98 × η B . Drain voltage and current waveforms of a class-J PA with a nonlinear RDS are also shown in Fig. 9(b) for δ = 49◦ . This phase shift in drain voltage of the PA must be provided by OMN to terminate the second harmonic into a purely reactive load. D. Matching Networks Fig. 10 shows the schematic of the proposed class-J PA while values of the parameters used in IMN and OMN are summarized in Table I. Stabilizing RC networks of each transistor are merged to one RC section, and gates of transistors

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH AND MEDI: BROADBAND INTEGRATED CLASS-J PA IN GaAs pHEMT TECHNOLOGY

Fig. 13.

7

EM simulation results for the (a) resistance, (b) inductance, and (c) quality factor (Q) of inductor L 1 in the OMN.

Fig. 16. Simulated (dashed line) and measured (solid line) results of (a) output power and (b) PAE of the class-J PA. Fig. 14. Chip photograph of the class-J PA. (Dimensions: 1.57 × 1.29 mm2 ).

Fig. 15. Simulated (thin lines) and measured (thick lines) S-parameters of the class-J PA.

are connected together. SP simulations are performed on RCstabilized four 8× 40 μm transistors using Keysight Advanced Design System (ADS) software to obtain the optimum source impedance. Results of SP simulations on 3.5-, 5.25-, and 7-GHz frequencies are summarized in Table II. IMN must transform these optimum impedances to a 50- load with the lowest loss possible since IMN loss degrades overall PAE. OMN is important since its loss directly degrades output power and PAE. Combining the power of transistors, OMN must transform the ideal fundamental load impedance of each output stage transistor to a 50- load. Besides, at the secondharmonic frequency, OMN should provide the needed reactive

impedance to each transistor. LP simulations are performed on four 8 × 40 μm transistors to obtain the optimum load impedances at 3.5-, 5.25-, and 7-GHz frequencies. Output power and PAE LP contours of the fundamental and secondharmonic impedances at center frequency of 5.25 GHz are shown in Fig. 11(a) and (b), respectively, where transistors are driven by input power of 17 dBm. It can be seen that only for a small range of impedances maximum PAE and Pout could be simultaneously achieved. From Fig. 11(b), the secondharmonic impedance should be purely reactive; however, real parts in the second-harmonic impedance can be tolerated without significant PAE degradation. The loss of the OMN across the frequency range is shown in Fig. 12. The simulated loss is 1.1 dB at 3.5 GHz, while it is almost 1.6 dB at 7 GHz. The average loss as well as the loss at 5.25 GHz is approximately 1 dB. Fig. 13 presents the EM simulation results for the resistance, inductance, and quality factor (Q) of inductor L 1 in the OMN. L 1 and L 2 are the main contributors to the OMN loss since on-chip inductors suffer from a low quality factor (18 ≤ Q ≤ 25). C1 provides the reactive impedance required for higher harmonics of class-J operation. A bus-bar is used to combine the power of four transistors, because two adjacent transistors are nearly spaced (0.04λ at 7 GHz). The LP-determined impedances are de-embedded to obtain the optimum impedances at the RDS plane. These de-embedded impedances as well as the theoretical ones

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Measured results for (a) output power (solid line) and PAE (dashed line) ( f = 5.25 GHz) and (b) IMD3 of the proposed class-J PA versus input power. TABLE III C OMPARISON OF W IDEBAND C LASS -J PAs

are summarized in Table II. The theoretical and LP results for the maximum output power and peak PAE are also included in Table II. LP results are in agreement with theoretical ones, which validates accuracy of the derived equations. V. I MPLEMENTATION AND M EASUREMENT R ESULTS To verify the accuracy of the proposed design procedure and the theoretical results, a class-J PA was implemented in a 0.1-μm AlGaAs-InGaAs process. RF input and output pads were bonded using 18-μm diameter gold bond wires to 50- microstrip lines while power-supply pad was wire-bonded to printed circuit board (PCB) traces. The chip micrograph of the class-J PA is shown in Fig. 14 where chip dimensions are 1.57 × 1.29 mm2 . Gate–source and drain–source voltages of all transistors are −0.9 and 3.8, respectively. Total quiescent current of the class-J PA is 24.1 mA; however, it reaches to 207–230 mA over the frequency band when input power level is increased to drive the PA into saturation. The simulated and measured S-parameters of the class-J PA are presented in Fig. 15. In the target bandwidth of 3.5–7 GHz, the average small-signal gain is about 11 dB, and the input and output reflection coefficients are smaller than −8 and −9 dB, respectively. Fig. 16 illustrates measurement and simulation results for output power and PAE of the class-J PA. Large-signal measurements are performed during the CW-mode operation with 18-dBm

input power. The results indicate that output power varies from 26.2 to 27.8 dBm with an average of 27.2 dBm. The maximum output power of 27.8 dBm and peak PAE of 62% are achieved at 5-GHz frequency. PAE varies between 45% and 62% with an average of 56% over the frequency band. Fig. 17(a) shows the output power and PAE of the PA versus input power at 5.25 GHz. The single-tone input signal is swept from −20 to 20 dBm at this frequency, and values for output power and PAE are measured at each power level. The output-referred 1-dB compression point (O P1−dB ) of the PA is 27.4 dBm with 62% associated PAE. To investigate the linearity behavior of the proposed amplifier, inter-modulation distortion (IMD) measurement is performed using a two-tone signal with 10 MHz frequency spacing. Fig. 17(b) presents the third-order IMD (IMD3) of the designed PA in terms of input power at 3.5-, 5.25-, and 7-GHz frequencies, respectively. At 6-dB output back-off, the amplifier presents a minimum IMD3 of about 35 dBc at 7 GHz. The IMD3 characteristic of the proposed class-J PA is in agreement with the predicted IMD3 patterns of class-B PAs [16]. The performances of wideband class-J PAs are summarized in Table III. Most of the designs use 10-W packaged GaN transistors connected to off-chip matching networks. Due to low-Q on-chip inductors and MMIC technology limitations, it is unfair to compare integrated PAs with discrete ones in terms of output power and PAE. However, the proposed PA

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH AND MEDI: BROADBAND INTEGRATED CLASS-J PA IN GaAs pHEMT TECHNOLOGY

presents the highest BW and PAE × BW among the fully integrated class-J PAs. VI. C ONCLUSION An analysis of class-J operation with nonlinear drain-source resistance (RDS ) is presented to derive theoretical formulations for optimum load impedances, output power, efficiency, and maximum bandwidth. It is shown that the nonlinear RDS limits the bandwidth of class-J operation and must be taken into account in broadband designs. A step by step procedure is suggested to design a wideband and highly-efficient class-J power amplifier. A fully integrated class-J PA was fabricated in a 0.1-μm GaAs pHEMT technology to verify the theoretical design procedure. The fabricated 0.5-W class-J power amplifier features 56% average PAE, 11-dB average small-signal gain, and 8-dB/9-dB minimum input/output return loss over the frequency band.

9

[9] K. Mimis, K. A. Morris, S. Bensmida, and J. P. McGeehan, “Multichannel and wideband power amplifier design methodology for 4G communication systems based on hybrid class-J operation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2562–2570, Aug. 2012. [10] J. R. Powell et al., “GaAs X-band high efficiency (65%) broadband (30%) amplifier MMIC based on the Class B to Class J continuum,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–1. [11] S. Rezaei, L. Belostotski, F. M. Ghannouchi, and P. Aflaki, “Integrated design of a class-J power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1639–1648, Apr. 2013. [12] W. Ruili, J. Lopez, L. Yan, and D. Y. C. Lie, “A highly efficient 1-Watt broadband class-J SiGe power amplifier at 700 MHz,” in Proc. Conf. Silicon Monolithic Integr. Circuits in RF Syst., 2012, pp. 69–72. [13] N. Tuffy, Z. Anding, and T. J. Brazil, “Class-J RF power amplifier with wideband harmonic suppression,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–4. [14] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwell, MA, USA: Artech House, 2006. [15] H. Bode, Network Analysis and Feedback Amplifier Design. New York, NY, USA: Van Nostrand, 1945. [16] N. B. de Carvalho and J. C. Pedro, “Large- and small-signal IMD behavior of microwave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 12, pp. 2364–2374, Dec. 1999.

R EFERENCES [1] S. Park, J. Woo, U. Kim, and Y. Kwon, “Broadband CMOS stacked RF power amplifier using reconfigurable interstage network for wideband envelope tracking,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1174–1185, Apr. 2015. [2] S. C. Cripps, P. J. Tasker, A. L. Clarke, J. Lees, and J. Benedikt, “On the continuity of high efficiency modes in linear RF power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 665–667, Oct. 2009. [3] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [4] C. M. Andersson et al., “Theory and design of class-J power amplifiers with dynamic load modulation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3778–3786, Dec. 2012. [5] A. Sarkar and B. Floyd, “A 28-GHz class-J power amplifier with 18-dBm output power and 35% peak PAE in 120-nm SiGe BiCMOS,” in Proc. Conf. Silicon Monolithic Integr. Circuits in RF Syst., 2014, pp. 71–73. [6] J. H. Kim, S. J. Lee, B. H. Park, S. H. Jang, J. H. Jung, and C. S. Park, “Analysis of high-efficiency power amplifier using second harmonic manipulation: Inverse class-F/J amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2024–2036, Oct. 2011. [7] A. Jain, P. R. Hannurkar, S. K. Pathak, D. K. Sharma, and A. K. Gupta, “Investigation of class J continuous mode for high-power solid-state RF amplifier,” IET Microw., Antennas Propag, vol. 7, no. 8, pp. 686–692, 2013. [8] J. Moon, J. Kim, and B. Kim, “Investigation of a class-J power amplifier with a nonlinear Cout for optimized operation,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp. 2800–2811, Nov. 2010.

Amirreza Alizadeh (S’08) received the B.S. degree from University of Tabriz, Tabriz, Iran, in 2010, and the M.S. degree from Sharif University of Technology, Tehran, Iran, in 2012, where he is currently working toward the Ph.D. degree. His research interests include modeling of interconnects with nanometer dimensions as well as RF and microwave integrated circuit design.

Ali Medi (S’98–M’08–SM’13) received the B.Sc. degree from Sharif University of Technology, Tehran, Iran, in 2001, and the M.Sc. and Ph.D. degrees in electrical engineering from the University of Southern California, Los Angeles, CA, USA, in 2003 and 2007, respectively. He is currently an Associate Professor with the Electrical Engineering Department, Sharif University of Technology, Tehran, Iran. He was a Research Assistant with the UltRaLab, where he worked in the field of analog and RF circuit design for ultra-wideband systems. He was with Broadcom Corporation, developing RF blocks for GSM cell phone transceivers. His research interests are RF and microwave integrated circuits as well as wideband analog circuit design.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

On Design of Wideband Compact-Size Ka/Q-Band High-Power Amplifiers Amirreza Alizadeh, Student Member, IEEE, Milad Frounchi, Student Member, IEEE, and Ali Medi, Senior Member, IEEE

Abstract— This paper presents a methodology for the design of Ka/Q-band monolithic microwave integrated circuit (MMIC) high-power amplifiers (HPAs). Design techniques are introduced to reduce chip area and to improve bandwidth (BW). These techniques are applied to the design of a 31–39-GHz 5-W HPA implemented on a 0.1-µm AlGaAs–InGaAs pseudomorphic HEMT (pHEMT) technology. With chip dimensions of 3.35 × 3.2 mm2 , the HPA achieves 24% average power-added efficiency (PAE) over the frequency band, while maintaining an average 22-dB small-signal gain. A balanced high-power amplifier (BPA) is also presented, which combines the power of two 5-W HPA cells to deliver peak 8.5-W output power ( Pout ) in the frequency band of 30–38 GHz. The BPA chip area is 3.5 × 6.5 mm2 , and 21-dB average small-signal gain is obtained over the frequency band. Index Terms— Ka-band, millimeter-wave (mm-wave) applications, monolithic microwave integrated circuit (MMIC), pseudomorphic HEMT (pHEMT), power amplifiers (PAs), Q-band, wideband amplifiers.

I. I NTRODUCTION

N

EW wireless communications employ millimeterwave (mm-wave) frequencies and beyond to deal with the ever-growing bandwidth shortage facing wireless carriers. Ka- and Q-band mm-wave frequencies are now more in demand since their short wavelengths prove to be an advantage in transmission of large amounts of data at a short period of time. Millimeter waves permit high digital data rates of more than 10 Gbit/s. Therefore, high-quality video files can be transmitted without any need for compression and subsequent loss of quality. Furthermore, mm-wave imaging systems, such as body scanners, have gained a lot of attention since they provide safe, reliable, and economical detection of threats. A small footprint is the other major advantage of mm-wave equipment. This means that the entire structure of a radio, including its antenna, can be very compact. Moreover, multiple-element phased arrays on a single substrate chip are more feasible, steering and focusing the energy for greater gain, higher power, and longer ranges. While the use of mm-wave frequency makes very small antennas practical, integrated circuit technologies keep the circuitry small. Compact size, high yield, less chip-to-chip variation,

Manuscript received September 24, 2015; revised February 9, 2016 and March 31, 2016; accepted April 10, 2016. The authors are with the Department of Electrical Engineering, Sharif University of Technology, Tehran, Iran (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2554578

and high operating frequency make monolithic microwave integrated circuit (MMIC) technology the leading choice for Ka- and Q-band products. A number of CMOS power amplifiers (PAs) for Ka/Q-band applications have been reported in recent years [1], [2]; however, CMOS PAs fail to compete with GaAs and GaN counterparts because of the lower supply voltages and the lossy substrate. The most widely used GaAs PAs are those for cell phones where a low bias voltage is the key to enhance the battery life time. For higher power levels, the GaN process is the leading choice because of its exceptional power density and high breakdown voltage [3], [5], [6]. The downside to GaN is its cost. The materials are expensive and the processes to make devices are costly [6]. Production costs will come down as volume increases, but they will still remain above the process costs of bulk CMOS or even higher cost GaAs production. Several Ka/Q-band HPAs have been reported in GaAs and GaN pseudomorphic HEMT (pHEMT) processes [4], [5], [7]–[24]. An 8.5-W high-power amplifier (HPA) fabricated in a 0.15-μm pHEMT GaAs process is reported in [13] where a narrow bandwidth of 28–31 GHz is achieved. A 25–31-GHz 6-W HPA fabricated on 0.15-μm pHEMT GaAs, as well as a 6.5-W 33–37-GHz HPA fabricated on 0.1-μm pHEMT GaAs are reported in [4] and [11], respectively. Although these PAs deliver higher powers, they have large chip areas. Utilizing a 0.15-μm GaN HEMT process, two 25–29.5-GHz GaN HPAs with peak output powers of 6 and 9 W are reported in [19]. Campbell et al. reported a 9.5–11-W PA with 26%–30% associated power-added efficiency (PAE) over a narrow bandwidth of 28.5–31.5 GHz with a 0.15-μm GaN HEMT process [17]. Only a few of these works satisfy the contradictory goals of high output power, wide bandwidth, high PAE, small area, and elevated gain. None of the previously published works have presented a procedure for mm-wave HPA design. Such a methodology can be substantially different from the guidelines for design of low-frequency HPAs, which are discussed in [25]–[27]. In this paper, a design methodology for wideband highpower Ka/Q-band compact PAs in a 0.1-μm pHEMT GaAs technology is presented. High-frequency challenges such as bandwidth limitation due to a stabilizing RC circuit and selfresonance frequency displacement of a stabilizing RC circuit are discussed in detail. To the knowledge of the authors, these issues are not contextualized or addressed in literature.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

In addition to discussion of proper RC circuit design, a compact, wideband, and low-loss combiner is introduced to add the power of transistors constructively. The design of powerdividing bus bars is explained, and a compact inter-stage matching topology is proposed. The developed methodology is employed to design two single and balanced small-size HPAs with peak powers of 5.2 and 8.5 W. This paper is organized as follows. The design methodology of mm-wave HPAs is described in Section II. The employed technology, stability issues, bias point selection, system-level considerations, and design of impedance-matching networks are discussed in detail in this section. Reliability concerns are explained in Section III, and the design of the balanced highpower amplifier (BPA) is described in Section IV. Finally, the measurement results of the HPAs are presented in Section V. II. HPA D ESIGN M ETHODOLOGY A. Technology and Model In this work, a single-recess AlGaAs–InGaAs pHEMT technology with 0.1-μm gate length on a 50-μm-thick substrate is utilized for implementation of the PAs. Gate-to-drain and source-to-drain spacings are 2.2 and 5 μm, correspondingly. Typical gate–drain breakdown voltage of transistors is 9.6 V. Cutoff frequency ( f T ) and maximum frequency ( f max ) of the transistors are 110 and 160 GHz, respectively. The process provides two metal layers with 1- and 4-μm thickness. According to the data provided by the foundry, maximum current densities of first and second metal layers are 4 and 6 mA/μm, respectively. The process offers metal–insulator– metal (MIM) SiN capacitors with 650-pF/mm2 density, as well as thin film and mesa resistors with 50- and 150 /-sheet resistances, respectively. The process also offers air bridges, back-vias, and MIM capacitors with back-vias. The back-via provides a grounding path from surface to the backside of the substrate. This path plays a critical role in heat transfer to the heat sink. Air bridges are used when it is needed to route metal 2 above metal 1, and they are utilized to provide on-chip spiral inductors. According to the handbook of the process, transistors were characterized by an Agilent EE-HEMT1 model where parameters were extracted using S-parameter and dc current–voltage (DCIV) data. The largesignal behavior of the model is validated by extensive load–pull (LP) measurements performed by the foundry. More information about the large-signal modeling of HEMT devices can be found in [28]–[30]. B. Stability Prior to any LP or SP analyses to determine gain and saturated power of transistor cells, all transistor cells in the HPA must be stabilized to make sure unconditional stability at all frequencies. Fig. 1(a) presents the topology of the stabilizing circuit where a parallel R-TL branch (which comprises a resistance in series with a transmission line) provides lowfrequency stability, while the series RC (which is composed of parallel connection of a resistance and a capacitance) ensures in-band stability. The μ factor of eight parallel 12 × 125 μm unit cell transistors, utilized in the final stage of the HPA,

Fig. 1. (a) Topology of stabilizing network and (b) stability factor of eight 12 × 125 μm width unit-cell transistors before (dashed) and after (solid) stabilizing.

is shown in Fig. 1(b), where the μ factor is greater than unity at all frequencies for the stabilized transistors. It is worth mentioning that the largest transistor width modeled in the process is 150 μm; however, a transistor with a width of 125 μm is chosen as a unit cell to obtain a higher gain [27]. Smaller width transistors with more fingers (up to 16) were also possible, but height of the chip would be increased unreasonably. The layout of the series RC circuit is important as the self-resonance frequency of the capacitors may fall within the target frequency band yielding to potential instability. The selfresonance frequency of the series RC must be placed in the upper side of target bandwidth with a suitable layout. Another important issue is displacement of the resonance frequency when the RC circuit is surrounded by its environment, as shown in Fig. 2(b). In other words, an initially stabilized transistor [see Fig. 2(a)] could be potentially unstable in the completed layout of the PA [see Fig. 2(b)], as shown in Fig. 2(c). In order to address this issue, self-resonance frequency of the RC circuit must be further shifted to higher frequencies. For this aim, length of the RC capacitor is reduced by separating it into two equal capacitors, as shown in Fig. 1(a). The input impedance of a transistor (Z in ) could be modeled by a resistor and a capacitor in series, as shown in Fig. 3(a). Impedance of the parallel R-TL branch (Z p ) is significantly higher than Z in in the target frequency band by proper choice of R p and TL p in Fig. 3(b). Hence, the R-TL branch will not change the required source impedance of the transistor for optimal operation. It can be shown that the series RC circuit in Fig. 3(b) can degrade the quality factor of optimum input impedance Rg 1 + (Rg C g ω)2    Rg2 C g Cin ω2 1 {Z ins} = − 1+ Cin ω 1 + (Rg C g ω)2 ⎛ ⎞   ⎜ 1 + Rg2 C g (Cin + C g )ω2 ⎟ 1 ⎜ ⎟. Q{Z ins } = Rg ⎠ Rin Cin ω ⎝ 2 1 + (Rg C g ω) + Rin {Z ins} = Rin +

(1) (2)

(3)

From (3), Q of input impedance (Z ins) will be larger than Q of Z in (i.e., 1/Rin Cin ) when (Rg C g )(Rin Cin ) > 1, which is true in most typical cases. The higher Q caused by the series

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH et al.: ON DESIGN OF WIDEBAND COMPACT-SIZE, Ka/Q-BAND HPAs

3

Fig. 4. SP contours for output power (in dBm) of a 12 × 125 μm width unit cell transistor: (a) before and (b) after implementation of stabilizing network ( f = 35 GHz, Pin = 22.5 dBm).

Fig. 2. (a) Stabilized transistor cell, (b) stabilized transistor cell surrounded by its environment, and (c) self-resonance of the gate RC before (solid) and after (dashed) experiencing the surrounding environment.

Fig. 5. Drain current of a 12 × 125 μm width unit cell transistor versus its gate–source voltage. (VDS = 4 V).

Fig. 3. (a) Model for input impedance of a transistor and (b) stabilized transistor cell.

RC stabilization circuit degrades the bandwidth and must be taken into account in wideband designs. For a 12 × 125 μm transistor with Rin = 2  and Cin = 2 pF, Rg = 210 , and C g = 0.66 pF are employed to stabilize the transistor. For this values, the Q of the unstabilized transistor is 1.1, while it will increase to 3.6 when it becomes stabilized. Fig. 4 shows the output power SP contours of a 12×125 μm unit cell transistor biased at 0.25Imax where Imax is the maximum drain current of the transistor. As it can be seen, in the

center frequency of 35 GHz, the optimal source impedance of the stabilized transistor [see Fig. 4(b)] demonstrates higher Q compared to that of the unstabilized transistor [see Fig. 4(a)], which is in agreement with (3). Therefore, in broadband designs, transistors should be stabilized considering bandwidth limitations. The source impedances of Fig. 4(b) are acceptable for the requirement of this design (i.e., 25% bandwidth), however, redesign of the RC network will be needed for ultrawideband designs. C. Bias Point According to the data provided by the foundry, the minimum breakdown voltage of transistors is 9.6 V. Therefore, drain– source voltage (VDS ) of transistors is chosen to be 4 V to ensure reliable operation. Fig. 5 shows the drain current of a 12 × 125 μm unit cell transistor versus its gate–source

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

transistors in each stage are also shown in Fig. 7. Table I summarizes size, VGS , and VDS of transistors employed in each stage. The values of stabilizing resistances, capacitances, and transmission lines for the transistors of each stage are also presented in Table I. E. Matching Networks

Fig. 6. LP contours of a 12×125 μm width unit cell transistor for: (a) output power (in dBm) and (b) PAE (in %) at the 2-dB compression point ( f = 35 GHz, Pin = 21.5 dBm).

voltage (VGS ). Transistors are biased at VGS = −0.5 V (I D = 0.25Imax ) for the class-AB operation providing high output power and high PAE [31]. D. Number of Stages and Transistors in Each Stage A large-signal gain of 20 dB and 4–5-W output power are among the design goals of the HPA. To satisfy these design goals, it is necessary to determine output power and largesignal gain of the stabilized unit cell transistor. Fig. 6 presents LP contours for output power and PAE of the unit cell transistor at a 2-dB compression point. For the unit-cell transistor, a maximum of 28.5-dBm saturated output power with 7-dB large-signal gain can be achieved. Assuming 1.5-dB loss for the output matching network (OMN), eight unit-cell transistors are needed to acquire 5-W output power. Employing the methodology developed in [25] and [26] and considering loss of matching networks, four stages are utilized to obtain the required 20-dB large-signal gain. Number and size of the transistors in each of the first three stages are determined by the estimated output power needed to drive the subsequent stage [25], [26]. Width of transistor cells from the final to first stage is gradually decreased to obtain a higher gain from initial stages [27]. Fig. 7 shows the block diagram representation of the HPA where estimated loss of each matching network as well as gain of each stage is, respectively, specified by red and green. Term ISMNi,i+1 refers to the interstage matching network (ISMN), which is placed between the stages i and i + 1. The power level at every point in the chain and number (N) and size of

1) OMN: OMN is the most critical matching section in HPA design since its loss degrades output power and PAE. Combining power of transistors, the OMN must also transform the impedance seen by the pad (Z pad ) to the optimum load impedance of each output stage transistor. Shown in Fig. 8, Z pad has an average resistive part of about 70  over the frequency band. The optimum load impedance of each output stage transistor must be matched to this impedance rather than the 50- impedance. The higher resistive part of Z pad makes the OMN design more challenging due to the increased impedance transformation ratio. In this paper, a compact and wideband power combiner is proposed. Fig. 9(a) shows a half-schematic of the OMN, while a half-layout of the OMN is shown in Fig. 9(b). The proposed combiner is highlighted in green. Bias path of transistors is provided by the quarter-wavelength short-circuit stub (at center frequency of 35 GHz), TLb , which also removes even harmonics at the output of the combiner. C1 is the dc-blocking capacitance, and transmission lines TL2 and TL3 are used to match the output impedance of the combiner to the impedance seen by the pad. Odd-mode resistances (Rodd ) are also placed between drains of the transistors to prevent odd-mode oscillations. Table II summarizes the element values of the OMN. Maximum output power of eight 12 × 125 μm transistors in the output stage is 38.6 dBm. The goal is to design a wideband power combiner with the lowest loss possible. The length L 1 in Fig. 9(b) is the key parameter to determine the performance of the proposed combiner. Fig. 10 presents output power LP contours of the power-combined transistors for different values of L 1 . As shown in Fig. 10, for small values of L 1 (L 1 < 510 μm), the output power reduces from 38.6 dBm due to loss of the combiner [see Fig. 10(a)]. The optimum impedances for this case are capacitive since the combiner exhibits an inductive behavior. With gradual increase of L 1 [see Fig. 10(b)], the combined power increases and reaches to its peak for L 1 = 510 μm [see Fig. 10(c)] where optimum impedances could be purely resistive. For this case, the proposed combiner could be very wideband with approximately no loss. In addition, the range of impedances for which the combiner maintains its lossless behavior is the widest for this optimum length. As L 1 further increases [see Fig. 10(d)], the combiner still remains lossless; however, the optimum impedances move toward the inductive region with higher Q. Therefore, L 1 = 510 μm is chosen in this work to obtain optimum performance. The slits at left side of the combiner are created to place odd-mode resistors, while the slits at right side of the combiner are needed in electromagnetic (EM) simulations since ports could not be connected to wide cross areas because the elec-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH et al.: ON DESIGN OF WIDEBAND COMPACT-SIZE, Ka/Q-BAND HPAs

5

Fig. 7. Block diagram of the designed HPA. Power level at each point is shown, and size and number of transistors are indicated below each stage. The loss of each matching network as well as the gain of each stabilized stage is shown above each block by red and green, respectively.

TABLE I

TABLE II

C HARACTERISTICS OF T RANSISTORS I MPLEMENTED IN E ACH S TAGE

VALUES OF C OMPONENTS U SED IN THE OMN

Fig. 8. Real part (solid) and imaginary part (dashed) of the impedance seen from the pad versus frequency.

trical length of the ports would be too large, and simulations would no longer be accurate. 2) ISMNs: As shown in Fig. 7, the proposed HPA has four amplification stages and, consequently, three ISMNs. ISMNs must provide optimum load impedances for the transistors of the prior stage, as well as optimal source impedances for the transistors of the subsequent stage. While ISMN1,2 and ISMN2,3 halve the output power of each transistor and apply it to two corresponding transistors of the following stage [see Fig. 11], ISMN3,4 transfers the whole power of each transistor to the corresponding next stage transistor. Bus-bars are implemented in drain of stages 1–3 to provide biasing paths for the transistors. These bus-bars also halve the power of each transistor in the first and second stages, as shown in Fig. 11. Two λ/4 short-circuit stubs (at a center frequency of 35 GHz) connect the bus-bars to bonding pads, which are bypassed to the ground node with large on-chip capacitors [see Fig. 9]. These short-circuit stubs do not change the optimum LP impedances since they present high impedances to the transistors. Furthermore, λ/4 short-circuit stubs can be useful for filtering of even-order harmonics generated at the drain of the transistors. A compact topology for ISMNs is proposed in this paper, which is shown in Fig. 12. This simple and compact ISMN topology matches the optimum load impedance seen by each port of the power divider in stage i (Z Di ) to the optimal input impedance of the stabilized transistor in stage i + 1(Z Si+1 ). C2 is a tuning capacitor, which is only used in ISMN1,2 .

The resistances Rodd1 and Rodd2 are also implemented in this simple matching cell to prevent odd-mode oscillations. The values of elements for each ISMN are summarized in Table III. Fig. 13(a) presents LP contours for output power of a second-stage 10 × 85 μm transistor from one of the output ports of the power divider cell (Z D2 ). It can be seen that LP contours of the transistor move toward the capacitive region due to the inductive behavior of the bus-bar. This movement degrades the quality factor of the optimal LP impedances. As discussed in the output combiner design, LP contours can be moved to low-Q regions by increasing length of the bus-bar, however, this would enlarge the size of the chip. SP contours for output power of a stabilized third-stage transistor (Z S3 ) is shown in Fig. 13(b). Due to the RC stabilization network, the optimum source impedances of the third-stage 10 × 70 μm transistor move toward the inductive region. It can be seen that real parts of the optimum Z D2 and the optimum Z S3 are in the same order [see Fig. 13]. This is why such a simple matching network could be utilized between the stages to transfer maximum power. 3) Input Matching Network: The input matching network (IMN) transforms the optimum source impedance of each 16 × 37.5 μm first-stage stabilized transistor (Z S1 ) to the equivalent impedance seen by the pad [see Fig. 8]. Besides, the IMN halves the input power and applies it to two transistors of the first stage. The IMN could show a considerable loss due to its high-impedance transformation ratio; however, this loss only reduces the gain and has a negligible impact on the PAE and output power of the HPA. Fig. 14 shows a half-schematic of the IMN where TLt 1 and TLt 2 are small open-circuit stubs for bandwidth tuning and C1 is the dc blocking capacitor. The capacitor C2 and transmission lines TL1 , TL2 , and TL3 perform impedance matching in the target bandwidth of 31–39 GHz. Values of the elements used in the IMN are given in Table IV. Half-schematic of the HPA is presented in Fig. 15. Small tunings are performed on the final circuit to compensate

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 9.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(a) Half-schematic and (b) half-layout of the OMN. The proposed combiner is shown by green.

Fig. 10. LP contours for output power (in dBm) of the proposed combiner for: (a) L 1 = 390 μm, (b) L 1 = 450 μm, (c) L 2 = 510 mum, and (d) L 1 = 570 mum when eight 12 × 125 μm transistors are connected to input ports of the combiner ( f = 35 GHz, Pin = 33 dBm).

coupling effects. Accurate EM simulations are essential for the design of high-frequency integrated circuits. In this design, the Agilent ADS Momentum engine in the microwave mode is utilized to simulate the layout precisely. III. R ELIABILITY I SSUES One of the most important considerations in the design of HPAs is reliability. High voltage, high current, and a large amount of dissipated power in HPAs could result in stress, which degrades the device. In this section, some of the reliability concerns are discussed. A. Thermal Simulations GaAs has poor thermal conductivity compared to other substrate materials such as Si and GaN, and transistors may

experience high channel temperatures [32]. The maximum channel temperature for reliable operation of transistors is typically 150 °C [33]. Since the power level is the highest at the output stage, reliability of the transistors in this stage is the bottleneck for reliable operation of the HPA. In the worst case scenario, assuming 5-W output power and 20% drain efficiently for the output stage, total dissipated power is 20 W, which is mainly dissipated in the channels of transistors. The output stage transistor array consist of eight 12×125 μm transistors, which translate to 96 gate lines. Therefore, each channel dissipates a power about 0.21 W. Thermal simulations performed in the CST Microwave Studio environment where each gate line is defined as a 0.21-W continues wave (CW) heat source, and backside temperature is assumed to be 70 °C to account for heat sink imperfections. Thermal properties of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH et al.: ON DESIGN OF WIDEBAND COMPACT-SIZE, Ka/Q-BAND HPAs

Fig. 11. Power-dividing bus-bars implemented before ISMN1,2 and ISMN2,3 cells.

Fig. 12.

7

Fig. 13. (a) LP contours for power (in dBm) of a second stage 10 × 85 μm width transistor from one of the output ports of the power divider ( f = 35 GHz, Pin = 18 dBm) and (b) SP contours for output power (in dBm) of a stabilized 10 × 70 μm width transistor implemented in the third stage ( f = 35 GHz, Pin = 17.5 dBm).

Matching cell for ISMNs. TABLE III VALUES OF C OMPONENTS U SED IN ISMNs

Fig. 14.

Half-schematic of IMN. TABLE IV VALUES OF C OMPONENTS U SED IN THE IMN

substrate, comprising layers of transistors, and backside epoxy are imported into a CST Microwave Studio thermal simulator. The thermal simulation result indicates maximum channel temperature of 141 °C, which is lower than the established reliable operation temperature for pHEMT devices [9], [33].

B. Large-Signal Stability While small-signal stability of the HPA could be verified by the μ factor stability criterion, the presence of power dividers

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 15.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Half-schematic of the proposed HPA (odd-mode resistors are specified by blue).

and combiners in HPA topology with multiple transistors may cause odd- and even-mode oscillations in internal loops of the circuit [34], [35]. Furthermore, in high input powers, nonlinear input capacitance of transistors could result in negative resistance increasing the probability of parametric oscillations [25], [34]. Large-signal stability of the HPA is checked and ensured using the perturbation method described in [25]. Several resistors are placed between symmetric points of the OMN, IMN, and all ISMNs (denoted by Rodd in Figs. 9, 12, and Fig. 14) to prevent odd-mode oscillations. While these resistors have a negligible impact on normal operation of the HPA, they may experience large current flows depending on the asymmetry of their surrounding nodes, and therefore current density limitations must be considered in their layout. C. Sensitivity Check Sensitivity of the proposed HPA is examined versus substrate thickness, bond-wire inductances, and process corners at a center frequency of 35 GHz and input power of 16 dBm. Substrate thickness altered ±10% relative to its original thickness to account for any fabrication process variations. Simulation results show only ±0.4-dB output power change in this case. This power change originates from the change in Z 0 of the lines and subsequent deviation from optimum impedance terminations for the transistors. Bond-wire variations could cause small variations in results of the HPA since they are fabricated mechanically and are not precise. Hence, sensitivity of the output power of the HPA is checked for ±100% variation of bias bond wires and ±50% change of RF (input and output) bond wires. Simulation results show that while bias bond wires have no impact on HPA characteristics, alteration of RF bond wires changes the output power of HPA less than 0.2 dB. The results are independent of bias bond wires as they are bypassed by large on-chip capacitors. The designed HPA is also examined at process corners. Compared to the typical operation of the HPA, saturated output power of the HPA increases by 0.25 dB at the fast corner because of the improved gain; nevertheless, it reduces by 0.5 dB at the slow corner as the intrinsic gain of transistors reduces at this corner of the process.

Fig. 16.

Schematic of the designed BPA.

IV. BALANCED HPA D ESIGN Balanced topology is a very popular architecture in PA design, which can combine output power of two amplifiers in order to achieve higher output power [36]. Moreover, by employing two identical amplifiers, input and output reflection coefficients of the balanced topology is significantly improved over the single HPA [36], [37]. Fig. 16 presents the block diagram of the balanced HPA. Output powers of two designed HPAs are combined in the balanced topology using the integrated compact Lange couplers. Design of on-chip Lange couplers is a challenging task since the impedance seen by port 4 of the Lange coupler (Z Pad ) differs from the 50- resistance, as shown in Fig. 9. In addition, ports 1 and 2 of the Lange cou∗ rather than the 50- resistance, pler are terminated in the Z Pad because, as described in Section II-E, HPA cells are matched to Z Pad . A high-power 50- resistance must be connected to the third port of the Lange coupler, as dissipated power in this resistance may be high enough to burn it out. A Lange coupler with above-mentioned terminations must be designed with the lowest power loss possible. The designed on-chip Lange coupler presents average loss of 0.4 dB over the frequency band. It is noteworthy that all design considerations for the output Lange coupler also apply to the design of the input Lange coupler. Loss of the input Lange coupler only reduces the gain and has a negligible impact on the output power and PAE of the balanced HPA. V. M EASUREMENT R ESULTS The designed HPA and BPA were implemented in a 0.1-μm AlGaAs–InGaAs process. To improve heat transfer, the dies were attached with gold–tin (AuSn) to a heat sink, surrounded by an external board where RF input and output

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH et al.: ON DESIGN OF WIDEBAND COMPACT-SIZE, Ka/Q-BAND HPAs

9

Fig. 19. Measured (solid) and simulated (dashed) output power, PAE, and IIP3 of the HPA (Pin = 17 dBm).

Fig. 17.

Die photograph of the HPA (dimensions: 3.35 × 3.2 mm2 ).

Fig. 20.

Fig. 18. Measured (thick lines) and simulated (thin lines) S-parameters of the HPA.

pads were bonded using 18-μm-diameter gold bond wires to 50- microstrip lines. The board realizes microstrip to waveguide transition by exciting a tapered waveguide. The PAs were examined in test fixtures where WR-28 waveguides were used to cover the target bandwidth. CW mode tests were performed to measure output power and PAE. A. HPA Results With a die area of 3.35 × 3.2 mm2 , the chip micrograph of the HPA is shown in Fig. 17. The gate–source and drain– source voltages of all transistors are −0.5 and 4 V, respectively. Total quiescent current of the HPA is 4.1 A; however, it reaches to 4.4–5 A over the frequency range when the input power level is increased to drive the HPA into saturation. Simulated and measured S-parameters of the HPA are presented in Fig. 18. In the target bandwidth of 31–39 GHz, small-signal gain is 22±2 dB while input and output reflection coefficients are smaller than −8 and −7 dB, respectively. Fig. 19 illustrates measurement and simulation results of output power, PAE, and input-referred third-order intercept point (IIP3) of the HPA. Large-signal measurements are performed in CW mode of operation with 17-dBm input power. The results indicate that output power varies over the range of 36.0 to 37.2 dBm with an average of 36.6 dBm. The maximum output power of 37.2 dBm is achieved at 34 GHz. PAE varies

Measured output power and PAE of the HPA at 35 GHz.

between 21% and 26% with an average of 24% over the frequency band. Average large-signal gain of the HPA over the frequency band is 19.5 dB with a peak value of 20.2 dB at 35 GHz. IIP3 is obtained using the two-tone test with 10-MHz frequency spacing. Average IIP3 over the frequency band is 25.5 dBm, and the peak value of 26 dBm is measured at 39 GHz. Fig. 20 shows the output power and PAE of the HPA versus the input power at 35 GHz. Output-referred 1-dB compression point (O P1-dB ) of the HPA is 36.2 dBm with 23% associated PAE at input power of 13 dBm. The power spectral density (PSD) of the noise at the output of the HPA was also measured when a single-tone input signal at 35-GHz center frequency was applied. Noise PSD values of −151, −154, and −156 dBm/Hz are achieved for input powers of 15, 18, and 21 dBm, respectively. The measured values are reported at f = 35 GHz. The noise PSD is dependent to frequency and input power since the circuit is strongly nonlinear. For higher input powers, the noise PSD is smaller because overall gain is reduced, and the input noise would be less amplified. B. Balanced HPA Results The BPA die micrograph is shown in Fig. 21 where chip dimensions are 3.5×6.5 mm2 . The bias of the BPA is identical to the HPA. Quiescent current of the BPA is 8.2 A, while it can be as high as 8.6 A when the input power level is increased. Simulated and measured small-signal S-parameters of the BPA are shown in Fig. 22. Small-signal gain over the frequency band of 30–38 GHz is greater than 19 dB with an average of 21 dB and a maximum of 23 dB at 32.5 GHz. Input and output return losses are better than

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 23. Measured (solid) and simulated (dashed) output power, PAE, and IIP3 of the BPA (Pin = 21 dBm).

Fig. 21.

Fig. 24.

Measured output power and PAE of the BPA at 32 GHz.

Fig. 25.

Surface temperature of the BPA in ◦ C.

Die photograph of the BPA (dimensions: 3.5 × 6.5 mm2 ).

Fig. 22. Measured (thick lines) and simulated (thin lines) S-parameters of the BPA.

9 dB over the frequency band. The output power and gain of the BPA are reduced mainly due to loss of the Lange couplers and imperfect terminations, as described in Section IV. Fig. 23 shows measurement and simulation results of output power, PAE, and IIP3 of the BPA. CW-mode largesignal measurements are done with 21-dBm input power. The results show that output power varies over the range from 38.5 to 39.3 dBm with an average of 38.7 dBm and peak value of 39.3 dBm at 32 GHz. PAE is between 20% and 25% with an average of 22.5% over the bandwidth. Maximum PAE of 25% is achieved at 32 GHz. Average large-signal gain of the BPA is 17.7 dB with a peak value of 18.3 dB at 32 GHz, over the frequency band. Average IIP3 over the frequency

band is 26.4 dBm, and peak IIP3 of 27 dBm is obtained at 38 GHz. Fig. 24 presents the output power and PAE of the BPA in terms of the input power at 32 GHz. O P1-dB of the BPA is 37.9 dBm with 20% associated PAE at an input power of 18 dBm. C. Thermal Imaging Reliable operation of the PAs is confirmed with the aid of a thermal imaging infrared (IR) microscope where maximum surface temperature of 58 °C is observed for the HPA under a CW mode of operation. The thermal image of the BPA is presented in Fig. 25, wherein by applying a CW input power, maximum surface temperature of 63 °C is observed. The BPA produces more heat than the HPA as it suffers from a degraded PAE compared with the HPA. It is worth noting that the channel temperature is higher than the surface temperature; however, it cannot be measured using IR microscopy.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ALIZADEH et al.: ON DESIGN OF WIDEBAND COMPACT-SIZE, Ka/Q-BAND HPAs

11

TABLE V C OMPARISON OF Ka/Q-BAND S TATE - OF - THE -A RT GaAs AND GaN HPAs

D. Discussion Performances of several state-of-the-art GaAs and GaN Ka/Q-band HPAs are summarized in Table V. For the sake of completeness, commercial products in GaAs and GaN technologies are also provided in Table V. Three figures of merit (FOMs) are presented in Table V for qualitative comparison of the HPAs: the output power per chip area (Pout /Area), the products of bandwidth and output power (Pout × BW), and the products of bandwidth and PAE (PAE × BW). While Pout /Area is introduced as a measure of effective usage of the chip area to produce output power, Pout × BW and PAE × BW evaluate wideband operation of the HPAs. In these measures, BW stands for the fractional bandwidth of the amplifier. These three FOMs can be combined to form a better FOM, F1 = Pout × PAE × BW/Area, which will be used for final comparison of the HPAs. The proposed HPA achieves the highest PAE × BW among all GaAs and GaN HPAs. Moreover, it outperforms nearly all other designs in terms of Pout × BW, except Northrop Grumman’s APN228 HPA. Although the designed HPA is not the most compact one in Table V, it has the highest Pout /Area of all GaAs HPAs. The HPA has the second best Pout × PAE × BW/Area, and only Triquint Semiconductor’s TGA2594 GaN HPA outperforms it. The BPA achieves the best Pout × BW and PAE × BW among all other GaAs and GaN HPAs; however, it cannot be considered as a compact design. While the designed BPA has the highest output power of GaAs HPAs, it can compete with Northrop Grumman’s APN228 HPA, Triquint Semiconductor’s TGA2594 IC, and the GaN HPA presented in [17]. VI. C ONCLUSION A design methodology for small-size Ka/Q-band HPAs has been presented. A compact power combiner, as well as a miniature inter-stage matching topology, has been introduced to reduce the chip area. The stabilizing gate RC and its

importance on bandwidth improvement and simpler inter-stage matching design have been discussed in detail. The developed methodology has been employed to design a 31–39-GHz HPA, which features an average 36.6-dBm output power, 24% PAE, and 22-dB small-signal gain, as well as 8-dB/7-dB minimum input/output return loss over the frequency band. Two identical HPAs have been combined employing a balanced topology. The BPA exhibited an average 38.7-dBm output power, 22.5% PAE, and 21-dB small-signal gain while maintaining 9-dB/10-dB minimum input/output return loss over the frequency band of 30–38 GHz. ACKNOWLEDGMENT The authors would like to thank H. Lotfi for his kind help in thermal simulations. R EFERENCES [1] W. To-Po, L. Zong-Wei, and H. Ching-Yung, “A high-Psat high-OP1dB high-power-density fully integrated Ka-band power amplifier in 0.18-μm CMOS,” in IEEE Int. Wireless Inf. Tech. Syst. Conf., 2012, pp. 1–4. [2] T. Jeng-Han, L. Yi-Lin, H. Tian-Wei, Y. Cheng-Ming, and J. G. J. Chern, “A 90-nm CMOS broadband and miniature Q-band balanced medium power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1129–1132. [3] I. P. Smorchkova et al., “AlGaN/GaN HEMTs—Operation in the K-band and above,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 665–668, Feb. 2003. [4] R. Emrick, “Monolithic 6W Ka-band high power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 527–529. [5] A. M. Darwish, K. Boutros, B. Luo, B. D. Huebschman, E. Viveiros, and H. A. Hung, “AlGaN/GaN Ka-band 5-W MMIC amplifier,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4456–4463, Dec. 2006. [6] C. F. Campbell, A. Balistreri, M. Kao, D. C. Dumka, and J. Hitt, “GaN takes the lead,” IEEE Microw. Mag., vol. 13, no. 6, pp. 44–53, Sep./Oct. 2012. [7] F. Y. Colomb and A. Platzker, “2 and 4 watt Ka-band GaAs PHEMT power amplifier MMICs,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 843–846. [8] M. V. Aust et al., “A 2.8-W Q-band high-efficiency power amplifier,” IEEE J. Solid-State Circuits, vol. 41, no. 10, pp. 2241–2247, Oct. 2006. [9] F. Y. Colomb and A. Platzker, “A 3-watt Q-band GaAs pHEMT power amplifier MMIC for high temperature operation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 897–900.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

[10] K. S. Boutros, W. B. Luo, Y. Ma, G. Nagy, and J. Hacker, “5W GaN MMIC for millimeter-wave applications,” in IEEE Compound Semicond. Integr. Circuit Symp., 2006, pp. 93–95. [11] S. J. Mahon, A. C. Young, A. P. Fattorini, and J. T. Harvey, “6.5 watt, 35 GHz balanced power amplifier MMIC using 6-inch GaAs pHEMT commercial technology,” in IEEE Compound Semicond. Integr. Circuit Symp., 2008, pp. 1–4. [12] C. F. Campbell, D. C. Dumka, K. Ming-Yih, and D. M. Fanning, “Design and performance of a high efficiency Ka-band power amplifier MMIC,” in IEEE Compound Semicond. Integr. Circuit Symp., 2010, pp. 1–4. [13] M. R. Lyons, C. D. Grondahl, and S. M. Daound, “Design of low-cost 4W & 6W MMIC high power amplifiers for Ka-band modules,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1673–1676. [14] S. Mahon, A. Dadello, J. Harvey, and A. Bessemoulin, “A family of 1, 2 and 4-watt power amplifier MMICs for cost effective VSAT ground terminals,” in IEEE Compound Semicond. Integr. Circuit Symp., 2005, 4 pp. [15] J. J. Komiak, W. Kong, P. C. Chao, and K. Nichols, “Fully monolithic 4 watt high efficiency Ka-band power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 947–950. [16] M. Micovic et al., “GaN MMIC technology for microwave and millimeter-wave applications,” in IEEE Compound Semicond. Integr. Circuit Symp., 2005, 3 pp. [17] C. F. Campbell, L. Yueying, K. Ming-Yih, and S. Nayak, “High efficiency Ka-band gallium nitride power amplifier MMICs,” in IEEE Int. Microw., Commun., Antennas, Electron. Syst. Conf., 2013, pp. 1–5. [18] C. F. Campbell, D. C. Dumka, K. Ming-Yih, and D. M. Fanning, “High efficiency Ka-band power amplifier MMIC utilizing a high voltage dual field plate GaAs PHEMT process,” in IEEE Compound Semiconduct. Integr. Circuit Symp., 2011, pp. 1–4. [19] C. F. Campbell, K. Ming-Yih, and N. Sabyasachi, “High efficiency Ka-band power amplifier MMICs fabricated with a 0.15 μm GaN on SiC HEMT process,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3. [20] Q. H. Wang, M. Y. Kao, S. Nayak, K. S. Kong, and C. F. Campbell, “A high power Q-band MMIC power amplifier based on dual-recess 0.15 m pHEMT,” in IEEE Compound Semiconduct. Integr. Circuit Symp., 2004, pp. 133–136. [21] M. K. Siddiqui, A. K. Sharma, L. G. Callejo, and R. Lai, “A high-power and high-efficiency monolithic power amplifier at 28 GHz for LMDS applications,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2226–2232, Dec. 1998. [22] C. Shuoqi, N. Sabyasachi, K. Ming-Yih, and J. Delaney, “A Ka/Q-band 2 watt MMIC power amplifier using dual recess 0.15 m PHEMT process,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1669–1672. [23] K. Keon-Shik, B. Nguyen, N. Sabyasachi, and K. Ming-Yih, “Ka-band MMIC high power amplifier (4W at 30 GHz) with record compact size,” in IEEE Compound Semiconduct. Integr. Circuit Symp., 2005, 4 pp. [24] H. Otsuka et al., “A Q-band 6W MMIC power amplifier with 3-way power combination circuit,” in IEEE Radio Freq. Integr. Circuits Symp., 2010, pp. 171–174. [25] G. Nikandish and A. Medi, “A design procedure for high-efficiency and compact-size 5-10-W MMIC power amplifiers in GaAs pHEMT technology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2922–2933, Aug. 2013. [26] A. Yousefi and A. Medi, “Wide-band high-efficiency Ku-band power amplifier,” IET Microw., Antennas, Propag., vol. 8, no. 6, pp. 583–592, 2014. [27] A. P. D. Hek, “Design, realisation and test of GaAs-based monolithic integrated X-band high-power amplifiers,” Ph.D. dissertation, Dept. Elect. Eng., Dordrecht Polytechnic, Dordrecht, The Netherlands, 2001. [28] I. Angelov, H. Zirath, and N. Rosman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Techn., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [29] G. Avolio et al., “Millimeter-wave FET nonlinear modelling based on the dynamic-bias measurement technique,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2526–2537, Nov. 2014. [30] A. Prasad, C. Fager, M. Thorsell, C. M. Andersson, and K. Yhland, “Symmetrical large-Signal modeling of microwave switch FETs,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 8, pp. 1590–1598, Aug. 2014. [31] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA, USA: Artech House, 2006. [32] I. J. Bahl, Fundamentals of RF and Microwave Transistor Amplifiers, 1st ed. New York, NY, USA: Wiley, 2009.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[33] A. P. de Hek, G. van der Bent, M. van Wanum, and F. E. van Vliet, “A cost-effective 10 watt X-band high power amplifier and 1 watt driver amplifier chip-set,” in Proc. Eur. Gallium Arsenide and Other Semicond. Appl. Symp., 2005, pp. 37–40. [34] A. Suarez, J. Sanggeun, and D. Rutledge, “Stability analysis and stabilization of power amplifiers,” IEEE Microw. Mag., vol. 7, no. 5, pp. 51–65, Oct. 2006. [35] N. Ayllon, J. M. Collantes, A. Anakabe, I. Lizarraga, G. SoubercazePun, and S. Forestier, “Systematic approach to the stabilization of multitransistor circuits,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 2073–2082, Aug. 2011. [36] J. Lange, “Interdigitated strip-line quadrature hybrid,” in IEEE MTT-S Int. Microw. Symp. Dig., 1969, pp. 10–13. [37] D. M. Pozar, Microwave Engineering, 3rd ed. New York, NY, USA: Wiley, 2005.

Amirreza Alizadeh (S’08) received the B.S degree from the University of Tabriz, Tabriz, Iran, in 2010, the M.S. degree from the Sharif University of Technology, Tehran, Iran, in 2012, and is currently working toward the Ph.D. degree at the Sharif University of Technology. He is currently with the ISDL Group, Electrical Engineering Department, Sharif University of Technology. His primary research interests include modeling of interconnects with nanometer dimensions, as well as RF, microwave, and millimeterwave integrated-circuit design.

Milad Frounchi (S’11) received the B.Sc. degree (with honors) from the University of Tabriz, Tabriz, Iran, in 2012, the M.Sc. degree from the Sharif University of Technology, Tehran, Iran, in 2014, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology, Atlanta, GA, USA. Since 2015, he has been a member of the SiGe Devices and Circuits Group, Georgia Institute of Technology . His primary research interests include investigating the physics of SiGe devices as well as designing integrated RF and microwave circuits.

Ali Medi (S’98–M’08–SM’13) received the B.Sc. degree from the Sharif University of Technology, Tehran, Iran, in 2001, and the M.Sc. and Ph.D. degrees in electrical engineering from the University of Southern California, Los Angeles, CA, USA, in 2003 and 2007, respectively. He is currently an Associate Professor with the Electrical Engineering Department, Sharif University of Technology. He was a Research Assistant with the UltRaLab, where he was involved in the field of analog and RF circuit design for ultra-wideband systems. He was with the Broadcom Corporation, where he developed RF blocks for GSM cell-phone transceivers. His research interests are RF and microwave integrated circuits, as well as wideband analog circuit design.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

An Ultra-Low-Power Wideband Inductorless CMOS LNA With Tunable Active Shunt-Feedback Mahdi Parvizi, Member, IEEE, Karim Allidina, Member, IEEE, and Mourad N. El-Gamal, Member, IEEE

Abstract— This work presents and analyzes the design of a 1-V ultra-low power, compact, and wideband low-noise amplifier (LNA). The proposed LNA uses common-gate (CG) NMOS and PMOS transistors as input devices in a complementary current-reuse structure. Low power input matching is achieved by employing an active shunt-feedback architecture while the current of the feedback stage is also reused by the input transistor to improve the current efficiency of the LNA. A forward body biasing (FBB) scheme is exploited to tune the feedback coefficient. The complementary characteristics of the input stage leads to partial second-order distortion cancellation. The proposed inductorless LNA is implemented in an IBM 0.13-µm 1P8M CMOS technology and occupies only 0.0052 mm2 . The measured LNA has a 12.3-dB gain 4.9-dB minimum noise figure (NF) input referred third-order intercept point (IIP3) of −10 dBm and 0.1–2.2-GHz bandwidth (BW), while consuming only 400 µA from a 1-V supply. Index Terms— Complementary current-reuse, forward body bias, inductorless, low-noise amplifier (LNA), tunable active shunt-feedback, ultra-low power (ULP).

I. I NTRODUCTION

T

HE need for wideband and ultra-low-power (ULP) RF front-end circuits has been growing with the proliferation of portable wireless devices and wireless sensor networks. These applications impose strict restrictions on the power consumption of RF front-end circuitry to extend battery lifetime. The low-noise amplifier (LNA), as the first active block in the RF front-end of a receiver, has to provide simultaneous wideband matching, low noise, high gain, and modest linearity, all of which require high power consumption. However, the LNA specifications for ULP receivers are different from that of traditional LNA design mostly in terms of noise figure (NF). These receivers are typically used in low data-rate applications such as wireless sensor networks, where a higher NF (and reduced sensitivity) is tolerated to achieve low power consumption [1], [2]. Given the aforementioned low-power LNA design challenges, the design of ULP ( 1 and  < 1 for the frequency band of interest. The k-factor and  can be found by [33] 1 − |S11 |2 − |S22 |2 + |S11 S22 − S12 S21 |2 2|S12 S21 |  = |S11 S22 − S12 S21 |. k=

(22) (23)

The most likely element to cause instability in the LNA is M3. As a result, to demonstrate the effect of the tunable feedback coefficient on the k-factor and  of the proposed LNA, the body bias of M3 is swept from 0 to 0.4 V and the simulation results are provided in Fig. 11. Fig. 11(a) plots the k-factor and  is shown in Fig. 11(b). Therefore, the LNA is unconditionally stable for the entire range of operation. Employing the aforementioned design equations and techniques, the ULP inductorless LNA was implemented. The value of the bias voltages are determined using the extended

Die micrograph of the LNA.

biasing metric for ULP and ultra-low voltage designs [24]. The component values and transistor sizes of the proposed LNA are provided in Table I. IV. M EASUREMENT R ESULTS AND D ISCUSSIONS The expected theoretical results are verified by implementing the circuit in a 0.13-μm IBM CMOS technology. Fig. 12 shows the die micrograph of the LNA. As highlighted on the photograph, the total area of the LNA is only 70 μm × 75 μm. The chip is bonded onto a printed circuit board (PCB) (chip-on-board technology) to provide dc biasing and the RF functionality is tested using a probe station. The chip-on-board technology provides more flexibility to control the length of the bond wires and, hence, reduces the associated parasitics. The dc bias pads are placed on the side of the die and some of them are highlighted on the photograph. A CS buffer is used to drive the 50- resistance of the measurement equipment, and its post-layout characteristics were de-embedded from the S21 of the LNA. The measured and post-layout simulation (PLS) results of S21 and S11 are plotted in Fig. 13. The LNA achieves an S21 of 12.3 dB with a 3-dB BW of 0.1–2.2 GHz. S11 is better than −9 dB at Vsub = 0.25 V in the band of interest, and can be tuned by 4 dB by adjusting the body bias of M3 between 0–0.5 V, as shown in Fig. 14. The discrepancy between the measured and simulated S11 at frequencies above 1 GHz can be explained by the lower gm of the devices, which leads to a higher 1/gm in the CG stage in addition to a lower feedback coefficient. Fig. 15 highlights S22 and S12

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARVIZI et al.: ULP WIDEBAND INDUCTORLESS CMOS LNA WITH TUNABLE ACTIVE SHUNT-FEEDBACK

Fig. 13.

PLS and measured results for S21 and S11 of the LNA. Fig. 16.

Fig. 14.

Fig. 15.

9

Measured tunable S11 for varying Vsub between 0–0.5 V.

PLS and measurement results for the NF of the proposed LNA.

Fig. 17. Measured and simulated fundamental tone and the third-order intermodulation (IM3) term.

Measured S12 and S22 of the LNA. Fig. 18.

of the LNA. The buffer provides an average S22 of below −10 dB while the reverse isolation is around −35 dB in the band of interest. The NF of the LNA is plotted in Fig. 16. As can be seen, the NF is below 5 dB between 0.5–2 GHz. However, as discussed in Section III-D, at low frequencies, the coupling between V2 and V3 weakens and, hence, the noise cancellation is degraded. As a result, the NF increases up to 6 dB. The noise discrepancy between measurement and simulation results at high frequencies is mainly due to inaccurate transistor noise modeling in the moderate inversion region, and the reduced voltage gain compared to simulation results. Additionally, the noise injected from the dc biases provided by bond wires used in this test setup also contribute

Measured IIP3 of the LNA across the operating frequency band.

to the overall noise due to insufficient on-chip decoupling capacitances at the dc biasing nodes. The linearity of the LNA is mostly limited by the lowvoltage headroom available for each component when using a 1-V supply voltage. The input–output characteristics of the LNA are shown in Fig. 17. The measured input referred third-order intercept point (IIP3) is −10 dBm at 2 GHz with two-tone spacing of 100 MHz. Fig. 18 highlights the IIP3 performance of the LNA across the frequency band of operation. The IIP3 varies between −11.5 to −9.5 dBm. The 1-dB compression point is at −20 dBm. The input referred second-order intercept point (IIP2) at 2 GHz is −5 dBm.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II P ERFORMANCE S UMMARY AND C OMPARISON W ITH S TATE - OF - THE -A RT LNA S

when compared to other works. Fig. 19 highlights the overall performance of this LNA based on FOM I and FOM II versus power consumption and compares it with the other works in the literature. V. C ONCLUSION

Fig. 19. Comparison of the state-of-the-art works in the literature with the designed LNA based on: (a) FOM I and (b) FOM II.

In this paper, an ULP very compact LNA has been proposed, analyzed and implemented. A complementary current-reuse technique has been employed to reduce the overall dc current in the amplifier, and a tunable active shunt-feedback scheme has provided ultra-low power input matching. An FBB scheme was used to control the gm of the feedback transistor and provided a means of tuning the input matching across process corners. Moreover, the complementary characteristics of the input stage has led to partial second-order distortion cancellation. The LNA was fabricated in an IBM 0.13-μm 1P8M CMOS technology and the measured performance showed a 12.3-dB gain, a 0.1–2.2-GHz BW, a minimum NF of 4.9 dB, an IIP3 of −10 dBm, and a power consumption of 400 μW from a 1-V supply. R EFERENCES

The LNA consumes only 400 μA from a 1-V supply voltage. The power consumption of the external dc DAC for feedback adjustment is not included in the overall power, however, it is possible to realize this type of circuit with power consumptions of ∼ 100 nW [25] so the power overhead of this block is negligible. The performance of the LNA is summarized in Table II and is compared with state-of-the-art works in the literature. Two figures of merit (FoM I [15] and FoM II [7]) are used to compare the overall performance of the LNAs and they are given by

S21av.[lin] BW[GHz]   (24) FoM I = 20 log10 Pdc[mW] Fav.[lin] − 1

S21av.[lin]BW[GHz] IIP3[mW]   FoM II = 20 log10 . (25) Pdc[mW] Fav.[lin] − 1 A[mm2 ] The LNA presented here achieves ULP consumption while offering comparable performance in terms of gain, NF, and linearity, which leads to achieving one of the highest FOM I and the highest FOM II (which includes area and linearity),

[1] S. Soldà, M. Caruso, A. Bevilacqua, A. Gerosa, D. Vogrig, and A. Neviani, “A 5 Mb/s UWB-IR transceiver front-end for wireless sensor networks in 0.13 μm CMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 7, pp. 1636–1647, Jul. 2011. [2] M. Crepaldi, C. Li, J. Fernandes, and P. Kinget, “An ultra-wideband impulse-radio transceiver chipset using synchronized-OOK modulation,” IEEE J. Solid-State Circuits, vol. 46, no. 10, pp. 2284–2299, Oct. 2011. [3] J.-F. Chang and Y.-S. Lin, “0.99 mW 3–10 GHz common-gate CMOS UWB LNA using T-match input network and self-body-bias technique,” Electron. Lett., vol. 47, no. 11, pp. 658–659, May 2011. [4] K. Allidina and M. El-Gamal, “A 1 V CMOS LNA for low power ultra-wideband systems,” in IEEE Int. Electron., Circuits, Syst. Conf., Aug. 2008, pp. 165–168. [5] M. Parvizi, K. Allidina, F. Nabki, and M. N. El-Gamal, “A 0.4 V ultra low-power UWB CMOS LNA employing noise cancellation,” in IEEE Int. Circuits Syst. Symp., May 2013, pp. 2369–2372. [6] S.-T. Wang, A. Niknejad, and R. Brodersen, “Design of a sub-mW 960MHz UWB CMOS LNA,” IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2449–2456, Nov. 2006. [7] F. Belmas, F. Hameau, and J. Fournier, “A low power inductorless LNA with double G m enhancement in 130 nm CMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1094–1103, May 2012. [8] Z. Li, L. Sun, and L. Huang, “0.4 mw wideband LNA with double gm enhancement and feed-forward noise cancellation,” Electron. Lett., vol. 50, no. 5, pp. 400–401, 2014. [9] C. Chih-Shiang and G. Jyh-Chyurn, “Ultra-low voltage and low power UWB CMOS LNA using forward body biases,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2013, pp. 173–176.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARVIZI et al.: ULP WIDEBAND INDUCTORLESS CMOS LNA WITH TUNABLE ACTIVE SHUNT-FEEDBACK

[10] H. Wang, L. Zhang, and Z. Yu, “A wideband inductorless LNA with local feedback and noise cancelling for low-power low-voltage applications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 8, pp. 1993–2005, Aug. 2010. [11] A. Bevilacqua and A. Niknejad, “An ultrawideband CMOS low-noise amplifier for 3.1–10.6-GHz wireless receivers,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [12] C.-W. Kim, M.-S. Kang, P. T. Anh, H.-T. Kim, and S.-G. Lee, “An ultrawideband CMOS low noise amplifier for 3–5-GHz UWB system,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 544–547, Feb. 2005. [13] J.-H. Zhan and S. Taylor, “A 5 GHz resistive-feedback CMOS LNA for low-cost multi-standard applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 721–730. [14] B. Perumana, J.-H. Zhan, S. Taylor, B. Carlton, and J. Laskar, “Resistivefeedback CMOS low-noise amplifiers for multiband applications,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 5, pp. 1218–1225, May 2008. [15] J. Borremans, P. Wambacq, C. Soens, Y. Rolain, and M. Kuijk, “Low-area active-feedback low-noise amplifier design in scaled digital CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 11, pp. 2422–2433, Nov. 2008. [16] W. Sanghyun, K. Woonyun, L. Chang-Ho, L. Kyutae, and J. Laskar, “A 3.6 mW differential common-gate CMOS LNA with positivenegative feedback,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 218–219, 219a. [17] A. Liscidini, G. Martini, D. Mastantuono, and R. Castello, “Analysis and design of configurable LNAs in feedback common-gate topologies,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 8, pp. 733–737, Aug. 2008. [18] J. Walling, S. Shekhar, and D. Allstot, “A gm -boosted current-reuse LNA in 0.18 μm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 613–616. [19] G. Sapone and G. Palmisano, “A 3-10-GHz low-power CMOS low-noise amplifier for ultra-wideband communication,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 678–686, Mar. 2011. [20] W.-H. Chen, G. Liu, B. Zdravko, and A. Niknejad, “A highly linear broadband CMOS LNA employing noise and distortion cancellation,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1164–1176, May 2008. [21] H.-K. Cha, M. Raja, X. Yuan, and M. Je, “A CMOS medradio receiver RF front-end with a complementary current-reuse LNA,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1846–1854, Jul. 2011. [22] M. Khurram and S. R. Hasan, “A 3-5 GHz current-reuse gm -boosted CG LNA for ultra-wideband in 130 nm CMOS,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 20, no. 3, pp. 400–409, Mar. 2012. [23] H.-H. Hsieh and L.-H. Lu, “Design of ultra-low-voltage RF frontends with complementary current-reused architectures,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 7, pp. 1445–1458, Jul. 2007. [24] M. Parvizi, K. Allidina, and M. El-Gamal, “A sub-mw, ultra-low-voltage, wideband low-noise amplifier design technique,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 6, pp. 1111–1122, Jun. 2015. [25] J. Jin, Y. Gao, and E. Sanchez-Sinencio, “An energy-efficient timedomain asynchronous 2 b/step SAR ADC with a hybrid R-2R/C-3C DAC structure,” IEEE J. Solid-State Circuits, vol. 49, no. 6, pp. 1383–1396, Jun. 2014. [26] C. C. Enz and E. A. Vittoz, Charge-Based MOS Transistor Modeling: The EKV Model for Low-Power and RF IC Design. New York, NY, USA: Wiley, 2006. [27] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, Second Edition, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. [28] V. Aparin and L. Larson, “Modified derivative superposition method for linearizing FET low-noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 571–581, Feb. 2005. [29] F. Bruccoleri, E. Klumperink, and B. Nauta, “Wide-band CMOS lownoise amplifier exploiting thermal noise canceling,” IEEE J. Solid-State Circuits, vol. 39, no. 2, pp. 275–282, Feb. 2004. [30] S. Kang, B. Choi, and B. Kim, “Linearity analysis of CMOS for RF application,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 3, pp. 972–977, Mar. 2003. [31] B. Toole, C. Plett, and M. Cloutier, “RF circuit implications of moderate inversion enhanced linear region in MOSFETs,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 2, pp. 319–328, Feb. 2004. [32] Z. Heng and E. Sanchez-Sinencio, “Linearization techniques for CMOS low noise amplifiers: A tutorial,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 1, pp. 22–36, Jan. 2011. [33] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ, USA: PrenticeHall, 1997.

11

Mahdi Parvizi (GSM’08–M’14) received the B.S. degree in electrical engineering from Shahid Beheshti University, Tehran, Iran, in 2006, the M.Sc. degree in electrical engineering (with high distinction) from the Tarbiat Modares University, Tehran, Iran, in 2009, and the Ph.D. degree from McGill University, Montreal, QC, Canada, in 2016. In 2012, he joined the Ciena Corporation, Ottawa, ON, Canada, as an Analog/Mixed Signal Circuit Design Engineer and Silicon Photonic Designer for optical transceivers. He has coauthored several conference and journal papers and a book chapter. His research interests include high-speed analog/RF circuit design, low phase-noise voltage-controlled oscillators (VCOs), ultra-low power and ultra-low voltage circuits for wireless sensor network applications, and silicon photonic. Mr. Parvizi was the recipient of various awards including the International Solid-State Circuits Conference (ISSCC) Student Travel Grant Award and the ISSCC Student Research Preview Best Paper Award.

Karim Allidina (S’00–M’11) received the B.Eng. degree in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2004, the M.A.Sc. degree in electrical engineering from the University of British Columbia, Vancouver, BC, Canada, in 2006, and the Ph.D. degree from McGill University, Montreal, QC, Canada in 2014. He is currently the Vice President of Products Development with MEMS Vision International Inc., Montreal, QC, Canada. He has authored or coauthored 20 technical papers and 2 book chapters. His research interests include ultra-low-power integrated circuit (IC) design, microelectromechanical systems (MEMS)-based microsystems, advanced packaging, and efficient high-volume test and calibration strategies.

Mourad N. El-Gamal (S’92–M’99) received the B.Sc. (Honors) degree from Ain-Shams University, Cairo, Egypt, in 1987, the M.Sc. degree (with a minor in computer science) from Vanderbilt University, Nashville, TN, USA, in 1993, and the Ph.D. degree from McGill University, Montreal, QC, Canada, in 1998, all in electrical engineering. He has held the William Dawson Scholar Chair with the Department of Electrical and Computer Engineering, McGill University, since 2004, and has served as a Member of the Steering Committee of the Nanofabrication Facility, Institute for Advanced Materials. From March 2007 to July 2008, he was the Associate Vice Principal Research and International Relations (VP-RIR) with McGill University. The office of the VP-RIR oversees all aspects of research activities at McGill University, from science and engineering to biomedical and drug development. Among the main initiatives that he spearheaded was the development of a comprehensive university–industry partnership strategic plan, including all aspects of technology transfer and commercialization. In 2002, while on leave from McGill University, he was Director of Engineering, then Vice President, and then General Manager of the Wireless Business Unit, MEMSCAP, which is headquartered in France—a 165-employee publicly trading company specializing in microelectromechanical systems (MEMS). Prior to that, he was with IBM Research and with the French telecommunications company ALCATEL. He consults for companies and the Canadian Government on policies and strategies on a regular basis. He has authored or coauthored over 85 technical papers and 3 book chapters. He holds five patents and currently has six patents filed on novel micro/nano processes and devices. His research interests are in microscale and nanoscale integrated electronics and mechanical systems with a special interest in advanced materials. His research focus has been on the combination of these technologies to produce new knowledge and innovative solutions for a wide range of applications including wireless communications systems, health care, and aerospace. Dr. El-Gamal was an Associate Editor for the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —I: R EGULAR PAPERS . He was the Chair of the Biomedical, Sensors, Displays, and MEMS Subcommittee formerly the Emerging Technologies Subcommittee), IEEE Custom Integrated Circuits Conference (CICC). He was the recipient of numerous teaching awards. His team has also been the recipient of several research awards.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A 2-GHz Pulse Injection-Locked Rotary Traveling-Wave Oscillator Zhanjun Bai, Member, IEEE, Xing Zhou, Student Member, IEEE, Ralph D. Mason, Member, IEEE, and Gord Allan, Member, IEEE

Abstract— This paper proposes a scalable and efficient frequency multiplication technique that synthesizes a multiphase clock with finely adjustable output taps. It uses a pulse injection locked rotary traveling-wave oscillator (RTWO) with switched capacitors and complementary varactor pairs to achieve a 1.7–2-GHz tuning range and to implement the fine phase adjustment. The worst case phase-tuning resolution is 0.36°. The design is implemented in IBM’s 130-nm CMOS technology, and consumes a total power of 28.4 mW. Locked to a clean 679-MHz reference, it has a phase-noise performance of −132 dBc/Hz at 100-kHz offset from 2.039 GHz. It achieves 39-fs integrated root mean square (rms) jitter from 1 kHz to 40-MHz offset, for a Jitter2 ∗ Power Figure of Merit (FOM) of −251 dB. Index Terms— Low integrated root mean square (rms) jitter, low phase noise, rotary traveling-wave oscillator (RTWO).

I. I NTRODUCTION

T

HERE are a number of applications in both digital and mixed-signal integrated circuits (ICs), which require multi-phase output clocks with fine phase resolution. Some examples include phased arrays [1], interleaved data-converters [2], [3], high-speed serializer/deserializer [4], high-performance microprocessors [5], and other digital systems [6]. Also, in today’s complex ICs, as clock frequencies increase, circuit switching-interference, supply noise, and substrate coupling noise can inject into sensitive clock circuits degrading their performance and adding timing uncertainties [7]–[9]. Modern digital circuits consist of billions of transistors, which create large amounts of correlated digital switching noise. The root mean square (rms) jitter caused by this digital switching noise is difficult to predict due to its random nature [7], [8]. In mixed signal ICs, the clocking requirements can be even more severe, where 1-ps skew budget may be required to clock a high-performance

Manuscript received September 30, 2015; revised February 22, 2016; accepted March 12, 2016. This work was supported by the National Sciences and Engineering Research Council (NSERC) of Canada and by Analog Devices Inc., Ottawa, ON, Canada, under a Collaborative Research and Development Grant. Z. Bai was with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6. He is now with Kapik Integration, Toronto, ON, Canada M5T 2C2 (e-mail: [email protected]). X. Zhou and R. D. Mason are with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: [email protected]; [email protected]). G. Allan is with Analog Devices Inc., Ottawa, ON, Canada K1V 1C1 (e-mail: [email protected] ) Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2544755

Fig. 1.

RTWO with pulse injector.

digital-to-analog converter (DAC) array or parallel analog-todigital converters (ADCs) [2]. Additionally, the clock can be both a victim and an aggressor against sensitive analog signals. To this end, this research strives to generate a high-quality and low-noise clock signal that can be distributed throughout the chip. The proposed scheme uses a pulse injectionlocked rotary traveling-wave oscillator (RTWO) to achieve particularly low phase noise, low integrated rms jitter, an excellent figure of merit (FOM) and robust operation in the presence of common-mode noise. The superior jitter performance is an enabler for high-speed applications such as next-generation (400 Gb/s) SERDES systems and high-speed data-converters [2]. II. P ULSE I NJECTION -L OCKED RTWO A RCHITECTURE The RTWO is implemented with 16 stages of high-Q microstrip lines, as shown in Fig. 1 [10], [11]. All microstrip lines are implemented on patterned ground shields to minimize coupling from other digital circuits through the substrate [12]. The IC’s primary functional circuitry is intended to be placed inside the transmission-line loop as separation between the RTWO and other components can be minimized [13], [14]. An RTWO can provide access to multiple phases of gigahertzrate square waves with uniform amplitude and low jitter along the complete closed path of the loop [15]. This provides an advantage over conventional low phase-noise LC oscillators where multiphase signal generation requires additional circuitry and signal amplitudes may be difficult to control [1].

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

The proposed RTWO is a pseudo-differential structure. The digital switching noise will appear as a common-mode signal on the RTWO’s inverter pair inputs, but its effect will be greatly reduced by the low common-mode gain of the inverter pairs. The digital switching noise will also appear on the power supplies of the RTWO. However, they will only affect the phase noise near the zero crossing points of the RTWO’s inverter outputs [16]. The RTWO resonator can sustain highfrequency harmonics due to the implementation of its inverter pairs and microstrip lines. The RTWO outputs are fast rail to rail square wave signals with fast slew rates and short zero crossing times. This results in reduced phase noise. The above factors significantly reduce the influence of digital switching noise on the phase-noise performance of the RTWO. Injection locking can be useful in a number of applications that require high-efficiency integer frequency synthesis, including frequency division [17], quadrature generation [18], [19], clocking networks for high-frequency data converters [2], and oscillators with fine phase resolutions [1]. An oscillator injection-locking bandwidth, also called the synchronization range, is commonly described by a frequency range across which injection locking holds. This range is a function of the oscillator circuit parameters, as well as, the relative power or amplitude of the injection signal. If the frequency of the injection signal (or one of its harmonics) is placed inside the oscillator locking bandwidth, the oscillator is likely to injection lock [20]. Low phase-noise frequency multiplication can be achieved with this technique by using a low-noise reference signals to sub-harmonically injection lock a free-running oscillator. If the injection signal has low harmonic power, the oscillator free-running frequency can be fine tuned close to a harmonic of the reference signal for improved injection locking [21]. It is worth mentioning that, with proper design, the synchronization of an injection-locked oscillator can be achieved with less than 1000 clock cycles and only a small amount of injection energy (less than −12 dBm in the current design). Once the RTWO is injection locked with a harmonic of the injection source, the phase-noise performance within the locking bandwidth tracks the injection source [22]. A pulse injection-locking technique can be achieved using an injection pulse that is much shorter than the oscillator period [23], [24]. The injection pulse is generated by a pulse generator circuit, where the duty cycle can be controlled by a voltage-controlled delay line (VCDL), as shown in Fig. 1. The pulse is applied to the gate of the NMOS switch, which causes a short between the NMOS source and drain connected to the RTWO tank. The injection power at an odd harmonic is a function of both the pulse duty cycle and its Tr /T f (rise/fall) times, where Tr and T f are the values from 0% to 100% of the maximum output amplitude (note: 0% to 100% values are used to simplify the analysis). In order to capture the impact of finite rise/fall times and duty cycle on the magnitude of a constituent harmonic, a trapezoidal waveform (as shown in Fig. 2) can be analyzed. Its amplitude is normalized to 1 V to facilitate the analysis. The square wave has an effective duty cycle of ε in a period T with rise/fall times of Tr /T f , respectively. The Fourier transform of the nonideal square wave f (t) is

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2.

Real time-domain waveform f (t).

Fig. 3.

Power degradation as a function of injection signal duty cycle.

derived as F ( j ω) =

1 ( j ω)2

H ( j ω) .

(1)

In order to calculate F( j ω), the Fourier transform of its second derivative H ( j ω) needs to be calculated first,  T 2 d f (t) − j nω0 t e dt. (2) H ( j ω) = dt 2 0 From the deductions in the Appendix, the nth harmonic coefficient of the non-ideal square wave f (t) is expressed as      ε ε δ+ε δ Cn_real = · cos −nπ · sinc nπ · sinc nπ . T T T T (3) Based on the above analysis, Fig. 3 shows the power degradation at different harmonics as a function of injection signal duty cycle with 10% rise/fall times. It should be noted that the energy varies at different harmonics depending on the duty cycle. Therefore, selecting the proper duty cycle can maximize the desired harmonic injection power. Using derivation (3), Fig. 4 depicts the third harmonic power as a function of the rise/fall times and duty cycle. The rise/fall times are normalized relative to the period (T ) of the fundamental frequency. Fig. 4 shows that the third harmonic power reaches its peak (4-dB attenuation) at approximately 18% duty cycle. It is interesting to note that the third harmonic power difference is approximately 1 dB for rise/fall times that are less than 10% of the injection signal period. Therefore, the rise/fall times are designed to be less than 10% of the injection signal period. The duty cycle of the pulse injection circuit is tuned to maximize the injection power. In this design, the duty cycle can be adjusted from 10% to 35% to select the maximum injection

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BAI et al.: 2-GHZ PULSE INJECTION-LOCKED RTWO

3

Fig. 5.

RTWO frequency- and phase-tuning diagram.

Fig. 4. Third harmonic power as a function of injection signal duty cycle and rise/fall times.

power for the different harmonics, as shown in Fig. 3. There is a tradeoff between switch size, VCDL buffer size, injection power, and power consumption. The authors’ approach was to sweep VCDL buffer size and NMOS switch size and choose the lowest power consumption that achieved 10% duty cycle for Tr and T f at V0 and V8 of the tank at 2 GHz. The authors then simulated the phase noise and tuning range to make sure there was no significant degradation. In [10], a differentially connected transconductor, operated in the strong inversion region, is employed to provide the desired odd harmonic. The differentially connected transistors inject current into the RTWO tank. However, the differentially connected transistors may suffer from process and mismatch variations and cannot be made fully symmetric. This degrades the injection-locked RTWO phase-noise performance by creating an asymmetry in the tank voltages and currents. The pulse injector is implemented with a single NMOS switch, which is a more symmetric structure resulting in improved phase-noise performance [23], [24]. III. RTWO P HASE AND F REQUENCY T UNING The RTWO phase and frequency tuning is explored in this design and is controlled by metal–insulator–metal (MIM) caps and complementary varactor pairs [11]. The design adjusts varactors symmetrically (i.e., increase or decrease varactors by the same amount) around the ring to achieve a free-running frequency close to the injection-locking harmonic; and then injection locks the RTWO and finally adjusts the varactors before and after the output tapping point (e.g., increase the varactors before the tapping point and decrease the varactors after the tapping point or vice versa) to vary the output phase while maintaining the injection locking at the desired output frequency. The RTWO frequency- and phase-tuning mechanisms are both illustrated by Fig. 5. For example, when the loading capacitance across tapping points v0 to v15 are all increased or decreased, the total capacitance over the transmission line loop is increased or decreased and the RTWO free-running output frequency is decreased or increased. Then, by increasing the loading capacitance from tapping points v1–v9 to v4–v12 and decreasing the loading capacitance from

Fig. 6. Simulated transient waveforms of the RTWO minimum phase-tuning step at tapping point v5.

tapping points v5–v13 to v8–v0 by the same amount, the total capacitance across the transmission-line loop is still constant and the average wave speed across the whole loop is the same. However, the wave slows down and output phase delays at tapping points v1–v9 to v4–v12. The wave speeds up and output phase advances at tapping points v5–v13 to v8–v0. As a result, the RTWO frequency and phase tuning can be independently tuned by using the varactor pair control bits to vary the loading capacitance across the transmission-line loop. The RTWO’s injection points are phase synchronized by the external reference signal at its zero crossing points. Given approximately equal geometric tapping points around the periphery of the ring, it is possible to attain many phases of the clock signal. Multi-phase clocks are common requirements in systems, where they may be used for edge combination/ multiplication [2], [25], phase interpolation [3], multi-phase logic [13], etc. Due to process variations, layout differences, and/or on-chip gradients (both static and dynamic), there will be inevitable unintended offsets between the various clock phases around the loop. These variations can be tuned out by adjusting the RTWO phase-tuning words. For test purposes, only four tapping points were chosen. The transient simulation waveform of the minimum phase-tuning step at tapping point v5 is shown in Fig. 6. Here v5 at both phase-tuning word A (PTWA) and phase-tuning word B (PTWB) is operating at 2.04 GHz, and the simulated phase difference between PTWA and PTWB, as shown in the zoomed-in portion of Fig. 6, is 370 fs.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9.

Fig. 7. Simulated amplitude variation of different tapping points along the ring as a function of frequency.

Fig. 8. Simulated nominal phase tuning steps of different tapping points along the ring as a function of the RTWO output frequency.

The amplitude and phase variations from tapping point v0 to v15 are explored over the entire frequency-tuning range, as shown in Figs. 7 and 8. Along the tapping points, the relative amplitude variation is relatively small, the actual variation between tapping points is only 30 mV, and there is a slightly decreases in amplitude as frequency increases. Therefore, the 30-mV amplitude variation at different tapping points will not significantly affect the proposed applications. The load capacitance over different tapping points is large; as a result, the loading imbalance caused by the injector is relatively small. The nominal phase difference between v2 and v3 (or v4 and v5) is 22.5°. There is approximate 0.1° nominal phase mismatch over different tapping points from the 1.76- to 2.06-GHz frequency-tuning range. This phase mismatch is less than the target 0.36° phase-tuning accuracy. In addition, delta phase differences are used instead of absolute phases in the proposed applications. Therefore, this 0.1° mismatch would not affect the proposed applications. The phase imbalance around the ring can be minimized by good circuit design and layout practices. Wherever possible, unit-sized components with symmetric layout were used and the overall ring dimensions were designed such that all dimension were significantly greater than the minimum sizes. For example, the delta varactor used for phase tuning are six times the

Oscillator free-running frequency-tuning circuit.

minimum-sized varactors to minimize process variations. This design also uses multiple unit size varactors in close proximity to minimize process variations in the prototype chip. The RTWO free-running frequency is also tuned using 7-bit binary-controlled high Q switched MIM caps and 30 groups of digitally controlled low capacitance thermometer-weighted complementary varactor pairs. The combination of MIM caps and complementary varactor pairs support a wide tuning range and excellent frequency resolution while maintaining high overall tank Q [11]. The implementation of complementary varactor pairs takes advantage of the difference capacitance between deltas instead of using small delta capacitance to achieve small step capacitance. Therefore, larger varactors instead of the minimum size varactors offered by this process node are used. This results in the mismatch between varactors being relatively small while providing high-frequency resolution; which allows the free-running oscillator to be tuned close to the injection harmonic, thereby reducing the required injection-locking power [20]. Multiple MIM caps and digitally controlled complementary varactor pairs are accessed simultaneously over the 16 transmission line stages to further reduce process variations and mismatch. This improves the linearity in digital-to-frequency conversion and allows higher frequency resolution. It should be noted that the binary weighted MIM caps contribute most of the loading capacitance and the thermometer weighted complementary varactor pairs are only used for medium and fine frequency tunings, thus providing higher tank Q in the 1.7–2.0-GHz range of the test chip. The implementation of complementary varactor pairs provides frequency overlaps to guarantee monotonicity. Usually, the MIM caps are less sensitive to temperature variations and result in better frequency-tuning performance with more MIM caps and fewer varactors. The RTWO free-running frequency can be calibrated with an on-chip microprocessor (μP), as shown in Fig. 9, similar to the implementation in [21]. The basic approach is to use a subsampling switch to mix the free-running frequency down to a low frequency and measure it with an ADC. When the free-running frequency is an exact harmonic of the crystal frequency, the mixed down signal will be at dc. The free-running frequency is measured using the ADC output and μP and then the μP adjusts the free-running frequency by controlling the varactors of the oscillator. This approach only requires a simple switch, low-resolution ADC, and basic 8-bit μP, which will require very little space using an advanced CMOS process. The free-running frequency is tuned at startup,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BAI et al.: 2-GHZ PULSE INJECTION-LOCKED RTWO

5

which eliminates any process variations from chip to chip. A temperature sensor and supply voltage sensor can be placed on the chip and read by the μP, which can then adjust the varactors of the oscillator either based on frequency readings done during production testing or using the tuning circuit in Fig. 9. For the latter case, the injection locking would need to be disabled momentarily to tune the free-running frequency. IV. U NLOCKED RTWO P HASE -N OISE A NALYSIS The phase noise of the free-running RTWO are divided into three categories, which are: 1) thermally induced resonator noise caused by the finite resistance of the RF transmission lines; 2) thermally induced inverter pair noise; and 3) inverter pair noise induced by flicker noise. The thermally induced resonator noise is analyzed in [16] and the thermally induced inverter pair noise is derived in [26] and [27]. Therefore, this work mainly discusses flicker noise introduced by inverter pairs. The phase-noise analysis focuses on an offset angular frequency (ωm ) close to the oscillation frequency (ω0 ). Therefore, the noise current originated by the distributed resonator can be represented by a single resistor R p at the oscillation angular frequency (ω0 ). The transistor gate flicker noise is given as 2 v gn = K f /WlCox f , where K f is a constant depending on device characteristics and Cox is the oxide capacitance. W and l are the transistor’s width and length. The induced 2 = g 2 v 2 . The transistor drain current noise is calculated as i ng m gn PMOS transistor width is sized to be twice that of the NMOS transistor width (W P = 2W N ). As a result, the spectral density of the inverter pair current noise is derived as [28]   2 2 + gm P π K gm N 2 2 1/ f ( f ) = 1 (i 2 2 (4) i ninv ng N + i ng P ) = 2 W N lCox ω where K is the worst case constant of PMOS and NMOS K f . Instead of supplying a large current (e.g., I Dsat ) to support a rail-to-rail swing as in ring oscillators, inverter pairs in RTWOs only contribute a small amount of current (I ) to compensate for the transmission-line losses. Assuming, the RTWO rise/fall times are symmetric, the inverter pairs’ supply current within a short time window (Ts ) can be calculated based on the fastswitching approximation [26]. The ratio of the noise sampling window to resonating period is expressed as I I Dsat ω0 I ω0 2Ts =2 = (5) T0 I Dsat S 2π πS where S is the slope of the oscillator rise/fall waveforms and T0 is the oscillation period. Therefore, the sampled noise current from the inverter pairs is calculated as   2 gm 2 P π K gm N + 2 2Ts 1/ f 2 i nsinv (f) = W lC ω T0  N ox  2 g 2 + m P I ω K gm 0 N 2 (6) = W N lCox ωπ S where ω is the angular frequency at which we are measuring the noise (ω = ω0 + ωm ). The single-sideband (SSB) phase

Fig. 10. Predicted and simulated RTWO free-running phase-noise comparison for a 2-GHz carrier.

noise due to the flicker noise of inverter pairs is derived as [16]   2 gm 2 P   K gm N + 2 I ω0 1 ω0 R p 2 1/f . (7) Linv (ωm ) = 2 W N lCox ωπ S V0 2Qωm The predicted free-running RTWO SSB phase noise (with and without flicker noise) is plotted together with simulated phase noise (with and without flicker noise) at 2 GHz in Fig. 10. Fig. 10 shows that the simulated (with flicker noise) and predicted phase noise (with flicker noise) results are in good agreement, with less than 1 dB of phase noise deviation up to 10-MHz offset frequency. The added simulation and analysis without flicker noise shows that our flicker-noise analysis has significantly reduced the low-offset frequency error. V. M EASUREMENT R ESULTS The injection-locked RTWO was fabricated using a 130-nm CMOS technology from IBM. The microphotograph of the chip with input/output and dc bias pads is shown in Fig. 11. The pads “AVDD,” “DVDD,” “AVSS,” and “DVSS” are the analog and digital power supplies and grounds. The pads “pulse” and “Vtune” are used to load in the reference injection signal and tune the injection signal pulse width separately. The “din,” “clk,” and “En” pads are used to load in the oscillator frequency- and phase-tuning words. “PHUP” and “PHDN” are for analog phase tuning, which is not being used. The “v2,” “v3,” “v4,” and “v5” pads are RTWO output tapping points. This test chip was packaged in a 44-pin ceramic quad flat package and was mounted on a custom printed circuit board (PCB). It should be noted that the RTWO ring size can be increased or decreased as needed by varying a fixed loading capacitance in the ring. The test chip occupies a 1.6 mm × 1.6 mm area and the single RTWO ring and associated routing requires 1.04 mm2 of die area. Symmetrical decoupling capacitors were added to the chip both to reduce the on-chip power supply noise and to satisfy the process metal density requirements. In the free-running mode, the RTWO can be biased at a minimum of 1.14-V supply voltage with the oscillator

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Measured RTWO output frequency as a function of frequency-tuning word.

Fig. 11. Microphotograph of the fabricated IC with all the input/output and dc bias pads labeled.

Fig. 14. Simulated locked output power at 27 °C and measured locked output power at 0 °C, 27 °C, and 70 °C as a function of RTWO output frequency.

Fig. 12. Simulated locking bandwidth at 27 °C and the measured locking bandwidth at 0 °C, 27 °C, and 70 °C as a function of RTWO output frequency.

core drawing a current of 24.9 mA. An Rohde & Schwarz FSUP signal analyzer was used for phase-noise measurement and Keithley 2400LV source meters were employed to vary the power supply voltage and tune the injection duty cycle. A Rohde & Schwarz SMA 100-A signal generator was used as the source of the injection signal. The RTWO can be injection locked with a square wave of −12 dBm. The pulse injection-locked RTWO synchronization range was measured over 0 °C, 27 °C, and 70 °C and simulated at 27 °C, as shown in Fig. 12. The measured locking range corresponding to the reported phase-noise performance is 8 MHz at 27 °C for a 2-GHz carrier. Fig. 12 shows the injection-locking bandwidth decreases with increasing temperature or decreasing frequency. It can also be seen that the measurements at 27 °C have the same general trend (with slightly reduced locking bandwidth)

as the simulation results. Fig. 13 shows the simulated (27 °C) and measured (0 °C, 27 °C, 70 °C) RTWO free-running output frequency as a function of frequency-tuning word. Fig. 13 shows the RTWO covers 1.71- to 2.08-GHz frequency-tuning range. The frequency variation is approximately 50 MHz (2.7% relative to the RTWO center frequency) as the temperature increases from 0 °C to 70 °C, which also correlates well with the results in [30]. The measured injection locked output power at 0 °C, 27 °C,, and 70 °C over the frequencytuning range from bonding pad v5 is plotted in Fig. 14. The simulated output power at 27 °C is also included in Fig. 14. The measured output power at 27 °C is approximately 2.5 dB worse than the simulated results due to losses in the output buffers, PCB traces, and cables. The injection-locked output power decreases as the temperature increases. The pulse injection locked RTWO phase noise (at 100-kHz and 1-MHz offset) and RTWO power consumption as a function of output frequency are shown in Fig. 15. Fig. 15 shows that there is 4.7% variation in the RTWO power consumption over the entire frequency-tuning range. The pulse injection-locked RTWO phase noise at 100-kHz and 1-MHz offset frequencies have only 2-dB variation over the entire frequency range. The measured pulse injection-locked RTWO transient waveforms at PTWA and PTWB are shown in Figs. 16 and 17.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BAI et al.: 2-GHZ PULSE INJECTION-LOCKED RTWO

Fig. 15. Pulse injection locked RTWO phase noise at 100-kHz and 1-MHz offset and power consumption as a function of output frequency.

7

Fig. 18. Simulated and measured delta phase shift and output frequency as a function of the entire phase-tuning word.

Fig. 19. Simulated and measured delta phase shift as a function of phasetuning word at different supply voltages and temperature corners. Fig. 16.

Fig. 17.

Measured injection-locked transient waveform at PTWA.

Measured injection-locked transient waveform at PTWB.

Figs. 16 and 17 show the transient waveforms at tapping points v4 and v5 at an oscillator frequency of 2.04 GHz. The delay between v4 and v5 changes from 31.25 to 30.87 ps. The delta phase corresponding to this minimum phase-tuning step

is 0.28°. The RTWO outputs are connected to on-chip buffers that drive 50- off-chip transmission lines. Unfortunately, the frequency response of the on-chip buffers with 50- loads is attenuate at the higher harmonics of the output (e.g., third and fifth harmonics), which results in distortion of the output signals. It is worth mentioning that there is an output amplitude difference between v4 and v5, which is caused by mismatches in the on-chip buffers and mismatches in the on-chip and offchip traces. The measured frequency and delta phase shift as a function of phase-tuning word at a 1.98-GHz frequency is shown in Fig. 18. Fig. 18 also shows the simulated delta phase shift. Once the oscillator is injection locked, the oscillator output frequency is measured to be constant (within the measurement accuracy of the signal analyzer) over the entire phase-tuning words. The phase-tuning step size varies over different phasetuning words due to process variations. The variation in the simulated delta phase shift is due to simulation accuracy. The phase resolution is measured to be 0.32° at 27 °C and 1.5-V supply voltage for a 1.98-GHz carrier, as shown in Fig. 18. The measured frequency and delta phase shift as a function of the entire phase-tuning word at a 1.98-GHz frequency over different voltage and temperature corners is shown in Fig. 19. Where 70 °C and 1.15-V supply voltage is defined as the Slow Corner, 27 °C and 1.5-V supply voltage is defined as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 20. Measured nominal phase shift between adjacent tapping points and injection signal duty cycles as a function of injection signal pulse width tuning voltage.

Fig. 21. Unlocked and locked RTWO phase-noise performance for a 2-GHz carrier.

the Nominal and 0 °C and 1.5-V supply voltage is defined as the Fast Corner. Fig. 19 shows that the measured worst case phase-tuning resolutions of 0.36° occurs at 70 °C and 1.15-V supply voltage. The measured nominal phase shift between adjacent tapping points and injection signal duty cycles as a function of injection signal pulse width tuning voltage is shown in Fig. 20. The relative phase shift between adjacent tapping points varies less than 0.1° as the injection signal duty cycle varies from 8% to 30% of the injection signal period. The unlocked simulation and measurement phase-noise performance for a 2-GHz carrier are shown in Fig. 21 for comparison. The measured phase noise is 1–5 dB worse than the simulation results (depending on offset frequency). It is believed that the increased low offset frequency error is due to the accuracy of the simulator’s 1/ f noise model. For the injection-locked phase-noise simulation, the reference noise file from a Rohde & Schwarz SMA 100 A signal generator is loaded into the reference injection signal source in the simulator. Once the RTWO is injection locked, its phase-noise performance is dominated by the reference signal. Therefore, the measured injection-locked phase noise tracks the simulated injection-locked phase noise within the locking range. The injection-locked phase noise versus the reference signal source phase noise is shown in Fig. 22. The RTWO was injection locked using a

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 22.

Pulse injection locked phase noise and signal source phase noise.

third harmonic signal. For Fig. 22, the blue curve is the pulse injection-locked phase noise and the black curve is the reference signal phase noise. For the pulse injectionlocked RTWO, the integrated rms jitter at 2.039 GHz is 39 fs from 1 kHz to 40-MHz offset frequency. The pulse injectionlocked RTWO has in-band phase noise of −132 dBc/Hz at 100-kHz offset from the 2.039-GHz output frequency. The phase-noise performance of the injected signal (i.e., 679 MHz) was measured to be −143 dBc/Hz at 100-kHz offset frequency. Ideally the injector noise would be 20 log(3) = 9.5 dB below the RTWO for third harmonic injection [22]. The extra noise is added by the RTWO circuit, the up-conversion of power supply noise, and external circuit on the PCB. The implementation of injection locking on the RTWO attains efficient frequency multiplication with low additive noise. The RTWO phase noise and integrated rms jitter varies with injection signal duty cycles is shown in Fig. 23. The optimum phase noise and integrated rms jitter performance occurs at approximately 17% injection signal duty cycle. This is in close agreement with the maximum injection power in the analysis in Section III, which occurs at 17% of the injection signal duty cycle. The injection-locked phase noise versus the phasetuning words at 100-kHz and 1-MHz offset frequencies for a 2-GHz carrier are shown in Fig. 24. Fig. 24 shows that the measured phase-noise performance has a small degradation at the maximum and minimum phase-tuning words due to the varactor mismatch, which results in a shift in the free-running center frequency. There is no significant variation in the power consumption as a function of the phase-tuning word. The performance of prior art is listed in Table I for comparison. The phase noise (PN), integrated rms jitter, and FOM are a significant improvement on the previously reported transconductance injection-locked RTWO [11]. The proposed pulse injection-locked RTWO achieves excellent phase-noise performance and only requires back-to-back inverter pairs to sustain the oscillation and can therefore operate at a smaller supply voltage compared to many ring oscillator circuits [31]. In addition, the RTWO is less sensitive to process, voltage, and temperature variations compared to many ring oscillator circuits [30], [32]–[34]. The oscillator phase-noise performance is a strong function of the zero crossing points transition time [16], [38]. A higher

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BAI et al.: 2-GHZ PULSE INJECTION-LOCKED RTWO

9

TABLE I P ERFORMANCE S UMMARY AND C OMPARISON

Fig. 23. RTWO phase noise and integrated rms jitter as a function of the injection signal duty cycles.

Fig. 24. RTWO injection-locked phase noise, at 100-kHz and 1-MHz offset frequency, and power consumption as a function of phase-tuning word for a 2-GHz carrier.

supply voltage allows the RTWO to switch faster and the time windows of the transient waveform zero crossing points become narrower. Therefore, a higher supply voltage results in improved phase-noise performance. The injection-locked RTWO phase noise at 100-kHz and 1-MHz offset frequency (for a 2-GHz carrier) and power consumption versus a power supply variations from 1.15 to 1.5 V are shown in Fig. 25. Fig. 25 shows the phase-noise performance degrades as the

power supply decreases. Fig. 25 shows the injection-locked RTWO phase noise increases by 2.1 and 2.3 dB at 100-kHz and 1-MHz offset frequencies at a 1.15-V supply voltage compared to a 1.5-V supply voltage. Therefore, the RTWO can operate well over a range of supply voltages. The injectionlocked RTWO integrated rms jitter from 1 kHz to 40 MHz as a function of supply voltage and temperature is shown in Fig. 26. Fig. 26 shows that the jitter performance degrades

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Fig. 25. Power consumption and injection-locked RTWO phase noise at 100-kHz and 1-MHz offset for a 2-GHz carrier as a function of power supply variations.

Fig. 26. Injection-locked RTWO integrated rms jitter from 1 kHz to 40 MHz for a 2-GHz carrier at 0 °C, 27 °C, and 70 °C and RTWO power consumption at 27 °C versus supply voltage variations from 1.15 to 1.5 V.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 28.

Unlocked RTWO broadband spectrum.

Fig. 29.

Injection-locked RTWO broadband spectrum.

Fig. 30.

Injection-locked RTWO output spectrum with 40-MHz bandwidth.

Fig. 27. Comparison of phase noise with digital switching noise on and off.

as the supply voltage is decreased and the temperature is increased. It can be seen in Fig. 26 that most of jitter variation occurs between 27 °C and 70 °C. This general trend also agrees with results reported in [29]. It would be desirable to reduce the high-temperature jitter, which will be explored in future designs. In the test chip, digital circuits clocked by the RTWO are placed inside the ring. These digital circuits produce

correlated digital noise, which is similar to a real microsystem. As shown in Fig. 27, the measured phase-noise performance degrades by only 0.37 dB at 100-kHz offset frequency when digital noise from 1000 inverters and 350 flip flops (12000 transistors in total inside the RTWO) are clocked by the local RTWO. The wideband spectrums of the unlocked and locked RTWO are shown in Figs. 28 and 29. Fig. 28 shows the unlocked RTWO second harmonic power is 21 dB below the RTWO fundamental output frequency. Fig. 29 shows there are some

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BAI et al.: 2-GHZ PULSE INJECTION-LOCKED RTWO

11

Also, sin (nω0 (δ/2)) = (ejnω0 (δ/2) − e−jnω0 (δ/2))/2j, then H (jω) can be rewritten as H ( j ω)

  2 j − j nω0 ((ε+δ)/2) δ  j nω0 (ε/2) − j nω0 (ε/2)  e sin nω0 −e e δ 2    −4 − j nω0 ((ε+δ)/2) δ ε (11) sin nω0 e sin nω0 . = δ 2 2

= Fig. 31.

Second derivatives of f (t).

intermodulation products between the reference frequency and the pulse injection-locked RTWO output frequency. The reference frequency is 37 dB below the output frequency power and the intermodulation products are more than 48 dB below the output frequency power. The locked RTWO output spectrum with 40-MHz bandwidth is shown in Fig. 30. Fig. 30 shows there are no close-in spurs above −79 dBc. VI. C ONCLUSION The proposed pulse injection-locked RTWO architecture provides multi-phase clocks with high phase resolution and improves the jitter performance of multi-gigahertz microsystems. With the continuous trend to smaller devices and lower voltage supplies, scaling the mostly analog designs of traditional oscillators becomes increasingly difficult. Thus, new architectures, which provide high performance and are readily ported to new technologies, are highly desirable. The proposed pulse injection-locked RTWO uses primarily digital circuits and tends to achieve better phase-noise performance than a tranconductance injection-locked RTWO. The proposed architecture is scalable and will benefit from increased operating frequencies and lower cost (due to reduced die size) with more advanced IC processes. Higher frequency and phase resolutions can also be achieved by using smaller varactor pairs available in more advanced processes.

Substituting (8) into (11) results in (12),  

si n nω20 δ sin nω0 ε − j nω0 ((ε+δ)/2) 2 F ( j ω) = εe nω0 ε nω δ 0

2

2

  nω ε  nω0 δ 0 sinc = εe− j nω0 ((ε+δ)/2)sinc 2 2

(12)

where we assume δ = Tr = T f for simplification. The nth harmonic amplitude of the Fourier series is then derived as 1 Cn = F ( j ω) T    nω ε  ε − j nω0 ((ε+δ)/2) nω0 δ 0 = e sinc . sinc T 2 2 With ω0 = 2π f 0 = 2π/T , the nth harmonic coefficient of the non-ideal square wave is expressed as 1 F ( j ω) T    ε ε δ = e− j nπ((ε+δ)/T ) sinc nπ sinc nπ T T T     δ+ε ε δ ⇒ Cnreal = · cos −nπ · sinc nπ T T T  ε . (13) ⇒ sinc nπ T

Cn =

A PPENDIX The Fourier transform of the non-ideal square wave f (t) is derived as 1 H ( j ω) . (8) F ( j ω) = ( j ω)2 In order to calculate F( j ω), the Fourier transform of its second derivative H ( j ω) is calculated first where ω = nω0 ,  T 2 d f (t) − j nω0 t e dt. (9) H ( j ω) = dt 2 0 The second derivative of the non-ideal square wave is expressed as h(t) and plotted in Fig. 31. Therefore,  1 H ( j ω) = 1 − e− j nω0 δ − e− j nω0 ε + e− j nω0 (ε+δ) δ 1 − j nω0 ((ε+δ)/2) = e δ    · e j nω0 (ε/2) e j nω0 (δ/2) − e− j nω0 (δ/2)   − e− j nω0 (ε/2) e j nω0 (δ/2) − e− j nω0 (δ/2) . (10)

ACKNOWLEDGMENT The authors would like to thank the Canadian Microelectronics Corporation, Kingston, ON, Canada, for facilitating technology access. The support of Analog Devices Inc. and the Natural Sciences and Engineering Research Council (NSERC) of Canada are gratefully acknowledged. Technical assistance from Kapik Integration, Toronto, ON, Canada, is also gratefully acknowledged. Technical support and discussions with Dr. R. Chick and R. Klett, both with Kapik Integration, Toronto, ON, Canada, and T. Riley and Dr. N. Filiol, both with Kaben Wireless Inc, Ottawa, ON, Canada, were also invaluable. The authors would also like to thank Dr. T. Ross, Huawei Technologies, Ottawa, ON, Canada, A. Lima, ST Microelectronics, Ottawa, ON, Canada, R. Smith, Department of Electronics, Carleton University, Ottawa, ON, Canada, and Dr. D. Chen, Gedex Inc., Toronto, ON, Canada, for their technical assistance. Finally, Rohde & Schwarz Canada Inc. and Keysight Technologies are thanked for providing access to equipment needed to perform the phase-noise measurements.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

R EFERENCES [1] Y. Soliman and R. Mason, “Application of subharmonic injection locking of LC oscillators to LO-based phase-shifting phased-array architectures,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3475–3484, Dec. 2010. [2] S. Le Tual, P. N. Singh, C. Curis, and P. Dautriche, “A 20 GHz-BW 6 b 10 GS/s 32 mW time-interleaved SAR ADC with master T&H in 28 nm UTBB FDSOI technology,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2014, pp. 378–379. [3] M. Meghelli et al., “A 10 Gb/s 5-tap-DFE/4-tap-FFE transceiver in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 80–81. [4] H. Kimura et al., “A 28 Gb/s 560 mW multi-standard SerDes with single-stage analog front-end and 14-tap decision feedback equalizer in 28 nm CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 1–13, Dec. 2004. [5] A. Grenat et al., “Adaptive clocking system for improved power efficiency in a 28 nm × 86–64 microprocessor,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2014, pp. 106–107. [6] R. Kan et al., “The 10th generation 16-core SPARC64TM processor for mission critical UNIX server,” IEEE J. Solid-State Circuits, vol. 49, no. 1, pp. 32–40, Jan. 2014. [7] T. Tsukada, Y. Hashimoto, K. Sakata, H. Okada, and K. Ishibashi, “An on-chip active decoupling circuit to suppress crosstalk in deepsubmicron CMOS mixed-signal SoCs,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 67–79, Jan. 2005. [8] D. Bull, S. Das, K. Shivashankar, G. S. Dasika, K. Flautner, and D. Blaauw, “A power-efficient 32 bit ARM processor using timing-error detection and correction for transient-error tolerance and adaptation to PVT variation,” IEEE J. Solid-State Circuits, vol. 46, no. 1, pp. 18–31, Jan. 2011. [9] K. A. Bowman, C. Tokunaga, T. Karnik, V. K. De, and J. W. Tschanz, “A 22 nm all-digital dynamically adaptive clock distribution for supply voltage droop tolerance,” IEEE J. Solid-State Circuits, vol. 48, no. 4, pp. 907–916, Apr. 2013. [10] Z. Bai, X. Zhou, and R. Mason, “A novel injection locked rotary traveling wave oscillator,” in IEEE Int. Circuits Syst. Symp., Jun. 2014, pp. 1768–1771. [11] Z. Bai, X. Zhou, R. Mason, and G. Allan, “Low phase noise clock distribution network using rotary traveling wave oscillators and builtin self-test phase tuning technique,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 62, no. 1, pp. 41–45, Jan. 2015. [12] T. Cheung and J. Long, “Shielded passive devices for silicon-based monolithic microwave and millimeter-wave integrated circuits,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1183–1200, May 2006. [13] K. Takinami, R. Strandberg, P. C. P. Liang, G. G. Mercey, T. Wong, and M. Hassibi, “A distributed oscillator based all-digital PLL with a 32-phase embedded phase-to-digital converter,” IEEE J. Solid-State Circuits, vol. 46, no. 11, pp. 2650–2660, Nov. 2011. [14] K. Takinami and R. Walsworth, “Phase error calibration technique for rotary traveling wave oscillators,” IEEE J. Solid-State Circuits, vol. 45, no. 11, pp. 2433–2444, Nov. 2010. [15] J. Wood, T. C. Edwards, and S. Lipa, “Rotary traveling-wave oscillator arrays: A new clock technology,” IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1654–1665, Nov. 2001. [16] K. Takinami, R. Walsworth, and S. Osman, “Phase-noise analysis in rotary traveling-wave oscillators using simple physical model,” IEEE J. Solid-State Circuits, vol. 58, no. 6, pp. 1465–1474, Jun. 2010. [17] V. Manassewitsch, Frequency Synthesizers, 3rd ed. New York, NY, USA: Wiley, 1987. [18] C. J. M. Verhoeven, “A high-frequency electronically tunable quadrature oscillator,” IEEE J. Solid-State Circuits, vol. 27, no. 7, pp. 1097–1100, Jul. 1992. [19] A. Rofougaran et al., “A 900 MHz CMOS LC oscillator with quadrature outputs,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 1996, pp. 392–393. [20] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [21] R. Mason, J. Fortier, and C. DeVries, “Complete SOC transceiver in 0.18 μm CMOS using Q-enhanced filtering, sub-sampling and injection locking,” IEEE J. Solid-State Circuits, vol. 47, no. 8, pp. 1800–1809, Aug. 2012. [22] W. P. Robins, Phase Noise in Signal Sources (Theory and Applications), 1st ed. London, U.K.: Inst. Eng. Technol., 1982.

[23] B. M. Helal, C. M. Hsu, K. Johnson, and M. H. Perrott, “A low jitter programmable clockmultiplier based on a pulse injection-locked oscillator with a highly-digital tuning loop,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1391–1400, May 2009. [24] B. M. Helal, M. Z. Straayer, W. Y. Gu, and M. H. Perrott, “A highly digital MDLL-based clock multiplier that leverages a self-scrambling time-to-digital converter to achieve subpicosecond jitter performance,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 855–863, Apr. 2008. [25] S. Ye, L. Jansson, and I. Galton, “A multiple-crystal interface PLL with VCO realignment to reduce phase noise,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1795–1803, Dec. 2002. [26] H. Darabi and A. A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000. [27] J. J. Rael and A. A. Abidi, “Physical processes of phase noise in differential LC oscillators,” in Proc. IEEE Custom Integr. Circuits Conf., May 2000, pp. 569–572. [28] A. A. Abidi, “Phase noise and jitter in CMOS ring oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1803–1816, Aug. 2006. [29] J. Lee and H. Wang, “Study of subharmonically injection-locked PLLs,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1539–1553, May 2009. [30] Y. Teng and B. Taskin, “Process variation sensitivity of the rotary traveling wave oscillator,” in Proc. IEEE Int. Quality Electron. Design Symp., Mar. 2011, pp. 236–242. [31] J. Jalil, M. B. I. Reaz, and M. A. M. Ali, “CMOS differential ring oscillators: Review of the performance of CMOS ring oscillators in communication systems,” IEEE Microw. Mag., vol. 14, no. 5, pp. 97–109, Jul.–Aug. 2013. [32] T. Wu, K. Mayaram, and U. K. Moon, “An on-chip calibration technique for reducing supply voltage sensitivity in ring oscillators,” IEEE J. SolidState Circuits, vol. 42, no. 4, pp. 775–783, Apr. 2007. [33] S. Y. Lee, S. Amakawa, N. Ishihara, and K. Masu, “2.4–10 GHz low noise injection-locked ring voltage controlled oscillator in 90 nm complementary metal oxide semiconductor,” Jpn. J. Appl. Phys., vol. 50, no. 4, p. 5, 2011. [34] J. G. Maneatis and M. A. Horowitz, “Precise delay generation using coupled oscillators,” IEEE J. Solid-State Circuits, vol. 28, no. 12, pp. 1273–1282, Dec. 1993. [35] Y. C. Huang and S. I. Liu, “A 2.4 GHz subharmonically injection-locked PLL with self-calibrated injection timing,” IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 417–428, Feb. 2013. [36] I. Lee, K. Zeng, and S. Liu, “A 4.8-GHz dividerless subharmonically injection-locked all-digital PLL with a FOM of −252.5 dB,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 9, pp. 547–551, Sep. 2013. [37] Y. C. Lin, M. L. Yeh, and C. C. Chang, “A high figure-of-merit low phase noise 15-GHz CMOS VCO,” J. Marine Sci. Technol., vol. 21, no. 1, pp. 82–86, 2013. [38] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998.

Zhanjun Bai (S’04–M’07) received the B. Eng, M.A.Sc, and Ph.D. degrees in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2007, 2010, and 2014, respectively. His Ph.D. was in collaboration with Analog Devices Inc., Ottawa, ON, Canada. Since 2015, he has been an Analog/Mixed Signal Integrated Circuit (IC) Design Engineer with Kapik Integration, Toronto, ON, Canada. His research has focused on high-frequency resolution and low phase-noise oscillator design. Mr. Bai was the recipient of the 2014 Strategic Microelectronics Council of ITAC Industrial Collaboration Award. He was also the recipient of an Excellent Student Paper Award of the IEEE International Conference on Solid State Integrated Circuit Technology, 2010.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BAI et al.: 2-GHZ PULSE INJECTION-LOCKED RTWO

13

Xing Zhou (S’15) received the M.A.Sc. degree in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2012, and is currently working toward the Ph.D. degree in electronics at Carleton University. From 2006 to 2010, he was with LG Electronics Inc., where he developed RF and baseband hardware for digital TV products. His research interest is the design of clock distribution networks and phase-locked loop (PLL) systems for wireless communications.

Gord Allan (M’01) received the B.Sc and M.Sc degrees from Queen’s University, Kingston, ON, Canada, in 1999 and 2001, respectively, and the Ph.D. degree in electronics from Carleton University, Ottawa, ON, Canada, in 2009. Since 2007, he has been with Hittite Microwave (now Analog Devices Inc.), Ottawa, ON, Canada, where he leads the design of very high-quality fractional phase-locked loop (PLL) voltage-controlled oscillators (VCOs) and transceiver projects. Some of his work include the HMC703/704 and the HMC830/832.

Ralph D. Mason (S’84–M’85) received the M.Sc. degree in electrical engineering from Carleton University, Ottawa, ON, Canada, and the Ph.D. degree in electrical engineering from Dalhousie University, Halifax, NS, Canada. He is currently an Associate Professor with Carleton University. He is a co-founder of Kleer, a wireless semiconductor company that specializes in low-power wireless audio solutions for commercial and automotive applications. His primary research interest is the design of RF and mixed-signal circuits and systems for wireless and wireline transceivers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

1867

A Novel Concurrent 22–29/57–64-GHz Dual-Band CMOS Step Attenuator With Low Phase Variations Juseok Bae, Student Member, IEEE, and Cam Nguyen, Fellow, IEEE

Abstract— A novel 22–29/57–64-GHz concurrent dual-band CMOS 4-bit step attenuator with small phase variations is presented. A new integrated diplexer-attenuator possessing both attenuation and diplexer filtering functions is used to achieve the concurrent dual-band response for the 22–29/57–64-GHz concurrent dual-band attenuator, which leads to small size and low insertion loss. The 22–29/57–64-GHz concurrent dual-band attenuator also includes a new distributed attenuator configured to result in small phase variation. The 22–29/57–64-GHz concurrent dual-band attenuator is fabricated using a 0.18-µm BiCMOS technology. The measured insertion loss, root-meansquare (rms) amplitude error, and rms phase error are less than 7.9 dB, 0.55 dB, and 4.7° in the first passband of 22–29 GHz, respectively. In the second passband, 57–64 GHz, the measured insertion loss, rms amplitude error, and rms phase error are less than 11 dB, 1.5 dB, and 4.1°, respectively. The measured stopband rejections over the 16 states are greater than 28 and 31 dB at 12 and 36 GHz, respectively. The core chip size is 1.8 × 0.52 mm2 . Index Terms— Attenuator, diplexer, multi-band circuit/system, multi-function circuit, phased array.

I. I NTRODUCTION

M

ULTI-BAND communication and radar systems, particularly those that can work in different bands at the same time, have become attractive in addressing increasing demands of military and industry applications. Accordingly, phasedarray systems working concurrently over multiple bands are needed to push the wireless communications and sensing to a next level with its numerous advantages. Concurrent multiband phased-array systems bring the inherent strengths of phased arrays, such as high directivity, beam-tailoring/steering ability, fast beam scanning/tracking response, etc. [1], [2] in different bands together into a single system. Consequently, multi-band phased-array systems are capable of forming and steering multiple electronic beams in different frequency bands simultaneously, which significantly improve the systems’ diversity, ability, and performance. Amplitude-controllable circuits, which play a key role in tailoring beam patterns and sidelobe levels, are important components in phased-array systems. For amplitude control, Manuscript received June 30, 2015; revised November 22, 2015; accepted February 29, 2016. Date of publication April 20, 2016; date of current version June 2, 2016. This paper was made possible by NPRP grant # 6-241-2-102 from the Qatar National Research Fund (a member of Qatar Foundation). The statements made herein are solely the responsibility of the authors. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2546256

step attenuators are preferable to variable gain amplifiers and analog attenuators in terms of power consumption, linearity, temperature dependency, control complexity, and phase variation. However, typical step attenuators have relatively large circuit size and high insertion loss, causing possible shortcomings for implementation in phased arrays. These problems are particularly troublesome for phased arrays employing siliconbased CMOS/BiCMOS RF integrated circuits (RFICs) whose real estate is expensive and insertion loss is relatively high due to lossy silicon substrates. Therefore, design techniques to reduce the size and loss of step attenuators are needed, especially for RFIC design. The need of these techniques is more pronounced in multi-band step attenuators since multiband attenuators typically have larger size and higher insertion loss compared to their single-band counterparts due to possible use of separate single-band attenuators and associated multiplexers. For phased-array applications, step attenuators are also required to have less transmission-phase variation during the amplitude control. This helps avoid steering/tracking error and an additional calibration process for the system. Various single-band step attenuators with low phase variations have been developed, e.g., [3]–[5]. This paper presents a novel 4-bit step attenuator that can work concurrently in two unlicensed bands of 22–29 and 57–64 GHz with low phase variations. It is fabricated on CMOS using a 0.18-μm BiCMOS technology. New dualfunction bandpass attenuator having both attenuating and bandpass filtering and an integrated diplexer-attenuator with dual function of the diplexer and attenuation, which constitute the concurrent 22–29- and 57–64-GHz dual-band attenuator, are proposed along with their design formulas. The proposed dual-band attenuator is capable of controlling the amplitudes of signals operating at different frequency bands independently and simultaneously, enabling concurrent tailoring of dual electronic beams independently without any significant effects on each other’s beam shapes and phase errors. A new distributed attenuator with minimum phase variation, which is part of the concurrent 22–29- and 57–64-GHz dual-band attenuator, is also proposed. II. C ONCURRENT D UAL -BAND AND L OW P HASE -VARIATION ATTENUATORS A conventional approach to design concurrent dual-band attenuators is shown in Fig. 1(a). It consists of two branches of separate diplexers and single-bit attenuators, each designed in a single band, and operates as follows. A wideband or dualband signal injected through the input of the first diplexer

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 1. (a) Conventional concurrent dual-band attenuator realized with separate diplexers and attenuators. (b) Proposed concurrent dual-band attenuator implementing attenuators and integrated diplexer-attenuators having dual functions of diplexer and attenuation.

Fig. 2.

Design process of the proposed concurrent dual-band attenuator.

Fig. 3. Core attenuator of the concurrent dual-band attenuator: (a) topology and (b) equivalent circuits at maximum attenuation state and (c) reference state.

These diplexer-attenuators are finally combined with the lowand high-band distributed attenuators to form the concurrent dual-band attenuator in Fig. 2(c). The low- and high-band distributed attenuators are employed for both impedance transformation and additional attenuation bits, which will be discussed in detail in Section II-A. It is noted that the asymmetric structure and T-type BPF, instead of a conventional symmetric attenuator and a Pi-type BPF, are chosen for the BPF-attenuator in Fig. 2(b) to avoid possible problems of deviation from the desired performance. A conventional symmetric attenuator and a Pi-type BPF contain a shunt transistor and shunt passive elements (inductor and capacitor) at their input terminals [P1 in Fig. 2(b)], respectively [6], which cause deviations from the designed attenuation and frequency response when combined at the input of the diplexer-attenuator. A. Core Attenuator Topology

splits into the low- and high-band signal paths; the amplitudes of these separate signals are then controlled by the low- and high-band attenuators, respectively; and finally, these signals are combined by the subsequent diplexer, yielding a concurrent dual-band output signal having controlled amplitude. The attenuator is bidirectional and can be used in both receive and transmit paths in systems. As can be seen, this approach results in large size and high insertion loss. To overcome these problems, we propose a new concurrent dual-band attenuator, as shown in Fig. 1(b). The proposed attenuator employs integrated diplexer-attenuators having a dual function of diplexer and attenuation, hence enabling reduction in the overall circuit size and insertion loss. Fig. 2 illustrates the design process of the proposed concurrent dual-band attenuator showing how the concept is realized. The design starts with an asymmetric distributed attenuator consisting of a λ/4 transmission line and a shunt transistor M P , as shown in Fig. 2(a). The λ/4 transmission line is replaced with a T-type equivalent network configured to behave as a bandpass filter (BPF) to produce a BPFattenuator, as shown in Fig. 2(b), which will be discussed in Section II-B. Two BPF-attenuators are then designed to cover the low- and high-frequency bands of interest. They constitute the integrated diplexer-attenuators, as shown in Fig. 2(c).

Each path of the new concurrent dual-band attenuator in Figs. 1(b) and 2(c) constitutes its “core” attenuator. Fig. 3 shows the core attenuator, which consists of two asymmetric distributed attenuators and a symmetric distributed attenuator, as mentioned earlier. The shunt nMOS transistors of the core attenuator are digitally controlled and are represented by the on-resistance or off-capacitance in the on or off state, respectively. Specific topologies of the low- and highband BPF-attenuators of the asymmetric distributed attenuators that produce the dual-function low- and high-band bandpass filtering-attenuation characteristics will be presented in Section II-B, while that of the symmetric distributed attenuator having low phase variation will be discussed in Section II-C. At the maximum attenuation state where all transistors are on as shown in Fig. 3(b), the characteristic impedance of the λ/4 transmission line Z T 1 and the on-resistance Ron1 in the 1st asymmetric distributed attenuator can be derived based on its ABC D-parameters as  Z 2L Ron1 (1) ZT 1 = Z L + Ron1 Z L 10−A1 /10 Ron1 = (2) 1 − 10−A1 /10

BAE AND NGUYEN: NOVEL CONCURRENT 22–29/57–64-GHz DUAL-BAND CMOS STEP ATTENUATOR WITH LOW PHASE VARIATIONS

1869

where A1 denotes the attenuation of the 1st asymmetric distributed attenuator in dB, Z L represents the termination impedance at the input and output of the attenuator, and Att Z Att I = Z I 1 = Z L is assumed for the input matching. Similarly, the design formulas for the 2nd asymmetric attenuator are derived as  Z 2L Ron4 (3) ZT 3 = Z L + Ron4 Z L 10−A3 /10 Ron4 = . (4) 1 − 10−A3 /10 The asymmetric distributed attenuators have Z Att O2  = Z L , Att  = Z Att at the maximum attenuation Z Att  = Z , and Z L I2 O2 I2 state, necessitating an impedance transformer between the asymmetric attenuators for the input and output matching. The symmetric distributed attenuator provides such impedance transformation as well as enables increased total attenuation bit and range. The symmetric distributed attenuator can be Att designed based on Z Att O2 and Z I 2 expressed as Z Att O2 = Z Att I2 =

Ron1 Z T2 1

(5)

Ron1 Z L + Z T2 1 Ron4 Z T2 3 Ron4 Z L + Z T2 3

.

(6)

Att By assuming Z Att I 1 = Z L and Z O1 = Z L , the on-resistances Ron2 and Ron3 of the transistors M2 and M3 can be derived as

Ron2 = Ron3 =

Ron3 Z L + (T + 1)

Z L Z Att I2

(7)

Ron2 Z L + (T + 1) Z L Z Att O2

(8)

Ron3 + Z Att I2 Ron2 + Z Att O2

   2 where T = 4 × 10 A2 /10 / 10 A2 /10 − 1 with A2 denoting the attenuation of the symmetric distributed attenuator in dB. Substituting Ron3 from (8) into (7) gives      2 Att Att Ron2 Z L + Z Att I 2 + Ron2 (T + 1) Z O2 − Z I 2 Z L  Att 2 +Z Att Z − Z I 2 O2 L   Att Att (9) − (T + 1) Z L + Z I 2 Z L Z O2 = 0 from which Ron2 can be calculated and then used for determining Ron3 from (8). The characteristic impedance Z TAtt2 is expressed using the design formulas of the conventional distributed attenuator in [7] as  A /10  10 2 − 1 Ron2 Ron3 Z TAtt2 = . (10) 2 10 A/10 These derived formulas allow the values of the elements for the maximum attenuation state shown in Fig. 3(b) to be calculated. For the reference state of the core attenuator where all transistors are turned off as shown in Fig. 3(c), the characteristic impedance of the second transmission line Z TRef 2 is derived as ZT 1 ZT 3 Z TRef (11) 2 = ZL

Fig. 4. Simulated: (a) insertion and input/output return losses and (b) constituent attenuators’ input/output impedances of the 7-dB step attenuator at the maximum attenuation and reference states.

assuming Z Ref = Z L or Z Ref = Z L for the input and I O output matching and the shunt transistors M1 –M4 have ideal off-switching conditions with the off-capacitances Coff1 –Coff4 ignored. To verify the design formulas derived for the core attenuator in Fig. 3(a), a 7-dB step attenuator, which consists of 1and 2-dB asymmetric distributed attenuators and a 4-dB symmetric distributed attenuator, is designed. The elements’ values at the maximum attenuation state are calculated with (1)–(4) and (8)–(10), while (1), (3), and (11) are used for the reference state. The center frequency of 24 GHz and input and output load impedance of 50  are also employed for the design. Consequently, it yields Ron1 = 193.1 , Ron3 = 109.8 , Ron4 = 85.5 , Ron2 = 88.1 , Z T 1 = 44.5 , Z T 3 = 39.7 , Z TAtt2 = 46.9 , and Z TRef = 35.4 . The simulations of the designed 7-dB step 2 attenuator at the maximum attenuation and reference states are shown in Fig. 4. The 0-dB insertion loss, 7-dB attenuation, and well-matched input and output at the center frequency, 24 GHz, are confirmed in Fig. 4(a) as designed. Att Att Ref = Z Ref = 50 , = Z Att Also, Z Att I I 1 = Z O = Z O1 = Z I O Att Att Z I 2 = 23 , and Z O2 = 33  at 24 GHz are shown in Fig. 4(b), verifying the derived formulas. The above results show that, in practical designs, the characteristic impedances of the λ/4 lines and the sizes of the shunt transistors in the core attenuator can be determined based on the derived formulas. Particularly, an optimum value for the characteristic impedance of the second transmission line Z T 2 is found from (10) and (11) considering acceptable attenuations and matching conditions at both attenuation and reference states.

1870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 5. Equivalences between ±θ transmission lines and 2nd-order K-inverter BPFs with: (a) C- and (b) L-coupled networks.

It is noted that our (single band) core attenuator implements a single-stage attenuation approach, which results in minimum numbers of transmission lines and shunt transistors. This approach is different from that proposed in [4], which employs a multi-stage distributed attenuator. B. Integrated Diplexer-Attenuator and Constituent Low- and High-Band BPF-Attenuators By configuring the transmission lines in the constituent 1st and 2nd asymmetric distributed attenuators of the core attenuators in Fig. 3(a) to behave as BPFs, we can realize the low- and high-band BPF-attenuators, which constitute the integrated diplexer-attenuators, as seen in Fig. 2(c). Fig. 5 shows the equivalences between transmission lines and 2nd-order BPFs with C- and L-coupled impedance inverters (K-inverters) terminated with Z L . The transmission lines with positive (+θ ) and negative (−θ ) electrical length are equated to the C- and L-coupled BPFs, respectively, due to the corresponding image phase shift of the C- and L-coupled networks. The even and odd modes correspond to the open and short circuits at the dashed line, respectively. The input impedances of the transmission line and C-coupled BPF in Fig. 5(a) for the even and odd modes can be expressed as θ P (12) = − j Z T cot Z in-even 2 θ P (13) Z in-odd = j Z T tan

2 1 1 C Z in-even = −j + − ωL rC (14) C C ωCr ωC12

1 1 C C Z in-odd = j ωL r − + (15) C ωCrC ωC12 where Z T is the characteristic impedance of the transmission line. By equating the corresponding even- and odd-mode C C P P = Z in-even and Z in-odd = Z in-odd ), input impedances (Z in-even C C12 is derived as sin θ C C12 = (16) Z T ω0 where ω0 is the center frequency of the BPF. Equating Z inP C and Z in yields the impedance of the inverter as C K 12 = Z T csc θ.

(17)

Fig. 6. Integrated diplexer-attenuator consisting of the C- and L-coupled BPF-attenuators constituting the low- and high-band BPF-attenuators, respectively.

The series inductance and capacitance in the C-coupled BPF can be obtained, following the low-pass to bandpass mapping [8], as √ K C g1 g2 (18) L rC = 12 ω0 W 1   (19) CrC = C ω0 ω0 L r − Z T cot θ where g1 and g2 are the values of the 1st and 2nd elements of the low-pass prototype filter, respectively, W = (ω2 /ω0 ) − (ω0 /ω2 ), and ω2 is the upper passband cutoff frequency of the BPF. Similarly, the equivalent formulas of the L-coupled BPF in Fig. 5(b) can also be derived as Z T csc θ ω0 C = K 12

L = L 12 L K 12

L rL CrL

= =

L rC CrC .

(20) (21) (22) (23)

With these equivalent C- and L-coupled BPFs, the corresponding low- and high-band BPF-attenuators can be designed as shown in the integrated diplexer-attenuator in Fig. 6. It is noted that, in the integrated diplexer-attenuator, the equivalent C- and L-coupled BPFs are utilized for the lowand high-band paths, respectively, due to their weighted filter responses toward low-pass (C-coupled BPF) and high-pass (L-coupled BPF). As an example to verify the formulas derived for the equivalent transmission lines and BPFs, the integrated diplexerattenuator in Fig. 6 is designed for 2-dB attenuation in each path (low- or high-band path). 2nd-order Butterworth filter responses with 22–29- and 57–64-GHz passbands are chosen. Equations (1), (2), and (16)–(19) are used to design the constituent C-coupled-BPF-attenuator, while (1), (2), and (20)–(23) are used for the L-coupled BPF-attenuator. Consequently, we obtain Z T = 39.7 , Ron = 85.5 , C = 157 fF, L L = 105 pH, C C = C L = 28.7 fF, and L C = C12 r r r 12 L L r = 1.36 nH. The simulations of the designed integrated diplexer-attenuator at the reference and attenuation states are shown in Fig. 7(a) and (b), respectively. For the referencestate simulations, the off-capacitances of M P s are disregarded. The 39.7- and 50- load impedances are also employed for

BAE AND NGUYEN: NOVEL CONCURRENT 22–29/57–64-GHz DUAL-BAND CMOS STEP ATTENUATOR WITH LOW PHASE VARIATIONS

1871

Fig. 9. Simulated insertion phase and phase difference of the low-phase-variation attenuator with C P of 405 and 850 fF and without C P .

Fig. 7. Simulations of the 2-dB integrated diplexer-attenuator at: (a) reference and (b) attenuation states. L-path: low-band path and H-path: highband path.

Fig. 8. (a) Low-phase-variation attenuator and (b) its equivalent circuits at reference and (c) attenuation states.

the reference- and attenuation-state simulations, respectively. The simulated results in Fig. 7(a) and (b) show that, at the design frequencies of 25.5 and 60.5 GHz, the integrated diplexer-attenuator has 0-dB insertion loss, 39.7- input impedances, and 90° phase delays at the reference state, and 2-dB attenuation and 50- input impedance at the attenuation state, which demonstrate the validity of the derived formulas (16)–(23). C. Low-Phase-Variation Attenuator The symmetric distributed attenuator of the core attenuator seen in Fig. 3(a) is implemented for low phase variation, as shown in Fig. 8(a), and its equivalent circuits at reference and attenuation states are shown in Fig. 8(b) and (c), respectively. For low phase variation, a large capacitor C P is inserted in series with the transistor M P . C P affects the phase delay at the attenuation state while it is negligible at the reference

state due to the relatively very small off-capacitance Coff . The relations of C P to Coff and Ron are described with the impedance expressions (Z R and Z A ) in Fig. 8(b) and (c), respectively. Hence, the insertion phase at the attenuation state can be adjusted without any significant effect on the phase at the reference state. To verify this design concept, a 24-GHz 8-dB distributed attenuator, which consists of 72.8- shunt resistors and a 68.8- transmission line, is designed based on the proposed structure in Fig. 8(a). For the design, the shunt nMOS transistor M P , having 0.18-μm gate length and 12-μm gate width, which has approximate 72.8- on-resistance and 13-fF off-capacitance, is employed. Fig. 9 shows the simulated insertion phase and phase difference of the designed symmetric distributed attenuator with C P of 405- or 850-fF or without C P . The simulations show that the insertion phase at the reference state (solid lines) changes very slightly for different values of C P , while that at the attenuation state (dashed lines) decreases significantly with decreasing C P . Therefore, an optimum phase difference/variation over a desired frequency band can be found by adjusting the value of C P in the proposed symmetric distributed attenuator. Over 22–29 GHz, the simulations show that the attenuator with 405-fF C P leads to the lowest phase difference, 0–5.3°, when compared with those, 0–9.5° and 5.1–13.5°, by the attenuator with 850-fF C P and without C P , respectively. It also shows that the phase variation can be reduced without any significant size increase by adding the large capacitors on the shunt path. It is noted that a distributed attenuator inherently has lower phase variation during the attenuation control compared to other types of attenuators, such as the Pi-type, T-type, and bridged attenuator reported in [3], [5], and [9] due to the absence of large-size series transistors. This virtue is also reflected in the proposed symmetric distributed attenuator. In [4], the phase variation of the multi-stage distributed attenuator is reduced by adding shunt inductors. However, for the single-stage distributed attenuator in Fig. 8(a), adding inductors increases the insertion phase, making the phase variation worse. In [5], a shunt capacitor is added into a T-type lumped attenuator to offset the impact of the series off-capacitance at the attenuation, resulting in reduced phase variation. This phase-variation reduction is different from our approach utilizing the relations of elements as described earlier.

1872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE I D ESIGN PARAMETERS OF THE BPF S AND ATTENUATORS C OMPOSING THE C ONCURRENT D UAL -B AND ATTENUATOR

Fig. 10. Schematic of the 4-bit 24/60-GHz concurrent dual-band step attenuator with low phase variations.

III. C ONCURRENT D UAL -BAND ATTENUATOR W ITH L OW P HASE VARIATIONS A. Design and Fabrication Fig. 10 shows the schematic of the new 4-bit 24/60GHz step attenuator with concurrent dual passbands and low phase variations designed based on the discussions of the concurrent dual-band attenuator and the low-phase-variation attenuator in Section II. Fig. 10 also includes the sizes of the transistors and values of the inductors and capacitors used in the attenuator. The 4-bit 24/60-GHz concurrent dualband step attenuator consists of 1-bit 1- and 2-dB integrated diplexer-attenuators and 2-bit 4- and 8-dB low-phase-variation lumped-element attenuators, and can control the amplitude with 1-dB step over 16 states (4 bit) in each passband (22– 29 or 57–64 GHz). The integrated diplexer-attenuator, consisting of the low-band 24-GHz and high-band 60-GHz BPFattenuators, is placed at the input and output for splitting and combining the input and output signals, respectively, which enables independent amplitude control in each passband. It is noted that this diplexer-attenuator is different from that designed for the dual band of 22–29 and 57–64 GHz simulated in Fig. 7. In this design, the 2nd passband of the attenuator is wider than 57–64 GHz to obtain more practical capacitances and inductances to facilitate realization in the BiCMOS process. This will result in a wider second passband for the concurrent dual-band attenuator, as will be seen in the measurement results. The lumped attenuators are initially designed as distributed attenuators mentioned in Section II,

and then the constituent λ/4 lines are substituted with the equivalent T-networks, as shown in Fig. 10 for compactness. The lumped attenuators’ sizes are also reduced by sharing the T-networks for the 4- and 8-dB attenuations on both paths. Specifically, as shown on the low-band path in Fig. 10, the Low ) and 8-dB shunt transistors for the 4-dB attenuation (M P2 Low Low in the 24-GHz attenuation (M P3 ) share the T -network 2-bit lumped attenuator. The 60-GHz 2-bit lumped attenuator on the high-band path is similarly designed. The characteristic impedances of the equivalent λ/4 lines of the T-networks for the 4- and 8-dB attenuations are calculated with (10) as 46.9 and 67 , respectively. For sharing the T-network, the optimum impedances can be found between the calculated values. To achieve low phase variations, the capacitors C Low P1 , High High C P1 , and C P2 are inserted into the 8-dB lumped-element attenuator on the low-band path and 4- and 8-dB lumpedelement attenuators on the high-band path, respectively. This is necessary since, without C P , these attenuators lead to relatively high phase variations as compared to the other lower attenuation attenuators in the concurrent dual-band attenuaLow –V Low and V High –V High ) at tor. The control voltages (VC1 C4 C1 C4 the gates of the transistors are digitally controlled with 0 and 1.8 V through large resistors (15 k) to prevent RF signals from leaking through the dc bias lines [9], [10]. The design parameters of the BPFs and attenuators, used in the concurrent dual-band attenuator, are shown in Table I. The 4-bit 24/60-GHz concurrent dual-band step attenuator is fabricated using CMOS in the TowerJazz 0.18-μm BiCMOS process and its photograph is shown in Fig. 11. It occupies 1.8 × 0.52 mm2 excluding on-wafer RF and dc pads. Transistors laid out typically contain shunt parasitic capacitances and resistances, which could cause a shift in the frequency response and increase the insertion loss of the attenuator, respectively. In particular, the filtering response of the developed attenuator is degraded easily by the parasitic capacitances as compared to wideband circuits. To minimize the resistive and capacitive parasitics, the body-floating technique in [9] is employed for the design of the shunt transistors. All interconnections in the attenuator are laid out using a

BAE AND NGUYEN: NOVEL CONCURRENT 22–29/57–64-GHz DUAL-BAND CMOS STEP ATTENUATOR WITH LOW PHASE VARIATIONS

1873

Fig. 11. Micrograph of the 4-bit 24/60-GHz concurrent dual-band step attenuator with low phase variations. Chip size (core part): 1.8 × 0.52 mm2 .

Fig. 13. Simulated and measured: (a) attenuation and attenuation range and (b) zoomed-in attenuation and rms amplitude error during the 16-state amplitude control at the 2nd passband.

Fig. 12. Simulated and measured: (a) attenuation and attenuation range and (b) zoomed-in attenuation and rms amplitude error during the 16-state amplitude control in the 1st passband.

coplanar waveguide (CPW) structure and all spiral inductors are laid out inside the CPW structure to minimize the electric fields penetrating into the substrate and the mutual coupling between adjacent components, which facilitates low insertion loss and compact size, respectively. For the capacitors, a metal–insulator–metal (MiM) structure is employed due to the compactness, reliability, and high Q-factor in the design frequency ranges, except the series capacitors in the 60-GHz 1-bit 1- and 2-dB BPF-attenuators. These capacitors are implemented with a metal–oxide (SiO2 )–metal (MOM) structure due to their small values. The inductors, interconnects, and MOM capacitors in the attenuator are simulated with the electromagnetic (EM) simulator IE3D (HyperLynx 3D EM, Mentor Graphics) for the post-layout simulations. The doped P+ , P-taps, and deep trenches are placed between the low- and high-band paths, as seen in Fig. 11, to minimize the crosstalk coupling through the conductive substrate (8  · cm).

Fig. 14. Simulated and measured input and output return losses corresponding to the plots in Figs. 12 and 13.

B. Simulations and Measurements Figs. 12–16 show the post-layout simulations and measurements of the 24/60-GHz concurrent dual-band and low-phasevariation step attenuator. For the simulations, the amplitude at each passband is controlled individually. For instance, during the control of the attenuations in the 1st passband (22–29 GHz) over 16 states, the amplitude in the 2nd passband (57–64 GHz)

1874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

TABLE II C OMPARISON OF CMOS S TEP ATTENUATORS

Fig. 16. Measured power handling over 16 states at: (a) 24 and (b) 60 GHz.

Fig. 15. Simulated and measured relative insertion phases and rms phase errors during the 16-state amplitude control in the: (a) 1st passband and (b) 2nd passband.

is fixed at its reference state. However, the attenuator is designed to allow control in both bands simultaneously. In the 1st passband, the measured insertion loss, attenuation range, and root mean square (rms) amplitude error, as seen in Fig. 12(a) and (b), are 5.4–7.9, 13.7–14.5, and 0.51–0.54 dB, respectively. The measured insertion loss at 24 GHz is 1 dB higher than the simulation. Fig. 13(a) and (b) show that the measured insertion loss, attenuation range, and rms amplitude error in the 2nd passband are 10.5–11,

11.8–12.9, and 0.93–1.54 dB, respectively. The measured insertion loss at 60 GHz is 3.6 dB higher than the simulation. The insertion loss difference is mainly caused by accuracy of the parasitic extraction (RCX) simulation used for the transistors at high frequencies and the parasitic capacitances, which could not be extracted. In Figs. 12(a) and 13(a), the measured rejections over 16 states at 12 and 36 GHz in the stopbands are high than 28 and 31 dB, respectively. It is noted that the intermodulation products at 12 and 36 GHz are significant for the developed attenuator having the dual center frequencies, 24 GHz ( f 1 ) and 60 GHz ( f 2 ), because f 2 − 2 f 1 = 12 GHz and f 2 − f 1 = 36 GHz. It is observed in Figs. 12(a) and 13(a) that the 16-state amplitude control in each passband barely affects the amplitude in the other passband, which reflects the fact that the constituent integrated diplexer-attenuators have high isolations between the lowand high-band paths and, hence, good dual-band functionality is attained for the developed attenuator. Fig. 14 shows the simulated and measured input and output return losses during the 1st- or 2nd passband amplitude control. The measured input and output return losses are more than 9.9 and 8.9 dB in the 1st and 2nd passband over the 16 different states, respectively, which show the developed attenuator is bidirectional. Fig. 15 shows relative insertion phases and rms phase

BAE AND NGUYEN: NOVEL CONCURRENT 22–29/57–64-GHz DUAL-BAND CMOS STEP ATTENUATOR WITH LOW PHASE VARIATIONS

errors of the attenuator. The measured rms phase errors in the 1st and 2nd passband shown in Fig. 15(a) and (b) are 1–4.7° and 2.3–3.6°, respectively. The measured attenuation versus input power seen in Fig. 16 shows that the input P1dB is higher than 13.7 and 11.4 dBm at 24 and 60 GHz over all states. Although the insertion loss at 60 GHz is higher than that at 24 GHz, the P1dB at 60 GHz is lower than that at 24 GHz. This is primarily due to the inherently lower power-handling capability of the transistors as the frequency is increased, especially when it increases significantly and into the millimeter-wave regime. Fig. 16 shows that the attenuation increases as the input power is increased. This is due to the fact that the forward resistance of the shunt transistor’s junction diodes between the drain and body becomes smaller when the input power is increased, leading to more signal leakage to the body. In Table II, the performance of the developed attenuator is compared with those of reported CMOS step attenuators in [3], [4], [6], and [9]. The proposed attenuator in this work is the only attenuator that is capable of a concurrent dual-band amplitude control. Moreover, the obtained rms phase error in each passband is comparable with those of the attenuators reported in [3] and [4], which are designed with particular design techniques to minimize the phase variations for the single band.

1875

R EFERENCES [1] B. A. Kopp, M. Borkowski, and G. Jerinic, “Transmit/receive modules,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 827–834, Mar. 2002. [2] D. Parker and D. C. Zimmermann, “Phased arrays—Part I: Theory and architectures,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 678–687, Mar. 2002. [3] B.-H. Ku and S. Hong, “6-bit CMOS digital attenuators with low phase variations for -band phased-array systems,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 7, pp. 1651–1663, Jul. 2010. [4] B.-W. Min and G. M. Rebeiz, “A 10–50-GHz CMOS distributed step attenuator with low loss and low phase imbalance,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2547–2554, Nov. 2007. [5] S. Sim, L. Jeon, and J.-G. Kim, “A compact X-band bi-directional phased-array T/R chipset in 0.13 μm CMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 562–569, Jan. 2013. [6] J. Bae and C. Nguyen, “A 44 GHz CMOS RFIC dual-function attenuator with band-pass-filter response,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 4, pp. 241–243, Apr. 2015. [7] S. Otto, A. Bettray, and K. Solbach, “A distributed attenuator for K-band using standard SMD thin-film chip resistors,” in Proc. Asia–Pacific Microw. Conf. Dig., Dec. 2009, pp. 2148–2151. [8] G. L. Matthaei, “Design of wide-band (and narrow-band) band-pass microwave filters on the insertion loss basis,” IRE Trans. Microw. Theory Techn., vol. MTT-8, no. 6, pp. 580–593, Nov. 1960. [9] J. Bae, J. Lee, and C. Nguyen, “A 10–67-GHz CMOS dual-function switching attenuator with improved flatness and large attenuation range,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4118–4129, Dec. 2013. [10] F.-J. Huang and K. O, “0.5-μm CMOS T/R switch for 900-MHz wireless applications,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 486–492, Mar. 2001.

IV. C ONCLUSION A novel concurrent 22–29/57–64-GHz dual-band 4-bit step attenuator having small phase variations has been developed using a 0.18-μm BiCMOS technology for the first time. The low insertion loss and compact size are achieved by implementing dual-functional elements. The small phase variation is obtained by adding shunt capacitors, which do not impact the attenuator’s size and insertion loss much. The design theory of the proposed concurrent dual-band attenuator is validated with the derived formulas and simulation and measurement results. The measured results show low rms phase errors, low rms amplitude errors, and high P1dB in both passbands of 22–29 and 57–64 GHz. Moreover, it is also confirmed that the signal amplitude of the developed attenuator can be controlled independently in each passband without any significant effect on the amplitude in the other passband, which enables tailoring dual electronic beams independently or concurrently in dual-band phased-array systems. The developed attenuator is attractive for silicon-based concurrent dual-band phased arrays, especially for those demanding a compact size, low insertion loss, and minimum tracking/steering error. ACKNOWLEDGMENT The authors wish to thank TowerJazz for the circuit fabrication.

Juseok Bae (S’13) was born in Seoul, South Korea. He received the B.S. and M.S. degrees in electrical communication engineering from Soonchunhyang University, Chungcheongnam-do, South Korea, in 2006 and 2008, respectively, and is currently working toward the Ph.D. degree at Texas A&M University, College Station, TX, USA. His research interests include silicon-based RF and millimeter-wave integrated circuits and systems for wireless communications, radar, and sensing.

Cam Nguyen (F’05) is the Texas Instruments Endowed Professor of Electrical and Computer Engineering with Texas A&M University, College Station, TX, USA. From 2003 to 2004, he was the Program Director of the National Science Foundation, during which time he led and managed the foundation’s research programs in RF and wireless technologies. Over the past 36 years, including 12 years in the defense industry with TRW (now Northrop-Grumman), Hughes Aircraft (now Raytheon), Martin Marietta (now Lockheed-Martin), Aerojet Electrosystems, and ITT Gilfillan, he has led numerous RF projects for wireless communications, radar, and sensing up to 220 GHz. His research group at Texas A&M University is currently focused on Si RF integrated circuits (RFICs) and systems for wireless communications, radar, and sensing. He has authored or coauthored over 270 papers, 6 book chapters, and 6 books.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Multiplexed Readout for 1000-Pixel Arrays of Microwave Kinetic Inductance Detectors Joris van Rantwijk, Martin Grim, Dennis van Loon, Stephen Yates, Andrey Baryshev, and Jochem Baselmans Abstract— Microwave kinetic inductance detectors (MKIDs) are the most attractive radiation detectors for far-infrared and sub-mm astronomy. They combine ultimate sensitivity with the possibility to create very large detector arrays, in excess of 10000 pixels. This is possible by reading-out the arrays using RF frequency division multiplexing, which allows multiplexing ratios in excess of 1000 pixels per readout line. We describe a novel readout system for large arrays of MKIDs, operating in a 2-GHz band in the 4–8-GHz range. The readout, which is a combination of a digital front- and back-end and an analog upconverter and downconverter system, can read out up to 4000 detectors simultaneously with 1-kHz data rate. The system achieves a readout noise power spectral density of −98 dBc/Hz while reading 1000 carriers simultaneously, which scales linear with the number of carriers. We demonstrate that 4000 stateof-the-art aluminium-NbTiN MKIDs can be read out without deteriorating their intrinsic performance. Index Terms— Design of microwave components for astrophysic applications, design of microwave devices and circuits, frequency division multiplexing, heterodyne and direct detector instruments, kinetic inductance detectors, microwave superconductivity.

I. I NTRODUCTION

T

HE next-generation instruments for millimeter-wave (mm-wave) and submillimeter-wave (sub-mm) astronomy will require very large arrays of background limited radiation detectors operating at wavelengths between 3 and 0.03 mm (frequencies between 0.1 and 10 THz). New ground-based observatories such as CCAT [1] need imaging instruments with close to a million pixels to fill the telescope field of view. New spectroscopic instruments based upon direct detectors, such as DESHIMA [2] and SuperSpec [3] require about 1000 pixels to obtain a single spectrum for a single source. Even space-based instruments, such as SPICA-SAFARI, are planning instruments with of the order of 10000 pixels. Microwave kinetic inductance detectors (MKIDs), pioneered Manuscript received June 17, 2015; revised December 7, 2015; accepted February 29, 2016. This work was supported as part of a collaborative project, SPACEKIDS, funded under Grant 313320 provided by the European Commission under theme SPA.2012.2.2-01 of Framework Programme 7. J. van Rantwijk, M. Grim, and D. van Loon are with the Department of Engineering, SRON Netherlands Institute for Space Research, 3584 CA Utrecht/Groningen, The Netherlands (e-mail: [email protected]; [email protected]; [email protected]). S. Yates and A. Baryshev are with the Department of Instrument Science, SRON Netherlands Institute for Space Research, 3584 CA Utrecht/Groningen, The Netherlands (e-mail: [email protected]; [email protected]). J. Baselmans is with the Department of Instrument Science, SRON Netherlands Institute for Space Research, 3584 CA Utrecht/Groningen, The Netherlands, and also with the Department of Microelectronics, Delft University of Technology, 2628 CD Delft, The Netherlands (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2544303

in 2003 [4], have become the detectors of choice for these instruments due to their intrinsic capability of frequencydivision multiplexing at microwave frequencies. Both antennacoupled MKIDs [5] and lumped-element MKIDs [6], [7] have shown background-limited sensitivities combined with a high radiation coupling efficiency. However, a compact highly integrated readout system with a large bandwidth capable of reading out in excess of 1000 MKIDs without deteriorating their intrinsic performance is not available. This is especially problematic for aluminium-based antenna-coupled MKIDs, which have shown the best sensitivities [8], but operate at relatively high readout frequencies of 2–8 GHz. In this paper we describe an analog/digital readout system with a 2-GHz bandwidth, operating in a 4–8-GHz frequency range, capable of reading out up to 4000 MKIDs at a kHz data rate. Similar systems for multiplexed readout of MKID arrays are already in operation [9]–[11]. Compared to those systems, our readout offers a unique combination of speed, bandwidth, number of multiplexed channels, and the capability to read-out ultra-sensitive detectors optimized for spectrometric or even space applications. II. MKID A RRAYS The concept of frequency-division multiplexed readout using MKIDs is explained in Fig. 1. An MKID can be defined as a superconducting resonance circuit, resonating at a few GHz, capable of absorbing sub-mm radiation. A large array of MKIDs can be constructed by coupling many MKID resonators to a single transmission line. Each MKID is designed to have a slightly different resonance frequency at which it will be read-out. The forward transmission of the common transmission line, S21, is shown for a large MKID array in Fig. 1(a). Radiation absorption in an MKID results in a reduction in its resonance frequency and a decrease in its Q factor (i.e., an increase in the resonator width). This is indicated in Fig. 1(b). A single frequency readout tone at the original resonance frequency of each resonator (indicated by the black lines) allows the measurement of the change in the complex transmission S21 and thereby the measurement of the amount of absorbed radiation power. Note that the THz frequency band for which the device is sensitive is independent of the microwave RF. The Q factor of an MKID is determined by the losses caused by the radiation power absorbed in the detector. For a sub-mm camera operating from the ground, these losses are determined under nominal operation by the background loading power due to the warm atmosphere, which is of the order of 10–100 pW. This results in Q ∼ 10 000-50 000.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. MKID array readout concept. (a) Transmission of a large array of MKIDs. Each resonance feature corresponds to a different MKID. The inset shows two MKID detectors from the mask design of the lens array in [17]. The detectors have different resonance frequencies, set by their length. (b) Detail of panel (a) where we show the effect of increasing sub-mm power falling on the detectors. The resonances get broader and shallower and move to lower frequencies. The black lines indicate the placement of readout tones. (c) Low Q MKID, similar as in panels (a) and (b), as used for ground based imaging system. The much narrower high-Q resonance is typical for spectrometer applications on the ground or imaging from space, and allows for much more pixels per unit of bandwidth.

For spectrometer applications or space-based imaging instruments, the power per pixel is much lower: 0.01–1 pW, resulting in much higher Q factors. This allows higher multiplexing ratios, as shown in Fig. 1(c). III. S YSTEM R EQUIREMENTS AND A RCHITECTURE The system described in this paper is envisioned for aluminium-based MKIDs. As such, it must fulfill the following basic requirements: 1) operating in a 4–8-GHz band; 2) readout noise below −91 dBc/Hz, i.e., significantly less than the intrinsic MKID output phase noise [5], [8]; 3) a large bandwidth, which we have chosen to be 2 GHz due to availability of commercial electronic parts with the required noise performance; 4) ability to read-out more than 1000 MKIDs without degradation of the intrinsic MKID sensitivity; 5) ability to read-out high-Q resonators (Q ∼ 100 000) suitable for future ground- and space-based systems. The architecture of our readout system is illustrated in Fig. 2. A pair of digital-to-analog converters (DACs) generate a complex in-phase/quadrature-phase (I/Q) signal in an IF band from −1 to +1 GHz. The IF signal is converted to the readout frequency (RF) by I/Q mixing with a reference signal from a local oscillator (LO); effectively shifting the tones to a 2-GHz band centered around the LO frequency. This band can be placed anywhere in the 4–8-GHz range by an appropriate choice of LO frequency between 5–7 GHz. The RF tones, each tuned to the resonance frequency of a single detector, pass through the common feedline of the MKID array. Each MKID modulates the amplitude and phase of its designated

carrier tone depending on the amount of absorbed radiation. The modulated carriers are then converted back to IF by a second mixer, operating at the same LO reference. The resulting complex I/Q signal is sampled by a pair of analog-to-digital converters (ADCs), and the amplitude/phase modulation of the carrier tones are extracted through digital signal processing. Programmable attenuators in the RF path make it possible to adjust the signal power at the MKID array while still using the full dynamic range of the DACs and ADCs. The homodyne nature of our system, mixing up and down with a common LO, makes it less sensitive to correlated noise caused by phase or amplitude noise in the LO signal. This is especially important because we are recording a narrow bandwidth around each carrier; any mismatch between the two mixers would complicate the task of demodulating the attenuated carriers. The high-level system requirements translate to design parameters: 2-GHz bandwidth corresponds to a sample rate of 2 GS/s for each DAC/ADC. The noise requirement drives the dynamic range specification of the DAC and ADC. The need to tune carrier frequencies to high-Q resonators implies highfrequency resolution, which drives the length of waveform memories in the digital part of the system. The following sections present a detailed design. IV. D IGITAL E LECTRONICS This section describes the digital part of the readout system. Its main components are a DAC board for carrier generation, an ADC board for data acquisition, and a fast Fourier transform (FFT) engine for demodulation. A. Carrier Generation Carriers are generated in the form of a complex I/Q signal in the IF band from −1 to +1 GHz. The carrier generator is composed of a Virtex-7 field-programmable gate array (FPGA) board and an FMC daughter board equipped with two AD9129 14-bit DACs clocked at 2 GS/s. The two DACs represent the in-phase and quadrature components of the IF signal. The I and Q waveforms are created by placing a set of carriers on a grid of 219 frequency bins and subsequently computing an inverse FFT. This method supports an individual choice of amplitude for each carrier, which is desirable because the MKIDs in an array may require slightly different readout power levels. The resulting waveforms are cyclic with a period of 219 samples. These are stored in internal RAM in the FPGA. The length of the waveforms determines the frequency resolution: all carrier frequencies in our system are multiples of 3.8 kHz (2-GHz sample rate divided by 219 samples). As an advantage of the limited frequency resolution, any distortion products at the DAC output can only exist at discrete frequencies, not inside the signal bandwidth around the carriers. Note that the bandwidth of a Q = 100 000 resonator at 4 GHz is 40 kHz, i.e., we can place a readout tone with a precision given by the resonator bandwidth divided by 10. An analog reconstruction filter is typically needed to remove high-frequency components that could alias during data acquisition. The AD9129 DAC is capable of running at

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN RANTWIJK et al.: MULTIPLEXED READOUT FOR 1000-PIXEL ARRAYS OF MKIDs

Fig. 2.

3

System architecture including digital electronics (blue), RF electronics (red), and cryostat with MKID array (grey). TABLE I DAC AND ADC S PECIFICATIONS

twice the nominal conversion rate while applying an internal digital interpolation filter. The filter provides 40-dB attenuation above the Nyquist frequency and, thus, relaxes the requirements on the reconstruction filter without sacrificing useful bandwidth. To maximize the signal-to-noise ratio (SNR) of the waveform, it is necessary to use the largest possible carrier amplitude without exceeding the range of the DAC. This implies that the crest factor (the ratio between peak amplitude and root mean square (rms) amplitude of the signal) must be as low as possible. We currently assign random initial phases, resulting in crest factors between 12 and 14 dB for waveforms with up to 4000 carriers. For this system this is the most practical solution: since the system SNR is limited by the ADC (see Table I) and the MKIDs add a quasi-random phase to the readout tones, it is virtually impossible to get better crest factors, even with advanced techniques such as presented in [13] and [14]. B. Data Acquisition and Demodulation The IF carrier signal is upconverted to RF, passed through the MKIDs, then downconverted back to a complex I/Q signal in IF (see Section V). The resulting IF signal contains the same carriers as generated by the DACs, except the amplitude and phase of each carrier are now modulated by the response

of an MKID. The I and Q components are sampled by a dual-channel 10-bit ADC clocked at 2 GS/s. We use an EV10AQ190 ADC, located on an FMC daughter board and attached to a Virtex-7 FPGA board. The FPGA firmware takes the I and Q sample streams from the ADC and accumulates frames of 219 samples in separate overlap-add buffers. Each new frame is added, sample-bysample, to the intermediate values in the buffer until 24 frames have been processed. The accumulated frame is then sent to the FFT engine and the overlap-add process restarts from zero. The overlap-add buffer is located in internal RAM in the FPGA. Double buffering must be used to allow accumulation of a new frame while the previous frame is being transferred to the FFT engine, at a rate corresponding to 24 frames of 219 points at 2 Gsample/s, i.e., 159 frames/s. We calculate a 219 -point complex FFT for each accumulated frame. A mixed-radix algorithm is used to compute the 219 -point FFT as a series of 29 -point FFTs, followed by phase rotations, a 29 × 210 matrix transposition and finally a series of 210 -point FFTs [15]. The small FFTs are implemented as standard blocks from the Xilinx library. The phase rotation is implemented as a Xilinx CORDIC block. Transposing a frame of 219 elements requires more RAM than is available inside the FPGA, hence an on-board QDR2 SRAM memory bank is used to store the frame during the transpose step. The FFT logic is clocked at 125 MHz. Internal calculations use 24-bit fixed point numbers with a configurable scaling schedule in the FFT blocks to avoid numeric overflow. The output of the FFT engine consists of frames of 219 complex bins at a rate of 159 frame/s. Only a subset of the bins corresponds to carrier tones. We implement a bin selector to extract only those bins (configurable up to 4096 bins) and discards the rest of the bins. The selected bins are truncated to 16-bit signed numbers and sent to the PC via the Ethernet. The bin selector reduces the output data rate to 21 Mbit/s. Our data acquisition system effectively provides a time series of the complex amplitude of each carrier at a readout rate of 159 Hz. An alternative configuration is supported where the frame size is 216 points with a readout rate of 1272 Hz. That configuration makes it possible to measure

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the MKID signals with a larger bandwidth at the cost of limiting the choice of carrier frequencies to multiples of 30.5 kHz. The increased readout rate enables observing interactions between cosmic rays and the MKID array, given the intrinsic lifetime of ∼ 1 ms for aluminium MKIDs. The two configurations have equal readout noise spectral density. Measurements presented in this paper were taken at the 159-Hz frame rate. Table I shows the specified performance of the DAC and ADC. Sample rate and noise spectral density are the primary performance criteria for our application; digital word length is of minor importance. From this perspective, both selected parts are among the best commercially available converters. It can be seen that the ADC is a dominant noise source, producing a noise spectral density of −98 dBc/Hz for 1000 carriers. C. Digital Readout Integration The DAC and ADC boards both require a 2-GHz sample clock. We use a custom clock distribution board to generate a single 2-GHz signal and distribute it to both converters. The use of a common sample clock ensures that the DAC and ADC convert at the exact same sample rate, which is necessary to align each carrier with a single FFT bin. In addition, closein phase noise in the clock source will be highly correlated between the DAC and ADC clocks. The correlated part of the noise will thus be canceled in the final demodulated signal. The clock board is based on an AD9520 clock generator with a separate on-board voltage-controlled oscillator, which achieves lower phase noise than the internal oscillator in the AD9520. The clock runs in phase-locked loop with an external 10-MHz reference derived from the LO. White phase noise in the sample clock is the major contributor to the total rms jitter. In a system with single carrier frequency ωc and jitter σt , the SNR determined by the clock alone equals 20 × log10 (ωc σt ). In our multicarrier system we can make a worst case estimate for the total allowed jitter by assuming a carrier frequency of 1 GHz and a minimum SNR of 54 dB for the clock alone, which results in a maximum jitter of 300 fsrms . Combined with the clock source of 2 GHz, the white phase noise in the clock signal should be below 148 dBc/Hz. Close-in phase noise will be attenuated because it correlates between the DAC and ADC. However, high-Q filters or MKIDs in the signal path can reduce this correlation. Simulations of the MKID transfer function indicate that close-in phase noise must be less than −50 dBc/Hz at 1 kHz in order to stay below the intrinsic phase noise of the MKID. This is easy to obtain from a 10-MHz reference source; our system has −80-dBc/Hz phase noise at 1-kHz offset from the carrier, i.e., 30-dB margin. The two FPGA boards with DAC and ADC daughter boards, as well as the clock distribution board, are mounted in a 3U 19-inch subrack, as shown in Fig. 3. The rack also contains power supplies and forced air cooling. The sample clock is distributed from clock board to the converters via short semi-rigid coax cables. The FPGA firmware is controlled by software running on a Linux PC. The boards communicate with the PC via the Ethernet, using an application-specific protocol based on raw packets. An Ethernet switch board is mounted in the rack to provide a 1-Gbit fiber link to the controlling PC and 1-Gbit backplane links to the two

Fig. 3. Integrated digital readout (bottom) and RF electronics (top) mounted in a 19-in rack. IF signals are connected via semi-rigid coax cables.

Fig. 4.

RF upconverter/downconverter electronics board.

FPGA boards. The PC is responsible for further analysis and visualization of data, as well as monitoring of temperatures and voltages in the electronics. V. RF E LECTRONICS The RF electronics consist of an upconverter chain, connected between the DAC ouput and MKID cryostat input, and a downconverter chain connected between the MKID cryostat output and the ADC. The system enables tuning of the carrier power at the MKID level by 30 dB, to support readout of the MKIDs at their optimal level. The entire RF system is implemented on a single 160 × 100 mm printed circuit board with RF drop-in components on the board surface and all control and bias wiring in buried layers. The board is a six-layer design with dielectrics composed of FR-4 and RO4350B. Large copper thermalization structures are used to efficiently cool the card. The final board is shown in Fig. 4, a block diagram is shown in Fig. 2.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN RANTWIJK et al.: MULTIPLEXED READOUT FOR 1000-PIXEL ARRAYS OF MKIDs

A. Upconverter The upconverter is based upon an IQ mixer (Hitite HMC525LC4), where the I and Q ports are each connected to a single DAC. We use low-pass filters (Mini-Circuits LFCN-1000) to reject spurious from the DAC. We make the connection to the mixer I and Q port using a 100-nF capacitor in parallel with a 49.9- resistor to ground. This ensures ac coupling and a good IF match since the IF ports of the mixer are not matched to 50 . The advantage of using an I/Q modulator is that it enables the correction of the phase and amplitude imbalances of the RF hybrid inside the mixer by changing the phase of the I and Q input signal (see Section VI) The LO signal is generated by a commercial lownoise synthesizer (Agilent E8257D) and is split between the upconverter and downconverter channel using a 3-dB power divider (GP2X1). We use a gain block (HMC619LP5) to reach +17-dBm LO power required for maximum gain flatness over the entire frequency band. After the mixer we us a gain block consisting of two amplifiers (HMC619LP5, +11 dB) separated by a 3-dB attenuator to amplify the RF signal to a peak envelope power (PEP) ≤ 7.7 dBm. A step attenuator (HMC425LP3) is used as variable attenuator to tune the readout signal to the required power level for the MKIDs. The maximum PEP at the upconverter output is +15 dBm and can be attenuated in 0.5-dB steps down to −15 dBm. For 1000 tones this corresponds to from −40- to −70-dBm singletone power (assuming crest factor C = 14 dB). B. Cryostat MKIDs are typically operated at 100 mK using a cryogenic system equipped with a low-noise amplifier (LNA) at a temperature of 4 K to create the lowest possible system noise. Such an amplifier has an input noise temperature of ∼ 4 K [18] and a gain of ∼ 40 dB. The phase and amplitude noise power spectral density (PSD) of the cryogenic system are thus both identical and determined by the LNA noise temperature. The readout signal power for each MKID is typically between −75 and −105 dBm, resulting in a PSD in between −116 and −87 dBc/Hz. To reach these noise levels, the output noise of the upconverter chain must be low enough, which can only be achieved if we use ∼ 30 dB of cold attenuation before the MKID chip to eliminate 300-K thermal noise. It is important to realize that a typical cryogenic LNA has an input 1-dB compression point P1dB ∼ −40 dBm. A 1000-tone readout signal with a single tone power of −90 dBm results in PEP = Ptone × n tones × Ccrest = −46 dBm (with Ccrest = 14 dB). This illustrates that the LNA and array design must be an integral part of the system design. Note that the transmission of the cryostat is between 0 and −10 dB, including the LNA, 30-dB attenuation, and cable losses. This is taken as a design parameter for the downconverter. C. Downconverter We use two gain blocks (HMC619LP5) with a step attenuator (HMC425LP3) in between to create a variable gain amplifier, as indicated in Fig. 2. We use an additional low-noise gain block (HMC772LC4) that can be switched in or out of the signal path using two switches (HMC232LP4). The extra LNA

5

is needed to create 10-dB extra dynamic range compared to the upconverter to compensate for frequency-dependent losses in the RF cabling. The result is that we drive the IF input ports of the I/Q mixer always with the same power, which makes system calibration easier. The mixer (HMC525LC4) is the same as the upconverter and also connected to the LO and IF signals in the same way. The only addition is that we use amplifiers (HMC470LP3) in the IF lines to the I and Q ports of the ADC to be able to reach the full input power range of the ADC. VI. P ERFORMANCE M EASUREMENTS We discuss three performance aspects of our readout system: sideband rejection, intrinsic noise of the readout electronics with RF loopback, and noise of the full system with cryostat and MKID array. All measurements are done with the integrated readout system, consisting of digital electronics as described in Section IV, and RF electronics as described in Section V. A. Sideband Rejection To represent a single complex carrier as an I/Q signal, the I and Q components must have exactly equal amplitude and 90◦ phase separation. Any mismatch in amplitude or phase causes sideband leakage. This is problematic because the leaked power passes through the cryostat, unaffected by any MKID resonance, then gets partially demodulated with the carrier. We suppress sideband leakage by adjusting the amplitude and phase of the DAC waveforms to compensate for mismatches in the IF path and RF hybrid in the I/Q mixer. We use a specific calibration procedure to find near-optimal gain/phase adjustments in a small number of steps, faster than the method presented in [16]. Calibration starts with an initial estimate of the amplitude mismatch, obtained by measuring the gain from the digital I waveform to the RF output and separately the gain from the digital Q waveform to the RF output. Compensation for the initial amplitude mismatches are then applied to the 1000 carriers and an adjusted I/Q waveform is sent to the DAC. We subsequently measure the sideband leakage and assume that this leakage is due to phase mismatch since amplitude mismatch has already been compensated. We calculate the amount of phase mismatch that corresponds to the measured leakage. Since the sign of the phase mismatch is unknown, a phase compensation is attempted in both directions followed by measurements of the resulting leakage, and the best phase compensation is retained. This cycle is repeated two more times to find successively improved phase compensation. Two iterations of a similar adjustment routine are then performed to improve the amplitude compensation. The result of our I/Q calibration is displayed in Fig. 5. The calibrated system has a typical sideband leakage of −50 dB versus −20 dB for an uncalibrated system. The downconverter and ADC are not calibrated for sideband leakage. While possible in principle, this would require the FFT firmware to record two bins for each carrier: the carrier bin itself and its sideband image. However, the calibrated upconverter and nominal downconverter together give a spurious signal on each demodulated carrier less than −70 dBc, which is good enough for our application.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Sideband leakage of digital + RF electronics: DAC + upconverter before and after calibration (measured with spectrum analyzer on RF output), and ADC + downconverter without calibration (measured via RF loopback).

B. Noise in RF Loopback The primary performance characteristic of our system is the phase and amplitude noise PSD relative to the carrier for each individual readout tone. We measure this by connecting the output of the RF upconverter to the input of the RF downconverter via a 10-dB attenuator that mimics the transmission of the cryostat in a real experiment. For the first measurement we set the LO to 5 GHz to access the 4–6-GHz RF band. We define 1000 equally spaced carrier frequencies within this band, while keeping at least 10 MHz away from the band edges and from the LO frequency to avoid filter roll-off effects in the IF chain. Carrier amplitudes are scaled such that the composite waveform fits in the DAC range. Attenuators in the RF electronics are set such that the downconverted waveform fits in the ADC range with sufficient overhead to avoid clipping. The demodulated complex responses of all carriers are then recorded for 400 s. This measurement produces 1000 separate complex waveforms, representing the amplitude and phase stability of the carriers. The amplitude and phase PSD of each waveform is obtained by calculating the FFT over a 100-s block of amplitude or phase response, respectively, and averaging over 7 blocks with 50% overlap. We subsequently average the 1000 individual spectra to produce the uncorrected phase and amplitude PSD shown in Fig. 6(a). While the flat noise floor is due to the limited dynamic range of the ADC, the instability below 1 Hz is caused by overall drift of gain and phase in the electronics, which is to a large extent correlated. When operating the readout system on a detector array it is possible to remove correlated noise by inserting blind tones between the detector readout tones. The complex waveform of each detector tone is then divided, in the time domain, by the mean of the waveforms of two nearby blind tones. To test this method, two blind tones are assigned to each carrier, at a distance of five tone intervals on either side of the carrier. This corresponds to an MKID readout scheme where 10% of the carriers would be used as blind tones. The result is shown as the corrected plot in Fig. 6(a). Blind-tone correction provides a strong reduction in noise below 1 Hz as it effectively removes correlated noise.

Fig. 6. Noise spectral density of demodulated carriers, measured with 1000 tones in RF loopback configuration without MKIDs, 159 frame/s, 5-GHz LO frequency. (a) Noise spectral density of demodulated signal, averaged over all carriers, either amplitude or phase readout, with or without blindtone correction, measured for 100 s with seven averages. (b) Noise level as function of carrier frequency, averaged over 0.01–80-Hz band.

Fig. 7. Noise level in RF loopback (average over 0.1–80-Hz band, average over all carriers) as function of the number of multiplexed carriers. Also indicates the expected noise level based on ADC specifications, calculated as −142 dBc/Hz + 10 × log10 (n tones ) + 14 dB (see also Table I).

The slightly increased noise above 10 Hz is due to the noise in the blind tones themselves. We expect that the PSD relative to the carrier scales linearly with the number of tones: the noise level remains constant while the power per carrier decreases with increasing number of tones. The actual relation between number of tones and noise level is displayed in Fig. 7.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VAN RANTWIJK et al.: MULTIPLEXED READOUT FOR 1000-PIXEL ARRAYS OF MKIDs

7

presented readout supports up to 4000 pixels without any degradation of device performance. Note that the intrinsic system noise, limited by the LNA, is lower, as shown in Fig. 8(b): The blue dotted line represents the measured noise PSD of the LNA, the thin dotted line represents the digital readout performance (from Fig. 7), and the solid red line represents the expected noise PSD. The dots indicate the measured values based upon the blind tones not affected by MKIDs themselves. VII. C ONCLUSION We have developed a readout system for large arrays of MKIDs, operating within a 2-GHz band in the 4–8-GHz range. Our system achieves a readout noise level of −98 dBc/Hz while reading 1000 carriers simultaneously at a rate of 159 frame/s. Blind tones are used to compensate for correlated drift. The noise of the readout system is far lower than the photon noise limit of NbTiN-Al MKIDs when using phase readout [5]. The system is scalable up to 4000 carriers with a clear tradeoff between the number of carriers and noise level. Similarly, the readout rate can be increased at the cost of decreasing the carrier frequency resolution. R EFERENCES

Fig. 8. (a) Measured system performance, including RF upconverter and downconverter on an MKID detecting ∼ 1 fW of radiation at 850 GHz, read-out at 3.8 GHz. The solid lines represent the phase noise (upper line) and amplitude noise (lower line) of the MKID relative to the complex plane measured with a standard single tone homodyne readout. The shorter dashed lines represent the performance of the readout presented here. (b) Total system noise performance as function of number of multiplexed tones with contributions from ADC and RF electronics.

C. Performance Verification With an MKID Array The final performance evaluation consists of a read-out of an MKID detector array, where we use the full system, as shown in Fig. 2. Fig. 8(a) shows the measured phase and amplitude noise of a lens-antenna coupled hybrid aluminiumNbTiN MKID, which is similar to the one described in [5]. The MKID is part of a 4 × 4 array, optimized for radiation detection at 850 GHz. The MKID has a Q factor of 125000 and is read out with a −91-dBm carrier at 3.8 GHz. In the experiment we illuminate the MKID with a thermal radiation source at 6 K that couples ∼ 1 fW of radiation power to the device. More details can be found in [5] and [17]. We observe that the MKID amplitude noise is limited by the readout system noise if the number of readout tones >100. Alternatively, the MKID phase noise is limited by the MKID intrinsic noise up to 4000 tones. Since both phase and amplitude noise result in the same detector sensitivity [17], the

[1] D. Woody et al., “The CCAT 25 m diameter submillimeter-wave telescope,” in Proc. SPIE Ground-Based Airborne Telescopes IV, 2012, vol. 8444, p. 84442M. [2] A. Endo et al., “On-chip filter bank spectroscopy at 600–700 GHz using NbTiN superconducting resonators,” Appl. Phys. Lett., vol. 103, no. 3, 2013, Art. no. 032601. [3] E. Shirokoff et al., “Design and performance of SuperSpec: An on-chip, KID-based, mm-wavelength spectrometer,” J. Low Temp. Phys., vol. 176, no. 5–6, pp. 657–662, Feb. 2014. [4] P. K. Day, H. G. Leduc, B. A. Mazin, A. Vayonakis, and J. Zmuidzinas, “A broadband superconducting detector suitable for use in large arrays,” Nature, vol. 425, no. 6960, pp. 817–821, Oct. 2003. [5] R. M. J. Janssen et al., “High optical efficiency and photon noise limited sensitivity of microwave kinetic inductance detectors using phase readout,” Appl. Phys. Lett., vol. 103, no. 20, Nov. 2013, Art. no. 203503. [6] P. D. Mauskopf et al., “Photon-noise limited performance in aluminum LEKIDs,” J. Low Temp. Phys., vol. 176, no. 3–4, pp. 545–552, Jan. 2014. [7] J. Hubmayr et al., “Photon-noise limited sensitivity in titanium nitride kinetic inductance detectors,” Appl. Phys. Lett., vol. 106, no. 7, Feb. 2015, Art. no. 073505. [8] P. J. der Visser, J. J. A. Baselmans, J. Bueno, N. Llombart, and T. M. Klapwijk, “Fluctuations in the electron system of a superconductor exposed to a photon flux,” Nat. Commun., vol. 5, Feb. 2014, Art. no. 3130. [9] S. J. C. Yates, A. M. Baryshev, J. J. A. Baselmans, B. Klein, and R. Güsten, “Fast fourier transform spectrometer readout for large arrays of microwave kinetic inductance detectors,” Appl. Phys. Lett., vol. 95, no. 4, Jul. 2009, Art. no. 042504. [10] O. Bourrion et al., “Electronics and data acquisition demonstrator for a kinetic inductance camera,” J. Instrum., vol. 6, no. 06, Jun. 2011, Art. no. P06012. [11] S. McHugh et al., “A readout for large arrays of microwave kinetic inductance detectors,” Rev. Sci. Instrum., vol. 83, no. 4, Apr. 2012, Art. no. 044702. [12] S. Doyle, P. Mauskopf, J. Naylon, A. Porch, and C. Duncombe, “Lumped element kinetic inductance detectors,” J. Low Temp. Phys., vol. 151, no. 1–2, pp. 530–536, Apr. 2008. [13] S. Boyd, “Multitone signals with low crest factor,” IEEE Trans. Circuits Syst., vol. CAS-33, no. 10, pp. 1018–1022, Oct. 1986. [14] M. A. Lindeman et al., “Carrier phase optimization for frequency division multiplexing of low temperature detectors,” J. Low Temp. Phys., vol. 167, no. 5–6, pp. 701–706, Jun. 2012.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[15] D. H. Bailey, “FFTs in external or hierarchical memory,” in Proc. ACM/IEEE Conf. Supercomputing, New York, NY, USA, 1989, pp. 234–242. [16] E. Nash, “Correcting imperfections in IQ modulators to improve RF signal fidelity,”Analog Devices, Norwood, MA, USA, AN-1039 Appl. Note, 2009. [17] R. M. J. Janssen et al., “Performance of hybrid NbTiN-Al microwave kinetic inductance detectors as direct detectors for submillimeter astronomy,” Proc. SPIE, vol. 9153, pp. 91530T–91530T-7, Jul. 2014. [18] N. Wadefalk and S. Weinreb, “Very low noise amplifiers for very large arrays,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, Workshop WFF, Very Large Microw. Arrays Radio Astron. Space Commun.

Martin Grim, photograph and biography not available at the time of publication.

Joris van Rantwijk, photograph and biography not available at the time of publication.

Jochem Baselmans, photograph and biography not available at the time of publication.

Dennis van Loon, photograph and biography not available at the time of publication.

Stephen Yates, photograph and biography not available at the time of publication.

Andrey Baryshev, photograph and biography not available at the time of publication.

1884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

SAGD Process Monitoring in Heavy Oil Reservoir Using UWB Radar Techniques Daniel Oloumi, Student Member, IEEE, Kevin Khee-Meng Chan, Member, IEEE, Pierre Boulanger, and Karumudi Rambabu, Member, IEEE

Abstract— In this paper, practical considerations for steam assisted gravity drainage (SAGD) monitoring using ultrawideband (UWB) radar are studied. The SAGD process and important factors to monitor its performance are discussed. Several experiments are conducted to evaluate the possibility of using UWB radar for SAGD process monitoring. All the experiments are carried out on a simplified laboratory prototype, which is a plateau of wet sand covered by dry sand to mimic the steamed area of the reservoir. The effect of the metal pipe on the pulse shape and propagation inside the reservoir is also experimentally studied. Additionally, a miniaturized Vivaldi antenna capable of radiating within oil-sand is designed, fabricated, and verified as a sensor for the radar monitoring system. Power budget and heterogeneity analysis of the heavy reservoir for different grades of Athabasca oil-sands are also studied. Results demonstrate the possibility of using UWB radar to detect and image the contour of the steamed area in the SAGD process. The information collected by the UWB radar can be used for optimizing steam injection to improve the usage of water and energy. Index Terms— Borehole, electromagnetic (EM), remote sensing and monitoring, steam assisted gravity drainage (SAGD) process, ultra-wideband (UWB) radars.

I. I NTRODUCTION EAVY oil reservoir management using automated sensors and control techniques to monitor oil-well production has been introduced and developed as a new concept in the oil and gas industry [1]–[3]. In particular, having sensors capable of capturing the dynamics of the reservoir in the proximity of an oil well would provide necessary feedback to enhance the production [4], [5]. Oil from heavy oil reservoirs cannot be recovered by the conventional methods, those used in light crude oil extraction, due to the high viscosity of bitumen. Generally, heavy oil is recovered by the steam assisted gravity drainage (SAGD) process, which is one of the leading methods for oil-sand

H

Manuscript received July 17, 2015; revised October 7, 2015, January 13, 2016, January 18, 2016, and April 22, 2016; accepted April 25, 2016. Date of publication May 20, 2016; date of current version June 2, 2016. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC), by Killam under a scholarship, by AITF under a scholarship, and under an IEEE MTT-S Graduate Fellowship. D. Oloumi, K. K.-M. Chan, and K. Rambabu are with the Electrical and Computer Engineering Department, University of Alberta, Edmonton, AB, Canada T6G 2V4 (e-mail: [email protected]; [email protected]; [email protected]). P. Boulanger is with the Computing Science Department, University of Alberta, Edmonton, AB, Canada T6G 2V4 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561926

reservoir extraction [6]–[11]. This method is realized by drilling a pair of horizontal wells into the reservoir in which the upper pipe injects high-pressure steam to reduce the viscosity of the bitumen, while the lower pipe collects the liquefied bitumen. Since the SAGD process has an impact on the environment, close monitoring of the steam chamber development is required. Water consumption in the SAGD process can be optimized by mapping the reservoir through radar scanning; it also determines the reservoir volume and locations of the impermeable rock. Furthermore, real-time monitoring of reservoir conditions and steam flow patterns may help to prevent the occurrence of an uneven stunted steam chamber and provide better control of the steam flow [9], [12]. Considering the huge impact of the oil-sand industry on the Canadian economy, developing advanced monitoring technologies would significantly reduce the cost of oil extraction and provide more protection to the natural environment. The SAGD process has been traditionally monitored and imaged by microseismic monitoring techniques [13]–[15]. These methods need a huge number of geophones, on the order of tens of thousands, placed at different locations in or over the top of the reservoir. Furthermore, processing the enormous amount of recorded data produced by geophones makes real-time monitoring impossible or very difficult. Also, this method may not be able to monitor the shallow depth reservoirs due to the interference by mechanical waves from the surface, which significantly reduces the signal-to-noise ratio (SNR). Electromagnetic (EM) waves are much more sensitive than seismic waves to changes in reservoir fluid saturation; therefore an EM sensor is potentially more suitable to monitor fluid movement such as the steam chamber growth. Hence, radar techniques can be used to monitor the SAGD process. The feasibility of employing radars as down-hole sensors has been studied through simulations in [4], [5], and [16]. We have already designed an ultra-wideband (UWB) radar system for imaging of oil-well perforations, which demonstrated the suitability of radars for oil-well monitoring [17]. In this paper, we study the experimental feasibility of employing a UWB radar system as a buried sensor for monitoring oil-sand reservoirs. UWB radars use very short EM pulses to provide high-range resolution that is required for scanning complicated mediums such as heavy oil reservoirs. An UWB radar system tailored for monitoring the SAGD process requires UWB antennas capable of radiating in the reservoir medium, whose permittivity is higher than free space.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

OLOUMI et al.: SAGD PROCESS MONITORING IN HEAVY OIL RESERVOIR USING UWB RADAR TECHNIQUES

Fig. 1.

SAGD process monitoring and sensor arrangements.

Miniaturization of the antennas is essential as it makes installation and infrastructure design in the reservoir easier and cheaper. Different types of UWB antennas, such as bowtie, antipodal, spiral, double ridged, and transverse EM horns have been in use for different radar applications [18]–[23]. A miniaturized antipodal antenna modified for radiating into the oil-sand is designed as a sensor for the radar system in these experiments. Antipodal antennas have been in use for various applications, especially for pulse-based systems, due to characteristics including broadband impedance matching, unidirectional radiation, low pulse distortion, compact size, and ease of fabrication [18]–[20]. This paper is organized as follows. Section II presents the details of the SAGD monitoring process for heavy oil extraction, measurement setup, and miniaturized antenna design. Section III describes the EM pulse characterization in dry and wet sand and in the vicinity of metal pipes. Power budget analysis for oil-sand reservoir imaging and inhomogeneity of the reservoir medium is explained in Sections IV and V, respectively. Section VI describes imaging of the emulated steam chamber growth and reconstructed image using a SAR algorithm. Section VII discusses the importance, applicability, and economic feasibility of the proposed method. II. SAGD P ROCESS AND R ADAR M ONITORING S YSTEM D ESIGN Heavy oil reservoir and SAGD process monitoring using a radar system are shown in Fig. 1. The heavy oil reservoir is a heterogeneous medium, which is an intrinsic aspect of any reservoir, filled with oil-sand, rocks, and fractures [7]. Reservoir mapping can help in effective steaming in terms of controlling the direction and pressure of steam injectors. Once steaming starts, steam chamber growth can be monitored on a real-time basis, which can result in efficient reservoir management in terms of water usage and well production [24]. In general, steam is continuously injected into a heavy oil reservoir for several months, which grows the steam chamber up to 20 m [7]. Steaming of the reservoir increases the dielectric constant of the steamed area, which makes this region

Fig. 2.

1885

Radar measurement setup.

electrically discernible. Hence, the discontinuity in electrical properties between dry and wet regions of the reservoir can be distinguished by radar principles. Steam propagation in the SAGD process might be monitored by having an array of antennas on top of the reservoir and over the injector pipe [4], [5], [16] or any other locations in the reservoir in vertical and horizontal configurations. The sensor array over the injector pipe can be mounted on the pipe before installing it inside the borehole. However the borehole diameter has to be wider to accommodate the antennas. The radars on the injector pipe are used only in the initial scanning, i.e., before steaming begins. Having more arrays of sensors inside the reservoir provides more information about the SAGD process and steam chamber growth. A. Radar Monitoring System and Measurment Setup The UWB radar system is capable of detecting the contours of the steam chamber in the reservoir based on the reflections and transmission of the EM pulses at the interfaces. The feasibility of using a UWB radar system for SAGD process monitoring is experimentally investigated in this paper. To develop the concept and its validation, several measurements have been conducted in this study. The measurement setup contains a UWB radar system, as shown in Fig. 2, and a measurement box filled with dry and wet sand, where the wet sand emulates the steamed region. The system uses quasi mono-static radar, which contains two major modules: a transmitter (Tx) and a receiver (Rx). A pulse generator, two impulse-forming networks (IFNs), and a Tx antenna constitute the radar Tx. The pulse generator is AVTECH AVP-3SA-C, which generates a train of 10-V 50-ps risetime steps at 1-MHz pulse repetition frequency (PRF). The generated picosecond rise-time step is shaped into the first derivative Gaussian pulse by two IFNs. The pulse has a center frequency of 6.5 GHz with −10-dB bandwidth of 10 GHz. The UWB radar Rx consists of an Rx antenna and a sampling oscilloscope, Agilent DCA 86100B, which samples the signal at 40 GS/s.

1886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 3. (a) Simulated antenna structure. (b) Fabricated antenna (top), radar TRx (bottom). (c) Antenna return loss, simulation, and measurement, in dry sand. (d) Simulated antenna radiation pattern in dry sand at different frequencies.

B. Miniaturized Antipodal Antenna as EM Sensor The UWB radar system for SAGD process monitoring requires antennas capable of radiating within the oil-sand, unlike conventional antennas, due to the fact that the sensor system is buried in the oil-sand. An antipodal Vivaldi antenna or transverse EM horn antennas are good candidates for UWB radar applications for moderate-to-high power applications, respectively [18]–[23] . In this study, a miniaturized antipodal Vivaldi antenna capable of radiating within the oil-sand is designed and tested. The antipodal Vivaldi antenna is a printed circuit device and is capable of handling several watts of EM power. Bitumen and dry sand in the oil reservoir have a dielectric constant of 2.5 and 2.56, respectively [25]. Therefore, the antenna is designed to efficiently radiate in a medium of dielectric constant 2.5. The dielectric constant of oil-sand varies from 2.5 to 3.5 [26]. The antenna is fabricated using a Rogers’ substrate (RO4003) laminate of dielectric constant 3.38 and thickness of 0.8 mm. The overall dimensions of the antenna are limited to 36 mm × 46 mm. More details of the antenna dimensions are shown in Fig. 3(a). The fabricated antenna is shown in Fig. 3(b). The antenna is fed by a 50- coaxial line. The quasi mono-static radar transceiver (TRx) is realized by bundling two Vivaldi antennas, one for the Tx and the other for the Rx, separated by a 3-cm-thick Teflon insulator. The simulated and measured S11 of the antenna in dry sand is shown in Fig. 3(c). As can be seen, antenna return loss is acceptable up to 20 GHz. Dry sand is used for measurements as its dielectric constant is very close to the oil-sand. The simulated radiation patterns of the antenna in

TABLE I D IELECTRIC C ONSTANT OF S AND

dry sand at various frequencies are shown in Fig. 3(d). The antenna’s realized gain at each frequency is illustrated by the color bar. III. P ULSE C HARACTERISTICS IN D RY AND W ET S AND IN THE V ICINITY OF M ETAL P IPES Several experiments are conducted to understand the EM pulse propagation and distortion in the heavy oil reservoir medium, particularly in the vicinity of metal pipes. In these experiments, both transmitting and receiving antennas are buried in dry and wet sand, and the received pulses are studied. To study the effect of metal pipes on the pulse characteristics, a rectangular metal plate and pipe as reflectors are placed behind the transmitting and receiving antennas. It is a known fact that the water contents in oil-sand increases the dielectric constant and loss tangent of the medium and affects the radar signal propagation. The dielectric constant of sand with various moisture contents are shown in Table I.

OLOUMI et al.: SAGD PROCESS MONITORING IN HEAVY OIL RESERVOIR USING UWB RADAR TECHNIQUES

1887

In this investigation, we also study the dielectric properties of the saturated sand and the antenna performance in it. The dielectric properties of sand are measured using the time domain radar cross section (RCS) method [28]. A measurement error of 5 to 10% is associated with the time-domain RCS method. Play sand with a porosity of about 10% is used in the experiments. Simulation and measurements show that the dielectric losses increase significantly as moisture content increases. The antenna return loss and radiation patterns became severely degraded in the saturated sand. However, the reflection at the boundary of dry and saturated sand is very strong due to the big difference in wave impedance, which makes the wet sand visible to the radar. The designed antenna has acceptable performance in terms of return loss and radiation efficiency for a medium with a dielectric constant up to 5. It implies that using the same antenna, the measurements can be carried out in sand that has a moisture content of up to 5% [27]. The measurement scenarios are explained in Sections III-A and III-B. A. Pulse Characteristics in Dry and Wet Sand The experimental setup for these measurements is illustrated in Fig. 4(a). During the measurements, antennas are fully buried in sand. The effect of the medium on the pulse shape and path loss is measured by facing Tx and Rx antennas at each other, with a 21-cm distance in both air and sand. The measurements are carried out at shorter distances due to low power equipment available in the laboratory. The shape and pulse width of the received pulse is affected by the antenna’s and medium’s impulse responses as follows: Sr (t) = St (t) ⊗ h m (t) ⊗ h T x (t) ⊗ h Rx (t)

(1)

where Sr (t), St (t), h m (t), h T x (t), and h Rx (t) are the received and transmitted pulses, medium, and antenna impulse responses in transmitting and receiving modes, respectively, and ⊗ is the convolution operator. For comparison, the received pulses are measured in all air and dry and wet sand, and are shown in Fig. 4(b) and (c). A piece of foam is used to hold the antennas for measurement in air. The same distance is maintained between the antennas for measurements inside sand, as shown in Fig. 4(a). For measurements in sand, antennas are buried in sand at about 15-cm depth. Amplitudes of the received pulses are normalized to the peak value of the received pulse in air. To detect the right location of peak of the received pulse, to illustrate the delay, the envelope of the pulse is generated. The envelope of the pulse is generated by applying a Hilbert transform to the received pulses as follows: Senv = abs[Sr + j H (Sr )]

(2)

where Sr and H are the received pulse and Hilbert transform operator, respectively. The received pulses in dry sand, shown in Fig. 4(b), are shown in grey lines, whereas their envelopes are shown in black lines. The received pulse in sand is delayed and attenuated due to the higher dielectric constant and loss tangent of the sand. A higher dielectric constant implies a lower speed of wave propagation in sand. Moreover, as can be seen in Fig. 4(b), the shape of the received pulse in sand

Fig. 4. (a) Measurement configuration for through path (b), through measured pulses in free space and dry sand, note: gray lines are received pulses and back lines are their corresponding envelopes (c) through measured pulse in saturated wet sand. Note: pulses amplitude is normalized to pulses amplitude in air.

and air is different from the input pulse, which was a first derivative Gaussian pulse. The received pulses more closely resemble the second derivative Gaussian pulse with some distortions. The time differentiation of the input pulse occurred during transmission [29], and pulse distortion and attenuation occurred while in propagation. The measurement errors could be due to the drifting of the pulse generator and sampling oscilloscope, however, our measurement records show that the error is trivial, and is within 1%. Pulse propagation in saturated wet sand is also investigated through measurements and is shown in Fig. 4(c). Again, the signal amplitude is normalized to the received pulse in air.

1888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 5. Measurement setup. (a) Front metal plate reflector. (b) Front and back metal plate reflector. (c) Front metal plate and back metal pipe reflector. (d) Received pulses. (e) Calibrated received pulses.

As can be seen, the received pulse through wet sand experienced more attenuation and distortion compared to the one in dry sand. The received pulse in wet sand is received at multiple times. The reasons for these discrepancies can be: impedance mismatch at the antenna aperture, which results in radiation at different times, and heterogeneity of the wet sand. Here the received pulse is captured up to 20 ns. Even though the received pulse in wet sand arrives at different times, the time delay for the direct path is associated to the dielectric constant of wet sand, which is about 10. B. Reflected Pulse Characterization With Reflector Plates Since the injector and collector pipes in the SAGD process are made of metal, and antennas might be installed close to them, pulse propagation and its characteristics in the vicinity of metal pipes are investigated using metal plates and pipes as reflectors. Three experiments are conducted to study the pulse characteristics in the presence of metal pipes. First, a metal plate is placed in front of the radar TRx, as shown in Fig. 5(a), to study the received pulse in the sand medium. The distance between the radar TRx and the metal plate is 30 cm. The pulse is transmitted through the Tx antenna and received by Rx antenna after it is reflected by the metal plate. The received pulse is analyzed to probe the effect of the metal reflector on the pulse characteristics. In the second and third experiments, the effect of the nearby metal pipe on the received pulses is studied by introducing a reflector metal plate and pipe (20-cm width and 4-cm diameter, respectively) at a distance of 4 cm behind the radar TRx, as shown in Fig. 5(b) and (c).

For a quasi-monostatic radar system, the antenna mutual coupling affects have a significant effect on the target signature. In order to reveal the effect of metal pipe/reflectors on the received pulse, the medium and antenna effect on the pulse, which is called the ambient effect, has to be removed. The ambient pulse is measured by sending and receiving a pulse inside the sand with no metal reflectors. The ambient pulse contains all the other effects, including the medium, antennas, cables, and also the finite size of the experimental setup. By subtracting the ambient pulse from the received pulse, the signature of the target, the metal plates/pipe, will be extracted, Scal (t) = Sr (t) − Sa (t)

(3)

where Scal (t), Sr (t), and Sa (t) are the calibrated, received, and ambient pulses, respectively. Reflected pulses by the front metal plate with and without the presence of the back metal plate and pipe, as reflector, are called Sr f (t), Srb (t), and Sr p (t) respectively. The uncalibrated received pulses corresponding to experiments described in Fig. 5(a)–(c), and the recorded ambient pulse, Sa (t), are illustrated in Fig. 5(d). Up to 3 ns is the antenna mutual coupling, and there is no information about the metal reflectors. The received pulses after calibration are shown in Fig. 5(e). The upper graph, black solid line, is the received pulse reflected by the front metal plate. A UWB pulse undergoes an extra time differentiation every time the pulse hits the metal plate [30]. The received pulse resembles the third derivative Gaussian pulse with some distortions. Blue, dotted, and green dashed–dotted lines in Fig. 5(e) are the received

OLOUMI et al.: SAGD PROCESS MONITORING IN HEAVY OIL RESERVOIR USING UWB RADAR TECHNIQUES

1889

TABLE II

TABLE III

ATHABASCA O IL -S AND S AMPLES

D ISSIPATED L OSS IN D IFFERENT O IL -S AND G RADES

pulses of the measurements described in Fig. 5(b) and (c), respectively. As can be seen in the dotted blue line, several reflections appeared due to the effect of the back metal reflector. The pulse bounces between the front and back reflectors until the energy level is dissipated due to spreading factor and dielectric loss of the sand. The received pulse is recorded up to 8 ns, which shows four reflections in Fig. 5(e). The pulse is first reflected by the front reflector, and is received by the TRx main lobe at 3.4 ns. The reflected pulse by front reflector hits the back reflector, a portion of the pulse reflected by the back plate is received by TRx back and sidelobes at 4.3 ns, and the rest again hits the front reflector and is received by the TRx main lobe at 6.9 ns. The fourth reflection is received by the sidelobes and back lobe at 7.8 ns once it is reflected by the back reflector. As can be seen, the pulse amplitude decreases after every bounce. The metal pipe is used as the back reflector in the third experiment. Similar to the second experiment, the pulse starts bouncing between the front reflector and the pipe. However, due to the smaller RCS of the metal pipe, its reflection from pipe, which appeared at 4.2 ns, has lower amplitude. The third and fourth reflections did not appear at all. This shows that metal pipes do not have much effect on the nearby TRx performance. Extra reflections caused by metal pipes can be removed by time gating of the received pulses. From these experiments it is very clear that the shape of the reflected pulse changes due to the presence of the metal plate or pipe. The above analysis of the received pulses in different conditions shows the ability of UWB radar to distinguish the reflection from the metal pipes based on the time delay and pulse shape. IV. P OWER B UDGET FOR O IL -S AND R ESERVOIR I MAGING Power requirement for scanning the proposed oil-sand reservoir mainly depends on the: 1) attenuation of the EM signal in oil-sand, which depends on the conductivity of the oil-sand medium; 2) path loss due to energy spreading in the reservoir, which depends on the scanning range of the reservoir; and 3) the level of mismatch in electrical properties between steamed and dry reservoir areas. Considering the introduced losses, the required power for the Tx can be calculated. In addition, signal-processing techniques such as pulse integration/averaging can be adopted to reduce the transmitted power [31]. Signal attenuation has been calculated based on the measured electrical properties of oil-sand samples of different grades from the Athabasca reservoir at 2.45 GHz [32], which is summarized in Table II. A full-wave simulation model, using CST Microwave Studio, is developed based on the measured dielectric constant and loss tangent at 2.45 GHz. The first-order Debye model is applied to consider the frequency variation of electrical properties of the oil-sand.

Table II shows the measured electrical properties of different grades of Athabasca oil-sands. Table III shows the simulation results for attenuation of pulse peak power for different ranges in the reservoir. The simulations were carried out using CST Microwave studio. Power losses of the pulse over different distances are acquired for the mediums’ properties according to Table II. The frequency content of the transmitted pulse in these simulations ranges from 1 to 11 GHz. This frequency bandwidth is used based on our pulse generator specification, which provides high-range resolution. However, for a real field measurements scenario, high-range resolution is not required and pulse bandwidth up to a maximum of 500 MHz would be satisfactory. Operating at lower frequencies results in lower losses and lower input power would be required. Simulations and calculations in this section can be applied to pulses of any bandwidth and frequencies. As shown in Table III, the lowest grade oil-sand has the highest signal attenuation. As the pulse propagates into the oil-sand, the high-frequency content of the pulse attenuates at a higher rate than the lowfrequency content. Fig. 6(a) and (b) shows the pulse peak power of the received pulses and their frequency spectrum, respectively. The frequency bandwidth of the received pulse decreases as the probing range in the reservoir increases. For example, the bandwidth, −20-dB attenuation, of the received pulse for a range of 32 m is limited to 1 GHz. The Rx sensitivity, minimum detectable power, depends on the bandwidth of the received pulse, noise figure (NF) of the Rx, and minimum SNR requirements. The minimum power required at the Rx can be estimated by the following relationship: P(dBm) = −174 + 10 log(BW(Hz)) + NF(dB) + SNR(dB). (4) The power of the reflected pulse depends on the contrast between the dielectric constant of dry oil-sand and the steam chamber. At the front face of the steam chamber, steam quickly condenses into water. The steamed area has the average dielectric constant of at least 6, which is double the dielectric constant of the dry reservoir. Based on this information, the power of the transmitted pulse for a typical steam chamber monitoring can be calculated. Here, the calculations are shown for the case of the lowest grade oil-sand for 20-m probing depth. The minimum power required for the Rx of bandwidth 1 GHz, NF of 7 dB, with a minimum SNR of 10 dB, is −67 dBm. The attenuation of pulse peak power for 20-m

1890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Fig. 6. Simulation results of received pulses propagating in lowest grade Athabasca oil-sand for different depths l. (a) Time-domain received pulses. (b) Frequency spectrum of the received pulses.

propagation is 45 dB. The reflectivity of the pulse is −15.3 dB. The path loss due to the energy spreading is 37.5 dB. Since the boundary between the dry reservoir and steamed area is very large and continuous, the signal loss due to spreading is not required to be considered in the return path. The total loss of the signal for 20-m down range probing is 143 dB. Therefore, the peak power of the transmitted pulse should be greater than 76 dBm. Since the dynamics of the reservoir will not change in the time span of few seconds, pulse integration can be applied to reduce the power requirement. Here, the received pulses can be treated as periodic pulses. If 1000 pulses are considered for integration, the required peak power of the transmitted pulse can be reduced by 30 dB. Therefore, the required peak power of the transmitted pulse is 46 dBm i.e., 39.8 W. For a 50- system, the peak voltage of the pulse should be 44.6 V. The average power of the pulse will be much lower than the peak power. For example, for a pulse with a pulse width of 0.5 ns, and a PRF of 1 MHz, the average power is as low as 20 mW. The limitation of the pulse integration concept is the delay that occurs during integration. For example, the time delay for 1000 pulses integration with 1-MHz PRF is 1 ms. The dynamics of the target (reservoir) should not change during this time. Hence, the reservoir monitoring can be called near real-time monitoring. The power requirements of the transmitted pulse can be further reduced by using directional antennas and pulse with lower frequencies, as discussed above. V. I NHOMOGENEITY OF THE R ESERVOIR M EDIUM The typical height of the steam-chamber growth is around 20 m. Therefore, the scanning distance, down range, of the proposed radar system is maximum 20 m vertical from the steam injection pipe. The question here is how many inhomogeneous layers can be present in the space of 20 m that can potentially mask the steam flow imaging. In the calibration process, before steam injection, few layers of inhomogeneity can be determined. Even though boundaries of the inhomogeneity can be arbitrary in shape, in the boresight of the TRx the boundary can be approximated as a straight line. The distance between the arrays of the TRxs, installed at the top and bottom, is always known. The medium (reservoir)

TABLE IV R EFLECTION T IME AND C ALCULATED D EPTH

electrical parameters at the location of TRx and Rx can be measured at the time of installation. Here, we present a simple full-wave simulation to illustrate the capability of the radar to characterize up to three layers of inhomogeneity present in the reservoir, without solving any inverse scattering problem. The simulated structure is shown in Fig. 7. To reduce the simulation time and computer resources, a scaled-down model is considered here. Similar to the proposed scheme of SAGD monitoring in Fig. 1, a set of TRxs is installed at the top and bottom of the reservoir. Antennas no.1 and no.3 transmit the pulse, and the reflections are recorded at antennas no.2 and no.4, titled P21 and P43 , respectively. The received pulses are shown in Fig. 7(b), dashed lines. The pulse envelopes are also plotted, in solid lines, to find the right locations of received pulses. The oilsand properties in the first and third layers are determined by acquiring samples during the time of installation. The depth of the first and third layers can be calculated based on the oilsand dielectric constant and round-trip time of the reflected pulses. To calculate the depth of the first and third layers, the time of the reflected pulses at ports 2 and 4 are used as follows: Tn .c (5) Ln = √ 2 εr where Tn , εr , and c are time of the reflection, medium permittivity, and speed of light, respectively. The time of reflections for the first and third layers is acquired from Fig. 7(b). The antenna mutual coupling is used as a time reference, and has to be subtracted from the received reflection time duration. The reflection time of the pulse and calculated depth of the layers are tabulated in Table IV. The total length is known, then, by having L 1 and L 3 , L 2 can be calculated. The value of L 2 is calculated to be 151.2 mm, which shows a 0.08% error. Equation (4) is used again to calculate the dielectric constant of the middle layer.

OLOUMI et al.: SAGD PROCESS MONITORING IN HEAVY OIL RESERVOIR USING UWB RADAR TECHNIQUES

Fig. 7.

1891

Simulation for acquiring the depth and materials in a three-layered reservoir. (a) Simulated structure. (b) Simulated reflected pulses.

Fig. 8. Steam chamber detection and imaging. (a) Emulated steam chamber for measurement. (b) 3-D drawing of the emulated steam chamber. (c) Calibrated raw data, unit: voltage. (d) Reconstructed image of the emulated steam chamber, unit: normalized intensity.

However, Tn is the time difference between the first and second reflections. Either P21 or P43 can be used for the dielectric constant estimation. Here, the estimated dielectric constant of 8.4 and 8.007 are the results from P21 and P43 , respectively. The average value of 8.2 is considered for the dielectric constant of the middle layer, which indicates an error of 1%. For more numbers of inhomogeneity in the reservoir, the layer thickness and dielectric constant can be estimated based on parameter optimization [33].

VI. M APPING OF S TEAM C HAMBER IN SAGD P ROCESS BY UWB R ADAR The experiment aims at demonstrating the feasibility of mapping and localizing the steamed area, emulated steam chamber, using a UWB radar system. These experiments are done on a simplified laboratory prototype, which is built based on dry and moisturized sand, shown in Fig. 8(a) and (b). It is impractical to have a large oil-sand sample and steaming process in our laboratory setting. Thus we used dry sand to

1892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

mimic the oil-sand before steam injection and wet sand to mimic the steamed area. In the first part of the experiment, a scaled-down steam chamber shown in Fig. 8(a) is emulated by curved-shaped wet sand covered with dry sand in a plastic container. The purpose of this experiment is to see whether the shape of the emulated steam chamber can be detected by the radar. At the boundary, wet sand moisturizes the dry sand and creates a small transition zone. The height of the wet sand varies between 12 to 18 cm from the bottom of the container, and is covered with dry sand up to 30 cm in depth, as shown in Fig. 8(b). To map the wet sand surface, the emulated chamber is scanned from the top over the measurement path defined in Fig. 8(b). Measurement is done over the length of 45 cm, from 10 to 55 cm, using UWB radar at each 5-cm interval. However, in the actual oil-sand reservoirs, the steaming pipe length may extend to 1000 m [7] so, in practical systems, scanning over the pipe length can be done at different intervals depending on required resolution. The calibrated raw data based on reflected pulses (S11 ) from the wet sand surface, shown in Fig. 8(a), is acquired along the measurement path shown in Fig. 8(b). The first derivative Gaussian pulse is used as an input pulse. The transmitted pulse is the second derivative Gaussian pulse as antenna time differentiates the input pulse while radiation. To find the peak location of reflected pulses, the envelope of the reflected pulse is used. Envelope detection improves the radar image resolution and its quality as well [31]. Envelope-detected raw data is shown in Fig. 8(c), which matches with the shape of the saturated wet sand contour shown in Fig. 8(a) and (b). In the next step, the data is processed to reconstruct the image of the emulated steamchamber contour, i.e., wet sand plateau. To reconstruct the image, synthetic aperture radar (SAR) processing is applied [25], [34]. SAR processing is done through global back projection (GBP) in the time domain. The image, I , is rebuilt through I (M, N ) =

L 

rawdata(l, index(M, N))

(6)

0

where M and N are the image pixels in the direction of scanning (X) and depth (Z ), respectively. The aperture position is denoted by L. The number of pixels in the reconstructed image is considered to be 1000 × 500. The index of the fitting sample is evaluated by R (M, N ) index(M, N) = V and   2  2  2 R(M, N) = X pf 1 − X M + Yp f 1 + Z pf 1 − Z N   2  2  2 + X p f 2 − X M + Ypf 2 + Z pf 2 − Z N . (7) V is the wave velocity inside dry sand, considering a dielectric constant of 2.5, which is 1.8973 × 108 m/s. The distance between each image pixel to each radar position is defined as R (M, N ). X p f 1&2 , Y p f 1&2 , and Z p f 1&2 are the position of the Tx and Rx antennas, and X M and Z N are the pixels’

location in the reconstructed image. The reconstructed image is shown in Fig. 8(d). As can be seen after SAR processing, time is translated to depth (down range), which localizes the wet sand contour. In the first experiment, the contour of the emulated steam chamber is imaged by scanning the reservoir from the top. The purpose of the second part of the experiment is to acquire more information about the emulated steam chamber by scanning the reservoir in different lateral cuts, using reflected and thru pulse propagation. The second part of the experiment is shown in Fig. 9(a). As can be seen in Fig. 9(a), the area in the middle of the wooden box is filled with a plateau of wet sand covered by dry sand to roughly emulate the reservoir and steam chamber. To facilitate antenna movement in the sand for scanning, the TRx and Rx are enclosed in cardboard boxes filled with dry sand. In practical scenarios, an array of antennas will be used to acquire the data instead of moving the TRx and Rx. The area is scanned by moving the TRx and Rx boxes along the wooden box length, from 10 to 84 cm, at every 2 cm in a face-to-face position, as shown in Fig. 9(a). To have the reference data for comparisons in thru mode and also for calibrating the pulses in reflection mode, the experiment is completed with dry sand first. The plateau of wet sand (5% moisture) is built in the middle of the dry sand, and is covered by dry sand up to the edges of the wooden box. The dimensions of the plateau are shown in Fig. 9(a). The plateau has a length of 40 cm and width of 20 cm. The measurement results of the second experiment are shown in Fig. 9(b)–(e). The measured thru pulses in dry sand and the emulated chamber (dry sand including the wet sand plateau) are shown in Fig. 9(b) and (c), respectively. By comparing these two figures, it can be concluded that the received pulses in between point A = 21 cm and B = 61 cm are delayed and attenuated due to the high dielectric constant and loss associated with the wet sand. Hence, linking the thru data attained from the reservoirs before and after steam injection can provide the information of chamber growth in the reservoir. The radar TRx also records the reflected pulses (S11 ), which can provide more information about the emulated steam chamber contours. The calibrated reflection raw data is shown in Fig. 9(d). Since the dielectric constant of sand in the wooden box increases gradually from dry to wet sand, several reflections occur over this transition, which make the raw data very complicated to understand. Thus, we refocused the spread energy by SAR processing. The reconstructed image is shown in Fig. 9(e). As it can be seen, the image shows the location of the wet region clearly. It can be seen from the reconstructed images, Figs. 8(d) and 9(e), that UWB radar along with SAR processing techniques, can provide image resolution on the order of centimeters. The experiment results with the simplified laboratory prototype suggest that UWB radar techniques can be used as a method to detect and monitor the contour of steamed area. VII. I MPORTANCE , A PPLICABILITY, AND E CONOMIC F EASIBILITY OF THE P ROPOSED M ETHOD In the SAGD process, two horizontal parallel wells, with a 5-m vertical distance, are drilled into an oil-sand reservoir.

OLOUMI et al.: SAGD PROCESS MONITORING IN HEAVY OIL RESERVOIR USING UWB RADAR TECHNIQUES

1893

Fig. 9. Steam-chamber detection and imaging in lateral cuts. (a) Emulated steam chamber. (b) Measured thru pulses in dry sand, unit: voltage. (c) Measured thru in wet sand, unit: voltage. (d) Calibrated raw data of reflected measured pulse, unit: voltage. (e) Reconstructed image of (d), unit: normalized intensity.

Steam injected into the reservoir through the injector pipe expands in vertical and lateral directions into the reservoir to form a steam chamber. An efficient SAGD process depends on the optimal utilization of steam and creation of a uniform steam chamber along the well length. Due to the reservoir heterogeneity and its characteristics such as geometry, component distribution, porosity, permeability, and the well bore undulations, preferential flow paths of steam will be formed, and the heated fluids tend to flow through the preferential paths. Therefore, a non-uniform or stunted steam chamber is inevitable in a SAGD reservoir [35]. It should be noted that the heterogeneity in physical characteristics of the oil-sand

reservoir may not affect the radar signal propagation. However, heterogeneity in dielectric permittivity of the reservoir affects the speed of the signal propagation. If the steam chamber development is properly monitored and evaluated, the development of a non-uniform steam chamber can be mitigated. For example, steam splitters can be installed at underdeveloped locations of the steam chamber to enhance the development. Technologies available for monitoring the steam chamber at early stages of the SAGD process are limited. For optimizing the SAGD process, an effective technique is needed to evaluate the SAGD performance and monitor the steam chamber development. The proposed imaging method using radar principles

1894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

image a steam chamber in the SAGD process. Monitoring the SAGD process can provide valuable feedback to optimize the consumption of water and energy. R EFERENCES

Fig. 10.

Sensor arrangement for steam chamber monitoring.

is well suited for monitoring the steam chamber growth in near real-time. Electrical signals are transparent to much physical heterogeneity, and provide contrast to the water saturation of the reservoir. SAR techniques provide high-resolution imaging with a minimum number of sensors. Pulse integration concepts of UWB radars are amenable for low-power operations. The typical shape of the steam chamber is shown in Fig. 10. The steam chamber can be monitored using three arrays of sensors. One array is installed at the top of the chamber and two on the lateral sides. An additional array is installed along the injector pipe for reservoir calibration purposes. Electrical beam steering of the EM sensors, which is well understood and developed, can be used to improve the resolution of the image on the top and lateral sides, as shown in the Fig. 10. The typical cost of a sensor would be on the order of a few hundred dollars. It is expected that four sensors, one for the top, two for the lateral planes, and one along the injector pipe, will be installed for each 5-m length of the well. For high-resolution imaging, the number of sensors can be higher than what has been specified. VIII. C ONCLUSION Practical considerations for utilizing UWB radar to monitor the SAGD process have been comprehensively investigated. Power budget calculations and a method to characterize the lower order reservoir heterogeneity have also been studied. A customized Vivaldi antenna capable of efficiently radiating inside the oil-sand has been designed and used as a sensor in our measurements. Several measurement scenarios have been considered to develop the understanding of pulse propagation and characteristics in heavy oil reservoirs. Two measurement scenarios have been conducted for SAGD process monitoring in horizontal and vertical cuts of the reservoir based on reflected and thru pulses. Measurement results have demonstrated that UWB radar can be used to detect and

[1] C. E. Robinson, “Overcoming the challenges associated with the life-cycle management of multilateral wells: Assessing moves toward the Intelligent Completion,” in Offshore Eur. Conf., Aberdeen, U.K., Sep. 1997, pp. 1–8. [2] R. Brouwer, Dynamic water flood optimization with smart wells using optimal control theory, Ph.D. dissertation dissertation, Dept. Geotechnol., Delft Univ. Technol., Delft, The Netherlands, 2004. [3] F. Nyhavn, F. Vassenden, and P. Singstad, “Reservoir drainage with downhole permanent monitoring and control systems: Real-time integration of dynamic reservoir performance data and static reservoir model improves control decisions,” in SPE Annu. Tech. Conf. & Exhibit., Oct. 2000, pp. 1–10. [4] M. Miorali, E. Slob, and R. Arts, “A feasibility study of borehole radar as a permanent downhole sensor,” Geophys. Prospect., vol. 59, no. 1, pp. 120–131, Jan. 2011. [5] M. Miorali, E. Slob, and R. Arts, “Feasibility of borehole radar measurements to monitor water/steam fronts in enhanced oil recovery applications,” in 71st EAGE Conf. & Exhibit. Incorporating SPE EUROPEC, Jun. 2009, pp. 1–4. [6] R. M. Butler, Thermal Recovery of Oil and Bitumen. Englewood Cliffs, NJ: Prentice-Hall, 1991. [7] Q. Chen, Assessing and improving steam-assisted gravity drainage: Reservoir heterogeneities, hydraulic fractures, and mobility control foams, Ph.D. dissertation dissertation, Dept. Energy Resource Eng., Stanford Univ., Stanford, CA, USA, 2009. [8] I. T. Cyr, R. Coates, and M. Polikar, Steam-assisted gravity drainage heavy oil recovery process, U.S., Patent Patent 6,257,334 B1, Jul. 10, 2001 [Online]. Available: http://www.google.ca/patents/US6257334 [9] P. Egermann, G. Renard, and E. Delamaide, “SAGD performance optimization through numerical simulations: Methodology and field case example,” in SPE Int. Thermal Operat. & Heavy Oil Symp., Mar. 2001, p. 10. [10] Q. Jiang, B. Thornton, J. Russel-Houston, and S. Spence, “Review of thermal recovery technologies for the Clearwater and lower Grand Rapids formations in Cold Lake, Alberta,” J. Can. Pet. Technol., vol. 49, no. 9, pp. 57–68, Sep. 2010. [11] G. R. Scott, “Comparison of CSS and SAGD performance in the clearwater formation at Cold Lake,” in SPE/PS-CIM/CHOA Int. Thermal Operat. & Heavy Oil Symp./Int. Horizontal Well Technol. Conf., Calgary, AB, Canada, Nov. 2002, pp. 1–12. [12] P. Meum, P. Tøndel, J.-M. Godhavn, and O. M. Aamo, “Optimization of smart well production through nonlinear model predictive control,” in SPE Intell. Energy Conf. & Exhibit., Feb. 2008, pp. 1–11. [13] S. C. Maxwell, J. Rutledge, R. Jones, and M. Fehler, “Petroleum reservoir characterization using downhole microseismic monitoring,” Geophysics, vol. 75, no. 5, pp. 75A129–75A137, Sep. 2010. [14] P. M. Duncan and L. Eisner, “Reservoir characterization using surface microseismic monitoring,” Geophysics, vol. 75, no. 5, pp. 75A139–75A146, Sep. 2010. [15] P. Mcgillivray, “Microseismic and time-lapse seismic monitoring of a heavy oil extraction process at Peace River , Canada,” CSEG Rec., pp. 5–9, Jan. 2005. [16] M. Miorali, E. Slob, and R. Arts, “A modeling study of a borehole radar system as a permanent down-hole sensor,” Geophys. Prospect., vol. 59, pp. 120–131, Jan. 2011. [17] D. Oloumi, M. I. Pettersson, P. Mousavi, and K. Rambabu, “Imaging of oil-well perforations using UWB synthetic aperture radar,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 8, pp. 4510–4519, Aug. 2015. [18] A. M. Abbosh, “Miniaturized microstrip-fed tapered-slot antenna with ultrawideband performance,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 690–692, 2009. [19] J. Bai, S. Shi, and D. W. Prather, “Modified compact antipodal Vivaldi antenna for 4–50 GHz UWB application,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 1051–1057, Apr. 2011. [20] P. Fei, Y. Jiao, W. Hu, and F. Zhang, “A miniaturized antipodal Vivaldi antenna with improved radiation characteristics,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 127–130, 2011. [21] J. A. G. Malherbe and N. Barnes, “TEM horn antenna with an elliptic profile,” Microw. Opt. Technol. Lett., vol. 49, no. 7, pp. 1548–1551, Jul. 2007.

OLOUMI et al.: SAGD PROCESS MONITORING IN HEAVY OIL RESERVOIR USING UWB RADAR TECHNIQUES

1895

[22] C. Moreno, “GA design of a thin-wire bow-tie antenna for GPR applications,” IEEE Trans. Geosci. Remote Sens., vol. 44, no. 4, pp. 1004–1010, Apr. 2006. [23] D. Oloumi, P. Mousavi, M. I. Pettersson, and D. G. Elliott, “A modified TEM horn antenna customized for oil well monitoring applications,” IEEE Trans. Antennas Propag., vol. 61, no. 12, pp. 5902–5909, Dec. 2013. [24] L. E. Gonzalez, P. Ficocelli, and T. Bostick, “Real time optimization of SAGD wells,” in SPE Heavy Oil Conf., Calgary, AB, Canada, Jun. 2012, pp. 12–14. [25] D. Oloumi, Oil well monitoring by ultra-wideband ground penetrating synthetic aperture radar, M.Sc. thesis dissertation, Dept. Math. & Nat. Sci., Blekinge Inst. Technol., Karlskrona, Sweden, 2012. [26] L. Erdogan, C. Akyel, and F. M. Ghannouchi, “Dielectric properties of oil sands at 2.45 GHz with TE101 mode determined by a rectangular cavity resonator,” J. Microw. Power Electromagn. Energy, vol. 45, pp. 15–23, Mar. 2011. [27] J. Zou, M. Lu, R. Karumudi, A. Tan, and X. Shen, “Application of ultrawide band (UWB) radar in detecting unexpected utility lines in open cut operations,” in Proc. 30th ISARC, Aug. 2013, vol. 8, pp. 1–11. [28] K. K. Chan, A. E. Tan, L. Li, and K. Rambabu, “Material characterization of arbitrarily shaped dielectrics based on reflected pulse characteristics,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1700–1709, May 2015. [29] K. Rambabu, A. E.-C. Tan, K. K.-M. Chan, and M. Y.-W. Chia, “Estimation of antenna effect on ultra-wideband pulse shape in transmission and reception,” IEEE Trans. Electromagn. Compat., vol. 51, no. 3, pp. 604–610, Aug. 2009. [30] L. Li, A. E.-C. Tan, K. Jhamb, and K. Rambabu, “Characteristics of ultra-wideband pulse scattered from metal planar objects,” IEEE Trans. Antennas Propag., vol. 61, no. 6, pp. 3197–3206, Jun. 2013. [31] D. Oloumi, J. Ting, and K. Rambabu, “Design of pulse characteristics for near-field UWB-SAR imaging,” IEEE Trans. Microw. Theory Techn. [32] M. Pastorino, “Short-range microwave inverse scattering techniques for image reconstruction and applications,” IEEE Trans. Instrum. Meas., vol. 47, no. 6, pp. 1419–1427, Dec. 1998. [33] L. Li, A. E.-C. Tan, K. Jhamb, and K. Rambabu, “Buried object characterization using ultra-wideband ground penetrating radar,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2654–2664, Aug. 2012. [34] V. T. Vu, T. K. Sjögren, M. I. Pettersson, and A. Gustavsson, “Definition on SAR image quality measurements for UWB SAR,” Proc. SPIE, vol. 7109, pp. 1–9, Oct. 2008. [35] L. Zhu, F. Zeng, and Y. Huang, “A correlation of steam chamber size and temperature falloff in the early-period of the SAGD process,” Fuel, vol. 148, pp. 168–177, May 2015.

Kevin Khee-Meng Chan (S’11–M’14) received the Ph.D. degree from the University of Alberta, Edmonton, AB, Canada, in 2014. From 2001 to 2008, he was with the Institute for Infocomm Research, as a Research Officer, where he developed ultra-wideband (UWB) radar and RF identification (RFID) systems. He is currently a Post-Doctoral Fellow with the University of Alberta, where he is involved with a microwave tomography system for medical imaging application. His research interests are microwave tomography, UWB radar technology, and passive microwave structures such as filters, couplers, and antennas. Dr. Chan was the recipient of the Tan Kah Kee Young Inventor’s Award in 2007 and the Alberta Innovates Graduate Student Scholarship in 2011.

Daniel Oloumi (S’09) received the M.Sc. degree in electrical engineering (with an emphasis on radio communications) from the Blekinge Institute of Technology, Karlskrona, Sweden, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Alberta, Edmonton, AB, Canada. Since 2007, he has been involved in the design, fabrication, and measurement of microwave and antenna components. His research interests include ultra-wideband (UWB) radar systems, radar imaging, and signal-processing techniques for industrial and biomedical applications. Mr. Oloumi was the recipient of the Andrew Steward Memorial Graduate Prize and the Izaak Walton Killam Award, which is the most prestigious award administered by the University of Alberta. He was also the recipient of AITF and NSERC IPS2 scholarships, the Best M.Sc. Thesis Award, and the IEEE Microwave Theory and Techniques Society (IEEE MTT-T) Graduate Fellowship Award for his work on oil-well monitoring using UWB radar technology.

Karumudi Rambabu (M’14) received the Ph.D. degree in electrical and computer engineering from the University of Victoria, Victoria, BC, Canada, in 2005. From July 2005 to January 2007, he was a Research Member with the Institute for Infocomm Research (I2R), Singapore. Since February 2007, he has been an Assistant Professor, and currently an Associate Professor, with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB, Canada. His research interests include the design and development of ultra-wideband (UWB) technology, components, and systems for various applications. He is currently involved in oil-well monitoring, pipeline inspection, through-wall imaging, vital-sign monitoring, and biopsy needle guiding using UWB radar systems. Dr. Rambabu served as an Associate Editor for the International Journal of Electronics and Communications. He was the recipient of the Andy Farquharson Award for excellence in graduate student teaching from the University of Victoria in 2003 and the Governor General’s Gold Medal for Ph.D. research in 2005.

Pierre Boulanger cumulates more than 30 years of experience in 3-D computer vision, rapid product development, and the applications of virtual reality systems to medicine and industrial manufacturing. For 18 years, he has been with the National Research Council of Canada, as a Senior Research Officer, where his primary research interest has been in 3-D computer vision, rapid product development, and virtualized reality systems. He currently has a double appointment as a Professor with the Department Of Computing Science and with the Department of Radiology and Diagnostic Imaging, University of Alberta, Edmonton, AB, Canada. He is currently the Director of the Advanced Man Machine Interface Laboratory (AMMI), as well as the Scientific Director of the SERVIER Virtual Cardiac Centre. In 2013, he was bestowed the CISCO Chair in Healthcare Solutions, a ten-year investment by CISCO systems in the development of new information technology (IT) for healthcare in Canada. He has authored or coauthored more than 290 scientific papers and has collaborated with more than 20 universities, research laboratories, and industrial companies across the world. He is also the President of PROTEUS Consulting Inc., a Canadian-based consulting firm that specializes in visual simulation applications. He is on the Editorial Board of two major academic journals. His main research topics are on the development of new techniques for tele-medicine, patient specific modeling using sensor fusion, and the application of tele-presence technologies to medical training, simulation, and collaborative diagnostics. Dr. Boulanger is on many international committees and frequently gives lectures on computational medicine and augmented reality systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

High-Order Modulation Transmission Through Frequency Quadrupler Using Digital Predistortion Youjiang Liu, Member, IEEE, Gang Liu, Member, IEEE, and Peter M. Asbeck, Fellow, IEEE Abstract— We demonstrate the operation of a frequency quadrupler as a transmitter to accurately generate amplitudeand phase-modulated signals. Digital predistortion (DPD) is used to facilitate reconstruction of the desired transmitted waveforms at the frequency quadrupler output. Such a process enables novel architectures for higher frequency transmissions without high-frequency mixers or modulators, especially for millimeter-wave (mm-wave) communications, at frequencies where signal amplification, modulation, and distribution are challenging. To investigate the frequency quadrupler input– output relationship and the signal characteristics, a prototype frequency quadrupling system at 3.56-GHz band is demonstrated. A quadrupled memory polynomial (Q-MP) model is derived for forward modeling. Subsequently, a quadrupling DPD (Q-DPD) model is developed to find the inverse of the frequency quadrupler for linearization. Further, a memory polynomial (MP) and Q-DPD cascaded technique is proposed to achieve more accurate linearization performance of the frequency quadrupler. The proposed methods are demonstrated using signals with different bandwidths (5, 10, 20 MHz) and different order modulations [64 and 256 quadrature amplitude modulation (QAM)]. Very good adjacent channel power ratios (ACPRs) of around −43 dBc and error vector magnitudes (EVMs) of about 1.6% ∼ 2.4% are achieved in different tests. Index Terms— Digital predistortion (DPD), frequency quadrupler, power amplifiers (PAs), quadrature amplitude modulation (QAM), transmitter.

I. I NTRODUCTION

W

ITH demands for ever higher data-rate wireless communications, signals at higher carrier frequencies, especially in millimeter-wave (mm-wave) communications, are being explored. Frequency multipliers are common components used for the generation of reference frequencies or local oscillator signals for up- and down-converters [1]–[3]. Frequency multipliers can also be employed as a power stage Manuscript received March 29, 2015; revised October 1, 2015 and February 15, 2016; accepted April 24, 2016. Y. Liu was with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla CA 92093 USA. He is now with the Institute of Electronic Engineering, China Academy of Engineering Physics (CAEP), Mianyang 621900, China (e-mail: [email protected]; [email protected]). G. Liu was with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla CA 92093 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA (e-mail: [email protected]). P. M. Asbeck is with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla CA 92093 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561276

of a transmitter at very high frequencies since the upper frequency limit of frequency multipliers is above the fmax of transistors. In standard approaches, the frequency multiplier outputs are subsequently modulated and amplified for transmission. From the perspective of power efficiency, modulation, and amplification of the very high-frequency signals after the frequency multipliers will not be optimal since it is more difficult to implement amplification, routing, and modulation at very high frequencies than at low frequencies. A potentially more efficient and easily implementable approach is to modulate the carrier amplitude and phase at the input frequency of the multiplier. The signal is then up-converted by the frequency multiplier to give an output at the higher frequency carrier. In this work, we consider such an architecture by incorporating a frequency quadrupler, where a carrier at frequency f 0 is appropriately modulated [with appropriate digital predistortion (DPD)], and then sent to a frequency quadrupler, leading to an output modulated signal at 4 f 0 . A frequency quadrupler is chosen here (rather than doubler or octupler) since it is a good representative of the frequency multiplier family with a moderate order of the frequency multiplication. Frequency quadruplers are receiving attention for operation above 150 GHz. Multipliers with output power above 5 dBm have been demonstrated at 200 GHz. The analysis and methods proposed throughout this paper are still applicable to frequency multipliers with other orders. The focus of this paper is on the system algorithm development and conceptual demonstration of the frequency quadrupler. As a proof of concept, we report on an experimental study conducted using a model system with f0 = 890 MHz and 4 f 0 = 3.56 GHz. To directly adopt the frequency multiplier as a transmitter, one of the most critical challenges is its high nonlinearity that severely distorts the modulated signal. For a frequency quadrupler, the output envelope waveforms will have no resemblance to the original input modulated waveforms due to strong amplitude and phase nonlinear distortion and the fourth-order phase multiplication. In order to correct these distortions, DPD, which has been widely used for power amplifier (PA) linearization [4]–[8], is a promising technique. However, the DPD method for the frequency quadrupler is significantly different from the existing DPDs for PAs because the fourth-order phase multiplication is not taken into account in DPD methods developed for PAs. Prior publications have revealed some aspects of the nonlinear distortion and DPD method for the frequency multipliers [9]–[11]. In [9], a DPD method was proposed to linearize the frequency multipliers and good linearization

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

performances were achieved. However, that DPD model only compensates for memoryless nonlinearities of the frequency multipliers. If higher signal bandwidth and higher order modulation are encountered, it will be less effective. Additionally, the phase multiplication process should be nonlinear, but the model in [9] treats it as linear. Overall, better linearization performances should be expected if a better DPD method is developed; details about the frequency multiplier nonlinearities and DPD approaches have not been extensively explored. In [12], we provided a preliminary report of a new DPD model for the frequency quadrupler with very good performance demonstrated on a 5-MHz bandwidth 256 quadrature amplitude modulation (QAM) signal. In this paper, a more comprehensive study for the frequency quadrupler (multiplier) is carried out, including the nonlinearity theoretical analysis, forward modeling, DPD methods, and extensive experimental validations using signals of different bandwidth (5, 10, 20 MHz) and different order modulations (64 and 256 QAM). It is shown that high-accuracy QAM constellations are restored at the frequency quadrupler output after using the proposed DPDs. To our knowledge, these are the best linearization results obtained for frequency multipliers to date. This paper is organized as follows. Section II gives theoretical analysis about the frequency quadrupler’s nonlinearities and derives a quadrupled memory polynomial (Q-MP) model for its forward modeling. Two DPD methods are subsequently proposed in Sections III and IV. Section V comments on the entire transmitter architecture of using the frequency quadrupler and associated DPD. In Section VI, extensive experiments are presented to evaluate the analysis and methods proposed in this paper. Section VII further presents the theoretical analysis of signal-to-noise ratio (SNR) performance of the frequency quadrupler, which limits the achieved adjacent channel power ratio (ACPR) of the system. Lastly, Section VIII provides conclusions for this work. II. N ONLINEARITY B EHAVIOR OF F REQUENCY Q UADRUPLER We assume that the input modulated signal at carrier frequency f 0 is expressed as x(t) = A(t) cos (ω0 t + ϕ(t))

(1)

where ω0 = 2π f 0 ; A(t) and ϕ(t) are amplitude and phase of the signal. Due to the nonlinear and ideally memoryless nature of the frequency quadrupler, its output at all possible frequencies can be represented simply using a P-order polynomial ytotal (t) =

P 

(2)

where P is the nonlinearity order of the polynomial and a˜ p are the coefficients. By substituting (1) into (2), it gives P 

y4ω0 (t) =

Kq 

b˜k A4 (t) cos (4ω0 + 4ϕ(t)) A2(k−1) (t)

(4)

k=1

where K q is the nonlinearity order associated with the fourthorder harmonic output and b˜k are the coefficients. This expression reveals that the fourth-order harmonic output arises from not only the fourth order, but also the higher order nonlinearities (e.g., sixth, eighth, and tenth). Notice that (4) is the RF passband form of the frequency quadrupler nonlinear behavior. Its equivalent complex baseband form can be written in discrete time as y(n) =

Kq 

bk x 4 (n)|x(n)|2(k−1)

(5)

k=1

where bk are complex coefficients and x(n) = A(n)e j ϕ(n). Notice that (5) only considers the memoryless nonlinearities and there are significant memory effects when wide bandwidth signals are transmitted in the system. Hence, a more general form should expand (5) by including memory terms to give the proposed Q-MP model y(n) =

Kq M 1 −1 M 2 −1   

bm 1 m 2 k x 4 (n − m 1 )|x(n − m 2 )|k−1

(6)

m 1 =0 m 2 =0 k=1

where bm 1 m 2 k are complex coefficients, M1 , M2 , and K q are memory depth and nonlinearity order. Equation (6) is the proposed forward model for the frequency quadrupler. It contains two modifications compared to (5). First, the nonlinearity order used in (6) adds odd-order terms (e.g., x 4 |x|1, x 4 |x|3 ). This is because the odd-order nonlinear envelope terms (e.g., |x|1 , |x|3 ) can help model very high nonlinearities. The conceptual basis is similar to that of adding even-order terms in PA memory polynomial (MP) modeling (e.g., x|x|1, x|x|3 in PA modeling) [13]. Second, cross-memory terms with different delay indices m 1 and m 2 are used to enhance the modeling performances beyond what can be gotten using just the same delay index m. This helps characterize the nonlinearities close to the vicinity of the transmitted carrier [14]–[16]. The above two points have also been observed in our measurements, which demonstrate the necessity of doing these two modifications. III. Q UADRUPLING D IGITAL P REDISTORTION

a˜ p x p (t)

p=1

ytotal (t) =

of interest is the fourth-order harmonic of its input, by only preserving the products at 4ω0 in (3), we have

a˜ p [A(t) cos (ω0 t + ϕ(t))] p .

(3)

p=1

Equation (3) can be expanded via mathematical manipulation, which produces products at frequencies ω0 , 2ω0 , 3ω0 , 4ω0 , . . . , and Pω0 . Since the frequency quadrupler’s output

Based on the above nonlinearity behavior analysis, it can be noticed that the conventional DPD methods designed for PAs are no longer applicable to the frequency quadrupler. Recalling the DPD methods for PA, its inverse model has the same form as its forward model; and the inverse model can be extracted by swapping the PA’s input and output based on the pth-order inverse theory [17]. However, the DPD inverse model for the frequency quadrupler cannot simply use the same form as its forward model of (6) due to the nature of the fourth-order power relationship between input and output. More specifically, the input and output in (6) are not directly

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

swappable for the purpose of deriving the inverse model for the frequency quadrupler. It is hard to say whether one should swap y(n) and x(n) or yn and x 4 (n). We find that an effective way to obtain the inverse model from (6) is to swap y(n) and x 4 (n) for the complex part to preserve the fourthorder power relationship and to replace |x(n)| with |y(n)| for the envelope part. The resultant inverse model’s extraction equation is x 4 (n) =

Kq M 1 −1 M 2 −1   

cm 1 m 2 k y(n − m 1 )|y(n − m 2 )|k−1

m 1 =0 m 2 =0 k=1

(7) where cm 1 m 2 k are complex coefficients of the inverse model to be extracted based on (7). Once cm 1 m 2 k are determined (as cˆm 1 m 2 k ), one can apply the inverse model processing to generate the DPD signal ⎧ Kq M1 −1 M 2 −1  ⎪ ⎨u(n) =  cˆm 1 m 2 k x(n − m 1 )|x(n − m 2 )|k−1 (8) m 1 =0 m 2 =0 k=1 ⎪ ⎩ 1/4 j θ(n)/4 z(n) = |u(n)| e . Equation (8) is the proposed quadrupling DPD (Q-DPD) model. Here z(n) is the generated DPD signal to be sent to the frequency quadrupler. θ (n) is the unwrapped phase of u(n). Notably, phase unwrapping of u(n) before applying the fourth-order root is very important for the DPD signal generation. If the phase of u(n) is wrapped and the phase is then divided by the frequency multiplication order (4 for a quadrupler), it will result in a signal discontinuity in the generated DPD waveforms (z(n)) at samples for which the wrapped phase of u(n) jumps between 0 and 2π. This DPD signal containing a discontinuity cannot be generated properly in a band-limited system (due to digitalto-analog converter (DAC) sampling rate limitations, filter bandwidth limitations, etc). Ultimately, the linearization gives poor performances: a very bad ACPR and error vector magnitude (EVM). This point is critical, but has not been pointed out in the prior literature. We have experienced a noticeable improvement of system performances after phase unwrapping, in comparison with that without phase unwrapping. To further clarify the phase unwrapping effect, we provide a simulation example in the following. We consider a simple frequency quadrupler model y(n) = x 4 (n).

(9)

Here only the fourth-order harmonic exists, and any higher order nonlinearities are ignored. Also, there are no memory effects. The corresponding DPD signal can be easily derived as a fourth-order root of the original input x(n). Here, we assume two types of DPD signal generation methods  z 1 (n) = x 1/4(n) (10) z 2 (n) = |x(n)|1/4e j ϕ(n)/4 where z 1 (n) is the DPD signal generated without phase unwrapping, and z 2 (n) is generated with phase unwrapping and ϕ(n) is the unwrapped phase of x(n). Ideally, if we let either z 1 (n) or z 2 (n) pass through the frequency quadrupler

3

model (9) by substituting x(n), both will give perfect linearization with exactly the same results. However, practical systems are band-limited, which may constrain the bandwidth of the DPD signal before it is fed to the frequency quadrupler. For example, the DAC has a limited bandwidth associated with its Nyquist bandwidth, and the subsequent low-pass or bandpass filters will also constrain the signal bandwidth. In the simulation, to mimic the band-limited effects of real systems, we further apply a low-pass filter (LPF) to both DPD signals such that  (BL)

z 1 (n) = FIR x 1/4(n) (11)

z 2(BL) (n) = FIR |x(n)|1/4e j ϕ(n)/4 (BL)

(BL)

where z 1 (n) and z 2 (n) are the DPD signals after LPF, which is represented using a finite impulse response (FIR) (BL) (BL) filter. Subsequently, z 1 (n) and z 2 (n) are fed to the model in (9) to evaluate the linearization performances of the two DPD signals. In the simulation, a 5-MHz bandwidth 64-QAM signal is generated to be x(n). The corresponding DPD signals z 1 (n) and z 2 (n) are calculated based on (10) and their waveforms (real part) are plotted in Fig. 1 (the imaginary part shows similar feature). It can be seen that the real part waveform of z 1 (n) has noticeable discontinuities at certain points when the wrapped phase of x(n) has jumps between 0 and 2π. However, after phase unwrapping, the waveform of z 2 (n) are continuous. Subsequently, an LPF with 50-MHz bandwidth is (BL) (BL) applied to both z 1 (n) and z 2 (n) to give z 1 (n) and z 2 (n), whose real part waveforms are also shown in Fig. 2. The real part waveform of z 1(BL) (n) shows significant ripples at the edges of the discontinuous regions; and thus the DPD signal will result in poor linearization performance. But the one of z 2(BL) (n) is still almost the same as z 2 (n), which guarantees a high linearization performance. Fig. 2 shows the linearized (BL) (BL) output spectra resulting from either z 1 (n) or z 2 (n). From the simulated spectra, both DPD signals with constrained bandwidth lead to bumps in the output spectrum, at the positions around 50-MHz offset from the carrier. This is because the DPD signals with limited bandwidth have less ability to linearize the signals outside their bandwidth, just as is observed for band-limited DPD of PAs [18]–[20]. It can also be seen that a significant improvement is achieved with phase unwrapping. In the following, we explain the Q-DPD model extraction procedure. As mentioned earlier, (7) is the Q-DPD model extraction equation. First, before DPD, one can collect the original input complex baseband sequence {x(n)}, as well as the output complex baseband sequence {y(n)}. After time alignment, N samples of {x(n)} and {y(n)} are used for coefficient extraction. Second, (7) can be rewritten in matrix form x(4) = Uy c

(12)

T 4 where x(4) = x (n), x 4 (n + 1), . . . , x 4 (n + N − 1) is the vector formed from x 4 (n); c = [c001 , c002 , . . . , T is the coefficient vector; and Uv is c M1 −1,M2 −1,K q the matrix constructed according to the basis function

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(BL)

Waveforms of wrapped phase of x(n), z 1 (n), unwrapped phase of x(n) and z 2 (n), and z 1

(BL)

(n) and z 2

(n).

IV. T WO -S TAGE C ASCADED DPD A. Necessity of Developing Two-Stage Cascaded DPD Model

Fig. 2. Spectrum after DPD with different DPD signals (wrapped phase and unwrapped phase).

ym 1 m 2 k (n) = y(n − m 1 )|y(n − m 2 )|k−1 ⎡ ... y M1 −1,M2 −1,K q (n) y001 (n) ⎢ y001(n + 1) . . . y M1 −1,M2 −1,K q (n + 1) ⎢ Uy = ⎢ .. .. ⎣ . ... .

⎤ ⎥ ⎥ ⎥ . (13) ⎦

y001(n + N −1) . . . y M1−1,M2 −1,K q(n + N −1)

Therefore, the least squares solution of (12) is given −1  UyH x(4) cˆ = UyH Uy

(14)



T where cˆ = cˆ001, cˆ002 , . . . , cˆ M1 −1,M2 −1,K q is an estimate of c. Finally, cˆ is used in (8) to calculate the DPD signal.

Finding the optimal inverse of the frequency quadrupler is a quite difficult task due to its high nonlinearity. If we investigate its input–output relationship in terms of AMAM and AMPM, as shown in Fig. 3 for a frequency quadrupler tested in this work with a 5-MHz bandwidth 64 QAM (see details in Section VI), we can see noticeable difference compared to other nonlinear devices, e.g., PA. Here, AMAM is defined as a relationship between the input amplitude and the output amplitude. But the AMPM definition here is different from that used for the PA. The phase change is defined by comparing the phase ϕout of the frequency quadrupler’s output and the fourth-order multiplication of the input signal’s phase 4ϕin , i.e., 4ϕout −4ϕin , which eliminates the effect of the fourth-order phase multiplication in the AMPM plot and gives a tangible AMPM trajectory. From Fig. 3, we have the following observations. First, the AMAM is an “S” type trajectory, which indicates gain expansion in the small-signal region and gain compression in the large-signal region. This normally needs very high nonlinearity order to characterize it. At the fourth-order harmonic output, the nonlinear device has almost no gain or very small gain for small signals, and the gain increases as the signal level is boosted. But the gain decreases again when the device is saturated with very large signals. Second, the AMPM shows significant phase changes at the region

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

5

B. Two-Stage Cascaded DPD Model In this context, a two-stage cascaded DPD method is proposed. Given that the Q-DPD has linearized the frequency quadrupler to some extent and the fourth-order phase multiplication effect has already been removed, we can treat the overall Q-DPD and frequency quadrupler system as a whole, which has some residual nonlinearity yet to be compensated for. A conventional MP DPD can be further applied as a predistorter for the whole “Q-DPD + frequency quadrupler” system, as depicted in Fig. 4. The overall input–output relationship of the two-stage cascaded DPD is ⎧ M−1 K   ⎪  (n) = ⎪ h mk x(n − m)|x(n − m)|k−1 x ⎪ ⎪ ⎪ m=0 k=1 ⎨ Kq M 1 −1 M 2 −1  (15) u(n) = cm 1 m 2 k x  (n − m 1 )|x  (n − m 2 )|k−1 ⎪ ⎪ ⎪ ⎪ m1=0 m 2 =0 k=1 ⎪ ⎩ z(n) = |u(n)|1/4e j θ(n)/4

Fig. 3. Measured AMAM and AMPM of the tested frequency quadurpler driven by a 5-MHz bandwidth 64-QAM signal.

where M and K are the memory depth and nonlinearity order of the MP-DPD model; h mk represents the MP-DPD coefficients, which can be extracted using the LS algorithm, as widely used for PA’s DPD extraction [4]–[8]. The overall DPD procedure is summarized as follows. First, extract the Q-DPD using the method described in Section III and feed the corresponding DPD signal to the frequency quadrupler. Second, after the frequency quadrupler has been linearized by the Q-DPD, capture the linearized output. The linearized output and the original input are subsequently used to extract the MP-DPD coefficients h mk . Third, the ultimate DPD signal of the two-stage cascaded DPD is generated based on (15), which is again fed to the frequency quadrupler to linearize it. V. F REQUENCY Q UADRUPLING T RANSMITTER A RCHITECTURE

Fig. 4.

Concept of the two-stage cascaded DPD: MP-DPD + Q-DPD.

where the input amplitude is above 0.1, which implies severe nonlinear transition over the phase. In general, due to strong nonlinearities of the frequency quadrupler, the Q-DPD model in (8) must adopt a very high nonlinearity order to achieve a good inverse to guarantee the linearization performance. However, higher order models will often experience numerical instability problems when solving the inverse DPD model. In some even tougher circumstances with wider signal bandwidth, stronger nonlinearities and memory effects, the inverse model obtained from (8) cannot perfectly linearize the frequency quadrupler. Specifically, the most difficult part to be linearized is the small-signal region (when input amplitude is less than 0.2 in Fig. 4) on the AMAM and AMPM curves. This is because this region shows the most significant gain and phase changes of the entire AMAM and AMPM curves, and it implies a very strong nonlinearity. The DPD signal obtained with (8) normally cannot predict the nonlinearity very well in this region with a single application of the method. The deficiency of the Q-DPD in (8) is also observed in our extensive measurements (see Figs. 12–15).

In summary, with two different DPD methods proposed in Sections III and IV, the entire transmitter architecture employing frequency quadrupler (frequency quadrupling transmitter) is schematically shown in Fig. 5(a) and (b). The overall system generally includes the digital signal processing (DSP) part for DPD extraction and DPD processing, the driving stage to generate the low-frequency input at f o , the final stage of the frequency quadrupler, and a feedback loop. In practice, Q-DPD is built at first. If the performance after Q-DPD already satisfies the requirement in a particular application, one may not need to further employ the architecture in Fig. 5(b). Otherwise, we recommend performing MP-DPD further to achieve better linearization performance. VI. M EASUREMENT R ESULTS A. Measurement Setup The device-under-test (DUT) is a frequency quadrupler built with commercial parts: two Mini-Circuits doublers (ZX90-2-11-S+ and ZX90-2-19-S+) with filters and amplifiers in between and after. All these components are cascaded sequentially to construct the whole DUT, as shown in Fig. 6. The purpose of using additional filters and amplifiers is to suppress unwanted harmonics and to boost the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 5.

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Entire frequency quadrupling transmitter architecture: (a) with Q-DPD and (b) with two-stage cascaded DPD (MP-DPD + Q-DPD).

DUT: frequency quadrupler with 3.56-GHz output.

level of the desired signal. The quadrupler link characterizes a 10-dB nominal conversion loss at the first-stage doubler, a 1.5-dB conversion loss at the first-stage filter, and a 16-dB gain at the first-stage amplifier; the second-stage characterizes a 10-dB conversion loss at the second doubler, a 1.5-dB conversion loss at the second filter and a 15-dB gain amplifier. This gives a total conversion gain of about 8 dB when the quadrupler is pushed to operate at the best condition. A wideband test setup is used for testing the frequency quadrupler. It consists of a high-speed DAC (AD9744) and a highspeed analog-to-digital converter (ADC) (AD9430), both with a 207.36-Ms/s clock. Both the DAC and ADC are interfaced with an Agilent pattern generator/logic analyzer to stream the data. The baseband DSP is done via a PC with MATLAB. The digital signal is sampled by the DAC and up-converted to 890-MHz carrier frequency ( f 0 ), the level of which is subsequently boosted by a driver amplifier. The signal is then fed to the frequency quadrupler. The output at the 3.56-GHz carrier frequency (4 f 0 ) is coupled out and filtered and downconvertered by the feedback loop and digitized by the ADC. The block diagram of the test bench is shown in Fig. 7. Various signals were used in the test. Table I lists the scenarios of the signals used in the measurement: bandwidth ranging from 5 to 20 MHz and modulation of 64 and 256 QAM.

Before starting the modulated signal test, continuous wave (CW) test was applied to the quadrupler to show the basic characteristic, as in Fig. 8. It can be seen that the gain increases linearly versus the output power until the device achieves its saturation, where the gain reaches the maximum and starts decreasing with further increasing output power. By considering the modulated signal’s peak-to-average power ratio (PAPR) (around 6 ∼ 7 dB), we chose to operate the quadrupler close to the point where it has maximum gain (and also maximum power) for transmission of modulated signals with proposed DPDs. B. Scenario I: 5-MHz 64 and 256 QAM In the experiments for 5-MHz bandwidth signals, we found that only using Q-DPD was already able to achieve relatively good linearization performances. Therefore, there was no two-stage cascaded DPD used in this set of experiments. For the 5-MHz bandwidth 64 QAM, the Q-DPD model employed model orders K q = 21, M1 = 1, and M2 = 2, which are determined through a post-inverse modeling process by searching the optimal orders to give the lowest postdistorted output error [7]. The AMAM and AMPM between the generated DPD signal and the original input are shown in Fig. 9. Here, the AMPM is defined as the phase change between the fourth-order multiplication of the DPD signal’s phase and the original input signal’s phase, i.e., 4ϕ D P D−ϕin . As a comparison, the AMAM and AMPM of the frequency quadrupler’s output before DPD are also plotted in the same figure. It can be seen that the DPD signal produces a good inverse for the frequency quadrupler. Thus, a nicely linearized output is obtained, as shown also in Fig. 9, as “After DPD,”

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

Fig. 7.

7

Block diagram of the test bench. TABLE I T ESTED S IGNAL S CENARIOS

Fig. 8. CW test result for the frequency quadrupler: gain versus ouput power. Fig. 9. AMAM and AMPM of the frequency quadrupler’s output before DPD and after DPD and the DPD signal (test: 5-MHz bandwidth 64 QAM).

whose AMPM is measured by subtracting the phase of quadrupler output after DPD and the phase of the original signal, i.e., ϕoutDPD − ϕin . Fig. 10 shows the spectra of the frequency quadrupler’s output before and after DPD and the generated baseband DPD signal. One can see that the output spectrum before DPD has a dramatically different shape from the original input. This signal is not directly suitable for transmission, not only because it is not recognizable by the receiver, but also because it leads to severe receive band noise for the receivers at adjacent bands. However, the output after DPD shows a great improvement of the signal quality; the main lobe of the modulated carrier is completely restored. It is noteworthy that the baseband DPD signal has a special shape with a dc component in the center. About 91% of the energy of the DPD signal is

concentrated at frequencies from −1 to +1 MHz, while almost 98% of the energy is located between −2.5 and 2.5 MHz. It indicates that the bandwidth of the DPD signal actually does not expand much compared to the original input with 5-MHz bandwidth. Fig. 11 further presents the 64-QAM constellations before and after DPD at the frequency quadrupler output. Before DPD, the constellation is completely fuzzy with an EVM of 141%. Instead, the constellation after DPD gets recovered perfectly with 1.7% EVM. We also further tested higher order of 256 QAM with 5-MHz signal bandwidth. The measured results were presented in [12].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 10. Signal power spectrum for the frequency quadrupler: before and after DPD, and the generated DPD signal (test: 5-MHz bandwidth 64 QAM).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(when input amplitude is less than 0.2). This is because the Q-DPD model cannot perfectly fit the nonlinearity at this region due to very high-order nonlinearities with large gain and phase variations of the quadrupler. This becomes even worse when we proceed to the wider bandwidth signals. To enhance the system performances, the two-stage cascaded DPD method is employed therefore. For a 10-MHz bandwidth 256 QAM, Fig. 12 shows the measured AMAM, AMPM, and output constellations. The measured power spectra are given in Fig. 13. The Q-DPD model orders used were K q = 23, M1 = 1, and M2 = 2, and the cascaded MP-DPD model orders were K = 11 and M = 3. After only Q-DPD, there are still noticeable residual nonlinearities at the small-signal region, and the constellations are not good, with an EVM of 4.9%. However, with the twostage cascaded DPD, the frequency quadrupler is perfectly linearized, with an EVM of 1.6% and better ACPR, as shown in Fig. 13. D. Scenario III: 20 MHz 256 QAM

Fig. 11. Constellations of the frequency quadrupler before and after DPD (test: 5-MHz bandwidth 64 QAM).

Signal with 20-MHz bandwidth and 256-QAM modulation was further applied to the frequency quadrupler to evaluate the proposed methods. This is a tougher scenario since the output signal before DPD has a total bandwidth of around 400 MHz, as shown in Fig. 14. It should be noticed that the ADC sampling rate in our test bench is only 207.36 Ms/s, which can only digitize 103.68-MHz signal bandwidth. But even though the ADC speed is too low compared to the actual signal bandwidth (400 MHz), the Q-DPD at first is still able to suppress the out-of-band spectral regrowth to a certain level. Hence, the requirement for ADC sampling rate becomes less of a problem in the following process. Subsequently, the cascaded MP-DPD can further improve the signal linearity. Another thing that needs to be pointed out is the two bumps after DPD at about ±50-MHz offset from the center frequency 3.56 GHz. This is because the transmit (Tx) path in the test bench only has a valid bandwidth of about 100 MHz due to limited DAC speed (207.36 Ms/s) and filter bandwidth in the Tx path. This leads to a bandwidth limitation of the DPD signal, which essentially produces bumps at the position corresponding to the system’s bandwidth. This actually can also be observed from the simulation in Fig. 2. Fig. 15 again gives the measured AMAM, AMPM, and output constellations, for the 20-MHz bandwidth 256-QAM signal. Notably, there are significant memory effects observed from the measured AMAM and AMPM before DPD. Just after Q-DPD, most of the nonlinearities and memory effects have already been removed. But there are still some residual errors, which are ultimately compensated by the cascaded MP-DPD. E. DAC/ADC Sampling Rate Requirements

C. Scenario II: 10 MHz 256 QAM As stated earlier in Section IV, the most difficult part to be linearized is the small-signal region (when input amplitude is less than 0.2 in Figs. 3 and 9) on the AMAM and AMPM curves of the frequency quadrupler. If we recall the AMAM and AMPM plots of the DPD signal in Fig. 9, we can see that there are noticeable fluctuations at the small-signal region

It is interesting to investigate the DAC/ADC sampling rate requirements for the quadrupler DPD. For a 10-MHz 256-QAM test, we purposely varied the DAC/ADC sampling rate and re-did the measurements. The measured spectra at the quadrupler output are shown in Fig. 16. It can be seen that there are bumps at the limited bandwidth edges, corresponding to the DAC/ADC sampling rate, after DPD.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

Fig. 12.

9

Measured results for the 10-MHz bandwidth 256 QAM: AMAM (top left), AMPM (top right), and constellations (bottom).

Fig. 13. Measured spectra of the frequency quadrupler before and after DPD (test: 10-MHz bandwidth 256 QAM).

Fig. 14. Measured spectra of the frequency quadrupler before and after DPD (test: 20-MHz bandwidth 256 QAM).

The reason has been explained as for Fig. 2. Even though the sampling rate is reduced to 40 Ms/s, the main lobe of the 10-MHz 256 QAM is still recovered. However, to obtain acceptable signal quality with enough error margins, we recommend that at least a 100-Ms/s sampling rate is used for this 10-MHz bandwidth signal. In summary, Table II recommends the DAC/ADC sampling rate used for the quadrupler DPD for different bandwidth signals. Please notice that the

DAC/ADC sampling rate applies for a single DAC and a single ADC in the loop. If one uses I/Q DACs/ADCs, the sampling rate number in Table II can be half of that. If we consider a potential application at mm-wave band with signal bandwidth over 100 MHz up to 1 GHz, the required DAC/ADC sampling rate would at least be from 1 to 10 Gs/s. From the practical perspective, the lower end of 1 Gs/s to a few Gs/s DACs/ADCs are currently available

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Fig. 15.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Measured results for the 20-MHz bandwidth 256 QAM: AMAM (top left), AMPM (top right), and constellations (bottom).

TABLE II S YSTEM BANDWIDTH AND DAC/ADC R ATE R EQUIREMENT

F. Summary and Discussion Fig. 16. Measured spectra of the frequency quadrupler before and after DPD (test: 10-MHz bandwidth 256 QAM) by varying the DAC/ADC sampling rate.

in the market. But for the upper end of 10-Gs/s DACs/ADCs, it may still need more effort to make this quadruplerbased transmitter strategy to be feasible. Specifically, a more advanced quadrupler DPD technique with reduced DAC/ADC rate will be a future research topic in this regard. Fieldprogrammable gate-array (FPGA) technologies with parallel structures will be needed for the implementation of this DPD technique.

In summary, Table III gives the detailed performances of the abovementioned tests in terms of input and output power of the frequency quadrupler, ACPRs, EVMs, achieved data rate of the transmission, etc. In the measurements for 5- and 10-MHz bandwidth signals, the achieved ACPRs and EVMs are about −43 dBc and 1.6% ∼ 1.8% for all cases. The results for the 20-MHz bandwidth signal are worse due to limited DAC/ADC rate in our test bench with a −35.6-dBc ACPR and a 2.4% EVM. With increasing the signal bandwidth and modulation order, the transmission data rate is increased from 23.04 to 138.24 Mb/s accordingly. As a comparison, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

11

TABLE III S UMMARY AND P ERFORMANCE C OMPARISON OF F REQUENCY M ULTIPLYING T RANSMITTER

results in [9] are also listed in Table III. In that work, both a frequency doubler and tripler were used to demonstrate the transmission of an IS-95B CDMA signal with about 1.25-MHz bandwidth. The obtained signal quality at the output showed about −34.4-dBc ACPRs and 7% ∼ 8% EVMs. Overall, the proposed techniques in this work demonstrated improved performances of transmitting high-order modulation signals using frequency quadruplers. For higher bandwidth signals (e.g., 100 MHz), it is likely that stronger memory effects will be experienced. In that case, higher order of the memory depths M1 , M2 , and M in (15) is required, which increases the complexity of the model. We believe more effort can be spent in finding lower complexity models for the quadrupler DPD in the future based on this work. VII. SNR AND ACPR D EGRADATION OF THE F REQUENCY Q UADRUPLER From the experimental results in Section VI and in Table III, it can be noticed that the achieved ACPRs for different signals are around −43 dBc (apart from the 20-MHz 256-QAM case where performance is limited by the DAC/ADC rate of the test bench). We observed that ACPRs obtained at the frequency quadrupler output after the proposed DPDs could not be as good as the original input signal coming out of the driver stage (i.e., input of the quadrupler) in the test bench of Fig. 8. For example, for a 5-MHz bandwidth clean 64-QAM signal, the test bench measures around −58.3-dBc ACPR right after the driver of Fig. 8 (when the driver nonlinearity has been compensated).

Fig. 17. Baseband equivalent model used for SNR and ACPR degradation analysis of the frequency quadrupler: (a) without DPD and (b) with DPD.

This −58.3-dBc number is mainly dominated by the DAC quantization noise and partly contributed by the noise from the mixer and driver. But the ACPR at the quadrupler output after linearization only achieves −43.6 dBc. There is about 15-dB degradation of the ACPR. As will be revealed in the following theoretical analysis, most of the ACPR degradation is due to the intrinsic SNR degradation of the frequency quadrupler, while only a small amount is because of the residual nonlinearities at the linearized output and other factors in the system. In the analysis, the baseband equivalent model in Fig. 17 is assumed: (a) without DPD and (b) with DPD. The added noise N1 (n) and N2 (n) is coming from the DAC, mixer, and driver, and can be assumed to be white (delta-correlated) and have the same power level for the cases without DPD and with DPD,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

i.e., rms[N1 (n)] = rms[N2 (n)], where rms[·] denotes the rootmean-square value of the signal. In Fig. 17(a), the original input signal coming out of the driver with noise is represented as x(n) ˜ = x(n) + N1 (n), whose SNR is SNR(x(n)) ˜ = 20 log10

rms[x(n)] [dB]. rms[N1 (n)]

(16)

In Fig. 17(b), the DPD signal z˜ (n) = z(n) + N2 (n) including noise is used to linearize the frequency quadrupler. To simplify the derivation, we assume the frequency quadrupler behaves ideally as in (9). Hence, the linearized output is given as y˜ (n) = [˜z (n)]4 = [z(n) + N2 (n)]4

Fig. 18. Measured spectra of the frequency quadrupler to evaluate the ACPR degradation due to noise for a single-tone test.

2

= [(z(n) + N2 (n)) · (z(n) + N2 (n))]  2 = z 2 (n) + 2z(n)N2 (n) + N22 (n) = z (n)    4

Signal 3

+ 4z (n)N2 (n)+6z 2 (n)N22 (n)+4z(n)N23 (n)+ N24 (n) .    Noise

(17) Subsequently, the SNR of the linearized output is calculated as in (18), shown at the bottom of this page. Compared to the original input signal’s SNR in (16), there is an SNR degradation as SNR = SNR( y˜ (n)) − SNR(x(n)) ˜ ≈ −12.04 + 20 log10 − 20 log10

ACPR Quadrup

rms[z 4 (n)] rms[z 3 (n)]

rms[N2 (n)] rms[x(n)] . rms[N1 (n)]

(19)

SNR ≈ −12.04 + 20 log10

rms[x(n)]

= ACPR( y˜ (n)) − ACPR(x(n)) ˜ = −SNR rms[z 4 (n)]/rms[z 3 (n)] [dB]. (21) rms[x(n)] To visually see the SNR and ACPR degradation through the frequency quadrupler, a single-tone signal was generated in our test bench with the DAC and the following mixer ≈ 12.04 − 20 log10

Since rms[N1 (n)] = rms[N2 (n)], eventually we have rms[z 4 (n)] rms[z 3 (n)]

Equation (20) implies that the linearized frequency quadrupler output signal intrinsically has lower SNR than the original input signal that comes out of the driver by a degradation amount expressed in (20). The noise is originally from the DAC, mixer, and driver at the quadrupler input, but then it is enhanced by the quadrupling process. Assuming the frequency quadrupler is perfectly linearized (no residual nonlinearities at the output), the output signal will have an ACPR determined by the noise floor of the signal, which is related to the SNR. The SNR degradation will naturally result in the same amount of degradation on ACPR between the perfectly linearized output and the original input as

[dB].

(20)

 2 rms[z 4 (n)]

SNR( y˜ (n)) = 10 log10  2 rms[4z 3 (n)N2 (n) + 6z 2 (n)N22 (n) + 4z(n)N23 (n) + N24 (n)] = 20 log10





rms[z 4 (n)]

⎞⎤

⎟⎥ ⎢ ⎜ rms ⎣ N2 (n) ⎝4z 3 (n) + 6z 2 (n)N2 (n) + 4z(n)N22 (n) + N23 (n)⎠⎦    N2 (n)z(n),ignored

≈ 20 log10

rms[z 4 (n)]

4rms z 3 (n)N2 (n)

≈ 20 log10

1 rms[z 4 (n)] + 20 log10 3 4 rms[z (n)] · rms[N2 (n)]

≈ −12.04 + 20 log10

rms[z 4 (n)] rms[z 3 (n)]

rms[N2 (n)]

[dB]

(18)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

13

TABLE IV ACPR D EGRADATION OF THE M EASURED F REQUENCY Q UADRUPLER

and driver amplifier. At the frequency quadrupler input, the single-tone at 890 MHz shows a spectrum as in Fig. 18 (blue trace). After the frequency quadrupler, a single-tone at 3.56 GHz shows higher noise floor and a degradation amount of about 12.5 dB (black trace). Based on the above theory, the degradation amount is about 12.04 dB (the second term in (20) or (21) is zero for single-tone), which matches the measurement very well. Notice that (21) assumes that a perfect DPD is used. However, in a real DPD system, there might be some residual nonlinear distortion still in the linearized output, which contributes an additional amount on the ACPR degradation of the output signal. The residual ACPR due to the residual nonlinear distortion in the linearized output is denoted as AC P RResidual here, which can be identified using the method in the Appendix. Finally, we can quantify the total ACPR degradation of the frequency quadrupler output after DPD as ACPRTotal = ACPRout − ACPR in = ACPRQuadrup + ACPRResidual + ACPROther ⎫ ⎧ rms[z 4 (n)] ⎬ ⎨ 3 rms[z (n)] = 12.04 − 20 log10 ⎩ rms[x(n)] ⎭ + ACPRResidual + ACPR Other [dB]

in (22) predicts very well the expected ACPR at the linearized output of the frequency quadrupler. VIII. C ONCLUSION Signal transmission can be implemented at higher frequency bands using frequency multipliers as the final power stage. A frequency-quadrupling transmitter has been demonstrated in this work with DPD blocks used at baseband to generate the predistorted signal for the frequency quadrupler. The DPD restores the signal modulation format nicely at the fourthorder harmonic output frequency of the nonlinear device. Two DPD methods, the Q-DPD and the two-stage cascaded DPD, have been developed in this paper for linearizing the frequency quadrupler. These techniques are also extendable to frequency multipliers with other orders. The demonstrated system shows promising performances with high-order modulated and wideband signals of up to 20-MHz bandwidth and 256-QAM modulation. A PPENDIX R ESIDUAL N ONLINEARITIES I DENTIFICATION

(22)

where ACPRin is the ACPR of the original input signal coming out of the driver stage (at the input of the quadrupler); ACPRout is the ACPR of the frequency quadrupler output after DPD. Notice that a third term ACPROther is added to the equation. It specifies some other factors that may affect the ACPR degradation value such as additional noise introduced by the quadrupler circuits, the measurement error in the system, and the derived model error of (21) deviated from the real system. It is hard to quantify the exact value of the third term from the experiments. But empirically, ACPROther should be on the order of a few dB and it is a small number compared to the first term ACPRQuadrup. Generally, the first term contributes most of the ACPR degradation. Recalling the experimental results in Table III of this work, the total measured ACPR degradation of the linearized output compared to the original input is summarized in Table IV. The numbers identified from our theoretical derivation in (22) are also presented. It can be seen that the theoretical equation

The adjacent channel power (ACP) of a modulated signal is composed of the nonlinear distortion and the stochastic noise. Since the nonlinear distortion is coming from the nonlinearity transformation of the main band signal, it is deterministic and, hence, is repeatable if we repeat the signal frame during the measurement. On the contrary, the noise part is stochastic and, hence, cannot be repeated. If there are two repeated frames of a signal (here, the linearized output of the quadrupler) measured and digitized by the ADC, they can be represented as  s1 (n) = s(n) + Nframe1 (n) (23) s1 (n) = s(n) + Nframe2 (n) where s(n) includes all the deterministic part of the signal (linear term and any nonlinear distortion terms) and Nframe1 (n) and Nframe2 (n) are stochastic noise generated in these two frames during the measurement. Nframe1 (n) and Nframe2 (n) are independent and identically distributed (i.i.d.). By subtracting the two measured frames in (23), it gives s(n) = s1 (n) − s2 (n) = Nframe1 (n) − Nframe2 (n).

(24)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

The power of the residual is, therefore,     Ps = E |s(n)|2 = E |Nframe1 (n) − Nframe2 (n)|2 (25) where E(·) denotes the expectation. If we assume the noise has zero mean, i.e., E(Nframe1 (n)) = E(Nframe2 (n)) = 0 and since it is assumed that the noise power PNoise = E(|Nframe1 (n)|2 ) = E(|Nframe2 (n)|2 ), (25) leads to Ps = 2PNoise .

(26)

Finally, we can estimate the noise based on (24) and (26) 1 1 Nest (n) = √ s(n) = √ [Nframe1 (n) − Nframe2 (n)]. 2 2

(27)

A noise-only contributed ACPR of the measured signal can be calculated as % $ 1 Badj_LB PNest ( f )d f % ACPRnoise-only = 10 log10 2 P ( f )d f % Bin s & Badj_UB PNest ( f )d f % + 10 log10 (28) Bin Ps ( f )d f where PNest ( f ) is the power spectral density of the estimated noise NEst (N); Ps ( f ) is the power spectral density of the measured signal s1 (n) or s2 (n); Badj_LB and Badj_UB are the integration bandwidth of lower sideband and upper sideband; Bin is the in-band integration bandwidth. Similarly, the ACPR of the measured signal itself (ACPRs , including both noise and deterministic nonlinearities) can be straightforwardly calculated from Ps ( f ) by replacing PNest ( f ) with Ps ( f ) in (28). Hence, the ACPR due to the residual nonlinearities in the signal can be identified as ACPRResidual = ACPR s − ACPRnoise-only

(29)

where ACPRs is the ACPR of the measured signal itself. Note that the ACPR calculated in this paper is specified as an average ACPR of the lower sideband and the upper sideband for simplicity [as in (28)]. R EFERENCES [1] B. A. Floyd, “A 16–18.8-GHz sub-integer-N frequency synthesizer for 60-GHz transceivers,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1076–1086, May 2008. [2] A. Maestrini, “Frequency multipliers for local oscillators at THz frequencies,” in 4th ESA Millim.-Wave Technol. Appl. Workshop, Feb. 2006, pp. 1–6. [3] G. Chattopadhyay et al., “An all-solid-state broad-band frequency multiplier chain at 1500 GHz,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 5, pp. 1538–1547, May 2004. [4] L. Ding et al., “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159– 165, Jan. 2004. [5] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [6] F. M. Ghannouchi and O. Hammi, “Behavioural modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [7] Y. -J. Liu, W. Chen, J. Zhou, B. -H. Zhou, and F. M. Ghannouchi, “Digital predistortion for concurrent dual-band transmitters using 2-D modified memory polynomials,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 281–290, Jan. 2013.

[8] Y. -J. Liu, J. J. Yan, H. -T. Dabag, and P. M. Asbeck, “Novel technique for wideband digital predistortion of power amplifiers with an undersampling ADC,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2604–2617, Nov. 2014. [9] Y. Park and J. S. Kenney, “Adaptive digital predistortion linearization of frequency multipliers,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 12, pp. 2516–2522, Dec. 2003. [10] J. H. Choi, S. M. Kang, and K. H. Koo, “Digital predistortion of frequency multiplier for dual band wireless LAN transmitter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1999–2002. [11] Y. Park, R. Melville, R. C. Frye, M. Chen, and J. S. Kenney, “Dualband transmitters using digitally predistorted frequency multipliers for reconfigurable radios,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 1, pp. 115–122, Jan. 2005. [12] Y. -J. Liu, G. Liu, and P. M. Asbeck, “Frequency quadrupling transmitter architecture with digital predistortion for high-order modulation signal transmission,” in IEEE Radio Wireless Symp., Jan. 2015, pp. 215–217. [13] L. Ding and G. T. Zhou, “Effects of even-order nonlinear terms on power amplifier modeling and predistortion linearization,” IEEE Trans. Veh. Technol., vol. 53, no. 1, pp. 156–162, Jan. 2004. [14] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [15] M. Younes, O. Hammi, A. Kwan, and F. M. Ghannouchi, “An accurate complexity-reduced ‘PLUME’ model for behavioral modeling and digital predistortion of RF power amplifiers,” IEEE Trans. Ind. Electron., vol. 58, no. 4, pp. 1397–1405, Apr. 2011. [16] Y. -J. Liu, J. Zhou, W. Chen, and B. -H. Zhou, “A robust augmented complexity-reduced generalized memory polynomial for wideband RF power amplifiers,” IEEE Trans. Ind. Electron., vol. 61, no. 5, pp. 2389–2401, May 2014. [17] M. Schetzen, “Theory of pth-order inverses of nonlinear systems,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 5, pp. 285–291, May 1976. [18] C. Yu, L. Guan, E. Zhu, and A. Zhu, “Band-limited Volterra series based digital predistortion for wideband RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4198–4208, Dec. 2012. [19] Y. Liu, W. Pan, S. Shao, and Y. Tang, “A new digital predistortion for wideband power amplifiers with constrained feedback bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 683–685, Dec. 2013. [20] S. Zhang, W. Chen, and Z. Feng, “Low sampling rate digital predistortion of power amplifier assisted by bandpass RF filter,” in Proc. Asia– Pacific Microw. Conf., Dec. 2012, pp. 962–964.

You-Jiang Liu (M’14) received the B.S. and Ph.D. degrees in engineering physics from Tsinghua University (THU), Beijing, China, in 2008 and 2013, respectively. From October 2011 to April 2012, he was a Visting Student with the Intelligent RF Radio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, University of Calgary (UofC), Calgary, AB, Canada. From September 2013 to September 2015, he was a Post-Doctor with the High Speed Device Group (HSDG), Department of Electrical and Computer Engineering, University of California, San Diego (UCSD), La Jolla, CA, USA. In October 2015, he joined the Institute of Electronic Engineering, China Academy of Engineering Physics, Beijing, China, where he leads an innovative research group. He has authored/coauthored about 40 journal and conference papers. His research is focused on signal generation, processing, and circuit design for advanced RF front-ends, e.g., digital predistortion (DPD), receive band noise (RxBN) cancellation, and time-interleaved analog-to-digital converter (TI-ADC) calibration. Dr. Liu serves as a Reviewer for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , IEEE T RANSACTIONS ON S IG NAL P ROCESSING , IEEE T RANSACTIONS ON V EHICULAR T ECHNOLOGY , IEEE T RANSACTIONS ON B ROADCASTING, and IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: HIGH-ORDER MODULATION TRANSMISSION THROUGH FREQUENCY QUADRUPLER USING DPD

Gang Liu (S’07–M’12) received the M.Sc. and Dr.-Ing. degrees from Ulm University, Ulm, Germany, in 2007 and 2012, respectively. From 2007 to 2013, he was a Research Staff Member with the Institute of Electron Devices and Circuits, Ulm University, where he conducted research on millimeter-wave circuit design for 60-, 80-, and 140-GHz applications. In August 2013, he joined the University of California at San Diego (UCSD), La Jolla, CA, USA, as a Post-Doctoral Researcher, where he continued his research on millimeter-wave circuit design. Since November 2014, he has been a Senior Engineer with Qualcomm Inc., San Diego, CA, USA, where he designs RF and millimeterwave integrated circuits (ICs) for future wireless communication systems.

15

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 1969 and 1975, respectively. He was with the Sarnoff Research Center, Princeton, NJ, USA, and the Philips Laboratory, Briarcliff Manor, NY, USA, where he was involved in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined the Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego (UCSD), La Jolla, CA, USA, where he is the Skyworks Chair Professor of the Department of Electrical and Computer Engineering. His research interests are in the development of high-performance transistor technologies and their circuit applications. Dr. Asbeck is a Member of the National Academy of Engineering. He has been a Distinguished Lecturer of the IEEE Electron Device Society and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was the recipient of the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors and the 2012 IEEE MTT-S Distinguished Educator Award.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Advances in Ferrite Redundancy Switching for Ka-Band Receiver Applications Adam M. Kroening, Senior Member, IEEE

Abstract— Previously limited to simple redundancy switching schemes, such as 2-for-1, a ferrite-based equivalent of the traditional 10-for-8 mechanical redundancy switch (R-switch) was developed. Standby redundancy of active components, such as low-noise amplifiers (LNAs), is essential for space missions, and the demand for Ka-band communications satellites is rapidly increasing. Advances in the reduction in size, mass, and insertion loss of higher complexity ferrite circulator switch networks have made ferrite switches a feasible alternative to mechanical switches for redundancy switching applications. This work set out to understand how these advances could be applied to a higher level of redundancy switching, such as 10-for-8, in order to meet the growing needs of the Ka-band satellite market. A prototype redundant LNA assembly was designed and manufactured. The results herein show that the reduced-size ferrite switch networks provide considerable bandwidth and insertion loss improvements over traditional ferrite switch networks. The 10-for-8 redundant LNA assembly, based on ferrite switching and developed by Honeywell (formerly EMS Technologies) provided excellent noisefigure performance of 2.1 dB at 25 °C in Ka-band. More significantly, the high level of integration in the ferrite switch rings and in the interface between the ferrite switches and LNAs results in size and mass savings of 50% over the mechanical R-switch approach, constructed of individual components. Index Terms— Circulators, ferrite devices, Ka-band, low-noise amplifiers (LNAs), redundancy, satellite communication.

I. I NTRODUCTION

M

ECHANICAL redundancy switches (R-switches) have traditionally been used to provide redundancy switching functions for high-reliability spaceflight implementations of amplifiers [1], [2]. Redundant amplifiers are required in the spaceflight environment to ensure that all critical satellite communication channels remain operational over the typical spacecraft lifetime of ten or more years. Ferrite switches have made some inroads in low-noise amplifier (LNA) redundancy switching at higher frequencies, such as Ka-band, and in simple configurations, such as single-pole double-throw (SPDT) networks that provide 2-for-1 redundancy switching [3]. In these configurations, the tradeoffs between mechanical and ferrite switches [4] begin to balance out. The application of ferrite switching circulators for 2-for-1 LNA redundancy is discussed in Section II. The terminology “2-for-1 redundancy,” or more generally “N-for-M redundancy,” denotes the total number of LNAs Manuscript received September 3, 2015; revised February 11, 2016; accepted April 25, 2016. The author is with the Antenna Center of Excellence, Honeywell Aerospace, Norcross, GA 30092 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561928

Fig. 1. Ferrite Y-toroid with conductive winding as used in a Ka-band R-switch.

as N and the number of LNAs in use as M. Thus, a 2-for-1 redundancy scheme has a single standby LNA for each channel. An R-switch network that can overcome two LNA failures in a single channel is generalized as an N-for-M switch, where N = M + 2, such as a 10-for-8 switch. In the past, ferrite switches were not considered for (M + 2)-for-M LNA redundancy switching due to concerns over the large size and high insertion loss associated with the use of multiple ferrite switch junctions. However, Section III describes how advances in the reduction of size, mass, and insertion loss of ferrite switch networks, previously utilized for 2-for-1 switching, were applied to develop a ring topology for a Ka-band 10-for-8 ferrite R-switch. The result is a ferrite switch-based 10-for-8 redundant LNA assembly with a noise figure comparable to that of a mechanical R-switch-based approach, but at half the size and mass of the mechanical equivalent, as shown in Section IV. II. 2- FOR -1 LNA R EDUNDANCY A. 2-for-1 Ferrite Switch Topology Ferrite circulators are three-port devices that provide a lowloss path in one direction of rotation from port to port and a high-loss path in the opposite direction. The direction of circulation is set by the polarity of an applied ferrite magnetic bias field. In switching applications, the bias field is typically set by the direction of current through a conductive winding passed through the ferrite, as shown in Fig. 1. A pulse of current latches the ferrite in a remanent mode so no holding current

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 3. Components of a standard two-junction ferrite switch, highlighting the impedance-matching elements between standard ferrite switch junctions. Fig. 2. 2-for-1 R-switch schematic, where isolators are combined with a switching circulator to allow for power flow between only the Input and the desired LNA port.

is required. Consequently, in an LNA R-switch application, the ferrite switch only requires dc power briefly, when switching to a standby LNA. The voltage required to saturate the ferrite Y-toroid is calculated from a combination of Ampere’s circuit law and Ohm’s law as V = 2πr R Hsat

(1)

where V is the dc voltage, r is the distance from the winding to the edge of the ferrite toroid, Hsat is the saturating magnetic field intensity, and R is the ohmic loss in the winding and any external control electronics. A value of V = 9.4 V is calculated when using typical values for Ka-band of r = 0.001 m, Hsat = 1000 A/m, and R = 1.5 . In practice, higher voltages in the 15–28-V range are generally used to provide design margin. An isolator is formed by placing a load on one port of a circulator. If the isolator is part of a larger switching network, then it may be implemented as a ferrite switch that remains latched in one direction of circulation. In a 2-for-1 switch application, two isolators can be combined with a switching circulator to allow for low-loss power flow between only the two desired ports and not between the other port combinations. The resulting 2-for-1 ferrite switch schematic is shown in Fig. 2. The input circulator is switched clockwise to connect to the Primary LNA, as shown by the solid arrow. The resulting ideal scattering matrix [Spri ] is ⎡ ⎤ 0 0 0 (2) [Spri ] = ⎣ 1 0 0 ⎦. 0 0 0 The input circulator is switched counter-clockwise to connect to the Standby or Redundant LNA, as shown by the dotted arrow. The resulting ideal scattering matrix [Sred ] is ⎡ ⎤ 0 0 0 [Sred ] = ⎣ 0 0 0 ⎦. (3) 1 0 0 Comparison of (2) or (3) to the S-parameters of a standard circulator [5] shows that the additional isolators eliminate the two undesired lossless paths from the selected LNA to the

unselected LNA and from the unselected LNA back to the Input port. B. Standard 2-for-1 Ferrite Switches While the ideal scattering matrices are useful in understanding the need for isolators in 2-for-1 R-switches, the true challenge of low-loss ferrite switch design is to minimize the non-ideal, namely, the impedance mismatches and material losses within the switch. The mismatch loss is generally minimal in the center of the operating bandwidth and increases steadily at the band edges. The material losses are relatively constant over the typical operating bands of ferrite switches, and they are determined by the quantity or volume of parts used in the switch and the material properties such as magnetic loss tangent, dielectric loss tangent, and waveguide conductivity. In standard Ka-band ferrite switches, the combination of these factors can result in an insertion loss of 0.18 dB per switch junction at the center frequency and over 0.65 dB per switch junction over a 2-GHz bandwidth [6]. These values double to 0.36 and 1.3 dB, respectively, through the two-junction paths of Fig. 2. C. Reduced-Size 2-for-1 Ferrite Switches The absorptive losses in standard Ka-band switches can be decreased through the use of a reduced-size multi-junction ferrite switch topology that eliminates the impedance matching sections between ferrite switches. This decreases the size of a 2-for-1 R-switch to one-third that of a standard 2-for-1 ferrite switch [7], [8]. In standard multi-junction ferrite switches, each ferrite switch junction is designed as an identical symmetrical three-port device [9]. Each port has an air-filled waveguide interface, so three identical matching circuits, typically consisting of one or more quarter-wave dielectric transformers, are required to transform the impedance of the ferrite Y-junction to the waveguide impedance. The components of a standard two-junction ferrite switch are shown in Fig. 3. Fig. 4 shows that the reduced-size ferrite switch topology has similar matching networks at the interface ports, but only a ferrite-ferrite interface in the region between adjacent switches. Per Fig. 5, each of the ferrite switches is matched to a waveguide impedance on two legs (Z 1 –Z 4 ) and to an

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KROENING: ADVANCES IN FERRITE REDUNDANCY SWITCHING FOR Ka-BAND RECEIVER APPLICATIONS

3

Fig. 4. Components of a reduced-size two-junction ferrite switch, highlighting the simplified transition between adjacent ferrite switch junctions.

Fig. 6. Simulated and measured input return loss for a reduced-size 2-for-1 ferrite switch, as shown in Fig. 2.

Fig. 5.

Impedance matching circuit block diagram for the Fig. 4 network.

adjacent ferrite switch impedance (Z IN,e and Z IN, f ) on the third leg. Detailed analyses of similar networks can be found in [10] and [11]. Assuming both ferrite switches are identical, Z IN,e is equal to Z IN, f . For maximum power transfer from one ferrite switch to the other, Z IN,e must also be equal to Z IN, f ∗ , therefore, Z IN,e and Z IN, f must be purely real for maximum power transfer. In practice, the ferrite switch dimensions are selected to minimize the imaginary part of the impedance over the frequency band of interest. Further assuming that the ferrite switches are rotationally symmetrical, the matching circuits M1–M4 from the ferrite switch to the real waveguide port impedances Z 1 –Z 4 can be implemented with a purely real network, such as the quarter-guide wavelength sections of dielectric-loaded waveguide pictured in Fig. 3. As this impedance-matching process is similar to that of standard circulator and switch designs, the same standard Ka-band ferrite materials, such as nickel, lithium, or magnesium, with saturation magnetization values up to 0.5 T [12], can be employed in this matching network topology. While the impedance-matching process is simple in concept, the difficulty lies in designing the ferrite switch for a broadband real impedance. The optimal ferrite and waveguide dimensions tend to scale inversely with the desired operating frequency, so standard Ka-band WR-28 waveguide (0.0071 mm × 0.0036 mm) was 15% loaded with ferrite by volume as a starting point for the design. Next, 3-D finite-element software was used to optimize the complex geometry of this structure to a final ferrite loading of 19% for the best impedance match over the desired operating bandwidth [13], resulting in the simulated and measured

Fig. 7. Simulated and measured insertion loss through two switch junctions for a reduced-size 2-for-1 ferrite switch, as shown in Fig. 2. The simulation used lossless materials and perfect conductors for computational efficiency.

results plotted in Figs. 6–8. Simulations were performed using ANSYS HFSS. To reduce simulation time, the dielectric materials were simulated as lossless and the waveguide as a perfect conductor. Other differences between simulated and measured performance can be attributed to the manufacturing tolerances in the prototype switches and the approximation of the Landau–Lifshitz equation used by HFSS. With measured insertion loss of less than 0.2 dB through two switch junctions over nearly a 5-GHz bandwidth, the measured results are significantly improved over those of the standard ferrite switch designs. Measurements were made with an Agilent Technologies’ E8364C PNA. The improved insertion loss in the reduced-size ferrite switch topology is a result of the reduced waveguide and dielectric losses in the interface between switches. Table I compares these results to those of the standard design of [6].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Simulated and measured reverse isolation for a reduced-size 2-for-1 ferrite switch, as shown in Fig. 2.

Fig. 10. Typical measured noise figure at 70 °C for a 2-for-1 redundant LNA assembly constructed with reduced-size ferrite switches, as shown in Fig. 9.

TABLE I C OMPARISON OF I NSERTION L OSS PER S WITCH J UNCTION FOR S TANDARD AND R EDUCED -S IZE K A -B AND F ERRITE S WITCHES

Fig. 11. 10-for-8 redundant LNA assembly using mechanical R-switches and isolators. LNA 5 and LNA 10 are not selected in this example.

Fig. 9. 2-for-1 redundant LNA assembly with ferrite switches installed on both the input and output side of a Primary and Standby LNA.

D. 2-for-1 Redundant LNA Measurements The redundant LNA configuration of Fig. 9 is formed when 2-for-1 ferrite switches are installed on both the input and output sides of a Primary and Standby LNA. Two channels of the 2-for-1 redundant LNA assembly of Fig. 9 are packaged with their associated control electronics in a compact assembly with dimensions of 124 mm × 63 mm × 100 mm and a mass of less than 0.8 kg. This assembly has spaceflight heritage, typical gain of 31 dB, and noise figure of less than 2.2 dB at 70 °C, as shown in Fig. 10. III. 10- FOR -8 S WITCH T OPOLOGY A. Mechanical R-Switch Topology The implementation of higher levels of redundancy, such as N-for-M, where N = M + 2, calls for more complex

switch networks. These networks are traditionally constructed from four-port mechanical R-switches. Fig. 11 shows one approach to provide 10-for-8 LNA redundancy using mechanical R-switches. In this example, eight R-switches are arranged on the input side of ten LNAs to provide connectivity from the eight input ports to any subset of eight out of the ten LNAs. Isolators are used on the input and output of each LNA to improve the system return loss. The LNAs are developed specifically for a communications satellite payload environment [14]–[16]. Each of the 16 mechanical switches and 10 LNAs requires an independent control signal for switching or power purposes. B. Ferrite Switch Ring Topology The ferrite switch ring topology is similar to the mechanical R-switch approach, but each R-switch is replaced by the fourport ring of eight ferrite switches shown in Fig. 12 [17]. Isolators are included in the ferrite switch rings to improve the system return loss and the path-to-path isolation. Fig. 13 shows the 10-for-8 redundant LNA schematic using this approach. The circulator arrows have been replaced by colors that more clearly illustrate the power flow through the network. Alternating light green and dark red colors are used to clarify the individual channels. The white circles are the circulators not used for the switch configuration chosen. Input ports J1–J4 are shifted one LNA to the left, through two ferrite

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KROENING: ADVANCES IN FERRITE REDUNDANCY SWITCHING FOR Ka-BAND RECEIVER APPLICATIONS

Fig. 12. Ferrite switch ring equivalent to a mechanical R-switch. The ring is constructed of four switches and four isolators.

5

Fig. 14. Typical measured input and output return loss for one ferrite ring in a ferrite R-switch.

Fig. 13. 10-for-8 redundant LNA assembly using ferrite switch rings. The power flow is represented by the light green and dark red colored circles representing circulators, and the two colors are used to clarify the individual paths. The white circles are circulators not used for the switch configuration chosen, in which LNA 5 and LNA 10 are not selected.

switch rings, for connectivity to LNA 1 through LNA 4. Input ports J5–J8 pass straight through a single ferrite switch ring for connection to LNA 6–LNA 9. LNA 5 and LNA 10 are not used in this example. This is equivalent to the R-switch configuration of Fig. 11. However, instead of passing through one or two R-switches, the signal flow is through one or two ferrite switch rings. The ferrite ring assemblies take full advantage of ferrite size-reduction techniques by transitioning directly from circulator to circulator within the ring of eight circulators. The switching circulators and fixed isolators use identical ferrite designs. Dielectric transformers are required at only the four interface ports to the ring. This design technique leads to significant size and performance improvements over traditional ferrite switches and provides a promising alternative to the mechanical R-switch topology. IV. M EASURED 10- FOR -8 R EDUNDANT LNA P ERFORMANCE

Fig. 15. Typical measured insertion loss of paths passing through one ferrite ring (five switches total) and two ferrite rings (six switches total) in a ferrite R-switch.

total of six ferrite switches evenly split between two rings. The insertion loss through six conventional Ka-band ferrite switches would be approximately 1 dB over a narrow bandwidth and much higher over a few GHz of bandwidth. The miniaturized ferrite switch rings, however, provide significant improvements in both narrowband and broadband insertion loss over standard switches. Figs. 14–16 show the measured performance for a custom ferrite switch ring design covering the 27–31-GHz band. Measurements were performed using an Agilent Technologies E8363B PNA. Over the 27–31-GHz band, the insertion loss is less than 0.45 dB through five switches in a single ring and less than 0.50 dB through six switches in two rings. These values are comparable to R-switch performance through the equivalent input path of two R-switches, one isolator, and interconnecting waveguide.

A. Ferrite Switch Ring Measured Performance

B. 10-for-8 Redundant LNA Measurements

In the ferrite switch ring topology, the signal may pass through five ferrite switches in a single ring or through a

A complete 10-for-8 Ka-band redundant LNA assembly was manufactured in accordance with the Fig. 13 topology.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 16. Typical measured isolation to undesired adjacent paths of one ferrite ring (five switches total) and two ferrite rings (six switches total) in a ferrite R-switch.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 18. Typical measured noise figure at 25 °C and 70 °C for a 10-for-8 redundant LNA assembly using ferrite R-switches.

Fig. 19. Comparison of 10-for-8 redundant LNA assemblies using ferrite and mechanical R-switch redundancy networks, showing the 50% size and mass savings of the ferrite switch-based approach. Fig. 17. Photograph of a prototype Ka-band 10-for-8 redundant LNA assembly. The dimensions of the assembly are 191 mm × 290 mm × 64 mm and the mass is 2.5 kg.

This assembly, pictured in Fig. 17, includes input and output 10-for-8 ferrite R-switch rings, ten LNAs, and associated control and voltage regulation electronics. The outline dimensions are 191 mm × 290 mm × 64 mm, and the mass is 2.5 kg. The LNAs were custom designed to interface with the ports of the ferrite switch rings. The assembly provides a nominal gain of 39 dB and measured noise figure as plotted in Fig. 18. The typical noise figure is 2.1 dB at 25 °C and 2.5 dB at 70 °C. The measured increase in noise figure over temperature is typical for Ka-band LNAs [14], [15] so the contribution from the ferrite switch rings is small. These measurements were performed using the Y-factor technique with an Agilent N8975A noise-figure analyzer. The assembly’s integrated isolators help to protect the LNAs from any impedance changes between the hot and cold states of the Agilent 346C K01 noise source used for the measurement. Fig. 19 shows the ferrite-based 10-for-8 redundant LNA assembly positioned next to the mechanical R-switchbased equivalent network. Whereas the mechanical R-switch

approach is constructed of individual components, the high level of integration in the ferrite switch rings and in the interface between the ferrite switches and LNAs results in size and mass savings of 50% over the R-switch approach. Furthermore, the ferrite R-switch approach provides the LNAs pre-installed with the switch network. This eliminates the effort of integrating numerous individual mechanical switches and LNAs. The electrical connections and subsequent cabling are also reduced in the ferrite-based approach, as the power and control signals for the LNA and switch are integrated into two connectors instead of the 26 individual connections in the mechanical switch assembly. V. C ONCLUSION This paper has demonstrated that ferrite switches are an attractive alternative to mechanical switches to provide Ka-band redundancy switching for (M + 2)-for-M networks, specifically 10-for-8 networks. The deployment of reducedsize ferrite switch rings results in redundant LNA networks with a 50% size and mass savings over traditional

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KROENING: ADVANCES IN FERRITE REDUNDANCY SWITCHING FOR Ka-BAND RECEIVER APPLICATIONS

TABLE II C OMPARISON OF M ECHANICAL AND F ERRITE S WITCH A PPROACHES

mechanical switch networks without sacrificing performance. Table II compares the key parameters of the two redundancy approaches. Work continues on this product to further broaden the frequency bandwidth and to perform qualification testing against standard space environmental conditions. R EFERENCES [1] T. M. Braun, Satellite Communications Payload and System. Hoboken, NJ, USA: Wiley, 2012, pp. 124–125, 158–160. [2] S. Liang and G. Murdock, “Integrated redundancy ring based on modular approach,” in 26th Int. Commun. Satellite Syst. Conf. (ICSSC), San Diego, CA, USA, Jun. 11–12, 2008, Paper AIAA 2008–5437. [3] J. J. Sowers, M. Willis, T. Tieu, W. Findley, and K. Hubbard, “A spacequalified, hermetically-sealed, Ka-Band LNA with 2.0 dB noise figure,” in 23rd Annu. Gallium Arsenide Integr. Circuit Symp. Tech. Dig., 2001, pp. 156–159. [4] R. Billings and T. Edridge, “Ferrite circulator switches and their applications,” Microw. J., vol. 46, Nov. 2003, Edition 11. [5] D. M. Pozar, Microwave Engineering. Reading, MA, USA: AddisonWesley, 1990, pp. 571–572. [6] I. Khairuddin, “The design and analysis of ferrite components for beam forming networks,” in Proc. ARMMS RF Microw. Soc., Buckinghamshire, U.K, Nov. 7–8, 2005, Paper 4. [7] “Miniaturized ferrite switch networks,” Microw. J., vol. 46, pp. 98–102, Jul. 2003, Edition 7. [8] A. M. Kroening, Multi-junction waveguide circulator without internal transitions, U.S. Patent 6885257, Apr. 26, 2005. [9] L. Lin, “Theory and design of ferrite latching waveguide junction circulators,” Microw. J., vol. 30, no. 12, pp. 124–128, Dec. 1987.

7

[10] J. L. Young, R. S. Adams, B. O’Neil, and C. M. Johnson, “Bandwidth optimization of an integrated microstrip circulator and antenna assembly: part 1,” IEEE Antennas Propag. Mag., vol. 48, no. 6, pp. 47–56, Dec. 2006. [11] M. Cao and R. Pietig, “Ferrite coupled-line circulator with reduced length,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 8, pp. 2572–2579, Aug. 2005. [12] A. L. Geiler and V. G. Harris, “Atom magnetism: Ferrite Circulators— Past, Present, and Future,” IEEE Microw. Mag., vol. 6, no. 6, pp. 66–72, Sep.–Oct. 2014. [13] H. M. G. Enagadapa, G. S. Isola, and A. M. Sapkae, “Design of high power Ka band waveguide latching circulator,” in 2013 Int. Emerging Trends in VLSI, Embedded Syst., Nano Electron., Telecommun. System Conf., Tamil Nadu, India, Jan. 7–9, 2013, pp. 1–4. [14] C. Miquel, J. C. Cayrou, and J. L. Cazaux, “Flexible Ka-band low noise amplifier sub-system for oncoming satellite payloads,” in Proc. 36th Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 890–894. [15] D. P. Chang, I. B. Yom, and S. H. Oh, “Ka-band LNA module with 1.9 dB NF for communications satellite payload,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 5. [16] S. K. Jain, A. Kumar, R. Chakravarty, and D. K. Singh, “Ka-band low noise amplifier sub-system module for communication satellite payload,” in IEEE Int. Microw. RF Conf., Dec. 2014, pp. 29–32. [17] A. M. Kroening and T. Vaughn, Modular ferrite switch for constructing switch networks, U.S. Patent 9166267, Oct. 20, 2015.

Adam M. Kroening (M’01–SM’15) received the B.S. degree in electrical engineering from Marquette University, Milwaukee, WI, USA, in 1993, and the M.S. degree in electrical engineering from The University of Michigan, Ann Arbor, MI, USA, in 1994. In 1995, he joined Electromagnetic Sciences, Norcross, GA, USA. Honeywell acquired EMS Technologies (formerly Electromagnetic Sciences) in 2011. He is currently an Engineering Fellow for Honeywell Aerospace, Norcross, GA, USA. His technical background includes the development of automated microwave test and calibration software and the design of ferrite circulators, phase shifters, and other passive microwave devices. He has also worked in business development with a focus on commercial and space components and subsystems. Prior to moving into his Fellow role in 2014, he held progressively higher levels of engineering management positions, eventually managing Honeywell Aerospace’s Antenna and RF Design Group. He holds 22 U.S. patents and has an additional 18 U.S. patents pending.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

RF Energy Harvesting From Multi-Tone and Digitally Modulated Signals Ferran Bolos, Javier Blanco, Ana Collado, Senior Member, IEEE, and Apostolos Georgiadis, Senior Member, IEEE Abstract— This paper presents the design of an RF energyharvesting circuit when excited by signals with a time-varying envelope such as multi-tone signals or digitally modulated signals with random modulation. The input matching network and the output load of a rectifier circuit are simultaneously optimized using harmonic balance in order to maximize its RF–dc conversion efficiency. This paper focuses on identifying the optimum load value, which corresponds to maximum efficiency for different types of input signals. The efficiency curves versus the load value show a single optimum efficiency point, which is a different for signals with a time-varying envelope and continuous wave (CW) signals. Specifically, for the series diode rectifier that was considered, the optimal load shifts to larger values as the signal peak-to-average-power-ratio (PAPR) increases compared to a CW signal with the same average power. As a result, for certain load values a signal with a time-varying envelope can result in a larger efficiency value than a CW signal. The peak efficiency value does not necessarily improve by using a signal with a time-varying envelope. A UHF rectifier prototype is built and its performance is evaluated experimentally showing good agreement with simulation. Index Terms— Harmonic balance, multi-tone, optimization, rectifier, RF–dc conversion efficiency, wireless power transfer.

I. I NTRODUCTION

T

HE RF–dc conversion efficiency of rectifier circuits has been the object of numerous research works due to the recent interest in wirelessly powered circuits for RF identification (RFID) and other low-power sensor applications as part of the Internet of Things (IoT) [1], and the application potential of energy harvesting [2] and wireless power transfer technologies [3]. A typical, but non-exhaustive list of examples covers different frequency bands from high-frequency (HF) applications to optical waves [4], wideband [5] or multiband [6] performance, harmonic terminations [7], [8], as well as different types of devices operating as rectifiers including Schottky diodes [9], transistors [7], and tunnel diodes [4] to name a few. In addition to the matching network and device selection, recent works have focused on the performance of rectifiers

Manuscript received December 28, 2015; revised April 25, 2016; accepted April 28, 2016. This work was supported under EU H2020 Marie SklodowskaCurie Grant Agreement 661621, by the Generalitat de Catalunya under Grant 2014 SGR 1551, and under the EU COST Action IC1301 Wireless Power Transmission for Sustainable Electronics. The authors are with the Centre Tecnologic Telecomunicacions de Catalunya (CTTC), Castelldefels 08860, Barcelona, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561923

by considering different types of signals. In earlier works, the rectifier efficiency was studied in the case of two-tone signals with different tone frequency separation [5]. The use of power optimized waveforms [10], [11] or multi-tone signals [12], [13] was investigated and it was shown that signals with high peakto-average power ratio (PAPR) may lead to a higher RF–dc conversion efficiency compared to a continuous wave (CW) signal with the same average power. Furthermore, the performance of rectifier circuits under randomly modulated signals has been evaluated experimentally in [14]–[18]. The timevarying envelope of such signals leads to a non-zero frequency bandwidth, which requires a proper design of both the input impedance-matching network and the output low-pass filter of the rectifier [19], [20]. In this work we optimize using harmonic balance the RF–dc efficiency performance of a series diode rectifier under signals with time-varying envelope. While previous works focused on the performance versus the average power and bandwidth of the input signals, this work focuses on identifying the optimal load resistance. Furthermore, a theoretical model is provided and a closed form for the optimal load is obtained for CW signals. It is seen that the optimal load leading to a maximum RF–dc conversion efficiency shifts to a different value depending on the PAPR of the input signal. Specifically for the circuit under consideration it shifts to larger values as the signal PAPR increases compared to a CW signal with the same average power. As a result, depending on the selected load value, a signal with a time-varying envelope can lead to a larger efficiency than a CW signal. Furthermore, the maximum possible efficiency value is not necessarily larger than the one that can be obtained using a CW signal, obtained however at a different load value. A prototype UHF rectifier was designed and fabricated and its performance under different multi-tone and randomly modulated signals and load values was investigated, showing good agreement with simulation. This paper is organized as follows. In Section II, the theoretical background is presented and the simulation and optimization goals are defined. In Section III, the various input signals with a time-varying envelope used in this work are defined. In Section IV, simulation and measurement results are presented. In Section V, conclusions from this work are then presented. II. T HEORETICAL A NALYSIS There is a significant amount of literature regarding the theoretical performance analysis of rectifier circuits. Earlier works focus on diode based power detectors [13], while more

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

recent publications focus on the performance as RF–dc power conversion circuits in wireless power transfer [9], [22], [23] or RFID applications [21], [25]. A harmonic expansion using modified Bessel functions is often used for the diode current [21], [23], [24], while the dc power is evaluated by averaging over the input signal period [21], [23]–[25]. In [9] and [22], a diode model including a series resistance and a parallel combination of a nonlinear resistance and capacitance was used to model a single shunt diode rectifier connected to a load. The voltage across the diode nonlinear resistance was modeled as a constant voltage drop when the diode is on and a harmonic signal containing a dc term and a fundamental frequency term when the diode is off. A harmonic expansion using dc and fundamental frequency terms was used for the nonlinear capacitor, the linear diode resistance, and the total voltage across the shunt diode and rectifier load. The rectifier efficiency was evaluated by averaging over a single fundamental period of the input signal excitation. In [7], analysis was presented for harmonically terminated diode and transistor rectifiers and, in [26], fundamental limits of diode rectifier RF–dc conversion efficiency were demonstrated. Proper harmonic termination can lead to a maximum theoretical efficiency of 100% [7], [26]. Recently theoretical limits of rectifier efficiency under power optimized waveform input signals were studied [11]. In this work, harmonic-balance simulation is used to analyze and optimize a series diode rectifier circuit. A source with a resistance Rs is connected to an impedance-matching network with a desired harmonic impedance profile, and then in series with a Schottky diode D, followed by a shunt capacitor C L and resistive load R L , shown in Fig. 1. The source resistance and impedance-matching network provide a desired impedance Z s to the rectifier circuit in order to maximize its RF–dc efficiency. The rectifier efficiency η A is defined as the ratio of the dc power PL ,dc delivered to the output load R L over the average available RF power from the source PA , ηA =

PL ,dc . PA

(1)

Alternatively an efficiency expression η using the input RF power can be used [15]. η A represents a lower bound of η (η A ≤ η). A harmonic-balance simulation with seven harmonics has been set up in a commercial simulator. A nonlinear Schottky diode model has been considered corresponding to the Skyworks SMS7630 diode. The main parameters of the model are a nonlinear resistance R j , a nonlinear capacitance C j , and a series resistance Rds = 30  shown in Fig. 1(c). The diode saturation current is Is = 5 μA and a breakdown voltage V B = 2 V. The diode ideality factor is n = 1.05. The diode package parasitics are L p = 0.6 nH and C p = 0.25 pF. A. Model Definition In this section an ideal diode is considered with Rds = C j = 0, V B = 100 V, and L p = C p = 0. The load capacitance is sufficiently large (10 nF) so that v L consists only of dc voltage. In order to derive an approximate model

Fig. 1. Block diagram of the rectifier setup in harmonic balance. (a) General model of the source, rectifier, and output filter. (b) Model including source, matching network with harmonic termination, rectifier, and output filter. (c) Schottky diode model.

for the rectifier, the following is considered: v 1 (t) = V10 (t) +

N 

V1n (t) cos (nωo t)

n=1

≈ V11 (t) cos (ωo t) v L (t) = VL0 (t) + i d (t) = Id0 (t) +

N  n=1 N 

VLn (t) cos (nωo t) ≈ VL0 (t)

(2) (3)

Idn (t) cos (nωo t)

n=1

≈ Id0 (t) + Id1 (t) cos (ωo t) i L (t) = I L0 (t) +

N 

I Ln (t) cos (nωo t) ≈ I L0 (t) .

(4) (5)

n=1

Due to the assumption of an ideal diode model (without considering its capacitance), and that C L is large, only resistive terms are considered leading to the fact that the harmonic voltages and currents are in-phase and no sinusoidal terms are included in the expansions (2)–(5). The first, (2), relies on the fact that the stub of the matching network [see Fig. 1(b)] leads to zero dc and even harmonic voltage components at the input of the diode and it is assumed that odd harmonic voltage components are very small compared to the fundamental voltage. Similarly, it is assumed that the output capacitor C L minimizes the fundamental and harmonic components of the output voltage. The phasors of the harmonic expansions (2) and (3)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BOLOS et al.: RF ENERGY HARVESTING FROM MULTI-TONE AND DIGITALLY MODULATED SIGNALS

are allowed to be time varying in order to accommodate signals with a time-varying envelope. The application of Kirchoff’s voltage law at the input of the diode gives e (t) = i d (t) Rs + v 1 (t) ⇒ E (t) = Id (t) Rs + V11 (t) (6) where e (t) = E(t) cos (ωo t). Given a source with amplitude E(t), source resistance Rs , and available average power PA , one has  (7) E = 8PA Rs where  denotes time average. The diode current is   i d (t) = Is eα(v 1 −v L ) − 1

(8)

where α = 1/(nVT ) (VT = kT /q is the thermal voltage, n is the diode ideality factor, k is the Boltzman constant, T is the junctions temperature, and q is the electron charge). Similarly to [24], and using (2), (3), and the modified Bessel function of the first kind Bn series expansion [27] ez cos x = B0 (z) + 2

+∞ 

Bn (z) cos (nx)

(9)

n=1

one obtains a set of two coupled nonlinear equations for the rectifier diode current   Id0 (t) = Is e−αVL0 (t ) B0 (αV11 (t)) − 1 (10a) Id1 (t) = 2Is e−αVL0 (t ) B1 (αV11 (t)) .

(10b)

The diode current includes the current flowing into the load resistance R L and load capacitance C L and, therefore, Id0 (t) = I L0 (t) + C L V˙ L0 (t)

(11)

where the dot . indicates time derivative. Using VL0 (t) = I L0 (t)R L applying (11) in (10a), (6) in (10a), and letting y = αVL0 , x L = α Is R L , x s = α Is Rs , z 1 = αV11 (t), z e = α E (t), τ = α R L C L , and B0 = B0 (z 1 ), one obtains the coupled system of nonlinear differential algebraic equations z e (t) − z 1 (t) = 2x s B1 (z 1 )e−y(t ) y (t) + τ y˙ (t) = x L Bo (z 1 ) e

−y(t )

(12a) − xL

(12b)

where z e (t) represents the external forcing term (source). Using (10b) one may also calculate the input RF impedance of the rectifier R1 R1 (t) =

V11 (t) −αV (t ) B (αV (t)) L0 2Is e 1 11

z 1 (t) x 1 (t) = −y (t ) 2e L B1 (z 1 (t))

3

e−y L yt (t). Applying the above approximation in (12), and additionally setting B0 (z 1 (t)) = Bo  + bo (t) results in z e (t) − z 1 (t) = 2x s B1 (z 1 )e−y L − 2yt (t)x s B1 (z 1 )e−y L (14a) y L = x L Bo e−y L − x L  yt (t) 1 + x L Bo e−y L + τ y˙t (t) 

= x L Bo e−y L (1 − yt (t)) bo (t) .

(14b) (14c)

It is possible to solve (14b) for y L by making the transformation y L = t − x L and using the Lambert W function [24] q = tet ⇔ t = W (q), obtaining  y L = W0 x L e x L B0  − x L . (15) Due to the even symmetry of the modified Bessel function of the first kind of order 0, B0 = B0 (z 1 (t)) = B0 (|z 1 (t)|) > 0, the argument of the Lambert function in (15) is always positive and the principal branch W0 of the Lambert function is used. The nonlinear algebraic differential equation system (12) or (14) may be solved by numerical integration. Equation (15) presents an interesting result showing that the average value of B0 (z 1 (t)) together with the output load R L determine the output dc voltage y L , however, it also requires to numerically solve (14) in order to obtain z 1 (t). Despite the benefit of (12) and (14) to provide insight into the factors that determine the optimum rectifier performance, due to its complexity a commercial harmonic-balance simulator is used in this paper to optimize the performance of the rectifier under signals with a time-varying envelope. Using the ideal diode model, the optimal load resistance x m = α Is R Lm obtained with harmonic-balance optimization versus the input average available power PA for Rs = 50  and Rs = 3 k is shown in Fig. 2 for various multi-tone signals. One can see that for low source resistance values the optimal load is reduced as the input average available power increases, and furthermore for a fixed average available power it can reduce further as the number of tones increases. However, for a high source resistance value, the behavior is different and, for a fixed input average available power, the optimal load increases with the number of tones. One should consider that Rs is the equivalent source resistance at the input of the rectifier and, consequently, a matching network, which acts as an impedance transformer from, e.g., a source resistance of 50  to a larger value of the input rectifier resistance in order to achieve maximum power transfer, determines the variation of the optimal load resistance with respect to the input power.

⇒ B. Optimal Load for CW Signals (13)

where x 1 = α Is R1 , B0 = B0 (z 1 (t)). One may further assume without loss of generality that the capacitor C L is sufficiently large that the output voltage essentially consists of a dc term and a small varying term, y = y L + yt (t), with yt (t)  y L , and let e−y(t ) ≈ e−y L −

In the event a CW source signal is used, one may assume all harmonic amplitudes in (2)–(5) to be non-time varying, which results in a steady state with b0 = yt = 0 and the system of (14) becomes a nonlinear algebraic system z e − z 1 = 2x s B1 (z 1 )e−y L y L = x L Bo e−y L − x L

(16a) (16b)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

with C = B0 −

dz 1 B1 d yL

(21)

where d B0 (z)/dz = B1 (z) [27] was used. The derivative of z 1 with respect to y L is found by differentiating (16a) as dz 1 = d yL 1+

ze − z1 B0 +B2 2B1 (z e

− z1)

(22)

with B2 = B2 (z 1 ) and 2d B1 (z) /dz = B0 (z) + B2 (z) [27]. Solving (16b) for e y L and substituting its value in the righthand side of (20), one finds a relation between the optimal (normalized) voltage ym and the optimal load x L = x m as B0 . (23) C Equation (20) is solved by making the transformation ym = B0 /C − t and using the Lambert W0 function [21] q = tet ⇔ t = W (q) to obtain

B0 /C e B0 ym = (24) − W0 C C x m + ym =

which, using (23), gives x m = W0



e B0 /C C

.

(25)

The maximum dc output power is then B /C

2 B0 e 0 C Is C − W0 B /C . PLm = 0 α W0 e

(26)

C

Using (23) in (17), one has  C W0 x m e xm B0 = B0

(27)

which is applied in (18) to obtain

B0 /C e = 2x s B1 (z 1 ). (z e − z 1 ) C W0 C Fig. 2. Optimal load resistance versus input available average power for different multitone signals and source resistance values.

and

 y L = W0 x L e x L B0 − x L .

(17)

One may combine (17) and (16a) to get  2x s B1 (z 1 ) ze − z1 = W0 x L e x L B0 (z 1 ) . (18) x L B0 (z 1 ) Given z e , it is possible to solve (18) numerically for z 1 and then determine y L . 2 /R , and using (16b) The output dc power is PL ,dc = VL0 L it is evaluated as Is  (19) PL ,dc = y L B0 (z 1 )e−y L − 1 . α The value of y L = ym , which leads to a maximum dc output power, is calculated by taking the derivative of (19) with respect to y L and setting it equal to zero. It is straightforward to find that ym fulfills B0 − ym C = e ym

(20)

(28)

A given input available average power PA and a given source resistance x s define z e , and (28) can be solved numerically to find z 1 corresponding to optimal load x m , optimal output dc voltage ym , and optimal dc output power PLm , which can then be evaluated using (25), (24), and (26), respectively. In Fig. 3, the optimal dc load x m resistance and optimal input RF resistance x 1m are plot versus the available average power PA and different source resistance values Rs . One can see that as the input average available power goes to zero both the optimum input resistance and the optimum load become 1 α Is 1 = . α Is

lim x m = x m0 = 1 ⇒ R Lm0 =

PA →0

lim x 1m = x 1m0 = 1 ⇒ R1m0

PA →0

(29a) (29b)

The diode model under consideration with Is = 5 μA, n = 1.05, and VT = 25.85 mV at 300 K gives R Lm0 = R1m0 = 5.43 k. One can see from Fig. 3 that as PA increases, depending on the source resistance Rs , the optimal load can vary significantly relative to R Lm0 (or x m0 ). In fact, for low Rs values the optimal load decreases with

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BOLOS et al.: RF ENERGY HARVESTING FROM MULTI-TONE AND DIGITALLY MODULATED SIGNALS

Fig. 4.

Fig. 3. Optimal: (a) input RF resistance and (b) load resistance for different input available average power and source resistance values.

increasing PA , but for large Rs values comparable to R Lm0 the optimal load value can increase with the input power PA above R Lm0 . This is consistent with the results of the previous paragraph obtained using harmonic-balance simulation for multi-tone signals. In order to verify (25), the optimal load resistance was also evaluated in harmonic-balance simulation using commercial software by performing a sweep of the load resistance for four PA values and Rs = 50  and Rs = 3 k, showing excellent agreement [see Fig. 3(b)]. III. S IGNALS W ITH T IME -VARYING E NVELOPE Signals with time-varying envelope are characterized by their peak-to-average-power ratio (PAPR). The complementary cumulative distribution function (CCDF) represents the probability or the fraction of time that the instantaneous

5

Measured CCDF and PAPR of multi-tone signals.

power of a signal has a value larger or equal than the CCDF argument. The argument of CCDF is typically presented as the ratio (in dB) of a considered power level over the average power [29]. The PAPR of a signal was evaluated as the argument of its CCDF function with a value of 0.001%. The measured CCDF of various multi-tone signals with two, three, four, and eight tones and their corresponding PAPR values is shown in Fig. 4. The measurements were performed using a Keysight ESG 4438C digital vector signal generator with multitone signal generation capability and a vector signal analyzer (VSA) running on a PSA E4448A spectrum analyzer as a receiver. A tone frequency spacing of 0.5 MHz was used. The CCDF and PAPR depend on the relative phases between the tones and it is maximum for a relative phase distribution with a constant progressive phase shift among neighboring tones. The simplest form of this condition is when all tones are in-phase (zero relative phase shift). It is straightforward to show that the maximum theoretical PAPR is equal to 10∗log 10(N), where N is the number of tones [30]. One can set the PAPR (dB) of a multi-tone signal within an interval [0, 10 ∗ log 10(N)] by modifying the relative phase shift among the different tones [25], [26]. In Fig. 5, the measured CCDF of a two-tone signal with zero phase shift is compared to the CCDF of a four-tone signal with relative tone phases θ1 = 0°, θ2 = θ3 = θ4 = 180°, and one can see that they have similar PAPR. The measured CCDF of various randomly modulated signals is shown in Fig. 6. The signals were generated using the same setup as the multi-tone signals. A symbol rate of 0.5 Msps was used and the transmitted pulses were filtered using a raised cosine filter of roll-off factor β. The roll-off factor has a strong effect on the PAPR, as shown in Fig. 7. Finally, in Fig. 8, we present measurements of the CCDF of a four-tone signal with in-phase tones and a 64-QAM signal with β = 0.5. Both signals have the same PAPR value, but their CCDFs are different. The CCDF of the four-tone signal shows that it has more peaks of at least 2 dB above its

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Measured CCDF and PAPR of a two-tone signal with in-phase tones and a four-tone signal with phases θ1 = 0°, θ2 = θ3 = θ4 = 180°.

Fig. 6.

Measured CCDF of modulated signals with β = 0.

average power than the quadrature phase-shift keying (QPSK) signal. In Section IV, we investigate how the PAPR and CCDF of a signal input to a rectifier affects the RF–dc conversion efficiency with respect to the rectifier load and for different average input power levels. IV. RF–DC E FFICIENCY O PTIMIZATION AND M EASUREMENTS A 915-MHz series diode rectifier was designed using Keysight ADS. The Skyworks SMS7630 diode was used as the rectifying device. The circuit diagram is shown in Fig. 1(b). The input matching network includes a shorted stub placed at the input of the diode, followed by a T-type matching network made from off-the-shelf inductors and a capacitor. The shorted stub is a quarter-wavelength long at the fundamental frequency of 915 MHz. It presents an open circuit

Fig. 7.

Measured PAPR for different roll-off factors β.

Fig. 8. Measured CCDF comparing a four-tone signal with in-phase tones and a 64-QAM signal with β = 0.5.

at 915 MHz. The stub additionally provides a short at dc, a short at the second harmonic, and an open at the third harmonic frequency. The rectifier is matched using lumped inductors (Coilcraft) L 1 = 3.3 nH, L 2 = 43 nH, and capacitor (Murata) C1 = 3 pF. At the output of the rectifier a shunt capacitor of 10 nF (Murata) is used, while a trimmer resistor 0 –20 k is used as the rectifier load. The prototype was built on 20-mil-thick Arlon A25N substrate with dielectric permittivity 3.38 and loss tangent 0.0025 (Fig. 9). The effect of the substrate and layout was simulated using Keysight Momentum, while s-parameter files were used for the inductors and capacitor provided by the manufacturers. The matching network together with the output load was simultaneously optimized to maximize the efficiency at a fixed PA = −20 dBm and for a given input signal. A tone spacing of 0.5 MHz was considered in order to ensure that the rectifier

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BOLOS et al.: RF ENERGY HARVESTING FROM MULTI-TONE AND DIGITALLY MODULATED SIGNALS

Fig. 9.

7

Photograph of the fabricated rectifier prototype. TABLE I O PTIMUM M ATCHING N ETWORK AND L OAD FOR D IFFERENT I NPUT S IGNALS ( PA = −20 dBm)

TABLE II O PTIMUM M ATCHING N ETWORK AND L OAD FOR D IFFERENT I NPUT S IGNALS ( PA = 0 dBm)

Fig. 10.

operates within the bandwidth of the matching network and that the output capacitor C L combined with the output load provides sufficient filtering. Tables I and II show ideal values of the matching network components optimized for maximum efficiency and using a different number of tones for PA = −20 dBm and PA = 0 dBm, respectively. The values highlight the main result of this work, which is the fact that the optimum load value depends (specifically for this circuit it increases) with the number of tones and, consequently, with increasing PAPR. Furthermore, the optimum load value decreases with increasing input average power. The peak efficiency values depend strongly on power PA . The peak efficiency is reduced at high power levels PA for signals with high PAPR due to the diode breakdown voltage. In order to verify the obtained results, a rectifier was simulated by selecting the optimum matching network values for the four-tone case and re-optimizing the circuit using

Simulated contours of RF–dc efficiency. (a) 20%. (b) 40%.

non-ideal s-parameter models for the inductors and the capacitor. In order to better observe the effect of input power and load resistance, constant efficiency contours of 20% and 40% were simulated for various multi-tone signals in Fig. 10. It is seen that the 20% efficiency contour is shifted towards larger load values with an increasing number of tones or PAPR. The 40% efficiency contour is also shifted towards higher load values with increasing number of tones. However, the 40% contour area is also reduced with higher PAPR due to the fact that higher efficiency requires a larger input power, but losses due to the diode breakdown voltage limit the load and power range. The efficiency limitation due to the effect of the breakdown voltage is clearly seen in the upper right side of the contours towards higher power and load values, which lead to larger voltages across the diode. The rectifier efficiency was measured for varying loads and selected input power levels in Fig. 11. There is good agreement between measurement and simulation. The optimum load

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12.

Fig. 11. RF–dc efficiency of (a) PA = −20 dBm. (b) PA = 0 dBm.

multi-tone

signals

versus

RL .

value is slightly reduced with increasing average power and it increases with increasing PAPR. Due to the different optimum load values, there is a range of loads where signals with higher PAPR than a CW signal can produce a better RF–dc efficiency. As an example, at PA = −20 dBm input average power, the RF–dc conversion efficiency of the rectifier using a four-tone signal is higher than that of a CW signal for load values above 3 k. The peak efficiency value depends on the various sources of loss present in the circuit. Specifically, as the input power increases the breakdown voltage of the diode becomes critical because the presence of peak values of instantaneous power can drive the diode into the breakdown region. This is evident in Fig. 11, where for very low input average power levels (−20 dBm) the presence of the power peaks can lead

Input s-parameters of the rectifier for different loads.

to a higher peak efficiency, but for high input average power levels (0 dBm) the presence of the power peaks reduces the achievable maximum efficiency. The value of the load resistance affects the input power matching and, therefore, the input s-parameters of the rectifier were measured for different load values in order to verify that a satisfactory matching condition is maintained and the variation in efficiency is primarily due to the input signal properties and the load and not due to mismatch. The results are shown in Fig. 12, where one can see that a return loss better than 10 dB is maintained at 935 MHz for load values between 1 and 10 k. It is also seen from Fig. 12 that the manufactured prototype presented an offset of 20 MHz in frequency performance, which is in the order of 2% and it is attributed to fabrication errors and component yields. It should be noted that the s-parameter measurement is done using a CW signal and an instantaneous s-parameter measurement should ideally be considered for the case of multi-tone signals in order to draw a more accurate conclusion. The variation of the RF–dc conversion efficiency was also investigated for the case of randomly modulated signals. The results are plotted in Fig. 13 showing a similar trend for increasing PAPR. The optimal load shift is smaller relative to the multi-tone case due to the smaller variation of the PAPR (Fig. 6). Finally, we compare the RF–dc conversion efficiency of different signals with a similar PAPR. The first example consists of a two-tone signal with in-phase tones and a fourtone signal with tone phases θ1 = 0° and θ2 = θ3 = θ4 = 180°, whose CCDF plot was shown in Fig. 5. The obtained RF–dc efficiency, shown in Fig. 14, is similar for the two signals and slightly higher for the two-tone signal, which has a larger PAPR. The second example consists of a four-tone signal with in-phase tones and a 64-QAM signal with β = 0.5, which have the same PAPR, but different CCDF curves, as shown in Fig. 8. One can see in Fig. 15 that, due to the difference in the CCDF curves of the two signals, a different load value, which leads to maximum efficiency, is obtained.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BOLOS et al.: RF ENERGY HARVESTING FROM MULTI-TONE AND DIGITALLY MODULATED SIGNALS

9

Fig. 15. RF–dc conversion efficiency of a four-tone signal with in-phase tones and a 64-QAM 500-kbps signal with β = 0.5.

it results in a larger maximum efficiency at PA = −20 dBm. The advantage in efficiency is lost at higher power levels due to losses in the rectifier circuit such as the breakdown voltage of the diode. V. C ONCLUSION

Fig. 13. Measured RF–dc efficiency of randomly modulated signals with symbol rate 0.5 Mbps versus R L . (a) PA = −20 dBm. (b) PA = 0 dBm.

The optimization of rectifier circuits under signals with a time-varying envelope is presented. Deterministic multitone signals and stochastic randomly modulated signals are considered, and the dependence of the RF–dc conversion efficiency on the rectifier load is investigated. It is shown that for a series diode rectifier the optimum load is slightly reduced as the average input power increases and it is increased as the signal PAPR is increased. A UHF prototype was designed, fabricated, and tested, showing good agreement with simulation. R EFERENCES

Fig. 14. RF–dc conversion efficiency of a two-tone signal with in-phase tones and a four-tone signal with phases θ1 = 0° and θ2 = θ3 = θ4 = 180°.

Furthermore, due to the fact that the four-tone signal has a larger instantaneous power variance, in other words it passes a larger amount of time further away from its mean power value,

[1] L. Roselli Ed, Green RFID Systems. Cambridge, U.K.: Cambridge Univ. Press, 2014. [2] M. M. Tentzeris, A. Georgiadis, and L. Roselli, “Energy harvesting and scavenging [scanning the issue],” Proc. IEEE, vol. 102, no. 11, pp. 1644–1648, Nov. 2014. [3] K. Wu, D. Choudhury, and H. Matsumoto, “Wireless power transmission, technology, and applications,” Proc. IEEE, vol. 101, no. 6, pp. 1271–1275, Jun. 2013. [4] S. Joshi and G. Moddel, “Efficiency limits of rectenna solar cells: Theory of broadband photon-assisted tunneling,” Appl. Phys. Lett., vol. 102, Feb. 2013, Art. no. 083901. [5] J. A. Hagerty, F. B. Helmbrecht, W. H. McCalpin, R. Zane, and Z. B. Popovic, “Recycling ambient microwave energy with broad-band rectenna arrays,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 1014–1024, Mar. 2004. [6] A. Collado and A. Georgiadis, “Conformal hybrid solar and electromagnetic (EM) energy harvesting rectenna,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 8, pp. 2225–2234, Aug. 2013. [7] M. Roberg, T. Reveyrand, I. Ramos, E. A. Falkenstein, and Z. Popovi´c, “High-efficiency harmonically terminated diode and transistor rectifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4043–4052, Dec. 2012. [8] K. Hatano, N. Shinohara, T. Mitani, K. Nishikawa, T. Seki, and K. Hiraga, “Development of class-F load rectennas,” in IEEE MTT-S Int. Microw. Symp., 2011, pp. 251–254, Workshop Series on Innovative Wireless Power Transmission: Technol., Syst., Appl.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

[9] T.-W. Yoo and K. Chang, “Theoretical and experimental development of 10 and 35 GHz rectennas,” IEEE Trans. Microw. Theory Techn., vol. 40, no. 6, pp. 1259–1266, Jun. 1992. [10] C. R. Valenta and G. D. Durgin, “Rectenna performance under poweroptimized waveform excitation,” in Proc. IEEE Int. RFID Conf., 2013, pp. 237–244. [11] C. R. Valenta, M. M. Morys, and G. D. Durgin, “Theoretical energy-conversion efficiency for energy-harvesting circuits under poweroptimized waveform excitation,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1758–1767, May 2015. [12] A. S. Boaventura and N. B. Carvalho, “Maximizing dc power in energy harvesting circuits using multi-sine excitation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 1–4. [13] A. Boaventura, A. Collado, N. B. Carvalho, and A. Georgiadis, “Optimum behavior: Wireless power transmission system design through behavioral models and efficient synthesis techniques,” IEEE Microw. Mag., vol. 14, no. 2, pp. 26–35, Mar.–Apr. 2013. [14] G. Andia Vera, A. Georgiadis, A. Collado, and S. Via, “Design of a 2.45 GHz rectenna for electromagnetic (EM) energy scavenging,” in IEEE Radio Wireless Symp., 2010 , pp. 61–64. [15] H. Sakaki, S. Yoshida, K. Nishikawa, and S. Kawasaki, “Analysis of rectifier operation with FSK modulated input signal,” in IEEE Wireless Power Transfer, 2013, pp. 187–190. [16] A. Collado and A. Georgiadis, “Optimal waveforms for efficient wireless power transmission,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 5, pp. 354–356, May 2014. [17] G. Fukuda, S. Yoshida, Y. Kai, N. Hasegawa, and S. Kawasaki, “Evaluation on use of modulated signal for Microwave Power Transmission,” in 44th Eur. Microw. Conf., 2014, pp. 425–428. [18] A. Georgiadis, A. Collado, and K. Niotaki, “Rectenna design and signal optimization for electromagnetic energy harvesting and wireless power transfer,” IEICE Trans. Electron., vol. E98-C, no. 7, pp. 608–612, Jul. 2015. [19] A. Boaventura, N. B. Carvalho, and A. Georgiadis, “The impact of multisine tone separation on RF–dc efficiency,” in Asia–Pacific Microw. Conf., 2014, pp. 606–609. [20] A. Boaventura, D. Belo, R. Fernandes, A. Collado, A. Georgiadis, and N. B. Carvalho, “Boosting the efficiency: Unconventional waveform design for efficient wireless power transfer,” IEEE Microw. Mag., vol. 16, no. 3, pp. 87–96, Apr. 2015. [21] R. G. Harrison and X. Le Polozec, “Non square law behavior of diode detectors analyzed by the Ritz–Galerkin method,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 5, pp. 840–846, May 1994. [22] J. O. McSpadden, L. Fan, and K. Chang, “Design and experiments of a high conversion efficiency 5.8-GHz rectenna,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2053–2060, Dec. 1998. [23] J. A. G. Akkermans, M. C. van Beurden, G. J. N. Doodeman, and H. J. Visser, “Analytical models for low-power rectenna design,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 187–190, 2005. [24] G. De Vita and G. Iannaccone, “Design criteria for the RF section of UHF and microwave passive RFID transponders,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 2978–2990, Sep. 2005. [25] J.-P. Curty, N. Joehl, F. Krummenacher, C. Dehollain, and M. J. Declercq, “A model for μ-power rectifier analysis and design,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 12, pp. 2771–2779, Dec. 2005. [26] T. Ohira, “Power efficiency and optimum load formulas on RF rectifiers featuring flow-angle equations,” IEICE Electron. Exp., vol. 10, no. 11, pp. 1–9, May 2013. [27] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions with Formulas, Graphs and Mathematical Tables, 9th ed. New York, NY, USA: Dover, 1972, pp. 358–364. [28] T. C. Banwell and A. Jayakumar, “Exact analytical solution for current flow through diode with series resistance,” Electron. Lett., vol. 36, no. 4, pp. 291–292, Feb. 2000. [29] “Characterizing digitally modulated signals with CCDF curves,” Keysight, Santa Rosa, CA, USA, Appl. Note, Lit. No. 5968-6875E, Jan. 2000. [30] R. A. York and R. C. Compton, “Mode-locked oscillator arrays,” IEEE Microw. Guided Wave Lett., vol. 1, no. 8, pp. 215–218, Aug. 1991.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Ferran Bolos was born in Barcelona, Spain, in 1990. He is currently working toward the B.Sc. degree in telecommunications engineering at the Polytechnic University of Catalonia (UPC), Catalonia, Spain. Since 2015, he has been a Research Assistant with the Centre Tecnologic de Telecomunicacions de Catalunya (CTTC), Barcelona, Spain. His research interests include rectennas, rectifiers, wireless power transmission, and RF energy harvesting.

Javier Blanco was born in Barcelona, Spain in 1991. He received the B.Sc. degree in telecommunications engineering from the Polytechnic University of Catalonia (UPC), Barcelona, Spain in 2015. Since 2015, he has been a Research Assistant with the Centre Tecnologic de Telecomunicacions de Catalunya (CTTC), Barcelona, Spain. His research interests include rectennas, rectifiers, ambient RF energy harvesting, and wireless power transfer systems. Ana Collado (M’08–SM’12) received the M.Sc. and Ph.D. degrees in telecommunications engineering from the University of Cantabria, Santander, Spain, in 2002 and 2007, respectively. She is currently a Senior Researcher and the Project Management Coordinator with the Technological Telecommunications Center of Catalonia (CTTC), Barcelona, Spain, where she performs her professional activities. She has coauthored over 70 papers in journals and conferences. Her professional interests include active antennas, substrate integrated waveguide structures, nonlinear circuit design, and energy harvesting, and wireless power transmission (WPT) solutions for self-sustainable and energy efficient systems. Dr. Collado has participated in several national and international research projects. Among her activities she has collaborated in the organization of several international workshops in different countries of the European Union and also a Training School for Ph.D. students. She was a Marie Curie Fellow of the FP7 project Symbiotic Wireless Autonomous Powered system (SWAP). She serves on the Editorial Board of the Radioengineering Journal. She is currently an Associate Editor for IEEE Microwave Magazine. She is a Member of the IEEE MTT-26 Wireless Energy Transfer and Conversion and MTT-24 RFID Technologies. Apostolos Georgiadis (S’94–M’02–SM’08) was born in Thessaloniki, Greece. He received the Ph.D. degree in electrical engineering from the University of Massachusetts at Amherst, Amherst, MA, USA, in 2002. In 2007, he joined the Centre Tecnologic de Telecomunicacions de Catalunya (CTTC), Barcelona, Spain, as a Senior Researcher, where he is currently involved in energy harvesting, wireless power transfer, RF identification (RFID) technology, and active antennas and antenna arrays. Since April 2013, he has been coordinating the Microwave Systems and Nanotechnology Department, CTTC. He has contributed to over 150 publications: books, book chapters, technical journals, and conferences. Dr. Georgiadis serves as an Associate Editor for IEEE M ICROWAVE W IRE LESS C OMPONENTS L ETTERS and the IEEE RFID V IRTUAL J OURNAL. He is the Editor-in-Chief of the Cambridge Wireless Power Transfer Journal. He is past Chair of the IEEE MTT-S Technical Committee MTT-24 on RFID Technologies and a Member of IEEE MTT-26 on wireless energy transfer and conversion. He is EU Marie Curie Fellow and Vice-Chair of URSI Commission D Electronics and Photonics. He is Distinguished Lecturer of the IEEE Council on RFID. He was the recipient of the 2014 IEEE RFID-TA Best Paper Award and the 2015 Premium Award for Best Paper in the IET Microwaves, Antennas and Propagation Journal.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Additively Manufactured Microfluidics-Based “Peel-and-Replace” RF Sensors for Wearable Applications Wenjing Su, Student Member, IEEE, Benjamin S. Cook, Graduate Student Member, IEEE, and Manos M. Tentzeris, Fellow, IEEE

Abstract— This paper demonstrates the first-of-its-kind additively manufactured microfluidics-based flexible RF sensor, combining microfluidics, inkjet-printing technology, and soft lithography, which could potentially enable the first “real-world” wearable “smart skin” applications. A low-cost, rapid, lowtemperature, and zero-waste fabrication process is introduced, which can be used to realize complex microfluidic channel networks with virtually any type of sensing element embedded. For proof-of-concept purposes, a reusable and flexible microfluidics sensor was prototyped using this process, which only requires 0.6-μL fluid volume to produce a 44% frequency shift between an empty ( r = 1) and a water-filled channel ( r = 73), demonstrating a sensitivity that is higher than most previously reported microfluidics-based microwave sensors. Seven different fluids were used to measure the sensitivity of the prototype and an overall sensitivity of 24%/ log( r ) was observed. The “peel-and-replace” capability of the presented sensor not only facilitates the cleaning process for sensor reusability, but it also enables sensitivity tunability. For bent/conformed configurations, the sensor’s functionality is good even for a bending radius down to 7 mm, demonstrating its great flexibility. After bending multiple times, the sensor still exhibits a very good performance repeatability, which verifies its reusability feature. The introduced additively manufactured RF microfluidics-based sensor would be well suited for numerous wearable and conformal fluid sensing applications (e.g., bodily fluids analyzing and food monitoring), while it could also be utilized in a variety of microfluidicsreconfigurable microwave components. Index Terms— Additive manufacturing, emerging applications for RF/microwaves, fabrication technology, microfluidics, RF sensors, wearable sensors.

I. I NTRODUCTION

W

EARABLE sensors and “smart skins” have drawn a lot of attention from the research community and the industry recently [1]. As healthcare costs have dramatically increased over the last 10–15 years and the global population Manuscript received October 17, 2015; revised April 18, 2016; accepted April 22, 2016. This work was supported by the National Science Foundation (NSF) and by the Defense Threat Reduction Agency (DTRA). W. Su and M. M. Tentzeris are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]). B. S. Cook was with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, 30332 USA. He is now with Kilby Labs, Texas Instruments Incorporated, Dallas, TX 75243 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2560177

is growing and aging, we have reached a point where remote biomonitoring is overtaking the traditional hospital-focused model and has become the predominant way of real-time patient-progress tracking [2]. For instance, the real-time monitoring of bodily fluids, such as tears, sweat, urine, and blood, performed by the patient himself or by a medical center, could be an excellent way to track patient health status and alert the patient in time to prevent any life-threatening situations. On the one hand, bodily fluid real-time monitoring cannot be easily realized in the current hospital-focused model due to the difficulties associated with sample generation, collection, and delivery [3]. On the other hand, this task can be easily undertaken by using wearable fluid sensors featuring characteristics such as miniaturization, low-cost production, and flexibility. In this background, micro-total-analysis (μTAS) or lab-on-a-chip (LOC) [4] as well as microfluidics are naturally being important technical tools to realize desired wearable sensors [5]. Due to its capability of manipulating extremely small quantities of liquids and due to its compact size that enables easily embedding into various devices, microfluidics technology has been widely used in biomedical sensing, manufacturing control, chemical assay, and other lab-on-chip applications in the past decades [6]. The first microfluidic prototype, developed in the early 1990s, was fabricated in silicon and glass by photolithography, a conventional planar manufacturing technique, that is generally expensive and environmentally unfriendly. Recently, [7]–[9] reported the successful lower cost fabrication of flexible microfluidics using the soft-lithography technique. The authors used poly (dimethylsiloxane) (PDMS), an elastomer with great compatibility with many organic solvents, that are commonly used in numerous applications [10]. However, this mold-based method is still strongly relying on photolithography to fabricate the mold, which raises the manufacturing cost. Recent years have witnessed an increasing number of novel and low-cost fabrication approaches being proposed to address these issues. Inkjet printing, a low-cost rapid additive manufacturing technique, has been recently introduced in the microfluidics fabrication process [11], [12]. Most prior research efforts of inkjet-printed microwave sensors/tunable elements [12]–[16] took advantage of the inkjet-printing technique only for sealing the microfluidic channels and patterning the conductive structures, but they still required other subtractive manufacturing

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

techniques such as laser etching to fabricate the channels. This paper presents a new approach, which eliminates any subtractive manufacturing step for the first time and enables the fabrication of complex microfluidics networks with any type of sensing element embedded in virtually any substrate at low cost. By replacing all the subtractive photolithography steps in the soft-lithography process with the inkjet-printing technique, a new microfluidics fabrication process, which features a much lower cost and a sufficient resolution, is presented in this paper, bringing the traditional soft lithography process out of the cleanroom and making microfluidics more accessible for daily applications. This new process also features numerous advantages over formerly published inkjet-printed microfluidic microwave sensors [13]–[16] as it has no waste; it is capable of realizing flexible microfluidics; it can fabricate ultra-small channels so that capillary action can feed fluids to the channel; it enables “peel-and-replace,” which means the sensor prototypes can switch sensitivity if needed. This paper also presents a proof-of-concept reusable, “peel-and-replace” and flexible microfluidics RF sensor prototype, which clearly demonstrates the advantages of the proposed technology in various wearable applications. This paper is organized as follows. Section II discusses the microfluidics sensor fabrication process based on additive manufacturing. Sections III and IV discuss the theoretical principle of operation and the experimental verification of the performance of a proof-of-concept prototype fabricated using the proposed process.

Fig. 1. (a) Photograph demonstrating the attachment of the microfluidic sensor prototype on the wrist. (b) Photograph of the fabricated prototype with the PDMS sheet (microfluidic part) partially peeled off from the PET sheet (electrical part) to illustrate the “peel-and-replace” capability.

II. FABRICATION The fabrication process introduced in this paper demonstrates a novel technological platform that can be used to fabricate complex microfluidic channel networks with any type of sensing element embedded. This method can also be used to mount “on demand” microfluidics on silicon, taking full advantage of the microfluidics capabilities in packaging and liquid-reconfigurable electronics. The proposed process also features low-temperature, rapid-prototyping, highly controlled, eco-friendly, and zero-waste properties. A typical microfluidics-based sensor, such as the prototype shown in Fig. 1, consists of two parts: the microfluidics part for the manipulation of the test fluids and the electrical part for sensing. The sensor fabrication process, as shown in Fig. 2, includes five steps. Steps 1 and 2 construct the microfluidics part; steps 3 and 4 print the electrical part; step 5 integrates the two parts together and completes the prototype. The materials used for the proof-of-concept prototype were the Sylgard 182 Silicone Elastomer Kit (Dow Corning Corporation, Midland, MI, USA), the raw material of PDMS, for the construction of the microfluidic channel; a sheet of 0.127-mm-thick polyethylene terephthalate (PET) (DuPont Teijin Films, Chester, VA, USA) onto which the metallized layer is printed; ANP Silver-Jet 55LT-25C silver nanoparticle ink (Advanced Nano Products, Sejong, South Korea) for the inkjet printing of the conductive features; an SU-8 polymer solution (MicroChem, Newton, MA, USA) for the printing of the mold of the microfluidics, as well as for

Fig. 2.

Step-by-step fabrication process.

the function of isolating the conductive pattern from a direct contact with the fluid; a sheet of glass (Corning, Corning, NY, USA) for the mold fabrication; and Circuit Works 60 Minute Cure Conductive Epoxy (Chemtronix, Kennesaw, GA, USA) for the attachment of RF connectors. A. Inkjet Printing of the Mold The first step covers the inkjet printing of the microfluidic channel, which defines the shape of the fluids’ moving path. In traditional soft lithography processes for the microfluidics fabrication, the fabrication of the mold is carried out by

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SU et al.: ADDITIVELY MANUFACTURED MICROFLUIDICS-BASED “PEEL-AND-REPLACE” RF SENSORS FOR WEARABLE APPLICATIONS

3

was placed on the SU-8 pad to reserve the openings for the fluids to enter and leave the channel. SU-8 functioned as the epoxy and glued the place holder on the glass. B. Patterning the Channel

Fig. 3. SU-8 height calibration characteristics and repeatability. The line in the graph shows the average height values for ten samples/number of layers and the error bar shows the standard deviation of the heights.

photolithography in the cleanroom environment, which is the most environmental unfriendly and the most costly step in the whole process. In this paper, inkjet printing, an additive manufacturing technique, was utilized to fabricate a state-ofthe-art mold, which reduces drastically the cost of fabricating microfluidic channels. Inkjet-printed SU8 has been already utilized in recent research for multilayer structures [17], [18], and was used in this paper to pattern the mold. SU-8 is a negative epoxy-type near-UV photoresist that can be as thick as 2 mm with an aspect ratio > 20 in UV-lithography [19], and thus constitutes an excellent candidate for constructing the mold of the microfluidic channel. Before printing, the glass was cleaned by an acetone solution, an ethanol solution, and a cool dry air flow in sequence. Although in the presented prototype the mold was printed on glass, any material with a smooth surface could be utilized as substrate 2 in Fig. 2. To achieve a surface energy, which accommodates better the ink drops, a 2-min UV-ozone treatment was applied to the cleaned glass with a UVO cleaner (Jelight Company Inc., Irvine, CA, USA). The channel was inkjet-printed with a 10-pL cartridge, a drop spacing of 20 μm, a print head temperature of 40 ◦ C, a jetting frequency of 5 kHz, and a platform at room temperature (around 25 ◦ C). Numerous layers of inkjet-printed SU8 with a thickness of around a 6–7-μm/printed layer [17] were used to accurately define the vertical dimension of the printed mold of the microfluidic channel. To further investigate the vertical fabrication accuracy of the printed SU-8, 1–12 layers of 7 × 0.5 mm2 rectangular patches were printed for ten different samples and the measured results are shown in Fig. 3. A good linearity with a relatively small standard deviation can be observed, which verifies a high enough resolution in the vertical direction with a very good repeatability. For the proof-of-concept prototype presented in this paper, ten layers of SU-8 were printed to achieve a total channel height of 68 μm. As inkjet-printing deposits liquid phase material, the printed pattern obtains a semi-elliptical cross section, which facilitates the detachment of the mold and the PDMS sheet. In addition to the channel, a 20-μm-thick 1.5-mm-diameter circular SU-8 pad was printed and a 1.5-mm-thick place holder

PDMS can be shaped as the negative pattern of the mold based on the traditional soft lithography technology [7]. The Sylgard 182 Silicone Elastomer Kit contains two parts: the base and the curing agent, which were mixed with a mixing ratio of 10:1 by weight. The mixture was poured to a container until overflow and was degassed with vacuum for three rounds. The container was a small box without a lid and had a height equal to that of the placeholder, which would eventually become the thickness of the PDMS sheet. The glass substrate was then flipped and squeezed to cover the container as a lid with the SU-8 pattern on the bottom side. To cure PDMS, the container and the lid were clipped together and heated at 100 ◦ C in a Thermo Scientific oven for 75 min. After being cooled down, the PDMS sheet was detached from the mold. C. Inkjet Printing of the Metallization and Isolation Features The metallization features were inkjet printed on a PET sheet utilizing ANP silver ink with a 10-pL cartridge, a drop spacing of 20 μm, a print head temperature of 38 ◦ C, a jetting frequency of 5 kHz, and a platform temperature of 50 ◦ C to accelerate ink drying between each layer. PET in the presented prototype (substrate 1 in Fig. 2) can be substituted by any substrate with inkjet-printing capability including paper, silicon, liquid crystal polymer (LCP), and glass. A total of four layers of silver ink were printed in order to obtain the optimal sheet resistance of 0.03 Ohm/square. After printing, the PET sheet with the printed silver pattern was dried at room temperature. Fast drying in a higher temperature may lead to an uneven distribution of the silver nanoparticles, which could result in a nonuniformity of the conductivity values along the trace and thus should be avoided. After the ink dried, the PET sheet was sintered at 180 ◦ C in the oven for 1 h. SU-8 has a very high chemical resistance and thus it has been frequently involved in microchannel fabrications [20], which makes it an ideal material for the isolation layer. To isolate the fluid under test from the silver pattern, a layer of 6-μm-thick SU-8 polymer was inkjet printed on top of the silver using the same setting as in the printing of the mold. D. Sealing the Channel PDMS can be sealed against a smooth surface taking advantage of Van Der Waals forces, which are caused by the microscopic attraction between two closely spaced macroscopic surfaces [6]. On the one hand, this reversible sealing features a strong enough bonding to prevent fluid leakage and to handle the pressure in the capillary action. On the other hand, reversible sealing gives the prototype a unique “peel-andreplace” capability. The microfluidic part can be easily peeled off from the electrical part if needed, as shown in Fig. 1(b), thus making sensitivity switching possible, as microfluidic parts with different channel designs can be easily attached

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Tests of the realized dimensions with the proposed fabrication process. The dots represent successful individual tests. The line in the graph shows the lower limit of the successful fabricated aspect ratio. The small inserted photograph in the figure gives the reader a glance of the testing matrix.

to the same RF pattern/structure (electrical parts). Various combinations of microfluidic and electrical parts can enable a large degree of reconfigurability and functionality of the RF system. Moreover, reversible sealing also simplifies the cleaning process between two consecutive uses, and thus enables reusability. The prototype can be demounted and cleaned part-by-part to remove any leftovers from the latest test. To produce a sufficient Van Der Waals force, the PDMS and PET were squeezed together until no air bubble was left. Before sealing, two preparatory steps were performed to enhance a successful bonding: firstly, the PDMS and PET sheet were cleaned by ethanol and dried by air flow; secondly, the two sheets were treated with UV-ozone for 5 min to achieve enhanced bonding and hydrophilicity properties. Four markers are placed in both microfluidic and electrical parts to facilitate their better alignment. At least 30 times of peel and replace capability are expected with appropriate cleaning. To explore the limits of the proposed channel fabrication process, various channel sizes were fabricated and tested, as indicated in Fig. 4. The minimum aspect ratio (width value/height value) achieved was around 7, which is indicated by the line in Fig. 4. Due to the fact that the polymer ink was deposited in liquid phase, the aspect ratio is limited by the contact angle between the contact surface and the ink solution. The smallest configuration achieved had the width of 100 μm and the height of 6 μm. Various tests were performed by repeating steps 1, 2, and 5 for different widths and/or heights to fabricate the testing matrices and by filling them with colored (food color) water and ethanol, as shown in the inserted photograph in Fig. 4. A complete prototype of a microfluidics-based flexible sensor was fabricated to demonstrate the capabilities of the proposed fabrication process, as shown in Fig. 1. To conduct the measurements, SMA connectors were mounted to the metallization using conductive epoxy, which was cured in an oven at 120 ◦ C for 5 min, and the prototype is shown in Fig. 5(a) with its cross-section view shown in Fig. 5(b).

Fig. 5. Photographs of the fabricated proof-of-concept prototype. (a) Top view with fluid filling the channel. (b) Cross-section view. TABLE I P ERMITTIVITY OF D IFFERENT F LUIDS AT A ROUND 3 GH Z AND 300 K [22], [23], [28]

III. T HEORY In the natural environment, commonly utilized liquids have a wide permittivity distribution at microwave frequencies [21]–[23], as shown in Table I, while featuring different permittivity values at different temperatures or frequencies [24]–[26]. Moreover, for varying mixing ratios, mixtures of two or more fluids can feature a wide range of continuous permittivity change [25], [27]. Similarly, if any solute is added to the solvent, the permittivity of the solution varies depending on its concentration of the solute [26]. Thus, if a device is capable of detecting small changes in permittivity values of a liquid solution, it may deduce a significant amount of information about it. To demonstrate the correlation between the permittivity value change in the microfluidic channel and the RF performance of the structure, a dual-spiral-shaped slot resonator embedded in the two ground planes of a coplanar waveguide (CPW), as shown in Fig. 6, was designed and fabricated. The chosen dual-spiral-shaped slot resonator topology features a relatively high Q-factor while being

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SU et al.: ADDITIVELY MANUFACTURED MICROFLUIDICS-BASED “PEEL-AND-REPLACE” RF SENSORS FOR WEARABLE APPLICATIONS

5

TABLE III E XTRACTED PARAMETERS FOR E QUIVALENT-C IRCUIT M ODEL IN F IG . 7

Fig. 6.

Drawing of the prototype structure. TABLE II D IMENSIONS OF THE S TRUCTURE IN F IG . 6

Fig. 7. Equivalent lumped circuit of the spiral-shaped slot resonator. (a) Circuit analysis of the spiral-shaped slot resonator (some duplications are clipped and represented by a dot). (b) Simplified equivalent lumped circuit.

a simple structure. The microfluidic channel is placed right on top of the longest slot to achieve a better sensitivity due to the locally stronger E-field. The geometrical parameters in Table II were optimized with an electromagnetic (EM) full-wave simulation tool (Ansoft HFSS) using the following constitutive parameters for the inkjet-printed materials of this structure: r (SU 8) = 3.0, tanδ (SU 8) = 0.04, r (P E T ) = 2.9, tanδ (P E T ) = 0.005 [29], r (P DM S) = 2.68, and tanδ (PDMS) = 0.001 according to the manufacturer’s manual or literature. As the slot resonators are placed beside the ground conductors of a CPW transmission line, the transmitted energy over this line would be trapped in the resonator at frequencies near the resonating frequency, leading to an easy-to-detect bandstop characteristic. The spiral-shaped slot resonator structure can be modeled using lumped elements, as shown in Fig. 7(a). Slot structures are very appropriate for microfluidics-based sensing as the permittivity value changes in the slot will drastically change its capacitance resulting in a shift of its resonant frequency, thus making it an RF parameter that could be utilized for the wireless sensing of permittivity changes. Based on the transmission-line theory and the spectral-domain approach [30], the circuit can be simplified to the parallel RLC

circuit in Fig. 7(b) [31] and the parameters can be extracted using the following equations:   1 −1 (1) R = 2Z 0 S21 | f = f0  a 2 (R + 2Z 0 )2 − 4Z 02 (2) C= √ 4π Z 0 R f 1 − a 2 1 (3) L= 4(π f 0 )2 C where f 0 is the resonant frequency, Z 0 is the 50- characteristic impedance of the CPW, S21 | f = f0 is the numerical value of the insertion loss at the resonant frequency, a is the numerical value of the insertion loss at −6-dB cutoff frequencies, and  f is the bandwidth between two −6-dB cutoff frequencies. Based on the measurements, the values of the equivalent lumped elements for both empty channel and water-filled channel configurations were extracted through the use of the equations above and are shown in Table III. With the reversible sealing approach described in the fabrication section, microfluidic components with different channel sizes can be installed onto the same microwave structure to realize different sensitivities. The strength of the resonance decreases for larger frequency shifts, and there is a limit of the frequency shift above which the resonance becomes too weak to be measured [14]–[16]. So, on one hand, if a sensor has a superior sensitivity, then the shifted resonant frequency of a high-permittivity fluid may already be in the zone that has greater than −10-dB peak attenuation. On the other hand, if a sensor can sense a large range of permittivity values, then the frequency shift per unit permittivity change would not be very large. A wide permittivity sensing range with a great sensitivity is highly desirable for practical liquid sensing designs, but it is difficult to attain. As a compromise, this “peel-and-replace” sensor is able to obtain a very wide sensing range by using a microfluidic part consisting of a small-size channel, and can have a better sensitivity while dealing with low-permittivity fluids by replacing it with a larger size channel. To investigate the influence of different channel sizes, various channel width and height values have been simulated, as shown in Fig. 8. Without loss of generality, the cross-section dimensions of 550 μm (width) × 68 μm (height) were chosen for the prototype performance verification, as it has a relatively high sensitivity while maintaining effective resonance strength for the water-filled channel. IV. M EASURED R ESULTS The two most important characteristics of wearable, biomonitoring, and Internet-of-Things related sensors are the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Frequency shift of the resonant frequency from empty channel (permittivity = 1) to water-filled (permittivity = 73) channel for different channel dimensions. For the width scan, the height is fixed to be 68 μm. For the height scan, the width is fixed to be 550 μm.

Fig. 9. Photographs of the experiment setup in: (a) flat and (b) 10-mm-radius bent configurations.

sensitivity or change in resonant frequency of the filter versus the permittivity of the fluid present in the channel, and the flexibility or repeatability and stability during bending. Therefore, the performance of the fabricated prototype was tested according to these two characteristics. To characterize the performance of the proposed sensor, a droplet of numerous different fluids under test was dripped from the tip of a syringe to one of the two openings of the microfluidic channel. Due to the small size of the channel, capillary action happens: when a dry channel opening is brought into contact with a liquid, it will imbibe the liquid at a rate that decreases with time. As the channel has the same size all the way between the two openings and the device prototype is placed horizontally so that gravity’s effect is negligible, the liquid would flow into the channel and fill the channel automatically. In this way, no microfluidic connector, pump, or tube is needed, but only a droplet of the fluid, thus simplifying the system while saving the bulk of the fluids under test. Due to the hydrophobic property of SU-8 and PDMS, the electrical and microfluidic parts were treated separately for 5 min in a UVO cleaner before filling water into the channel. Between consecutive tests with the same or different liquids, the two parts were demounted, cleaned by dry cool air flow and mounted together again. A Rhode and Schwartz ZVA-8 vector network analyzer (VNA) was used

Fig. 10. (a) Measured insertion-loss values of the proposed RF sensor for four different fluids in the channel, as well as for an empty channel, along with EM (HFSS) simulated and circuit (ADS) simulated results for an empty and a water-filled channel. (b) Measured insertion-loss values for a glycerol– water mixture with different mixing ratios in the channel, which verifies the capability to clearly distinguish mixtures with different mix ratios.

to measure the S-parameters of the device prototype under various fluids and bending conditions. A. Sensitivity In order to demonstrate the sensitivity of the proposed device prototype, several different fluids or fluid mixtures were used, namely, water, ethanol, glycerol, hexanol, and water-glycerol mixtures with three different mixing ratios, which feature a wide distribution of relative permittivity values from 1 to 73, as shown in Table I. The sensor prototype was held above the ground to minimize the ground interference effects and to ensure the same measurement configuration for different liquids under test, as shown in Fig. 9(a). The simulated and measured values of the insertion loss of the proposed resonator for different fluids in the channel can be found in Fig. 10. A very significant frequency shift when replacing hexanol (r = 3) with glycerol (r = 4)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SU et al.: ADDITIVELY MANUFACTURED MICROFLUIDICS-BASED “PEEL-AND-REPLACE” RF SENSORS FOR WEARABLE APPLICATIONS

7

Fig. 12. Measured insertion-loss values of the proposed flexible wireless liquid sensor prototype for empty and water-filled channel configurations and for different radii of curvature. Fig. 11. Measured and simulated values of the resonant frequency shift for different relative permittivity fluids into the channel, demonstrating a logarithmic linearity of the sensor prototype.

can be observed, though the relative permittivity difference is barely 1 and the cross section of the proposed sensing channel is as small as 5.4 ×10−6 × λ20 . The variance of the stopband attenuation and of the bandwidth for the different fluids filled channel is due to the dielectric loss of various fluids and the mismatches as the frequency shifted largely. In Fig. 11, a good logarithmic linearity can be observed in both simulations and measurements at around −24%/ log(r ). Due to the tiny size of the channel, only 0.6358-μL fluid is needed to fill, which results in a sensitivity around 37%/ log(r )/u L. Any 0.4 log(r ) change will lead to a frequency shift larger than one 3-dB bandwidth (10% for low-loss fluids), while the sensor resolution heavily relies on the system’s resolution. The higher resolution of analog-to-digital converter, the smaller frequency sweep step, and the lower noise of source, the better the sensor resolution. The resonant frequency of the bandstop filter is shifted by 43.8% in Fig. 10 from 3.9 to 2.19 GHz when an empty channel is replaced with a water-filled channel. To the best of authors’ knowledge, this sensor prototype features the highest sensitivity compared with other recently published microwave/RF microfluidic sensors, including inkjet-printed sensors (e.g., 28% from empty to water reported in [14]), as well as sensors fabricated by traditional soft lithography methods (e.g., 21% from empty to water reported in [9]). B. Flexibilty In addition to good sensitivity, endurance as well as a consistently good performance for different bending conditions is significant. To test the performance under a bending status, the sensor prototype was folded around four low-dielectric (r < 2) cylinders with four different radii: 7, 10, 27, and 35 mm. An example of an experimental setup for a bent configuration with a 10-mm radius is shown in Fig. 9(b). The comparison between the insertion-loss values for the configurations of an empty channel (r = 1) and a water-filled channel (r = 73) for the four different cylinders and for the

flat configuration is shown in Fig. 12. Less than 130-MHz resonant frequency shifts and a smaller than 1.1-dB insertionloss variation are observed verifying a reliable performance for both bent and flat mounting conditions. After bending 30 times, the insertion loss of the sensor prototype in the flat configuration was measured again and was very close to the initial measurements, demonstrating a good reusability and reliability between pre-/post-bending performance. V. C ONCLUSION This paper has demonstrated a novel low-cost lowtemperature zero-waste approach to manufacture flexible microfluidics-based RF devices on virtually every substrate by combining inkjet printing and soft lithography. A reusable and flexible microfluidics sensor prototype fabricated with this process was presented and featured a very good performance. The unique “peel-and-replace” capability of the proposed structure allows for an easier cleaning process facilitating reusability, as well as a reconfigurable sensitivity/measured permittivity value range. For a microfluidic sensor requiring less than 1 μL of the liquid under test and a cross-section of the channel as small as 5.4 × 10−6 × λ20 , seven different fluids were used to evaluate the prototype’s performance, effectively featuring a sensitivity of 24%/ log(r ), a higher value compared to other state-of-the-art microfluidics-based RF sensors. At the same time, the proposed sensor maintains a very good functionality even for a bending radius of 7 mm, making the proposed approach a very good candidate for the fabrication of wearable, biomonitoring, mountable, food quality monitoring, “smart skins, ” and Internet-of-Things wireless modules. R EFERENCES [1] A. Pantelopoulos and N. G. Bourbakis, “A survey on wearable sensorbased systems for health monitoring and prognosis,” IEEE Trans. Syst., Man, Cybern. C, Appl. Rev., vol. 40, no. 1, pp. 1–12, Jan. 2010. [2] Y. Hao and R. Foster, “Wireless body sensor networks for healthmonitoring applications,” Physiol. Meas., vol. 29, no. 11, p. R27, 2008. [3] D. Diamond, S. Coyle, S. Scarmagnani, and J. Hayes, “Wireless sensor networks and chemo-/biosensing,” Chem. Rev., vol. 108, no. 2, pp. 652–679, 2008.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

[4] D. R. Reyes, D. Iossifidis, P.-A. Auroux, and A. Manz, “Micro total analysis systems. 1. Introduction, theory, and technology,” Anal. Chem., vol. 74, no. 12, pp. 2623–2636, 2002. [5] F. Benito-Lopez, S. Coyle, R. Byrne, and D. Diamond, “Sensing sweat in real-time using wearable microfluidics,” in Proc. 7th Int. Wearable Implantable Body Sensor Netw. Workshop, Singapore, 2010, pp. 31–33. [6] G. M. Whitesides, “The origins and the future of microfluidics,” Nature, vol. 442, no. 7101, pp. 368–373, 2006. [7] G. M. Whitesides and A. D. Stroock, “Flexible methods for microfluidics,” Phys. Today, vol. 54, no. 6, pp. 42–48, 2001. [8] D. C. Duffy, J. C. McDonald, O. J. Schueller, and G. M. Whitesides, “Rapid prototyping of microfluidic systems in poly (dimethylsiloxane),” Anal. Chem., vol. 70, no. 23, pp. 4974–4984, 1998. [9] A. Ebrahimi, W. Withayachumnankul, S. Al-Sarawi, and D. Abbott, “High-sensitivity metamaterial-inspired sensor for microfluidic dielectric characterization,” IEEE Sensors J., vol. 14, no. 5, pp. 1345–1351, May 2014. [10] J. N. Lee, C. Park, and G. M. Whitesides, “Solvent compatibility of poly (dimethylsiloxane)-based microfluidic devices,” Anal. Chem., vol. 75, no. 23, pp. 6544–6554, 2003. [11] K. Abe, K. Suzuki, and D. Citterio, “Inkjet-printed microfluidic multianalyte chemical sensing paper,” Anal. Chem., vol. 80, no. 18, pp. 6928–6934, 2008. [12] C. Mariotti, W. Su, B. S. Cook, L. Roselli, and M. M. Tentzeris, “Development of low cost, wireless, inkjet printed microfluidic RF systems and devices for sensing or tunable electronics,” IEEE Sensors J., vol. 15, no. 6, pp. 3156–3163, Jun. 2015. [13] B. S. Cook, J. R. Cooper, and M. M. Tentzeris, “An inkjetprinted microfluidic RFID-enabled platform for wireless lab-on-chip applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4714–4723, Dec. 2013. [14] W. Su, C. Mariotti, B. Cook, S. Lim, L. Roselli, and M. Tentzeris, “A metamaterial-inspired temperature stable inkjet-printed microfluidictunable bandstop filter,” in IEEE 44th Eur. Microw. Conf., 2014, pp. 9–12. [15] W. Su, B. Cook, M. Tentzeris, C. Mariotti, and L. Roselli, “A novel inkjet-printed microfluidic tunable coplanar patch antenna,” in IEEE Antennas Propagat. Soc. Int. Symp., 2014, pp. 858–859. [16] W. Su, B. Cook, and M. M. Tentzeris, “A low-cost inkjet-printed microfluidics-based tunable loop antenna fed by microfluidics-based tunable balun,” in 9th Eur. Antennas Propag. Conf., Lisbon, Portugal, Apr. 2015, 4 pp. [17] B. Cook, J. Cooper, and M. Tentzeris, “Multi-layer RF capacitors on flexible substrates utilizing inkjet printed dielectric polymers,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 7, pp. 353–355, Jul. 2013. [18] B. S. Cook, B. Tehrani, J. R. Cooper, and M. M. Tentzeris, “Multilayer inkjet printing of millimeter-wave proximity-fed patch arrays on flexible substrates,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 1351–1354, 2013. [19] R. Yang and W. Wang, “A numerical and experimental study on gap compensation and wavelength selection in UV-lithography of ultra-high aspect ratio SU-8 microstructures,” Sens. Actuators B, Chem., vol. 110, no. 2, pp. 279–288, 2005. [20] M. Nordström, R. Marie, M. Calleja, and A. Boisen, “Rendering SU-8 hydrophilic to facilitate use in micro channel fabrication,” J. Micromechan. Microeng., vol. 14, no. 12, pp. 1614–1617, 2004. [21] A. Tidar et al., “Microwave dielectric relaxation study of 1-hexanol with 1-propenol mixture by using time domain reflectometry at 300 K,” in Appl. Electromagn. Conf., Dec. 2009, pp. 1–4. [22] R. Nigmatullin, M. A.-G. Jafar, N. Shinyashiki, S. Sudo, and S. Yagihara, “Recognition of a new permittivity function for glycerol by the use of the eigen-coordinates method,” J. Non-Crystal. Solids, vol. 305, pp. 96–111, 2002. [23] K. Shibata, “Measurement of complex permittivity for liquid materials using the open-ended cut-off waveguide reflection method,” in Proc. Joint China–Japan Microw. Conf., Apr. 2011, pp. 1–4. [24] A. E. Lipton, M. K. Griffin, and A. G. Ling, “Microwave transfer model differences in remote sensing of cloud liquid water at low temperatures,” IEEE Trans. Geosci. Remote Sens., vol. 37, no. 1, pp. 620–623, Jan. 1999. [25] G. Akerlof, “Dielectric constants of some organic solvent-water mixtures at various temperatures,” J. Amer. Chem. Soc., vol. 54, no. 11, pp. 4125–4139, 1932. [26] R. Somaraju and J. Trumpf, “Frequency, temperature and salinity variation of the permittivity of seawater,” IEEE Trans. Antennas Propag., vol. 54, no. 11, pp. 3441–3448, Nov. 2006.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[27] R. Behrends, K. Fuchs, U. Kaatze, Y. Hayashi, and Y. Feldman, “Dielectric properties of glycerol/water mixtures at temperatures between 10 and 50 C,” J. Chem. Phys., vol. 124, no. 14, p. 144512, 2006. [28] P. Petong, R. Pottel, and U. Kaatze, “Dielectric relaxation of h-bonded liquids. Mixtures of ethanol and n-hexanol at different compositions and temperatures,” J. Phys. Chem. A, vol. 103, no. 31, pp. 6114–6121, 1999. [29] J. Bellomo and T. Lebey, “On some dielectric properties of pen,” J. Phys. D, Appl. Phys., vol. 29, no. 7, p. 2052, 1996. [30] C.-C. Chang, C. Caloz, and T. Itoh, “Analysis of a compact slot resonator in the ground plane for microstrip structures,” in Proc. Asia–Pacific Microw. Conf., 2001, vol. 3, pp. 1100–1103. [31] H. Liu, T. Yoshimasu, and L. Sun, “CPW bandstop filter using periodically loaded slot resonators,” Electron. Lett., vol. 42, no. 6, pp. 352–353, 2006.

Wenjing Su (S’14) was born in Hunan, China, in 1991. She received the B.S. degree in electrical engineering from the Beijing Institute of Technology, Beijing, China, in 2013, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta, GA, USA. In Fall 2013, she joined the ATHENA Research Group, Georgia Institute of Technology, as a Graduate Research Assistant. Her research is focused on additively manufactured microfluidics sensors for Internet of Things (IoT) and wireless/distributed healthcare applications, as well as tunable antennas and passive RF components for wideband/reconfigurable communication system. Her works covers the entire development cycle including improvement of the fabrication process, microwave/RF sensor component design, and high-frequency characterization and measurements. Ms. Su was the recipient of the Honors Class Program Scholarship and the People’s Scholarship of the Beijing Institute of Technology (2009–2013). She was also the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Ph.D. Student Sponsorship Initiative in 2014.

Benjamin S. Cook (GSM’12) received the B.Sc. degree in electrical engineering from the Rose-Hulman Institute of Technology, Terre Haute, IN, USA, in 2010, the M.A.Sc. degree in electrical engineering from the King Abdullah University of Science and Technology, Thuwal, Saudi Arabia, in 2011, and the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in 2014. He is currently a Research Scientist with Kilby Labs, Texas Instrument Incorporated, Dallas, TX, USA. He has authored or coauthored over 25 peer-reviewed publications. His research is focused on inkjet process design for vertically integrated millimeter-wave devices, system-on-paper applications, green electronics, microelectromechanical systems (MEMS) device fabrication, RF energy harvesting and passive wireless sensors. Dr. Cook was the recipient of the Outstanding Senior Electrical and Computer Engineering Student of the Year Award of the Rose-Hulman Institute of Technology, the King Abdullah University of Science and Technology (KAUST) Fellowship Award in 2010, and the KAUST Provost Award in 2011. During the course of his Ph.D. work, he was the recipient of the IEEE Antennas and Propagation Society Doctoral Research Award and the Intel Doctoral Fellowship for his work in vertically integrated inkjet fabrication for millimeter-wave applications.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SU et al.: ADDITIVELY MANUFACTURED MICROFLUIDICS-BASED “PEEL-AND-REPLACE” RF SENSORS FOR WEARABLE APPLICATIONS

Manos M. Tentzeris (S’89–M’92–SM’03–F’10) received the Diploma degree in electrical and computer engineering (magna cum laude) from the National Technical University of Athens, Athens, Greece, and the M.S. and Ph.D. degrees in electrical engineering and computer science from the University of Michigan, Ann Arbor, MI, USA. He is currently a Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, USA. He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications using ceramic and organic flexible materials, paper-based RF identifications (RFIDs) and sensors, biosensors, wearable electronics, inkjet-printed electronics, “green” electronics and power scavenging, nanotechnology applications in RF, microwave microelectromechanical systems (MEMS), system-onpackage (SOP)-integrated (ultra-wideband (UWB), multiband, millimeterwave (mmW), conformal) antennas and heads the ATHENA Research Group (20 researchers), Georgia Institute of Technology. From 2006 to 2010, he served as the Georgia Electronic Design Center Associate Director for RFID/Sensors Research and, from 2003 to 2006, as the Georgia Institute of Technology NSF-Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. In the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany. In the summer of 2009, he was a Visiting Professor with GTRI-Ireland, Athlone, Ireland. In the summer of 2010, he was a Visiting Professor with LAAS-CNRS, Toulouse, France. He has given more than 100 invited talks to various universities and companies all over the world. He has authored or coauthored more than 580 papers in refereed journals and conference proceedings, 5 books, and 21 book chapters. Dr. Tentzeris is a Member of the URSI-Commission D and the MTT-15 Committee. He is an Associate Member of the European Microwave Association (EuMA). He is a Fellow of the Electromagnetic Academy. He is a Member of the Technical Chamber of Greece. He served as an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2010–2012). He is currently a Distinguished

9

Lecturer of the IEEE Council on RFIDs (CRFID). He was the Technical Program Committee (TPC) Chair for the IEEE MTT-S International Microwave Symposium (IMS) 2008 and the Chair of the 2005 IEEE CEM-TD Workshop. He is the Vice-Chair of the RF Technical Committee (TC16) of the IEEE CPMT Society. He was the founder and has been the Chair of the RFID Technical Committee (TC24), IEEE MTT-S and the Secretary/Treasurer of the IEEE C-RFID. He has served as an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , IEEE T RANSACTIONS ON A DVANCED PACKAGING, and the International Journal on Antennas and Propagation. He was the recipient/corecipient of the 2015 IET Microwaves, Antennas and Propagation Premium Award, the 2014 Georgia Institute of Technology Electrical and Computer Engineering Distinguished Faculty Achievement Award, the 2014 IEEE RFID-TA Best Student Paper Award, the 2013 IET Microwaves, Antennas and Propagation Premium Award, the 2012 FiDiPro Award in Finland, the iCMG Architecture Award of Excellence, the 2010 IEEE Antennas and Propagation Society Piergiorgio L. E. Uslenghi Letters Prize Paper Award, the 2011 International Workshop on Structural Health Monitoring Best Student Paper Award, the 2010 Georgia Institute of Technology Senior Faculty Outstanding Undergraduate Research Mentor Award, the 2009 IEEE T RANSACTIONS ON C OMPONENTS AND PACKAGING T ECHNOLOGIES Best Paper Award, the 2009 E. T. S. Walton Award from the Irish Science Foundation, the 2007 IEEE AP-S Symposium Best Student Paper Award, the 2007 IEEE MTT-S IMS Third Best Student Paper Award, the 2007 ISAP 2007 Poster Presentation Award, the 2006 IEEE MTT-S Outstanding Young Engineer Award, the 2006 Asian–Pacific Microwave Conference Award, the 2004 IEEE T RANSACTIONS ON A DVANCED PACKAGING Commendable Paper Award, the 2003 NASA Godfrey “Art” Anzic Collaborative Distinguished Publication Award, the 2003 IBC International Educator of the Year Award, the 2003 IEEE CPMT Outstanding Young Engineer Award, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award, Beijing, China, the 2002 Georgia Institute of Technology Electrical and Computer Engineering Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, and the 2000 National Science Foundation (NSF) CAREER Award and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Respiration Rate Measurement Under 1-D Body Motion Using Single Continuous-Wave Doppler Radar Vital Sign Detection System Jianxuan Tu, Student Member, IEEE, Taesong Hwang, Member, IEEE, and Jenshan Lin, Fellow, IEEE

Abstract— Random body movement (RBM) is one of the most challenging issues in non-contact vital sign detection using Doppler radar technique. The large and irregular displacement of the human body could corrupt the vital sign signal and significantly degrade the accuracy of detection. Even the respiration rate (RR) sometimes cannot be measured accurately under RBM. In this paper, the characteristic of the frequency spectrum of the vital sign signal under body motion (the motion modulation effect) is analyzed. Based on that effect, an RR measurement method under one-dimensional (1-D) body motion is developed using only one non-contact continuous-wave (CW) Doppler radar vital sign detection system. The direction of body motion is extracted along with the new position of the respiration peaks in the frequency spectrum and RR can be calculated. Simulations of the theory using a model of the vital sign detection system are performed, followed by experiments to verify the theory. Experiments are performed on an actuator and a human subject by only one 5.8-GHz non-contact CW vital sign detection system. Under large 1-D body motion that has a displacement 5–10 times larger than the respiratory displacement, the proposed method successfully measures RR with only 7.15% error. Index Terms— Complex signal demodulation (CSD), motion modulation effect, non-contact continuous-wave (CW) Doppler radar vital sign detection system, one-dimensional (1-D) body motion, respiration rate (RR).

I. I NTRODUCTION

D

OPPLER radar has been widely used in a large number of applications. Using microwave Doppler radar to detect physiological movements can be traced back to the early 1970s [1]. The non-contact vital sign detection systems transmit a single-tone continuous-wave (CW) signal, which is reflected back from a subject and carries the information of the physiological movements of the subject in its phase. The demodulated received signal contains the information of the respiration and heartbeat of the subject. This technique enables non-contact vital sign detection of humans and animals from a distance away. With this non-contact vital sign detection

Manuscript received June 29, 2015; revised September 17, 2015, December 14, 2015, and April 21, 2016; accepted April 22, 2016. J. Tu and J. Lin are with the Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 USA (e-mail: [email protected]; [email protected]). T. Hwang was with the Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 USA. He is now with Skyworks Solutions Inc., Newbury Park, CA 91320 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2560159

capability, the CW Doppler radar can be used in many different biomedical applications. Studies were conducted to demonstrate that it can monitor the respiration and heartbeat during overnight sleep with reasonable accuracy [2], making it possible to be used for sleep apnea monitoring [3]. Studies on humans in clinical environment also used this technique as the respiratory monitor and heartbeat monitor [4]–[6]. Moreover, recent studies have shown that Doppler radar could assist the radiation therapy [7]. It was also applied to monitor the vital signs of animals [8], [9]. Among many different demodulation methods, complex signal demodulation (CSD) [10] and arctangent demodulation [11] are two commonly used methods. Their advantages and disadvantages have been studied in [12]. The self and mutual injection-locking technique is also developed for vital sign detection [13]. Although a number of successful non-contact vital sign detection systems under different conditions and for different applications have been reported in the past [2]–[15], most of the studies focus on stationary subjects. One of the most challenging issues in non-contact vital sign detection is random body movement (RBM). Since non-contact vital sign detection is based on sensing tiny physiological movements of several millimeters to several centimeters, RBM, which has a displacement comparable to or larger than the chest wall displacement due to vital signs, is a substantial noise source that can destroy the signal of interest and significantly degrades the accuracy of detection. The noise produced by RBM prevents this technique from being widely applied. Reference [10] reported a method using two radars to cancel RBM. By placing two radar systems facing the front and the back of the subject, respectively, the phase change produced by RBM has opposite signs in two radar systems. By adding the phase information after demodulation from two systems, the phase change caused by RBM can be cancelled. Reference [13] presented the self and mutual injection-locking technique and used two radar systems to cancel RBM as well. However, these methods have some drawbacks. First, they use multiple radar systems to cancel the phase change due to RBM, which increases the system complexity. Second, the alignment of different systems could be a potential bottleneck for accurate detection. Since the previous methods are based on a onedimensional (1-D) model of RBM, if the two radar systems and the human body are not aligned well, the displacement due to RBM detected by different systems will have difference and cause error in RBM cancellation.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

plus/minus signs before the vt term represent the direction of the motion. If the subject is moving toward the radar, which means the distance is getting shorter, a minus sign is applied and vice versa. After quadrature down-conversion and using the signals from the in-phase and quadrature-phase (I/Q) channels to perform CSD [10], the baseband signal can be expressed as Fig. 1.

Illustration of the detection setup of the motion modulation effect.

In order to study the RBM effect, this paper explores the vital sign detection under controlled body motion in a laboratory environment as a starting point. The characteristic of the frequency spectrum of the vital sign signal under 1-D body motion (the motion modulation effect) is analyzed in Section II. Body motion during vital sign measurement has a frequency-shift effect in the frequency spectrum of the vital sign signals. The direction and the amount of the frequency shift depend on the direction and the speed of the motion, respectively. Based on this characteristic, a method to detect the direction of 1-D body motion is developed, followed by a new method to detect the respiration rate (RR) under large 1-D body motion using only one non-contact CW Doppler radar system in Section III. Using the motion modulation effect, the respiration peaks in the shifted frequency spectrum can be located and RR can be calculated. System simulations are performed to verify the method and help to design the test system in Section IV. Based on the system simulation results, a 5.8-GHz non-contact CW Doppler radar vital sign detection system is designed and implemented. Experiments are performed to verify the performance of the proposed method and results are presented and analyzed in Section V, followed by a discussion and conclusion in Sections VI and VII. II. M OTION M ODULATION E FFECT Fig. 1 illustrates the detection setup of the motion modulation effect. In a non-contact CW Doppler radar vital sign detection system, the transmitted signal is an unmodulated signal T (t) = cos[2π f t + φ(t)] (1) with a carrier frequency f and an initial phase φ(t). It is transmitted to a subject at an initial distance of d0 away. After hitting the human body, the phase of the transmitted signal is modulated by the physiological movement x(t), which includes the chest wall displacement due to heartbeat and respiration. If the subject has 1-D body motion other than physiological movement, within a sufficiently short period of time, the motion in a single direction can be modeled as vt, where v is the speed of the motion. Neglecting the amplitude variation, the reflected signal captured by a radar receiver is represented as    2d0 4πd(t) +φ t − R(t) ≈ cos 2π f t − (2) λ c (3) d(t) = d0 ± vt + x h (t) + xr (t) where d(t) is the combined motion, and x h (t) and xr (t) are respiratory and heartbeat displacement, respectively. The

S(t) = I(t) + jQ(t)    4π x h (t) 4π xr (t) 4πvt − ∓ +φ = exp j − λ λ λ

(4)

where φ represents the total residue phase. In order to analyze the vital signs, complex fast Fourier transform (FFT) is used to show the frequency spectrum of the baseband signal. In (4), the minus sign before the vt term means the subject is moving away from the radar and vice versa. By using Bessel functions to extend the physiological movement terms in (4), we can get    4π x h (t) 4π xr (t) 4πvt − ∓ +φ S(t) = exp j − λ λ λ = dc I Q + 2 j [C10 sin(ωr t + φr )   4πvt jφ + C01 sin(ωh t + φh ) + .] · e · exp ∓ λ + 2[C20 cos(2ωr t+ 2φr )   4πvt + C02 cos(2ωh t+2φh )+ .] · e j φ ·exp ∓ (5) λ where x h (t) = m h sin(ωh t +φh ) and xr (t) = m r sin(ωr t + φr ) are the periodic body movements due to heartbeat and respiration, λ is the wavelength of the carrier signal, φ is the total residual phase, Ci j = Ji (4πm r /λ) · J j (4πm h /λ) determines the amplitude of every frequency component, Jn (.) is the nth-order Bessel function of the first kind, and dc I Q = dc I + j · dc Q is the combined dc component. In (5), the signs before the sinusoidal terms are not important since they are periodic. However, the signs before the motion terms are crucial in the analysis because they indicate the direction of the motion and the direction of the frequency shift in the baseband frequency spectrum. The amount of frequency shift can be calculated as follows: f shifted =

1 2v 4πvt · = . λ 2πt λ

(6)

If the subject moves toward the radar, the original frequency spectrum will be shifted to the positive axis of the frequency spectrum by the amount of 2v/λ. If the subject moves away from the radar, the original frequency spectrum will be shifted by the amount of 2v/λ to the negative axis. This characteristic, the motion modulation effect, was not mentioned in the previous studies [10], [13]. While studying vital sign detection under large 1-D body motion using a non-contact CW radar system, this effect needs to be considered to ensure useful information is not lost. For example, if the subject has backward body motion during the vital sign measurement and we only look at the frequency spectrum on the positive axis, the useful information on the negative axis is missed.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TU et al.: RR MEASUREMENT UNDER 1-D BODY MOTION USING SINGLE CW DOPPLER RADAR VITAL SIGN DETECTION SYSTEM

3

Fig. 3. Detection mechanism of the periodic movement frequency using motion modulation effect.

Fig. 2. Simulation results of using the motion modulation effect to detect motion direction of 1-D body motion. (a) Move backward. (b) Move forward.

Two issues need to be mentioned here. First, if we look at the frequency spectrum of the vital sign signal under RBM within a long-period time window, the motion modulation effect will not occur because the random motion in a long period of time cannot be modeled as (3). Second, if the speed v of the body motion is too large so that the corresponding shifted frequency 2v/λ is larger than half of the sampling frequency, the shifted frequency components of the vital sign signal will exceed the limit on one axis (positive or negative axis) and be folded onto the other axis (negative or positive axis) in the spectrum. For example, in the experiment, for a sampling frequency of 20 Hz and a carrier frequency of 5.8 GHz, the shifted frequency will exceed the limit when the speed v is larger than 25 cm/s. If the speed exceeds 25 cm/s, a higher sampling frequency should be used. III. M OTION D IRECTION D ETECTION AND V ITAL S IGN M EASUREMENT U NDER 1-D B ODY M OTION A. Motion Direction Detection From the theory and analysis in Section II, using the characteristic of the frequency spectrum of vital sign signal under 1-D body motion, the motion direction can be detected. Fig. 2 shows the simulation results of the motion direction detection. The original signal is a vital sign signal expressed in (4) with RR of 0.4 Hz and heart rate (HR) of 1 Hz. The carrier frequency is 5.8 GHz. Both positive and negative axes of the frequency spectrum are shown. The light grey curve shows the original spectrum. The dark black curve shows the shifted spectrum. In the simulation shown in Fig. 2(a), the

moving speed is set to be 4 cm/s and the motion direction is moving backward. Using (6), the amount of frequency shift is −1.55 Hz, which agrees with the simulation result. The highest peak at −1.55 Hz is the original dc component, which is shifted to the negative axis. The original respiration and heartbeat peaks are shifted to the left as well. In the simulation shown in Fig. 2(b), the moving speed is set to be 3 cm/s and the motion direction is moving forward, which creates a frequency shift of 1.16 Hz using (6). The highest peak at 1.16 Hz in Fig. 2(b) is the original dc component, which is shifted to the positive axis. From the theory and simulation result, the original respiration and heartbeat peaks are also shifted by the motion modulation effect. This observation is significant because it means that the detection of respiration and heartbeat under large 1-D body motion is possible using only one radar system. Here the large body motion means the magnitude of body motion is comparable or much larger than the chest wall displacement due to respiration, for example, 5–10 cm. One issue needs to be mentioned here. In Section II, body motion to a single direction is modeled as a movement with constant speed. However, in a real scenario, 1-D body motion could be an irregular back and forth movement or a singledirection movement with speed variation. In order to deal with the real scenario, we can divide a long-period time window of vital sign signal into several short-period time windows, where each short-period time window contains the movement toward one direction with a constant speed so that the model in Section II can still be used. B. Vital Sign Measurement Under 1-D Body Motion From the theory in Section II and the observation of the simulation result shown in Section III-A, the frequency of the vital signs under body motion can be detected. Fig. 3 illustrates the detection mechanism of the vital signs. The light grey curve shows the original spectrum. The dark black curve shows the shifted spectrum. To show the detection mechanism clearly, only the respiration signal is included in the simulation. The original frequencies of respiration components are f 0 and − f 0 . During the motion, the spectrum is shifted by the amount of f shifted , which can be calculated by (6). The new frequencies of the two peaks beside the shifted dc peak are f 1 and f 2 . Thus, the frequency of the respiration signal can be calculated

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

as follows:

| f1 − f2 | . (7) 2 By using the motion modulation effect, theoretically both HR and RR under 1-D body motion can be calculated. In a real scenario, however, the chest wall displacement due to heartbeat is too small as compared to the displacement due to respiration and body motion; therefore the heartbeat signal is easily corrupted by body motion and harmonic noise. Due to this reason, the measurement of HR is not included in the experiment part. A possible solution to measure HR under body motion could be identifying the harmonic peaks by advanced signal processing methods. f0 =

Fig. 4. Simulation result of the frequency spectrum of the vital sign signal under 1-D body motion with I/Q mismatch.

C. I/Q Mismatch Effect I/Q mismatch takes place after an I/Q mixer. Several reasons can cause I/Q mismatch: the defect of a mixer integrated circuit (IC) and the capacitor mismatch in the baseband amplifier path. The theoretical analysis is shown below. If there is no I/Q mismatch, assume 4π x h (t) 4π xr (t) 4πvt + + λ λ λ the signal from I/Q channels can be expressed as  I (t) = cos(θ (t)) Q(t) = sin(θ (t)) θ (t) =

(8)

(9)

the signal after CSD is shown in (10) as follows: S(t) = I (t) + j · Q(t) = exp( j · θ (t))

(10)

if I/Q mismatch appears as follows: (exp( j · θ (t)) + exp( j · −θ (t))) 2 Q(t) = sin(θ (t) + θ0 ) I (t) = cos(θ (t)) =

= sin(θ0 ) · cos(θ (t)) + cos(θ0 ) · sin(θ (t)) (exp( j · θ (t)) + exp( j · −θ (t))) = sin(θ0 ) · 2 (exp( j · θ (t)) − exp( j · −θ (t))) + cos(θ0 ) · (2 j )

(11)

where θ0 is the phase mismatch between the I and Q channels. The signal after CSD becomes S(t) = I (t) + j · Q(t) (exp( j · θ (t)) + exp( j · −θ (t))) = 2 (exp( j · θ (t)) + exp( j · −θ (t))) + j · (sin(θ0 ) · 2 cos(θ0 ) · (exp( j · θ (t)) − exp( j · −θ (t))) + (2 j ) 1 = exp( j · θ (t)) · (1 + j · sin(θ0 ) + cos(θ0 )) 2 1 + exp(− j · θ (t)) · (1 + j · sin(θ0 ) −cos(θ0 )). 2 (12) It can be observed from (12) that I/Q mismatch creates mirror frequency components in the frequency spectrum, the

Fig. 5. Simulation block diagram of a vital sign detection system built in Agilent ADS.

power of the mirrored peaks depends on the level of mismatch. Fig. 4 shows the simulation result of the frequency spectrum of the vital sign signal under 1-D body motion with I/Q mismatch. The original signal is the same as shown in Fig. 2. The phase mismatch between I and Q channels is 45◦ . One thing needs to be mentioned here. If the I/Q mismatch is greater than 90◦, the magnitude of the mirror peak will be larger than the original peak, which leads to errors in detecting the motion direction. However, if the system is well designed, the I/Q mismatch should be less than 45◦ . The I/Q mismatch effect can be observed in the experiment results in Section V. IV. S YSTEM S ETUP AND S IMULATION System-level simulations are performed in the Keysight Advanced Design System (ADS) to demonstrate the motion modulation effect in vital sign detection. Conditions of a human subject in a stationary state and on a track are simulated. A CW Doppler radar model is built and used to investigate and verify the theory. A. Simulation Block Diagram of Vital Sign Detection System Fig. 5 shows the simulation block diagram of the vital sign detection system in ADS. The detection system consists of a transmitter, a receiver, and a CW Doppler radar model. A local oscillator (LO) generates a 5.8-GHz single-tone signal source

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TU et al.: RR MEASUREMENT UNDER 1-D BODY MOTION USING SINGLE CW DOPPLER RADAR VITAL SIGN DETECTION SYSTEM

5

and the subject is fixed, dstationary(t) = d0

(13)

where d0 is the initial distance between a transmitter/receiver and the subject. For a person moving on a track, the distance is described as (3). The received RF signal in the two conditions is described as (2). The displacement contains heartbeat and respiration information [10], which can be described as follows: (14) x(t) = m h sin ωh t + m r sin ωr t

Fig. 6. Block diagram showing the components deployed in the Doppler radar model shown in Fig. 5.

and the signal source amplified by a variable gain amplifier (VGA) and a gain block is transmitted by a transmitting antenna (TXA). The receiver has a direct down-conversion architecture, which eliminates the need for an image rejection filter and makes the system simple. The received signal is amplified by a low-noise amplifier (LNA) and gain blocks. A quadrature mixer is used to resolve the null-point issue [10]. The transmitted signal is used to down-convert the received RF signal to baseband. Fig. 6 shows the components deployed in the CW Doppler radar model shown in Fig. 5. The Doppler radar model demonstrates the effect of the displacement of the subject. The Doppler radar model consists of power combiners, a power splitter, phase shifters, voltage multipliers, an attenuator that accounts for signal attenuation during transmission, and signal sources that emulate 1-D body motion (V1), heartbeat (V2), and respiration (V3). The upper and lower branches generate baseband I/Q components of 1-D body motion, heartbeat, and respiration using V1, V2, and V3, respectively. The amplitude and sign of the Doppler shift due to the subject motion are considered in the simulations. Furthermore, the amplitudes of respiration and heartbeat are calculated using the Bessel function of the first kind.

B. Spectral Analysis A single-tone signal at 5.8 GHz is transmitted and this signal is used to down-convert the received RF signal to baseband. The received baseband signal is analyzed to extract the vital signs of a subject. The phase of the baseband signal is modulated by the movement of the subject and contains the information of vital signs. The transmitted signal is expressed as (1). We consider two different conditions: a person in a stationary state and a person moving on a track. For a person in a stationary state, the distance between the transmitter/receiver

where m h and m r are the amplitudes of heartbeat and respiration, and ωh and ωr are the angular frequencies of heartbeat and respiration. The baseband signals at the receiver in the two conditions can be described as follows:     ∞ ∞ 4πm h 4πm r Jk Jl Bstationary(t) ≈ B k=−∞ l=−∞ λ λ   4πd0 + φ(t) × cos kωr t + lωh t − λ (15)  4πm h 4πm r Jl Btrack (t) ≈ B Jk k=−∞ l=−∞ λ λ   4πd0 + φ(t) × cos kωr t + lωh t − λ (16) ∞









where Jn (.) is the nth-order Bessel function of the first kind and φ(t) is the total residual phase noise. The phase shift 4πvt/λ is due to the movement of the subject on a track. C. Simulation Results When a person is in a stationary state, 1-D body motion (V1) is zero. The parameters of the components used in the simulations are based on a vital sign detection system we have built. The parameters are adjusted to meet the link budget requirement. Envelope simulations in ADS are performed to demonstrate the vital sign detection system in the two conditions. The transmitted power level is set to be about 10.5 dBm. The frequencies of heartbeat and respiration of the subject in a stationary state are set to be 0.2 and 1 Hz, respectively. In order to calculate the amplitudes of heartbeat and respiration, the Bessel function jn (n, x) in ADS is used in the simulations. The function jn (n, x) in ADS computes the Bessel function of the first kind and returns a real number where n is the order and x is the value of 4πm h /λ or 4πm r /λ. The values of m r and m h used in the simulations are 1 and 0.08 cm, respectively. Fig. 7 shows the spectra of the transmitted signal T (t) and received signal B(t) when the subject is in a stationary state (dstationary(t) = d0 = 1.5 m). The power of the transmitted signal is 10.594 dBm. From the spectrum of the received signal after LNA in Fig. 7(b), the frequencies of respiration and heartbeat are well detected in the simulations. The spectrum is plotted by subtracting RF carrier frequency in the x-axis. The intermodulation and harmonic terms can be calculated using (15) and be observed in Fig. 7(b).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Block diagram of the 5.8-GHz non-contact CW Doppler radar vital sign detection system.

RF carrier frequency in the x-axis. The movement speed is set to be 4 cm/s. From Fig. 8, it can be observed that the respiration and heartbeat peaks are shifted to the negative axis of the spectrum, which agrees with the analysis in Section III. We can observe that the shifted frequencies of respiration and heartbeat peaks are at −1.35 and −0.55 Hz, which are shifted by 1.55 Hz due to the movement ±vt on a track. The result agrees with the theoretical calculation results using (6), 2(0.04) 2v =∓ ≈ ∓1.55 Hz. (17) λ 0.0517 Comparing the ADS system simulation result in Fig. 8 with the theoretical analysis in Fig. 2(a), several differences should be mentioned. First, the dc term caused by direct coupling between transmitting and receiving antennas and background reflections is not simulated in the system simulation. Second, only a single-sideband modulated RF signal is used in the ADS system simulation. As a result, Fig. 8 only shows the modulated signal on the right side of the carrier. ∓f =∓

Fig. 7. Spectra of the transmitted signal and received signal when the subject is in a stationary state. (a) Spectrum of the transmitted signal T (t) with a power of 10.594 dBm at marker m1. (b) Normalized spectrum of the received signal after LNA. Marker m1: respiration peak at 0.2 Hz. Marker m2: heartbeat peak at 1 Hz. Other peaks are intermodulation and harmonic terms.

V. E XPERIMENT R ESULTS

Fig. 8. Normalized spectrum of the received signal after LNA when the subject is moving away from the transmitter/receiver. Marker m1: shifted respiration peak at 0.2 − 1.55 = −1.35 Hz. Maker m2: shifted heartbeat peak at 1 − 1.55 = −0.55 Hz. The dashed arrow indicates RF carrier is shifted by −1.55 Hz.

Fig. 8 shows the normalized spectrum of the received signal after the LNA when the subject is moving away from a transmitter/receiver. The spectrum is also plotted by subtracting

After the analysis and simulation, experiments were performed in the laboratory environment using only one 5.8-GHz non-contact CW Doppler radar vital sign detection system to verify the theory and simulation in Sections III and IV. The system was designed and implemented based on the simulation in Section IV. Fig. 9 illustrates the block diagram of the system. Fig. 10 shows photographs of the fabricated board (16.1 cm × 7.2 cm) and the test setup. The two-by-two patch antenna has a gain of around 6 dB. The half-power beamwidth (HPBW) is around 60◦ to ensure coverage and reduce the cluttering noise. A VGA is implemented to control the transmitted power for different measurement conditions. The transmitted power can be varied within 11–19 dBm. The I/Q mixer uses the transmitted signal as the LO to generate the I/Q signal for CSD. The micro-controller unit (MCU) has a built-in A/D convertor (ADC) to digitize the detected signal and generates control signals for the ZigBee module and VGA. The total power consumption of the circuit board is around 3 W. The design of the fabricated radar system board and component specifications are described in more details in [16]. The experiments were first performed on an

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TU et al.: RR MEASUREMENT UNDER 1-D BODY MOTION USING SINGLE CW DOPPLER RADAR VITAL SIGN DETECTION SYSTEM

7

Fig. 11. Two typical experiment results (spectra) of the actuator test. (a) Move backward. (b) Move forward.

Fig. 10. Photographs of the radar system board and the test setup. (a) Front view. (b) Back view. (c) Test setup of the human test.

actuator and then on a human subject. For each kind of subject, typical measurement results are first presented and analyzed to compare with the simulation results, followed by statistical data to verify the performance of the method. A. Actuator Test Results The subject is a square plate with an aluminum foil on the surface fastened to an actuator (T-LA60A-S) vibrating at a certain frequency. The actuator was fastened on a cart and facing the radar system. The large motion was emulated by pulling and pushing the cart back and forth slowly in the direction, which was perpendicular to the surface of the reflecting plate. The actuator vibrated at 0.4 Hz. The motion directions were recorded during the experiments. Fig. 11 shows two of the experiment results of the actuator test. Fig. 11(a) shows the frequency spectrum of an experiment under the condition that the subject moved backward. The spectrum shows that the original frequency components are shifted to the negative axis of the spectrum. The direction detected agrees with the record. Fig. 11(b) shows another experiment result of the motion direction detection. From the record, the subject was moving toward the radar. It can be observed from the frequency spectrum that the frequency components are shifted to the positive axis, which means the subject moves toward the radar and the detection result agrees with record. Since both results use a 5-s time window, the resolution of the frequency

spectrum is low. However, it can be observed from Fig. 11 that the results agree with the theory and simulation. The direction of movement and speed of movement can be extracted from the frequency spectrum. The I/Q mismatch effect, which is mentioned in Section III-C, can also be observed. If we only look at the positive axis of the frequency spectrum and use the peak detection method to measure the frequency, either the frequency of the shifted dc component or the harmonics could be mistakenly identified as the vibration frequency and the result would be incorrect. From the position of the dc peak, the speed of the movement is calculated using (6), which gives 1.2 cm/s from Fig. 11(a) and 0.88 cm/s from Fig. 11(b). Using (7), f 1 and f 2 are the highest peaks on the left and right sides of the shifted dc component. The frequency of the periodical movement is extracted as follows: in Fig. 11(a), the frequency is (0.84 − 0.11)/2 = 0.365 Hz; in Fig. 11(b), the frequency is (0.79 − 0.05)/2 = 0.37 Hz. The results are very close to 0.4 Hz. Two sets of experiments were performed to verify the performance of the proposed method. The cart carrying the actuator moved back and forth slowly in the direction perpendicular to the surface of the reflecting plate. The frequencies of the actuator were set to be 0.5 and 1 Hz. For each frequency, several tests were performed. Fig. 12 shows the statistical results of the actuator test. The errors of the 0.5- and 1-Hz test set are 7.19% and 6.52%, respectively. B. Human Test Results For a human subject, the respiration frequency can be calculated using (7). Two sets of experiments, single-direction body movement test and bidirectional body movement test,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Statistical results of actuator test: the frequencies of the actuator are set at 0.5 and 1 Hz.

Fig. 13. Typical experiment result (spectrum) of single-direction body movement test.

were performed in a laboratory environment. Both experiment sets were performed under the condition that the amount of body motion displacement was either comparable to or much larger than the chest wall displacement due to respiration. To perform the single-direction body movement test, the subject was asked to stay stationary and keep normal breathing for several seconds as a baseline for RR measurement. The subject was then asked to move back or forth slowly for several seconds. The speed of motion was controlled to be around 0.5 to 2 cm/s and the backward or forward movement lasted around 3–10 s. The vital sign data and motion direction were recorded during the experiments. Fig. 13 shows one of the experiment results in a 10-s window. The subject was moving backward. The extracted movement speed is about 1.2 cm/s. From the baseline measurement, RR is 0.25 Hz. Using (7), the measurement result of RR is (0.72 − 0.22)/2 = 0.25 Hz, which agrees with the baseline measurement. In a real scenario of 1-D body motion, a subject moves back and forth unintentionally. In order to verify the performance of the proposed method, the subject was asked to move back and forth continuously to perform a bidirectional body movement test. In this set of experiments, each move to a single direction would last about 5 s. Before each bidirectional movement, the subject was again asked to stay stationary for several seconds to measure the baseline RR. To measure RR, the received data were divided into several time windows by recognizing the changes in the time and frequency domains due to the change in direction. Each time window contained the data of a singledirection motion. RR was extracted from each time window and then averaged.

Fig. 14. Experiment results of a bidirectional body movement test. (a) 0–5 s, RR = 0.38 Hz. (b) 5-10 s, RR = 0.29 Hz. (c) 10-15 s, RR = 0.41 Hz.

Fig. 14 shows the results of one bidirectional body movement test. The total length of the time window is 15 s. The baseline measurement of RR is 0.32 Hz. Fig. 14(a)–(c) shows the spectra of 0–5, 5–10, and 10–15 s, respectively. From the spectra, the detected motion pattern is forward– backward–forward, which agrees with the record. RR is determined using the same method shown in Fig. 13 for each motion direction. The RR measurement result of this test set is the average of results from three motions, which gives (0.38 + 0.29 + 0.41)/3 = 0.36 Hz. Table I shows the statistical results of the RR measurement under 1-D body motion. For each test set, the subject could move toward a single direction or move back and forth. The results of RR from each motion direction are averaged to obtain the RR measurement result for each test set and the error percentage for each test is calculated as follows: error percentage =

|RRaverage − RR baseline| × 100%. RRbaseline

(18)

The total error percentage of human test is 7.15%, which is calculated by averaging the error percentages of all test sets. Several error sources should be listed here. First, RR during the motion could vary and be different from the baseline

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TU et al.: RR MEASUREMENT UNDER 1-D BODY MOTION USING SINGLE CW DOPPLER RADAR VITAL SIGN DETECTION SYSTEM

TABLE I RR M EASUREMENT R ESULTS U NDER 1-D B ODY M OTION

measurement. Second, the harmonics caused by RBM could degrade the accuracy. Third, the insufficient spectrum resolution could make it hard to locate the peaks precisely. Lastly, the clutter noise from the surrounding environment could raise the noise floor of the spectrum. VI. D ISCUSSION The theoretical analysis, simulations, and experiments in the previous sections present a new method to measure RR under 1-D body motion using only one non-contact CW Doppler radar vital sign detection system with reasonable accuracy. However, the study is based on several assumptions and has some limitations, which need to be discussed here. First, the displacements of the chest wall due to heartbeat and respiration are modeled as single-tone sinusoidal signals. The reason is to simplify the analysis [10], [12]. In a real scenario, the displacements do not necessarily have sinusoidal waveforms. A real respiration displacement waveform can be decomposed to a fundamental tone and a series of harmonics. Each decomposed frequency component can be analyzed and extracted using spectral analysis, which is similar to the analysis of the fundamental tone in (5), and contributes to the harmonics of the fundamental tone. Second, 1-D body motion in a single direction within a sufficiently short period of time is modeled as a constantspeed movement. In a real scenario, such as RBM that has complex characteristics, the speed of the body motion could be non-constant. If the speed of motion varies during a chosen

9

time window, according to (6), the amount of shifted frequency will be varying, which leads to a broader Doppler-shifted tone or multiple Doppler-shifted tones. To deal with this issue, a shorter time window might be necessary to identify the variation of the speed and keep a relatively constant-speed motion in each time window. If the variation of the speed in a chosen time window is within a certain range, the constantspeed assumption can still hold for an acceptable detection error. The challenge is that, for a short-period time window, the frequency spectrum resolution might be insufficient to accurately identify the peaks in the spectrum, which could become the dominant source of error. However, since the proposed method is based on calculating the difference between the shifted peaks, even if a motion with complex characteristics causes broader peaks or multiple peaks, the proposed method could still get a reasonable result. Third, the study assumes 1-D body motion. For a potential application in 2-D or 3-D body motion, the 1-D motion along the direction of the chest wall displacement and the method proposed in this paper can still be used. The potential challenge is that 2-D or 3-D body motion could make the 1-D motion along the direction of the chest wall displacement more complex and corrupt the vital sign displacement more severely. Finally, since the proposed detection method is based on locating the peaks of the vital signs after the frequency shift, a heartbeat signal can easily be corrupted by the harmonics close to the heartbeat peaks. The sources of the harmonics could be body motion, RR, demodulation method, and the clutter noise from the environment [12]. Measuring HR accurately using the proposed method presents additional challenges. The detection of HR under body motion using a single radar system will need further study. Since the proposed method has some limitations and needs further study, the suggested application in current development stage is for relatively stable environment, such as sitting in a chair or on a bench. In order to resolve the limitations of the proposed method, from the signal-processing perspective, a more advanced signal-processing method could be used to enhance the spectrum resolution and suppress the harmonics. From the system perspective, other hardware using different architectures, such as the injection-locking technique [13], beam-steering antenna [15], low-IF architecture [17], six-port radar [18], and frequency-modulated continuous wave (FMCW) radar [19], and different frequencies [20] can also be considered. Future solutions for the RBM problem could be combinations of innovative hardware architectures and advanced signal-processing techniques. VII. C ONCLUSION This paper has studied the characteristics of the vital sign signal under 1-D body motion—the motion modulation effect. Based on this effect, this work presents a new method to simultaneously detect motion direction and measure RR under large 1-D body motion using only one CW Doppler radar. A theory was developed and the modeling and simulations of the vital sign detection system were performed. Experimental results on an actuator and a human subject verified the theory and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

simulation. RR under 1-D body motion can be successfully measured using the proposed method while HR measurement under RBM needs further study. The study of the motion modulation effect could be a starting point for future solutions for the RBM effect. R EFERENCES [1] J. C. Lin, “Noninvasive microwave measurement of respiration,” Proc. IEEE, vol. 63, no. 10, p. 1530, Oct. 1975. [2] C. Li, J. Lin, and Y. Xiao, “Robust overnight monitoring of human vital signs by a non-contact respiration and heartbeat detector,” in 28th Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2006, pp. 2235–2238. [3] N. A. Fox, C. Heneghan, M. Gonzalez, R. B. Shouldice, and P. D. Chazal, “An evaluation of a non-contact biomotion sensor with actimetry,” in Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2007, pp. 2664–2668. [4] W. Massagram, V. M. Lubecke, and O. Boric-Lubecke, “Microwave noninvasive sensing of respiratory tidal volume,” in Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2009, pp. 4832–4835. [5] A. D. Droitcour et al., “Non-contact respiratory rate measurement validation for hospitalized patients,” in Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2009, pp. 4812–4815. [6] O. Postolache, P. S. Girao, E. Lunca, P. Bicleaknu, and M. Andrusca, “Unobtrusive cardio-respiratory monitoring based on microwave Doppler radar,” in Int. Elect. Power Eng. Conf. and Expo., 2012, pp. 597–600. [7] C. Gu et al., “Accurate respiration measurement using DC-coupled continuous-wave radar sensor for motion-adaptive cancer radiotherapy,” IEEE Trans. Biomed. Eng., vol. 59, no. 11, pp. 3117–3123, Nov. 2012. [8] A. Singh, S. Lee, M. Butler, and V. M. Lubecke, “Activity monitoring and motion classification of the lizard chamaeleo jacksonii using multiple Doppler radars,” in Annu. IEEE Int. Eng. Med. Biol. Soc. Conf., 2012, pp. 4525–4528. [9] N. Hafner, J. Drazen, and V. Lubecke, “Fish heart rate monitoring by body-contact Doppler radar,” IEEE Sensors J., vol. 13, no. 1, pp. 408–414, Jan. 2012. [10] C. Li and J. Lin, “Complex signal demodulation and random body movement cancellation techniques for non-contact vital sign detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 567–570. [11] B. Park, O. Boric-Lubecke, and V. M. Lubecke, “Arctangent demodulation with DC offset compensation in quadrature Doppler radar receiver systems,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 5, pp. 1073–1079, May 2007. [12] J. Tu and J. Lin, “Respiration harmonics cancellation for accurate heart rate measurement in non-contact vital sign detection,” in IEEE MTT-S Int. Microw. Symp. Dig., 2013, pp. 1–3. [13] F.-K. Wang, T.-S. Horng, K.-C. Peng, J.-K. Jau, J.-Y. Li, and C.-C. Chen, “Single-antenna doppler radars using self and mutual injection locking for vital sign detection with random body movement cancellation,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3577–3587, Dec. 2011. [14] C. Li, J. Ling, J. Li, and J. Lin, “Accurate Doppler radar noncontact vital sign detection using the RELAX algorithm,” IEEE Trans. Instrum. Meas., vol. 59, no. 3, pp. 687–695, Mar. 2010. [15] C.-M. Nieh and J. Lin, “Adaptive beam-steering antenna for improved coverage of non-contact vital sign radar detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa, FL, USA, Jun. 2014, pp. 1–3. [16] J. Tu and J. Lin, “Fast acquisition of heart rate in noncontact vital sign radar measurement using time-window-variation technique,” IEEE Trans. Instrum. Meas., vol. 65, no. 1, pp. 112–122, Jan. 2016. [17] C. Wei and J. Lin, “Digitally assisted low IF architecture for noncontact vital sign detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, Jun. 2015, pp. 1–4. [18] G. Vinci et al., “Six-port radar sensor for remote respiration rate and heartbeat vital-sign monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2093–2100, May 2013. [19] S. Ayhan, M. Pauli, T. Kayser, S. Scherr, and T. Zwick, “FMCW radar system with additional phase evaluation for high accuracy range detection,” in Proc. Eur. Radar Conf., Oct. 2011, pp. 117–120. [20] T. Y. J. Kao, A. Y. K. Chen, Y. Yan, T.-M. Shen, and J. Lin, “A flipchip-packaged and fully integrated 60 GHz CMOS micro-radar sensor for heartbeat and mechanical vibration detections,” in IEEE Radio Freq. Integr. Circuits Symp., 2012, pp. 443–446.

Jianxuan Tu (S’13) received the B.S. degree in information science and technology from Southeast University, Nanjing, China, in 2010, and the Ph.D. degree in electrical engineering from the University of Florida, Gainesville, FL, USA, in 2015. His research interests include Doppler radar system and measurement algorithms for non-contact vital sign detection on humans and animals. Dr. Tu is currently a Reviewer for the IEEE T RANSACTIONS ON I NSTRUMENTATION AND M EASUREMENT. Taesong Hwang (S’12–M’14) received the B.E. degree in electronic engineering from Dong-A University, Busan, Korea, in 2009, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Florida, Gainesville, FL, USA, in 2011 and 2014, respectively. He is currently with Skyworks Solutions Inc., Newbury Park, CA, USA, where he is involved in design of high-volume power-amplifier products and sub-systems for cellular handset applications. His research interests include high-efficiency linear power amplifiers, linearization techniques for power amplifiers, and transformers in an integrated passive device (IPD) scheme. Dr. Hwang is currently a Reviewer for IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS , the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , and the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —PART I: R EGULAR PAPERS . Jenshan Lin (S’91–M’94–SM’00–F’10) received the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), Los Angeles, CA, USA, in 1994. From 1994 to 2001, he was with AT&T Bell Laboratories (which later became Lucent Bell Laboraties), Murray Hill, NJ, USA, and from 2001 to 2003, with its spin-off Agere Systems. In July 2003, he joined the University of Florida, Gainesville, FL, USA, as an Associate Professor and became a Professor in August 2007. In the summer of 2006, he was a Visiting Professor with National Taiwan University. During the summer of 2010, he was a Visiting Researcher with NTT, Atsugi, Japan. In the summer of 2012, he was a Visiting Chair Professor with National Sun Yat-Sen University, Kaohsiung, Taiwan. In 2014, he was appointed as an Honorary Chair Professor of National Taiwan University of Science and Technology. He has authored or coauthored over 250 technical publications in refereed journals and conferences proceedings. He holds 15 U.S. patents. His research interests include sensors and biomedical applications of microwave and millimeterwave technologies, wireless power transfer, wireless communication systems, and power amplifiers. Dr. Lin has served on several committees of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). From 2006 to 2011, he was an elected Member of the IEEE MTT-S Administrative Committee (AdCom), where he served as the Chair of the Technical Coordinating Committee from 2010 to 2011. He is a Member of the IEEE MTT-S Technical Committees MTT-20 (Wireless Communications), MTT-23 (RFIC), and MTT-26 (Wireless Energy Transfer and Conversion). From 2006 to 2010, he was an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECH NIQUES . He is currently the Editor-in-Chief of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He serves on the Editorial Advisory Board of the Cambridge University Press’ “RF and Microwave Engineering Series.” He has been a Member of several conference committees, including the IEEE MTT-S International Microwave Symposium (IMS), the Radio Frequency Integrated Circuits Symposium (RFIC), the Radio and Wireless Symposium (RWS), the International Wireless Symposium (IWS), and the Asia–Pacific Microwave Conference (APMC). He was the General Chair of the 2008 RFIC Symposium, the Technical Program Chair of the 2009 Radio and Wireless Symposium, and the General Co-Chair of the 2012 Asia–Pacific Microwave Conference. He was the recipient of the 1994 UCLA Outstanding Ph.D. Award, the 1997 Eta Kappa Nu Outstanding Young Electrical Engineer Honorable Mention Award, the 2007 IEEE MTTS N. Walter Cox Award, and the National Chiao Tung University (NCTU) Distinguished Alumnus Award in 2016. He was also a five-time recipient of the University of Florida Technology Innovator Award from 2011 to 2015.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Photonic Approach to Linearly Chirped Microwave Waveform Generation With an Extended Temporal Duration Jiejun Zhang, Student Member, IEEE, Olympio Lucchini Coutinho, Member, IEEE, and Jianping Yao, Fellow, IEEE Abstract— A photonic approach to linearly chirped microwave waveform generation with an extended temporal duration is proposed and experimentally demonstrated. The linearly chirped microwave waveform generation is realized based on spectral-shaping and wavelength-to-time mapping, in which a Fabry–Perot (FP) interferometer with a linearly increasing or decreasing free spectral range is used to as a spectral shaper, and the wavelength-to-time mapping is realized using a recirculating dispersive loop with a large equivalent dispersion coefficient realized by allowing the spectrally shaped optical pulse to travel in the dispersive loop multiple times. The generation of two linearly chirped microwave waveforms at two different frequency bands with two temporal durations of 25 and 42 ns and a time-bandwidth product (TBWP) of 210 is experimentally demonstrated. Index Terms— Chirped waveform generation, fiber Bragg grating, microwave photonics, time-bandwidth product (TBWP), wavelength-to-time mapping.

I. I NTRODUCTION ARGE time-bandwidth product (TBWP) microwave waveforms can find numerous applications in radar [1], [2], spread-spectrum communications [3], microwave computed tomography [4], and modern instrumentation. For example, in a radar system, a linearly chirped microwave waveform that has a large TBWP can be used to improve the range resolution. Linearly chirped microwave waveforms are commonly generated by electronic means by which the temporal duration can be long. However, due to the limited speed of electronic circuits, the bandwidth and the central frequency of a linearly chirped microwave waveform generated electronically are usually limited to a few gigahertz [5]–[7]. A linearly chirped microwave waveform with a bandwidth and central frequency as high as tens or hundreds of GHz is needed to improve the spatial resolution in a modern radar system.

L

Manuscript received June 15, 2015; revised September 17, 2015, November 16, 2015, January 23, 2016, and February 25, 2016; accepted April 22, 2016. This work was supported by the Natural Science and Engineering Research Council (NSERC) of Canada. The work of J. Zhang was supported by the China Scholarship Council. J. Zhang and J. Yao are with the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). O. L. Coutinho is with the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada K1N 6N5, and also with the Instituto Tecnológico de Aeronáutica, São José dos Campos 12228-900, Brazil. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2558648

Recently, numerous photonic techniques have been proposed and demonstrated to the generation of large TBWP microwave waveforms [8], such as space-to-time pulse shaping [9]–[11], spectral-shaping and wavelength-to-time mapping [12]–[19], temporal pulse shaping [20], optical heterodyne [21], and using a microwave photonic filter [22] or an optoelectronic oscillator (OEO) [23]. A space-to-time pulseshaping system is usually implemented with a spatial light modulator (SLM), which provides flexibility in updating in real time the pattern on the SLM and enables the generation of an arbitrary microwave waveform. The major limitations of using an SLM are the relatively high loss and large size [9], [10]. Although the SLM in a space-to-time pulseshaping system can be replaced by an arrayed waveguide grating, the duration of the generated waveform is still limited, in a range of tens of picoseconds, due to the relatively small channel number of an arrayed waveguide grating, developed usually for wavelength-division multiplexing (WDM) communications applications [11]. Microwave waveform generation by temporal pulse shaping, using a microwave photonic filter, or an OEO, also has the limitation of small temporal duration. Microwave waveforms generated by optically heterodyning two optical waveforms from two laser sources have poor phase-noise performance [21] since the optical waveforms from two free-running laser sources are not phase correlated, or a sophisticated optical phase-locked loop (OPLL) should be used to lock the phase terms of the two laser sources, making the system complicated and costly. On the other hand, a spectral-shaping and wavelength-totime mapping waveform generation system is usually simpler, more flexible, and more cost effective as compared with the systems based on other techniques. In spectral-shaping and wavelength-to-time mapping, the spectral shaper, which is an optical filter designed with a specific spectral response, is used to change the spectrum of an ultra-short pulse. The spectrum of the spectrally shaped pulse can be mapped to the time domain by using a dispersive element, to generate a temporal waveform with a shape that is identical to the spectrum of the spectrally shaped pulse. Hence, to generate a linearly chirped microwave waveform, the optical filter should have a spectral response with a free spectral range that is linearly increasing or decreasing. In [12], a fiber-optic spectral shaper with a Michelson interferometer structure that uses two linearly chirped fiber Bragg gratings (LCFBGs) as two optical reflectors was demonstrated for linearly chirped

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

microwave waveform generation. Due to the wavelengthdependent arm length difference of the Michelson interferometer, a linearly decreasing free spectral range is achieved, which is used for linearly chirped microwave waveform generation. In addition to the operation as two reflectors, the LCFBGs also function jointly as a dispersive element that can perform linear wavelength-to-time mapping. A TBWP of around 15 for the generated linearly chirped microwave waveform was achieved. In [13], two LCFBGs with different chirp rates that are fabricated and superimposed in a fiber to function as a spectral shaper. The two LCFBGs are used to constitute a Fabry–Perot (FP) cavity that has a linearly decreasing or increasing free spectral range due to the wavelength-dependent cavity length. A linearly chirped microwave waveform with a TBWP of 37.5 was achieved using the spectral shaper. In [14], a spectral shaper implemented using a Sagnac loop mirror with an LCFBG in the loop was reported. Again, the free spectral range of the spectral shaper is linearly decreasing or increasing due to the wavelength-dependent loop length. The TBWP of the experimentally generated linearly chirped microwave waveform was 44.8. In [15] , a tilted fiber Bragg grating (TFBG) fabricated in an erbium/ytterbium co-doped fiber was used as a spectral shaper, of which the spectral response can be tuned by optically pumping the TFBG. A linearly chirped microwave waveform with a tunable chirp rate from 1.8 to 7 GHz/ns was experimentally generated. In [16], a reconfigurable waveform generator with a bandwidth up to 60 GHz was realized using a silicon photonic chip. However, the waveforms generated in [15] and [16] are limited to have a temporal duration of 1 ns with a TBWP of less than 60. In [17], microwave waveform generation based on spectral-shaping and wavelength-to-time mapping was proposed, in which an electrically stabilized Mach–Zehnder interferometer (MZI) was used to spectrally shape the spectrum of a short optical pulse and a dispersion compensating fiber (DCF) was used to perform wavelength-to-time mapping. A linearly chirped microwave waveform with a temporal duration of 16 ns and a TBWP of 589 were achieved. Using a similar scheme, a TBWP of 600 was achieved thanks to the use of a photodiode with a large bandwidth of over 175 GHz [18]. However, the temporal duration of only 15 ns was still small for many applications. In [19], a linearly chirped microwave waveform with an arbitrarily long temporal duration was achieved by stitching a series of individual linearly chirped microwave waveforms with different initial phases. In the system in [19], an individual linearly chirped microwave waveform was generated based on spectral-shaping and wavelength-to-time mapping, in which a programmable optical filter and two modulators were employed. The system is very complicated. In addition, the temporal duration of an individual linearly chirped microwave waveform was only 5 ns, which was again limited by the small dispersion of the dispersive device for wavelengthto-time mapping. Although the techniques in [12]–[19] can be used to generate a wideband linearly chirped microwave waveform at a high carrier frequency of over tens of GHz, the temporal duration is small, which is fundamentally limited by the achievable maximum time delay of the dispersive device used for wavelength-to-time mapping. For example, the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Schematic diagram of the microwave waveform generation system. Synchronization: Syn; mode-locked laser: MLL; arbitrary waveform generator: AWG; Mach–Zehnder modulator: MZM; optical circulator: OC; linearly chirped fiber Bragg grating: LCFBG; attenuator: ATT; erbium-doped fiber amplifier: EDFA; photodetector: PD.

maximum time delay of an LCFBG is only a few nanoseconds, limited by its physical length [24]. Although a DCF with a length of tens of kilometers can achieve a larger dispersion, the high insertion loss needs to be compensated by a fiber amplifier, such as a distributed Raman amplifier, making the system very complicated [25]. For many applications, a simple and cost-effective approach to generate a microsecond or submillisecond long microwave waveform is needed. In this paper, a microwave waveform generator to generate a linearly chirped microwave waveform with an extended temporal duration by a repetitive multi-time use of an LCFBG in a fiber-optic recirculating loop is proposed and experimentally demonstrated. In our recent work reported in [26], a simple experiment was performed to prove the concept. In this paper, a comprehensive analysis is provided, which is then verified by more detailed experiments. In addition to the increase in the temporal duration, we also demonstrate that the central frequency of the generated linearly chirped microwave waveform can be tuned. In the proposed system, the spectral shaper is an FP interferometer incorporating two LCFBGs with complementary chirps to constitute an FP cavity with a linearly decreasing or increasing free spectral range. The spectrum of an ultra-short optical pulse is shaped by the FP interferometer. The pulse is then directed into a dispersive loop consisting of a third LCFBG. Since the optical pulse is temporally stretched multiple times when reflected by the third LCFBG multiple times, a linearly chirped microwave waveform with an extended temporal duration that exceeds the physical length of the third LCFBG can be generated. Note that although a similar dispersive loop has been used in [24], it is for a different application where fast signal sampling is implemented. This paper is organized as follows. The operation principle of the proposed system is theoretically analyzed in Section II. An experiment to verify the operation of the proposed system is reported in Section III. A conclusion is drawn in Section IV. II. P RINCIPLE Fig. 1 shows the schematic diagram of the microwave waveform generation system. An ultra-short optical pulse train is generated by a mode-locked laser (MLL) source. A repetitionrate-reduction module consisting of a Mach–Zehnder modulator (MZM) and an arbitrary waveform generator (AWG) is used to realize the repetition rate reduction of the pulse train to avoid the overlapping of adjacent pulses when temporally

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: PHOTONIC APPROACH TO LINEARLY CHIRPED MICROWAVE WAVEFORM GENERATION WITH EXTENDED TEMPORAL DURATION

stretched by the LCFBG in the dispersive loop. A gate signal is generated by an AWG with a repetition rate equal to that of the repetition-rate-reduced pulse train. The pulse train is then sent via an optical circulator (OC1) to an FP interferometer formed by two complementary LCFBGs (LCFBG1 and LCFBG2), which is used as the spectral shaper. An erbiumdoped fiber amplifier (EDFA1) is employed after the MZM to compensate for the loss of the repetition-rate-reduction module. The pulse train is then launched into a dispersive loop, in which a third LCFBG (LCFBG3) is incorporated via a second optical circulator (OC2). In the dispersive loop, a second EDFA (EDFA2) is employed to provide an optical gain, followed by an attenuator (ATT) to balance the gain to be slightly less than 1 to avoid lasing. The temporally stretched pulse is finally detected by a photodetector via a 2 × 2 optical coupler. A linearly chirped microwave waveform with an extended temporal duration is obtained at the output of the photodetector. Assuming that the dispersion coefficients of LCFBG1 and ¨ 1 when looking into ¨ 1 and − LCFBG2 are, respectively,  from the second port of OC1, the cavity length of the FP interferometer for a light wave with an angular frequency of ω is given by [24] L (ω) = d +

¨ 1 (ω − ωs ) 2c 2cτ (ω) =d+ n eff n eff

(1)

where τ (ω) is the time delay caused by LCFBG1 and LCFBG2 for a lightwave with an angular frequency of ω resonating in the FP interferometer; c is the light velocity in vacuum; n eff is the effective refractive index of the optical fiber; and ωs denote the lowest optical angular frequencies within the reflection bands of LCFBG1 and LCFBG2. The free spectral range of the FP interferometer can then be calculated by ωFSR =

πc 2πc = . ¨ 1 (ω − ωs ) 2n eff L (ω) n eff d + 2c

(2)

Since both LCFBG1 and LCFBG2 are fabricated with low reflectivities, the reflection spectrum of the FP interferometer should have an interference pattern within the reflection spectrum of LCFBG1 and LCFBG2. A simulated spectrum of an FP interferometer formed by two identical LCFBGs, with an identical reflectivity of 10% and a bandwidth of 4 nm centering at 1551 nm, is given in Fig. 2. For comparison, an ideal linearly chirped sinusoidal function is also shown (in log scale). It can be seen that such an FP interferometer has a spectral response that is similar to the shape of a sinusoidal function with an increasing period (or free spectral range) given by (2). The spectral response of the FP interferometer can thus be written as   2π ω+ϕ R (ω) = sin ω    FSR 2n eff d 2 ¨ ¨ − 41 ωs ω + 41 ω + ϕ (3) = sin c where ϕ is an initial phase that will be interpreted as a microwave phase in the generated waveform. It can be seen from (2) and (3) that the free spectral range of the

3

Fig. 2. Simulated reflection spectrum of an FP interferometer formed by two LCFBGs with complementary dispersion (solid line). The central wavelength and bandwidth of the two LCFBGs are 1551 and 4 nm. They are fabricated to have a uniform reflectivity of 10% and physically separated by 2 mm. The dotted line is an ideal linearly chirped microwave waveform.

FP interferometer is linearly increasing or decreasing, depend¨ 1. ing on the sign of  After spectral shaping by the FP interferometer and amplification by EDFA1, the spectrally shaped pulse is directed into the dispersive loop via the optical coupler. It has been theoretically proven in [27] that the dispersive loop has an equivalent ¨ 3 thanks to the multi-time use dispersion coefficient of N  ¨ 3 and N are the dispersion coefficient of LCFBG3, where  of LCFBG3 and the round-trip number that the optical pulse travels in the loop, respectively. If the gain of EDFA2 can be controlled to fully compensate for the round-trip loss of the dispersive loop, N can be an extremely large number, which would result in a very large equivalent dispersion coefficient, hence, allowing a highly extended temporal duration for the generated linearly chirped microwave waveform. When the pulse recirculates in the loop, wavelength-to-time mapping is performed. After N round trips, the electrical field at the output of the dispersive loop is given by [8]     1 2 t X (ω) (4) y (t) = exp j ¨ 2N 3 ¨3 ω=t /N  where X (ω) = G (ω) · R (ω) is the optical spectrum of the pulse after being spectrally shaped by the FP interferometer, and G (ω) is the spectrum of a pulse from the MLL. In our case, the bandwidth of the pulse from the MLL is significantly larger than that of the optical spectral shaper, we can let G (ω) = 1 for simplicity. In addition, the phase term in (4) will be eliminated by photo-detecting at a photodetector. Substituting (3) into (4), we get   ¨ 1ωs ¨1 2 4 2n eff d − 4c t + 2 2t +ϕ (5) y (t) = sin ¨3 ¨ cN  N  3

which precisely represents a linearly chirped microwave waveform with an instantaneous frequency of f (t) =

¨ 1 ωs ¨1 n eff d − 2c 4 + t. ¨3 ¨2 πcN  π N 2 3

(6)

The first term of (6) determines the central frequency of the linearly chirped microwave waveform, while the second term corresponds to the linear frequency chirping. The central frequency of the linearly chirped microwave waveform can

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Photograph of the experimental setup.

be changed by adjusting the spacing between LCFBG1 and LCFBG2. In our system, the optical bandwidth is limited by the FP interferometer. Thus, it is required in (4) that t ≤ ωl (7) ωs ≤ ¨3 N where ωl denotes the upper frequency limit of the reflection bands of LCFBG1 and LCFBG2. The temporal duration of the linearly chirped microwave waveform can then be deduced from (7), ¨ 3 ω (8) τ = N  where ω = ωl −ωs is the bandwidth of the FP interferometer. Substituting (7) into (6), the bandwidth of the generated waveform is derived, which is given by ¨ 1 ω 4 . f = ¨3 π N Multiplying (8) and (9), we get the TBWP,

(9)

¨ 1 ω2 4 . (10) π It is seen that the TBWP of the linearly chirped microwave waveform is a constant even when the temporal duration is extended since the bandwidth of the waveform is reduced when the waveform is temporally extended. The product between the two remains constant. This conclusion is true for linear temporal stretching. However, the use of the dispersive loop allows us to generate a linearly chirped microwave waveform with a time duration that is N times as long as the one without a dispersive loop, and the TBWP can be controlled to be large by designing an FP interferometer with a wider bandwidth. The central frequency of the generated linearly chirped microwave waveform can be tuned by adjusting the physical spacing between LCFBG1 and LCFBG2. A greater spacing corresponds to a higher central frequency. On the other hand, the bandwidth of the linearly chirped microwave waveform can be increased if the two LCFBGs in the FP interferometer are designed to have larger dispersion coefficients, which leads to an FP interferometer with a faster varying free spectral range. TBWP =

III. E XPERIMENT The linearly chirped microwave waveform generation system shown in Fig. 1 is then implemented. Fig. 3 gives a

Fig. 4. Reflection spectra of the FP interferometers with a physical spacing between LCFBG1 and LCFBG2 of: (a) 2 mm and (b) 2 cm.

photograph of the experimental setup. An ultra-short optical pulse train is generated by an MLL (PriTel FFL-1550-20). The repetition rate and the central wavelength of the pulse train are 20 MHz and 1551.5 nm, respectively. The 3-dB spectral bandwidth of an individual pulse is 6 nm with a transform limited temporal width of 550 fs. The gate signal with a repetition rate of 1.18 MHz or a repetition period of 850 ns produced by the AWG (Tektronix AWG7102) provides a 50-ns-long time window to reduce the repetition rate of the pulse train from 20 to 1.18 MHz. The MZM is configured to operate as an optical switch, by biasing it at its minimum transmission point (switch off) and the maximum transmission point (switch on), corresponding to the gate is close and open, respectively. Note that if the MLL has a smaller repetition rate, the AWG and the MZM will not be needed and the system can be simplified. LCFBG1 and LCFBG2 forming the FP interferometer are fabricated to have a bandwidth of 4 nm centered at 1551.5 nm and a dispersion coefficient of ±25 ps2 /rad. Two grating pairs with two different physical separations of 2 mm and 2 cm between LCFBG1 and LCFBG2 are fabricated to generate linearly chirped microwave waveforms with two different central frequencies. The reflection spectra of the two FP interferometers are shown in Fig. 4(a) and (b). A linearly increasing free spectral range is observed for both FP interferometers. The FP interferometer with a larger physical separation, i.e., longer FP interferometer cavity, has a smaller free spectral range that can be used for the generation of a linearly chirped microwave waveform with a higher central frequency. In the reflection spectra shown in Fig. 4(a) and (b), strong amplitude ripples are observed, especially for the smaller the free spectral range end.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: PHOTONIC APPROACH TO LINEARLY CHIRPED MICROWAVE WAVEFORM GENERATION WITH EXTENDED TEMPORAL DURATION

5

Fig. 6. Spectrograms of the linearly chirped microwave waveforms for: (a) three and (b) five round trips. The color scale represents the normalized amplitude of the spectrogram.

Fig. 5. Generated linearly chirped microwave waveforms using the FP interferometer with a physical spacing between the two LCFBGS of 2 mm with: (a) three and (b) five round trips.

The ripples are introduced by the limited wavelength sampling interval of the optical vector analyzer (LUNA Technologies) used to measure the spectra of the FP interferometers. The wavelength sampling interval is 2.4 pm, while the smallest free spectral range is 13 pm. LCFBG3 in the dispersive loop is fabricated to have a 4-nm reflection bandwidth with a center wavelength of 1551.5 nm and an in-band dispersion coefficient of −3188 ps2 /rad. Thanks to the multi-time use of LCFBG3 in the loop, a large equivalent dispersion coefficient can be achieved. For example, if the pulse is recirculating in the loop for five round trips, the equivalent dispersion coefficient would be as large as 15940 ps/nm. A photodetector (New Focus 1414, 20-GHz bandwidth) is used to detect the temporally stretched optical pulse to get a microwave waveform. It should be noted that two EDFAs are used in the system. The first EDFA is required by the repetition-rate-reduction module to compensate for the insertion loss in the module. Since only 1 out of 17 pulses is selected by the MZM, the reduction in the repetition rate would introduce 12.3-dB insertion loss. If the insertion loss of the MZM of 5 dB is included, the total insertion loss is 17.3 dB. If the pulse train generated by the MLL has a longer repetition period, the first EDFA and the MZM will not be needed, and the system will be simplified. The insertion loss of the FP interferometer is 7.5 dB. The second EDFA is also required to compensate for the round-trip loss, to allow the pulse to recirculate for more round trips in the dispersive loop. The linearly chirped microwave waveform generated at the photodetector is monitored by an oscilloscope (Agilent DSO-X 93204A). First, we use the FP interferometer with a separation of d = 2 mm as the optical spectral shaper. Fig. 5 shows two linearly chirped microwave waveforms after the pulse recirculates for three and five round trips in the loop. The linearly chirped microwave waveforms have decreasing periods, indicating a frequency up-chirp. Compared with

a single-time use of LCFBG3 that would generate a linearly chirped microwave waveform with a duration of less than 10 ns, extended temporal durations of around 25 and 42 ns are obtained for the two linearly chirped microwave waveforms. It should be noted that the waveforms should have temporal durations of 30 and 50 ns calculated theoretically based on (8). The differences in the temporal durations are due to the errors in the fabrication of the LCFBGs, which would cause a reflection band mismatch between the LCFBGs. The amplitude ripples shown in the linearly chirped microwave waveform spectra are resulted from the ripples in the spectrum of an MLL pulse, the non-flat gain spectra of the EDFAs, and the ripples in the reflection spectra of the LCFBGs. There ripples can be mitigated by adding an optical gain-flattening filter in the dispersive loop. The spectrograms of the generated linearly chirped microwave waveforms shown in Fig. 5 are calculated and shown in Fig. 6. Linearly increasing instantaneous frequencies can be observed for the two generated linearly chirped microwave waveforms, which indicate a good linearity of the frequency chirping of the waveforms. The two linearly chirped microwave waveforms have bandwidths of 8.4 and 5.0 GHz with an identical TBWP of around 210. However, the temporal durations are extended thanks to the greater equivalent dispersion coefficient of the dispersive loop. According to (10), the theoretical TBWP of the system is estimated to be 315. Since wavelength-to-time mapping is only performed to part of the spectrum (82%, in our case) shown in Fig. 4 due to the mismatch between the reflection bandwidths of the LCFBGs, the temporal durations and bandwidths of the linearly chirped microwave waveforms are reduced. In a radar receiver, a linearly chirped microwave waveform is compressed by a matched filter to improve the range resolution. The calculated correlation results between a linearly chirped microwave waveform and its reference are presented in Fig. 7(a) and (b). The widths of the correlation peaks are 100 and 160 ps for the two linearly chirped microwave waveforms after three and five round trips, which correspond to two suppression ratios of 250 and 262, respectively. To generate a linearly chirped microwave waveform at a different frequency band, a second FP interferometer with a physical separation of d = 2 cm is then employed as the optical spectral shaper. The linearly chirped microwave waveform for five round trips are shown in Fig. 8(a). A 45-ns-long linearly chirped microwave waveform is achieved. The minimum

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7. Calculated autocorrelation between the linearly chirped microwave waveforms and their references. For the FP interferometer with a spacing of: (a) 2 mm and (b) 2 cm.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

dispersive loop, a gain-flattening filter may be used to flatten the gain spectrum of the EDFA so that the lasing can be suppressed. The stability of the proposed linearly chirped microwave waveform generator is also studied. We first investigate the short-term stability. To do so, two linearly chirped microwave waveforms that are separated in time by 60 cycles (45 μs) are sampled and compared. The linearly chirped microwave waveforms have very similar shapes, including the amplitude ripples and the phase responses, indicating good stability and repeatability of the operation of the system. The cross-correlation between the two linearly chirped microwave waveforms is also calculated, which is identical to the autocorrelation of one of the linearly chirped microwave waveforms. This again demonstrates a stable and repeatable operation of the system. The long-term stability is strongly affected by the ambient temperature change, as the FP interferometer is temperature sensitive. By using a temperature control unit, the long-term stability can be improved. IV. C ONCLUSION

Fig. 8. (a) Generated linearly chirped microwave waveform using the FP interferometer with a spacing of 2 cm after the optical pulse recirculates for five round trips and (b) corresponding spectrogram. The color scale represents the normalized amplitude of the spectrogram.

frequency is 1.5 GHz instead of around dc for the linearly chirped microwave waveform shown in Fig. 5. However, strong attenuation can be observed for the high-frequency components due to a lower responsivity of the photodetector at a higher frequency band. The spectrogram in Fig. 8(b) indicates a TBWP of only 180, which is also caused by the lower responsivity of the photodetector at the higher frequency band. The calculated autocorrelation of the linearly chirped microwave waveform shows a width of the correlation peak of 358 ps. A compression ratio of 125 is achieved for the 45-ns long linearly chirped microwave waveforms. It should be noted that amplitude ripples in the spectra of the linearly chirped microwave waveforms shown in Figs. 5 and 8 are observed. The ripples are caused due to the lasing in the dispersive loop since the gains at certain wavelengths are near the lasing threshold. To reduce the ripples, in the

An approach to the generation of a linearly chirped microwave waveform with an extended temporal duration implemented by an FP interferometer for spectral shaping and a dispersive loop for wavelength-to-time mapping was proposed and experimentally demonstrated. Long temporal duration for the generated linearly chirped microwave waveform was enabled by multi-time use of an LCFBG in a dispersive to perform wavelength-to-time mapping. Two linearly chirped microwave waveforms with two temporal widths of 25 and 42 ns were generated at two different frequency bands. A further increase in the temporal durations of the linearly chirped microwave waveforms is possible by allowing the optical pulse recirculate for more round trips in the loop. The TBWPs of the two linearly chirped microwave waveforms were both 210 and the extension of the temporal duration of a linearly chirped microwave waveform will not increase the TBWP for a given FP interferometer. To increase the TBWP, an FP interferometer with two LCFBGs having larger dispersion coefficients may be used. For example, if two LCFBGs with two opposite dispersion coefficients of ±3188 ps2 are used to constitute the FP interferometer and a photodetector with a bandwidth of over 100 GHz is used to perform photodetection [18], a linearly chirped microwave waveform with a TBWP as large as 4200 can be generated. R EFERENCES [1] D. K. Barton, Radar System Analysis and Modeling. Norwood, MA, USA: Artech House, 2004. [2] A. W. Rihaczek, Principles of High-Resolution Radar. New York, NY, USA: McGraw-Hill, 1969. [3] R. Skaug and J. F. Hjelmstad, Spread Spectrum in Communication. London, U.K.: IET, 1985. [4] M. Bertero, M. Miyakawa, P. Boccacci, F. Conte, K. Orikasa, and M. Furutani, “Image restoration in chirp-pulse microwave CT (CP-MCT),” IEEE Trans. Biomed. Eng., vol. 47, no. 5, pp. 690–699, May 2000. [5] J. Levy, P. Burke, L. Cohen, and R. Cecchini, “VCO based chirp generation for broad bandwidth compressive receiver applications (in EW),” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1993, vol. 3, pp. 1113–1115.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZHANG et al.: PHOTONIC APPROACH TO LINEARLY CHIRPED MICROWAVE WAVEFORM GENERATION WITH EXTENDED TEMPORAL DURATION

[6] A. Stelzer, K. Ettinger, J. Hoftberger, J. Fenk, and R. Weigel, “Fast and accurate ramp generation with a PLL-stabilized 24-GHz SiGe VCO for FMCW and FSCW applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 893–896. [7] D. Gomez-Garcia, C. Leuschen, F. Rodriguez-Morales, J.-B. Yan, and P. Gogineni, “Linear chirp generator based on direct digital synthesis and frequency multiplication for airborne FMCW snow probing radar,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [8] J. Yao, “Photonic generation of microwave arbitrary waveforms,” Opt. Commun., vol. 284, no. 15, pp. 3723–3736, Jul. 2011. [9] J. D. McKinney, D. Seo, D. E. Leaird, and A. M. Weiner, “Photonically assisted generation of arbitrary millimeter-wave and microwave electromagnetic waveforms via direct space-to-time optical pulse shaping,” J. Lightw. Technol., vol. 21, no. 12, pp. 3020–3028, Dec. 2003. [10] S. T. Cundiff and A. M. Weiner, “Optical arbitrary waveform generation,” Nature Photon., vol. 4, pp. 760–766, Oct. 2010. [11] A. Vega, D. E. Leaird, and A. M. Weiner, “High-speed direct space-totime pulse shaping with 1 ns reconfiguration,” Opt. Lett., vol. 35, no. 10, pp. 1554–1556, May 2010. [12] A. Zeitouny, S. Stepanov, O. Levinson, and M. Horowitz, “Optical generation of linearly chirped microwave pulses using fiber Bragg gratings,” IEEE Photon. Technol. Lett., vol. 17, no. 3, pp. 660–662, Mar. 2005. [13] C. Wang and J. P. Yao, “Photonic generation of chirped microwave pulses using superimposed chirped fiber Bragg gratings,” IEEE Photon. Technol. Lett., vol. 20, no. 11, pp. 882–884, Jun. 2008. [14] C. Wang and J. P. Yao, “Chirped microwave pulse generation based on optical spectral shaping and wavelength-to-time mapping using a Sagnac-loop mirror incorporating a chirped fiber Bragg grating,” J. Lightw. Technol., vol. 27, no. 16, pp. 3336–3341, Aug. 2009. [15] H. Shahoei and J. P. Yao, “Continuously tunable chirped microwave waveform generation using a tilted fiber Bragg grating written in an erbium/ytterbium co-doped fiber,” IEEE Photon. J., vol. 4, no. 3, pp. 765–771, Jun. 2012. [16] M. H. Khan et al., “Ultrabroad-bandwidth arbitrary radiofrequency waveform generation with a silicon photonic chip-based spectral shaper,” Nature Photon., vol. 4, no. 2, pp. 117–122, Feb. 2010. [17] A. Rashidinejad and A. M. Weiner, “Photonic radio-frequency arbitrary waveform generation with maximal time-bandwidth product capability,” J. Lightw. Technol., vol. 32, no. 20, pp. 3383–3393, Oct. 2014. [18] Y. Li, A. Rashidinejad, J.-M. Wun, D. E. Leaird, J.-W. Shi, and A. M. Weiner, “Photonic generation of W-band arbitrary waveforms with high time-bandwidth products enabling 3.9 mm range resolution,” Optica, vol. 1, no. 6, pp. 446–454, Dec. 2014. [19] Y. Li, A. Dezfooliyan, and A. M. Weiner, “Photonic synthesis of spread spectrum radio frequency waveforms with arbitrarily long time apertures,” J. Lightw. Technol., vol. 32, no. 20, pp. 3580–3587, Oct. 2014. [20] J. Azaña, N. K. Berger, B. Levit, and B. Fischer, “Reconfigurable generation of high-repetition-rate optical pulse sequences based on timedomain phase-only filtering,” Opt. Lett., vol. 30, no. 23, pp. 3228–3230, Dec. 2005. [21] O. L. Coutinho, J. Zhang, and J. Yao, “Photonic generation of a linearly chirped microwave waveform with a large time-bandwidth product based on self-heterodyne technique,” in IEEE Int. Microw. Photon. Top. Meeting, Oct. 2105, pp. 1–4. [22] Y. Dai and J. Yao, “Chirped microwave pulse generation using a photonic microwave delay-line filter with a quadratic phase response,” IEEE Photon. Technol. Lett., vol. 21, no. 9, pp. 569–571, May 2009. [23] W. Li and J. P. Yao, “Generation of linearly chirped microwave waveform with an increased time-bandwidth product based on a tunable optoelectronic oscillator and a recirculating phase modulation loop,” J. Lightw. Technol., vol. 32, no. 20, pp. 3573–3579, Oct. 2014. [24] T. Erdogan, “Fiber grating spectra,” J. Lightw. Technol., vol. 15, no. 8, pp. 1277–1294, Aug. 1997. [25] J. Chou, O. Boyraz, D. Solli, and B. Jalali, “Femtosecond realtime single-shot digitizer,” Appl. Phys. Lett., vol. 91, no. 16, pp. 161105–161105, Oct. 2007. [26] J. Zhang, O. L. Coutinho, and J. Yao, “Photonic generation of a linearly chirped microwave waveform with long temporal duration using a dispersive loop,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–3, TU3G-2. [27] J. Zhang and J. P. Yao, “Time stretched sampling of a fast microwave waveform based on the repetitive use of a linearly chirped fiber Bragg grating in a dispersive loop,” Optica, vol. 1, no. 2, pp. 64–69, Aug. 2014.

7

Jiejun Zhang (S’12) received the B.Eng. degree in electronic science and technology from the Harbin Institute of Technology, Harbin, China, in 2010, the M.Sc. degree in optical engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2010, and is currently working toward the Ph.D. degree at the University of Ottawa, Ottawa, ON, Canada. He is currently with the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa. His research interests include photonic generation of microwave waveforms, photonic processing of microwave signals, and fiber-optic sensors.

Olympio Lucchini Coutinho (M’15) received the B.Eng. degree in electrical engineering from the Pontifícia Universidade Católica de Minas Gerais, Belo Horizonte, Brazil, in 1993, and the M.Sc. and Ph.D. degrees in electronic and computing engineering from the Technological Institute of Aeronautics, São José dos Campos, Brazil, in 2005 and 2011, respectively. He is currently a Postdoctoral Fellow with the Microwave Photonic Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada, sponsored by the Technological Institute of Aeronautics, Brazil, where he is an Adjunct Professor and Researcher. His research interests include photonic generation, transmission and processing of microwave signals for radar applications, as well as fiber optic sensors. Dr. Coutinho is a Member of the Brazilian Microwave and Optoelectronic Society.

Jianping Yao (M’99–SM’01–F’12) received the Ph.D. degree in electrical engineering from the Université de Toulon et du Var, La Garde, France, in 1997. He is currently a Professor and University Research Chair with the School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada. From 1998 to 2001, he was with the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Singapore, as an Assistant Professor. In December 2001, he joined the School of Electrical Engineering and Computer Science, University of Ottawa, as an Assistant Professor, where he became an Associate Professor in 2003, and a Full Professor in 2006. In 2007, he became University Research Chair in Microwave Photonics. From July 2007 to June 2010, he was the Director of the Ottawa–Carleton Institute for Electrical and Computer Engineering. In 2013, he was re-appointed Director of the Ottawa-Carleton Institute for Electrical and Computer Engineering. He has authored or coauthored more than 510 research papers, including more than 300 papers in peer-reviewed journals and 210 papers in conference proceedings. Prof. Yao is a Registered Professional Engineer in the Province of Ontario. He is a Fellow of the Optical Society of America and the Canadian Academy of Engineering. He is a Topical Editor for Optics Letters and serves on the Editorial Board of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , Optics Communications, Frontiers of Optoelectronics, and Science Bulletin. He was a Guest Co-Editor for a Focus Issue on Microwave Photonics in Optics Express in 2013 and a Lead-Editor for a Feature Issue on Microwave Photonics in Photonics Research in 2014. He has been a Chair of numerous international conferences, symposia, and workshops, including the Vice Technical Program Committee (TPC) Chair of the IEEE Microwave Photonics Conference in 2007, TPC Co-Chair of the Asia–Pacific Microwave Photonics Conference in 2009 and 2010, TPC Chair of the High-Speed and Broadband Wireless Technologies Subcommittee of the IEEE Radio Wireless Symposium in 2009–2012, TPC Chair of the Microwave Photonics Subcommittee of the IEEE Photonics Society Annual Meeting in 2009, TPC Chair of the IEEE Microwave Photonics Conference in 2010, General Co-Chair of the IEEE Microwave Photonics Conference in 2011, TPC Co-Chair of the IEEE Microwave Photonics Conference in 2014, and General Co-Chair of the IEEE Microwave Photonics Conference in 2015. He has also been a Committee Member of numerous international conferences such as IPC, OFC, BGPP, and MWP. He was an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2013–2015). He was the recipient of the 2005 International Creative Research Award of the University of Ottawa, the 2007 George S. Glinski Award for Excellence in Research, the 2008 Natural Sciences and Engineering Research Council of Canada Discovery Accelerator Supplements Award, and the inaugural 2012 OSA Outstanding Reviewer Award.

1954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

Letters Corrections to “Synthesis of Multiport Networks Using Port Decomposition Technique and Its Applications” Rakesh Sinha and Arijit De

In the above paper [1], Fig. 4 is incorrect and should be replaced with the version published in this Letters.

Fig. 4. (a) Arbitrary-phase BLC with its isolation port (port-4) terminated by Z L . (b) Equivalent circuit (as in [2]) of (a) for port-1 excitation.

Also in the above paper [1], the entries in the Table II column entitled “Circuit” are incorrect and should be replaced with the table published in this Letters. After [1, eq. (31c)], the variable cα should be cα =

n − (n + 1) (cos ϕ − tan λ1 ) (cos ϕ − tan λ2 ) . (n + 1) Z 0 sin ϕ

TABLE II P ERFORMANCE C OMPARISON OF THE D ECOMPOSITION AS IN [2] AND THE P ROPOSED A PPROACH FOR θ = 60◦ BLC

Similarly the variable cα and cγ in [1, eqs. (60b) and (60c)] should be cα = ((n + 1)−(cos ϕ − tan λ1 ) (cos ϕ − tan λ2 ))/Z 0 sin ϕ and cγ = ((n + 1)−(cos ϕ + tan λ1 ) (cos ϕ + tan λ2 ))/Z 0 sin ϕ. In the fourth line of [1, Sec. IV-B], “6(a)” should be “(6a)”. The term “DPC” used in [1, Sec. IV-D] should be replaced with “PDC”. R EFERENCES [1] R. Sinha and A. De, “Synthesis of multiport networks using port decomposition technique and its applications,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1228–1244, Apr. 2016. [2] H.-R. Ahn and I. Wolff, “Asymmetric four-port and branch-line hybrids,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 9, pp. 1585–1588, Sep. 2000.

Manuscript received April 15, 2016; accepted April 24, 2016. Date of publication May 16, 2016; date of current version June 2, 2016. The authors are with the Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur, West Bengal 721302, India (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2558647 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 6, JUNE 2016

1955

Corrections to “A Multi-Frequency Multi-Standard Wideband Fractional-N PLL With Adaptive Phase-Noise Cancellation for Low-Power Short-Range Standards” Ye Zhang, Jan Henning Mueller, Bastian Mohr, Lei Liao, Aytac Atac, Ralf Wunderlich, and Stefan Heinen

In the above paper [1] the version of Fig. 12 that appears is incorrect. The correct version of the figure appears here, showing the phase-locked loop (PLL) output spectral of 1-Mb/s data rate, 250-kHz frequency-deviation Gaussian frequency shift keying (GFSK) modulated Bluetooth LE baseband signal.

Fig. 12.

Modulation performance.

R EFERENCES [1] Y. Zhang et al., “A multi-frequency multi-standard wideband fractional-N PLL with adaptive phase-noise cancellation for low-power short-range standards,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1133–1142, Apr. 2016.

Manuscript received April 18, 2016; accepted April 23, 2016. Date of publication May 13, 2016; date of current version June 2, 2016. Y. Zhang was with the Chair of Integrated Analog Circuits and RF Systems, RWTH Aachen University, D-52062 Aachen, Germany. He is now with the Research and Development Center, Intel, D-47259 Duisburg, Germany (e-mail: [email protected]). J. H. Mueller, R. Wunderlich, and S. Heinen are with the Chair of Integrated Analog Circuits and RF Systems, RWTH Aachen University, D-52062 Aachen, Germany. B. Mohr was with the Chair of Integrated Analog Circuits and RF Systems, RWTH Aachen University, D-52062 Aachen, Germany. He is now with the Research and Development Center, Intel, D-85579 Munich, Germany. L. Liao is with the Chair of Integrated Analog Circuits and RF Systems, RWTH Aachen University, D-52062 Aachen, Germany, and also with the Research and Development Center, Infineon, D-85579 Munich, Germany. A. Atac was with the Chair of Integrated Analog Circuits and RF Systems, RWTH Aachen University, D-52062 Aachen, Germany. He is now with E.ON, D-14467 Potsdam, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2561286 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Digital Object Identifier 10.1109/TMTT.2016.2571138

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail: [email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL 32611 USA E-mail: [email protected] or [email protected]

Information for Authors The IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave compon�nts,devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications standards/publications/authors/authors journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications standards/publications/authors/auth names native lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications standards/publications/graphical abstract.pdf Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2016.2566440