[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 64. No 1

Citation preview

JANUARY 2016

VOLUME 64

NUMBER 1

IETMAB

(ISSN 0018-9480)

Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Schreurs and J. Lin

1

PAPERS

EM Theory and Analysis Techniques Systematic Determination of Eigenfields in Time and in Frequency Domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Banova, W. Ackermann, and T. Weiland Accurate Circuit Modeling of Fishnet Structures for Negative-Index-Medium Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Torres, F. Mesa, M. Navarro-Cía, R. Rodríguez-Berral, M. Beruete, and F. Medina Propagation Characteristics of Groove Gap Waveguide Below and Above Cutoff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Berenguer, V. Fusco, D. E. Zelenchuk, D. Sánchez-Escuderos, M. Baquero-Escudero, and V. E. Boria-Esbert A Technique for Localized Rapid Soot Oxidation Using Metal Aided Microwave Radiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. B. Al-Wakeel, Z. A. Abdul Karim, and H. H. Al-Kayiem Parallel Computational Approach to Gradient Based EM Optimization of Passive Microwave Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V.-M.-R. Gongal-Reddy, S. Zhang, C. Zhang, and Q.-J. Zhang Parametric Modeling of EM Behavior of Microwave Components Using Combined Neural Networks and Pole-Residue-Based Transfer Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Feng, C. Zhang, J. Ma, and Q.-J. Zhang Devices and Modeling Tests of Sapphire Crystals Manufactured With Different Growth Processes for Ultra-Stable Microwave Oscillators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V. Giordano, C. Fluhr, S. Grop, and B. Dubois A Quadruplet-Resonator-Based Ferrite-Free Choke for Suppressing Noise Currents on Cable Shielding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-C. Tseng, H.-L. Ting, and T.-L. Wu High-Efficiency Micromachined Sub-THz Channels for Low-Cost Interconnect for Planar Integrated Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B. Yu, Y. Liu, Y. Ye, J. Ren, X. Liu, and Q. J. Gu

3 15 27 37 44 60

78 86 96

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Design and Analysis of LTCC-Integrated Planar Microstrip-to-Waveguide Transition at 300 GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Tajima, H.-J. Song, and M. Yaita Direct Extraction of InP/GaAsSb/InP DHBT Equivalent-Circuit Elements From -Parameters Measured at Cut-Off and Normal Bias Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. K. Johansen, R. Leblanc, J. Poulain, and V. Delmouly Characterization of Hot-Carrier-Induced RF-MOSFET Degradation at Different Bulk Biasing Conditions From -Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Zárate-Rincón, D. García-García, V. H. Vega-González, R. Torres-Torres, and R. S. Murphy-Arteaga A Three-Port Nonlinear Dynamic Behavioral Model for Supply-Modulated RF PAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. P. Gibiino, G. Avolio, D. M. M.-P. Schreurs, A. Santarelli, and F. Filicori Passive Circuits Coupling Matrix Extraction and Reconfiguration Using a Generalized Isospectral Flow Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Pflüger, C. Waldschmidt, and V. Ziegler Microfluidically Reconfigurable Metallized Plate Loaded Frequency-Agile RF Bandpass Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Palomo and G. Mumcu A Millimeter-Wave Tunable Hybrid-Transformer-Based Circular Polarization Duplexer With Sequentially-Rotated Antennas . . . . . . . . . . . . . . . . . . . . . . . . C. Lu, M. K. Matters-Kammerer, A. Zamanifekri, A. B. Smolders, and P. G. M. Baltus Hybrid and Monolithic RF Integrated Circuits Ultra-Low-Power Cryogenic SiGe Low-Noise Amplifiers: Theory and Demonstration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Montazeri, W.-T. Wong, A. H. Coskun, and J. C. Bardin Design of a Fully Integrated Two-Stage Watt-Level Power Amplifier Using 28-nm CMOS Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Oßmann, J. Fuhrmann, K. Dufrêne, J. Fritzin, J. Moreira, H. Pretl, and A. Springer A 2- m InGaP/GaAs Class-J Power Amplifier for Multi-Band LTE Achieving 35.8-dB Gain, 40.5% to 55.8% PAE and 28-dBm Linear Output Power . . . . . . . . . . . . . . . . . . . . . . . . . . . U. R. Jagadheswaran, H. Ramiah, P.-I. Mak, and R. P. Martins A W-Band Injection-Locked Frequency Doubler Based on Top-Injected Coupled Resonator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Jia, L. Kuang, Z. Wang, and B. Chi Linearity Enhanced Wide-Bandwidth Pulse-Modulated Polar Transmitters for LTE Femtocell Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-H. Chen, C.-W. Chang, and H.-S. Yang A Low Switching-Loss W-Band Radiometer Utilizing a Single-Pole-Double-Throw Distributed Amplifier in 0.13- m SiGe BiCMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Bi, M. A. Arasu, Y. Zhu, and M. Je Instrumentation and Measurement Techniques Nondestructive Determination of the Permittivity Tensor of a Uniaxial Material Using a Two-Port Clamped Coaxial Probe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. W. Hyde IV, M. J. Havrilla, and A. E. Bogle Noncontact Measurement of Complex Permittivity and Thickness by Using Planar Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-L. Yang, C.-S. Lee, K.-W. Chen, and K.-Z. Chen On Impedance-Pattern Selection for Noise Parameter Measurement . . . . . . . . . . . . . . . . . . . M. Himmelfarb and L. Belostotski Full 3-D Printed Microwave Termination: A Simple and Low-Cost Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Arbaoui, V. Laur, A. Maalouf, P. Quéffélec, D. Passerieux, A. Delias, and P. Blondy Tiny Integrated Network Analyzer for Noninvasive Measurements of Electrically Small Antennas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. F. Buskgaard, B. K. Krøyer, A. Tatomirescu, O. Franek, and G. F. Pedersen RF Systems and Applications Radio Frequency Beamforming Based on a Complex Domain Frontend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Peng, J. Chen, Y. Dong, B. Zhang, S. Qiao, D. Ye, J. Huangfu, Y. Sun, C. Li, and L. Ran Shifted Source Impedance and Nonlinearity Impact on RFID Transponder Communication for Drive-Level Offsets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Frank, M. Thorsell, and P. Enoksson Normalization-Free Chipless RFIDs by Using Dual-Polarized Interrogation . . . F. Costa, S. Genovesi, and A. Monorchio Ultrahigh-Sensitivity Mediator-Free Biosensor Based on a Microfabricated Microwave Resonator for the Detection of Micromolar Glucose Concentrations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. K. Adhikari and N.-Y. Kim

106 115 125 133

148 158 166

178 188 200 210 219 226

239 247 258 271 279

289 299 310 319

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $25.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE T. LEE, President A. ABUNJAILEH S. BARBIN

K. WU, President Elect

T. BRAZIL M. GOUKER

R. GUPTA W. HONG

R. HENDERSON, Secretary J. LASKAR G. LYONS

A. JACOB S. KOUL

M. MADIHIAN S. PACHECO

Honorary Life Members T. ITOH R. SPARKS

G. PONCHAK S. RAMAN

M. GOUKER, Treasurer J. RAUTIO S. REISING

M. SALAZAR-PALMA A. SANADA

D. SCHREURS J. WEILER

Distinguished Lecturers

P. STAECKER K. TOMIYASU

R. CAMERON R. H. CAVERLY G. CHATTOPADHYAY

T.-W. HUANG M. JARRAHI J. J. KOMIAK

E. MCCUNE A. MORTAZAWI T. OHIRA

D. WILLIAMS

Past Presidents J. PAWLAN J. C. PEDRO A. STELZER

J. YAO H. ZIRATH T. ZWICK

R. WEIGEL (2014) M. GUPTA (2013) N. KOLIAS (2012)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. HENZE Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: I. AHMAD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: S. MALYSHEV Benelux: G. VANDENBOSCH Boston: C. GALBRAITH Bombay/India: M. V. PITKE Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: C. SEABURY Buffalo: M. R. GILLETTE Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: C. G. XIE Central & South Italy: L. TARRICONE Central No. Carolina: Z. XIE Central Texas: J. PRUITT Centro-Norte Brasil: M. V. ALVES NUNES Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: A. O’BRIEN Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. VOVES Dallas: R. SANTHAKUMAR Dayton: A. TERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: V. VIIKARI Florida West Coast: J. WANG Foothills: M. CHERUBIN France: D. BAJON Germany: G. BOECK Greece: R. MAKRI Gujarat/India: S. CHAKRABARTY Harbin: Q. WU Hawaii: K. MIYASHIRO Hong Kong: H. WONG Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: H. SCHANTZ Hyderabad/India: S. R. NOOKALA India: D. BHATNAGER India/Kolkata: S. SANKARALINGAM Indonesia: E. T. RAHARDJO Israel: S. AUSTER Japan: N. SUEMATSU Kansai: T. ISHIZAKI Kingston: S. PODILCHAK Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: B. LEVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO

Macau: C. C. PONG Madras/India: S. SALIVAHANAN Malaysia: M. K. M. SALLEH Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Mexican Council: R. M. RODRIGUEZ-DAGNINO Milwaukee: S. G. JOSHI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: J. BAE Nanjing: W. HONG Nanjing, Hangzhou: L. SUN New Hampshire: E. H. SCHENK New Jersey Coast: J. SINSKY New South Wales: Y. RANGA New Zealand: A. WILLIAMSON North Italy: G. OLIVERI North Jersey: A. K. PODDAR Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. RAWAT Norway: M. UBOSTAD Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: K. KARNATI Ottawa: Q. ZENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

DOMINIQUE SCHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants MARCIA HENSLEY USA ENAS KANDIL Belgium

Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: D. MCPHERSON Taegu: Y.-H. JEONG Tainan: H.-H. CHEN Taipei: C. MENG Thailand: C. PHONGCHAROENPANICH Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: C. FULLER UK/RI: A. REZAZADEH Ukraine, East: N. K. SAKHNENKO Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO United Arab Emirates: N. K. MALLAT Uttar Pradesh/India: M. J. AKHTAR Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: T. IVANOV Western Saudi Arabia: A. SHAMIM Winnipeg: P. MOJABI Xian: X. SHI

Associate Editors

Editors-In-Chief JENSHAN LIN Univ. of Florida Gainesville, FL 32611-6130 USA

Pikes Peak: K. HU Poland: W. J. KRZYSZTOFIK Portugal: J. CALDINHAS VAZ Princeton/Central Jersey: W. CURTICE Queensland: K. BIALKOWSKI Rio de Janeiro: J. R. BERGMANN Rochester: M. SIDLEY Romania: T. PETRESCU Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. PROKHOROV Russia, Saint Petersburg: S. P. ZUBKO Russia, Siberia: V. V. SUHOTIN Russia, Tomsk: D. ZYKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: S. EBADI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIĆ Shanghai: J. MAO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. KAUFMANN South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: A. U. A. W. GUNAWARDENA St. Louis: D. BARBOUR

NUNO BORGES CARVALHO Universidade de Aveiro Aveiro, Portugal

X. CHEN Nat. Univ. Singapore Singapore

ROBERTO GOMEZ-GARCIA Univ. Alcala Madrid, Spain

FRANCISCO MESA Universidad de Sevilla Seville, Spain

OLGA BORIC-LUBECKE Univ. of Hawaii at Manoa Manoa, HI USA

J.-C. CHIAO Univ. of Texas at Arlington Arlington, TX USA

JIASHENG HONG Heriot-Watt Univ. Edinburgh, UK

LUCA PERREGRINI Univ. of Pavia Pavia, Italy

JAMES F. BUCKWALTER Univ. of California at Santa Barbara Santa Barbara, CA USA

ALESSANDRA COSTANZO Univ. Bologna Bologna, Italy

T.-W. HUANG Nat. Taiwan Univ. Taipei, Taiwan

CARLOS SAAVEDRA Queen’s Univ. Kingston, ON, Canada

SHENG-FUH R. CHANG Nat. Chung Cheng Univ. Chiayi County, Taiwan

GILLES DAMBRINE Univ. of Lille Lille, France

JON MARTENS Anritsu Morgan Hill, CA USA

A. RIDDLE, Editor-in-Chief, IEEE Microwave Magazine J. PAPAPOLYMEROU, Editor-in-Chief, IEEE Microwave and Wireless Component Letters HOWARD E. MICHEL, President BARRY L. SHOOP, President-Elect PARVIZ FAMOURI, Secretary JERRY L. HUDGINS, Treasurer ROBERTO DE MARCA, Past President

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. MIYAMOTO, Web Master

2015 IEEE Officers

SAURABH SINHA, Vice President, Educational Activities SHEILA HEMAMI, Vice President, Publication Services and Products WAI-CHOONG WONG, Vice President, Member and Geographic Activities BRUCE P. KRAEMER, President, Standards Association VINCENZO PIURI, Vice President, Technical Activities JAMES A. JEFFRIES, President, IEEE-USA WILLIAM W. MOSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration CHERIF AMIRAT, Information Technology ELENA GERSTMANN, Corporate Activities PATRICK MAHONEY, Marketing DOUGLAS GORHAM, Educational Activities CECELIA JANKOWSKI, Member and Geographic Activities EILEEN M. LACH, General Counsel & Corporate Compliance Officer MICHAEL FORSTER, Publications SHANNON JOHNSTON, Human Resources KONSTANTINOS KARACHALIOS, Standards Activities CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Senior Director, Publishing Operations: FRAN ZAPPULLA Director, Editorial Services: DAWN MELLEY Director, Production Services: PETER M. TUOHY Associate Director, Editorial Services: WILLIAM A. COLACCHIO Associate Director, Information Conversion and Editorial Support: KEVIN LISANKIE Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $160.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2016 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2015.2512518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

1

Editorial

P

UBLISHING our monthly IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES issues would not be possible without the enduring efforts of our Associate Editors. The average number of new manuscript submissions per month increased from 115 in 2014 to 125 in 2015, pushing the total annual new manuscript submissions to more than 1500. Our Associate Editors put in extensive volunteering time to handle these new submissions as well as their revisions. This month, we wish to express our gratitude and thanks to the retiring Associate Editor Prof. Martin Vossiek. Prof. Vossiek served as an Associate Editor from April 2013 to December 2015. We sincerely thank him for his expertise and dedicated service to this TRANSACTIONS!

Digital Object Identifier 10.1109/TMTT.2015.2504138

Meanwhile, we also would like to introduce two new Associate Editors: Prof. Alessandra Costanzo and Prof. James F. Buckwalter. Prof. Costanzo brings expertise on wireless power transfer and RF identification, while Prof. Buckwalter brings expertise on RF integrated circuits. We welcome them as members of this TRANSACTIONS’ Editorial Office! DOMINIQUE SCHREURS, Editor-in-Chief Division ESAT-TELEMIC KU Leuven Leuven, B-3001 Belgium JENSHAN LIN, Editor-in-Chief Department of Electrical and Computer Engineering University of Florida Gainesville, FL 32611-6130 USA

Alessandra Costanzo (M’99–SM’13) received the Laurea degree in electronic engineering (with honors) from the University of Bologna, Bologna, Italy, in 1987. Thereafter, she joined the University of Bologna, as a Research Associate and became an Associate Professor in 2001, where she has taught courses in electromagnetic (EM) field theory, microwaves, nonlinear microwave circuit design, numerical techniques for electromagnetics, and bioelectromagnetics. She has been a Supervisor of many M.S. and B.S. students and a Tutor of several Ph.D. students. She has carried out designs of multiple input multiple output (MIMO), ultra-wideband (UWB), and RF identification (RFID) systems and of highly innovative multi-band rectennas, specialized for wearable applications. She is now involved in multiple research activities related to wireless power transmission technologies, adopting both far- and near-field solutions. She has developed innovative sensing solutions, based on EM interference for noninvasive structural monitoring. She authored more than 150 scientific publications in peer-reviewed international journals and conferences, and several book chapters. She holds three international patents. Dr. Costanzo serves on several committees of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). She is a member of IEEE MTT-S technical committees MTT-24 (RFID) and MTT-26 (Wireless Energy Transfer and Conversion), upon which she currently serves as the chair. She is Executive Editor of the Cambridge Journal of Wireless Power Transmission and of the International Journal of Microwave and Wireless Technologies. She is a member of several Technical Program Committees including the IEEE MTT-S International Microwave Symposium (IMS), the European Microwave Conference (EuMC), the IEEE Wireless Power Transmission Conference (WPTC), the IEEE International Conference of UWB, and the IEEE RFID-TA. She is the IEEE MTT-S Representative on the Council of RFID (CRFID). She is a cofounder of the EU COST action IC1301 WiPE “Wireless power transfer for sustainable electronics” where she chairs WG1: “far-field wireless power transfer.” 0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

James F. Buckwalter (S’01–M’06–SM’13) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 2006. He is currently a Professor of electrical and computer engineering with the University of California at Santa Barbara (UCSB), Santa Barbara, CA, USA. From 1999 to 2000, he was a Research Scientist with Telcordia Technologies, Morristown, NJ, USA. During Summer 2004, he was with the IBM T. J. Watson Research Center, Yorktown Heights, NY, USA. In July 2006, he joined the faculty of the University of California at San Diego (UCSD), La Jolla, CA, USA, as an Assistant Professor, and became an Associate Professor in 2012. He investigates reconfigurable and high-performance RF and millimeter-wave integrated circuits. He has advised more than 11 Ph.D. students. He has authored or coauthored more than 100 publications in IEEE journals and conferences. His research interests include traveling-wave amplifiers, as well as high-power and high-efficiency power amplifier and transmitter techniques. His research program also includes wideband RF techniques for interference mitigation. Dr. Buckwalter is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) MTT-6 (Microwave and Millimeter-wave Integrated Circuits). He is member of several Technical Program Committees including the IEEE MTT-S International Microwave Symposium (IMS) and the Compound Semiconductor Integrated Circuit Symposium (CSICS). He co-organized a workshop on millimeter-wave technology for the IEEE MTT-S IMS 2015. He was the recipient of a 2004 IBM Ph.D. Fellowship, the 2007 Defense Advanced Research Projects Agency (DARPA) Young Faculty Award, the 2011 National Science Foundation (NSF) CAREER Award, and the 2015 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

3

Systematic Determination of Eigenfields in Time and in Frequency Domain Todorka Banova, Wolfgang Ackermann, and Thomas Weiland, Fellow, IEEE

Abstract—This paper presents numerical procedures applied to the accurate and robust calculation of thousands of eigenfrequencies for lossless resonators. The eigenfield determination is accomplished in two steps. Initially, the finite-integration technique or the finite-element method with higher order curvilinear elements is used, and further, the (B-)Lanczos method with its variations is exploited for the solution of the (generalized) eigenvalue problem. The application to the Bunimovich billiard resonator comparatively assesses the numerical results along with the complementary measurements. Finally, the applicability of the approaches is confirmed and the significant reductions of computational costs are pointed out. Index Terms—Cavity resonators, digital signal processing, eigenvalues and eigenfunctions, finite-element analysis.

I. INTRODUCTION

O

VER THE last years, the increasing number of applications has stimulated the development of new methods and software for the numerical solution of large-scale eigenvalue problems. At the same time, the practical applications often challenge the restraint of the two, numerical algorithms and computational resources, due to the probable demand of large number of interior eigenpairs for matrices with a dimension exceeding a few millions. A field of application, which has motivated this work and where the necessity for calculation of thousands of eigenfrequencies is also emphasized, is the field of quantum chaos. Here, quantum billiards are approximated using microwave resonators of corresponding shapes. The focus is on the billiards showing chaotic dynamics in their properties, and consequently, the investigations comprise the study of arbitrary-shaped resonators [1]. Specifically, a microwave resonator visualized in Fig. 1(a) is simulated and the main aim of this study coincides with solving the electromagnetic problem for the 3-D cavity, which enclosures excited electromagnetic fields under the assumption of

Manuscript received August 01, 2014; revised December 13, 2014, May 02, 2015, and September 07, 2015; accepted October 11, 2015. Date of publication December 04, 2015; date of current version January 01, 2016. This work was supported by the “Excellence Initiative” of the German Federal and State Governments and by the Graduate School of Computational Engineering, Technische Universität Darmstadt. T. Banova and T. Weiland are with the Institut für Theorie Elektromagnetischer Felder (TEMF) and the Graduate School of Computational Engineering, Technische Universität Darmstadt, D-64289 Darmstadt, Germany (e-mail: [email protected]; [email protected]). W. Ackermann is with the Institut für Theorie Elektromagnetischer Felder (TEMF), Technische Universität Darmstadt, D-64289 Darmstadt, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2015.2502945

Fig. 1. (a) Bunimovich billiard cavity. The geometrical shape of the Bunimovich cavity is represented with two quarter cylinders having radii mm and mm. The cylinders are rotated with respect to each other by 90 and are formed out of niobium that turns into a superconducting material when cooled down to a temperature of 9.2 K. (b) Illustrative description for the level spacings. A level spacing is defined as a difference between each of the two consecutive eigenfrequencies.

perfectly electric conducting walls. In this respect, the studies constitute competent, robust, and accurate computation of many eigenfrequencies that are essential for its statistical properties, i.e., the level-spacing analyses [2]. A more close description of the level spacings is demonstrated in Fig. 1(b). In particular, a level spacing is defined as a difference between each of the two consecutive eigenfrequencies, and afterward, with the obtained values the statistical distribution of the level spacings is calculated. Accordingly, the eigenfrequency level-spacing analysis requires thousands of eigenfrequencies to be calculated for the billiard and their accurate determination takes an essential significance. Further, regarding the fact that a large number of eigenpairs is desired, the eigenfrequencies are either placed in the areas at both ends of the spectrum or in the interior spectrum. Relying on the aforementioned project necessities, the novelty of this work is towards efficient, robust, and accurate determination of thousands of interior eigensolutions for systems of hyperbolic nature.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

As the electromagnetic problem of a Bunimovich billiard cannot be solved analytically, this work resorts to the numerical solution using either the finite integration technique (FIT) [3] or the finite-element method (FEM) based on higher order curvilinear elements [4], [5]. The focus is on the frequency-domain computations for electromagnetic problems, where the numerical solution of a (generalized) large-scale eigenvalue problem is considered at the end. Various types of numerical methods for eigenvalue determination, i.e., Jacobi-Davidson [6], Arnoldi [7], Lanczos [8], Krylov-Schur [9], are available in different software packages: MATLAB, SLEPc [9], PRIMME library [10], Trilinos [11], to mention just a few. Although numerous numerical algorithms and models for eigenvalue calculations are available in the literature, not frequently are they concretely suited for large-scale eigenvalue calulations. These difficulties are already reported in [12] for self-adjoint elliptic operators. Thus, some numerical methods might issue an excessively time- and memory-consuming simulation, which converges slowly. The Lanczos method [8] with its variations is very attractive for the project necessities, as it reduces the original eigenvalue problem to a favorable tridiagonal one and takes a significant advantage over its competitors, which concentrate on individual frequency samples per iteration. Among the basic implementations of the (B-)Lanczos algorithm and its extension using spectral transformation [13], a novel combination with a filtering method for the standard and the generalized eigenvalue problem is proposed within this paper as a valuable tool to enable the computation of interior eigenpairs as well as to speed up the convergence. Moreover, the implementation facilitates a distributed-memory architecture with a message passing interface (MPI) parallelization strategy such that a higher mesh resolution can be considered and the computational costs will be still kept on an acceptable level. In order to verify and validate the numerically obtained eigensolutions, side-by-side comparisons with the reference data, which are determined by the analytical expressions (where applicable), as well as by the measurements and the commercial software CST Microwave Studio (CST MWS), are performed. With this the correctness of the proposed approaches is indicated. Also, the approach for eigenvalue extraction from time-domain computations is incorporated in this paper for comparison reasons. This paper is organized as follows. Section II briefly describes a method for high-precision eigenfrequency extraction from available electric-field computations in the time domain. In Section III, the numerical procedures for eigenfrequency determination in the frequency domain are presented. The discussions of the implementation details are included in Section IV. Section V investigates the simulation scenarios with the obtained results. Finally, conclusions are drawn in Section VI. II. EIGENVALUE EXTRACTION FROM TIME-DOMAIN COMPUTATIONS In this section, the approach for high-precision eigenfrequency extraction from time-domain responses is briefly presented, whereas a more detailed explanation of the same can be found in [14]. Additionally, the specific details regarding

the implementation are clearly worked out here while the basic ideas are already discussed in [15]. A. Time-Domain Solver Simulation At first, the cavity of interest is modeled in CST MWS together with a small antenna system, which is used for excitation. It should be noted that the additional antenna system is significantly smaller than the cavity and contains next to a tiny antenna also an appropriate feed. With the aim to excite all the modes inside a desired span of frequencies, the antenna system is placed suitably. As used in the physical model, it is made of perfect electric conductive material and has a total length of 40 mm. In order to reduce the port influence on the solution, a discrete s-parameter port is connected via the antenna to the cavity and the chosen port separation of 10 mm is small compared to the other dimensions of the cavity. Additionally, the background material is modeled as a perfect electric conductor and the computational domain is terminated by an electric boundary condition. At the feed of the antenna system, a broadband Gaussianmodulated sinusoidal signal is inputted such that the sought frequency range would be enclosed. Due to the nonsymmetric domain, symmetry conditions could not be applied in the calculation. Thus, there is no reduction of the overall simulated volume and analogously, no reduction of the computational effort can be expected. However, the field simulation is performed with the time-domain solver from CST Microwave Studio, which dramatically accelerates the simulation by using the parallelization strategy supported with the graphics processing units (GPUs). As stopping criterion, no check for the accuracy is specified and the simulation is stopped once the specified maximum solver duration is reached. During the transient field simulation, the electric-field intensity is evaluated at various probes, which are located in the interior of the microwave resonator. Afterwards, Fourier analyses of multiple acquired time-domain signals are completed and the desired eigenfrequencies are extracted with the help of digital signal-processing techniques, as follows below. B. Postprocessing of the Time-Domain Computations Once the excitation vanishes, the entire field can stay for a long time inside a closed resonator without losing much energy under the assumption of perfectly electric conducting walls and weak couplings to the antenna. In the ideal case, the time-domain response aggregates sinusoidal curves whose frequencies correspond to the eigenfrequencies. However, as a result of the finite simulation time, the frequency spectrum of a response does not comprise Dirac delta impulses, but it consists of pulses with definite broadness. That is, the limitation in time is equivalent to multiplication of the cavity response with a rectangular windowing function, and accordingly, this causes a convolution of the true spectrum with a cardinal sine function in the frequency domain [16]. In practice, the limited electrical conductance and the employed antenna system also affect the frequency spectrum. Finally, in order to reduce the broad frequency spectra, all (or user selected) acquired field computations are imported in a MATLAB program for further postprocessing and the original signals within the measured time are multiplied by a Gaussian function.

BANOVA et al.: SYSTEMATIC DETERMINATION OF EIGENFIELDS IN TIME AND IN FREQUENCY DOMAIN

Once the limitations arising from lessened frequency resolution are minimized, the frequency spectra are calculated by employing the fast Fourier transform (FFT) of each time signal. Further, the approach involves a parametric fitting as an essential technique to avoid the drawbacks of the classical approach for eigenfrequency determination. In some words, a Gaussian model, customized with the MATLAB curve fitting Toolbox, is used. Primarily, a local fitting of a Gaussian pulse from the amplitude spectrum is applied. The pulse is then selected with a windowing function and an inverse fast Fourier transform (IFFT) is performed. As a result, a Gaussian-modulated sinusoidal signal can be observed in the time domain, whose modulation frequency equals the one we are looking for. Consequently, the obtained signal is optimally fitted with an appropriate Gaussian-modulated model from the MATLAB curve fitting Toolbox and the sought eigenfrequency is determined from the parameter values. The procedure is done for each signal, and finally, the values for the coefficients representing the goodness of the fit are compared and the eigenfrequency determined from the best fit is chosen. In this way, the approach utilizes the phase information of the FFT data and a parametric fit is applied with all the available time-domain data samples. Lastly, the time-domain approach can be utilized for diverse cavity structures under the assumption of accessible time-domain signal responses. III. EIGENVALUE DETERMINATION IN FREQUENCY DOMAIN This section introduces a fast numerical procedure for an accurate and robust eigenfrequency determination of a superconducting cavity. The approach is based on a numerical computation of electromagnetic fields in the frequency domain and further, special attention is paid towards the eigenvalue and eigenvector determination by employing the Lanczos method. Supposing that the FIT is utilized to numerically solve the electromagnetic problem of a superconducting cavity, which enclosures the excited electromagnetic fields, the numerical solution of a standard large-scale eigenvalue problem (1) is considfor a given symmetric sparse matrix ered at the end. In the above equation, the scalars denote the eigenvalues, which correspond to the real-valued eigenvectors . The appropriate derivations when using the FIT can be found in [17]. Thereon, the algebraic eigenvalue problem is solved with the help of the Lanczos eigenmode solvers (see Sections III-B–III-D). Accordingly, if the numerical solution of the same problem is treated by the FEM based on higher order curvilinear tetrahedrons [4], finally it yields to a generalized large-scale eigenvalue problem (2) and for given symmetric sparse matrix pencils ( is positive definite). Later, the desired eigenvalues in a specified interval along with their associated eigenvectors are calculated with the iterative solution of the generalized eigenvalue formulation and the implemented eigenvalue solvers are termed as B-Lanczos solvers (see Sections III-B–III-D).

5

A. Frequency-Domain Solver Simulation Within this work, the excited electromagnetic fields inside closed resonators are determined applying perfectly electric conducting walls as essential boundary conditions. Prior to the actual frequency-domain simulations, the related geometry is modeled and decomposed into hexahedral or tetrahedral elements with CST MWS. Afterwards, the corresponding mesh information is passed to the CEM3D eigenmode solver [4] in order to set up the sparse matrices that are used as input for the (B-)Lanczos eigenvalue solvers. Here, the CEM3D program solves the electromagnetic problem either with the FIT or with a higher order FEM. Respectively, the outcome is either a standard or a generalized eigenvalue problem, derived from the Maxwell’s equations for a loss- and source-free bounded domain with perfectly electric conducting walls on its surface. It is also worth mentioning that the CEM3D eigenmode solver is not only used for the matrix pencil generation, but also for the accurate eigenfrequency determination of a given structure. More precisely, the solver implements the Jacobi-Davidson method and determines the eigenvalues of the generalized eigenvalue formulation. The program is built on the top of the PETSc library and it has the capability to run on a distributed memory machine with multiprocessors in parallel. B. (B-)Lanczos Algorithm The interval of desired eigenvalues can be located at the leftor right-most end of the frequency spectrum. Regarding that, an important class of techniques, known as Krylov subspace methods, extracts approximations from a Krylov subspace of the form span

(3)

where in case of (1) in case of (2).

(4)

increases by one The dimension of the subspace at each step of the approximation process. A few well-known Krylov subspace methods are: the Hermitian Lanczos and the Arnoldi method, as well as their variations. The (B-)Lanczos recursion is an (B-)orthogonal projection technique onto the Krylov subspace . By means of a three-term recurrence formula (5) the (B-)Lanczos recursion generates an (B-)orthonormal set of Lanczos vectors, , and computes a highly structured (in fact tridiagonal) real symmetric matrix , which is defined as

..

.

..

.

..

.

(6)

6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

at each step . Only for matrices having more than ten million degrees of freedom (DoF), the implementation exploits a partial reorthogonalization [18], [19], implying that the reorthogonalization is done only at specific iteration steps. At line 11 of the (B-)Lanczos procedure (see Fig. 2), a convergence check is executed. The sought eigenvalues are converged at the iteration if the number of sought eigenvalues of is the same as the number of eigenvalues of and the error of the sought eigenvalues, measured in the relative sense, is below a given tolerance . C. (B-)Lanczos Algorithm With Spectral Transformation

Fig. 2. (B-)Lanczos algorithm for the solution of the (generalized) eigenvalue problem.

Thus, the (B-)Lanczos process implements the modified Gram-Schmidt process, where in every (B-)Lanczos iteration the newest (B-)Lanczos vector is determined by (B-)orthogonalizing the vector with respect to and . The matrices and share the same eigenvalues, and moreover, any Ritz vector , where is an eigenvector of , approximates a corresponding eigenvector of . A descriptive view of the algorithm without deflation is given in Fig. 2 [7], [8]. The (B-)Lanczos recursion achieves good and fast approximations of the smallest and the largest eigenvalues of , whereas it converges moderate for the interior eigenvalues. There are, however, numerical problems if only a simple straightforward implementation of this recursion is realized. In general, such an implementation yields a matrix , which has extra eigenvalues in addition to the good approximations of the eigenvalues of . The spurious eigenvalues are attributed to the losses in the orthogonality of the (B-)Lanczos vectors, which, in turn, are caused by the combination of the roundoff errors resulting from the finite computer arithmetic and the convergence of the eigenvalues of the matrix to the eigenvalues of the original matrix . In order to avoid the spurious eigenvalues, the (B-)Lanczos vectors are reorthogonalized in line 13 of Fig. 2. Various reorthogonalization algorithms have been offered in the literature to reduce the loss of the orthogonality. Within this work, the implemented (B-)Lanczos methods and its variations use a full reorthogonalization for simplicity. Applying the full reorthogonalization [7], the current (B-)Lanczos vector is orthogonalized to the vectors

Along the line of the project requirements, the eigenvalue solvers must deal with a variety of issues that are arising in the eigenvalue analysis, i.e., ever-increasing size of matrices and wide interior frequency range of interest (interior eigenvalue problem). The eigenvalues that are located inside the entire spectrum are called interior eigenvalues and are generally more difficult to be calculated. To overcome these issues, it is naturally desirable to access the spectrum of the matrices in consecutive parts by utilizing a proper spectral transformation. The motivation of the spectral transformation is to modify the spectral distribution in order to find the eigenvalues more efficiently. Specifically, if the interest is in the eigenvalues near a specific shift , then the eigenvalues of the matrix [in case of (1)] or [in case of (2)] should be computed. The obtained eigenvalues of this matrix, , become dominant for the ’s, which are near to the shift . Finally, the sought eigenvalues are calculated in the form of a transformed eigenvalue (7) where is the appropriately chosen shift. This transformation enables one to find closely spaced eigenvalues in the neighborhood of in a well-separated form. The computational program based on this approach computes a block of 100 eigenvalues and dynamically selects a successive shift . The first time when the (B-)Lanczos method with shift-and-invert (SI) requires an operator multiplication, the solution of the linear systems is obtained with the lower upper (LU) decomposition of the or the matrix, followed by the forward-backward substitution. Once the LU decomposition itself is computed, this procedure is repeatedly applied to solve the multiple system of equations with different right-hand side vectors. However, there are certain electromagnetic applications, where the SI transformation would be very expensive in terms of time and memory requirements, as well as too slow. Therefore, the focus of this paper highly leads to the (B-)Lanczos algorithm with polynomial filtering and its parallelization. D. (B-)Lanczos Algorithm With Polynomial Filtering The (B-)Lanczos algorithm with polynomial filtering (see Fig. 3) replaces the matrix-vector product in the (B-)Lanczos algorithm by , where is a polynomial being determined from the knowledge on the distribution of the sought eigenvalues. The main goal of the polynomial filtering is to enhance the (B-)Lanczos projection scheme by processing the vectors such that their components in the unwanted parts

BANOVA et al.: SYSTEMATIC DETERMINATION OF EIGENFIELDS IN TIME AND IN FREQUENCY DOMAIN

7

polynomials, the polynomial multiplication by could also be easily implemented. The details are omitted here and can be found in [19] and [20]. Due to the fact that the process operates in a polynomial space, for the standard eigenvalue problem the matrix is not requested, and therefore, the computational costs are insignificant. For the generalized eigenvalue problem, a solution of subsequent linear system of equations is necessary. IV. IMPLEMENTATION DETAILS A. (B-)Lanczos Eigenvalue Solvers

Fig. 3. (B-)Lanczos algorithm with polynomial filtering for the solution of the is calculated (generalized) eigenvalue problem. Here, the polynomial filter in the scaled and shifted basis of the Chebyshev polynomials.

of the spectrum are relatively reduced to those in the wanted parts. It should be noted that the eigenvectors of the matrices and are identical, and the matrix has eigenvalues with being the eigenvalues of the matrix . A fundamental problem lies in computing an appropriate polynomial in order to approximate a rectangular function that covers the sought eigenvalues . If the polynomial filter is selected such that is in an edge region of the spectrum, the eigenvalues of the matrix in are approximated first. Afterward, the eigenvectors that correspond to these eigenvalues are exploited to determine the eigenvalues of the matrix in . However, a high-degree polynomial approximation to a discontinuous step function exhibits parasitic oscillations. Therefore, a two-stage process [19], [20] is adapted. First, a smooth function similar to the rectangular function is selected. A polynomial approximation to this function is then applied in the least squares sense. A variant of a filtered conjugate residual polynomial algorithm has been proposed in [20]. Here, the functions are expanded in the proper scaled and shifted basis of the Chebyshev polynomials. Thus, all inner product operations as well as the adding and the scaling operations of two expanded polynomials can be easily accomplished with the expansion coefficients. As a consequence of the three-term recurrence of the Chebyshev

Prior to comparing the numerically obtained results by the (B-)Lanczos solvers with reliable analytical ones, additional useful information about the eigenmode solvers is presented. The dedicated solvers are implemented in C and based on PETSc [21] data structures. Additionally, the PETSc library interfaces to the SuperLU external software [22] and enables parallel computing by employing the MPI standard for all message-passing communication. Moreover, the implemented solvers employ the Intel MKL 10.2 library with LAPACK for the solution of the tridiagonal eigenvalue problem. The main challenges for the implementation can be itemized as high computational and memory consumptions for the solution of the linear system of equations, supplementary algebraic operations, as well as compute- and communication-intensive portions of the codes for the calculation of thousands of (interior) eigenfrequencies. Thus, the implementation is parallel and exploits all parallelism from a multithreaded and multiprocess implementation of the used libraries supporting shared and distributed memory architectures. Analogously, this facilitates computational engineering analyses of unprecedented complexity to be performed. Seeing that the matrices of the FIT and the FEM are evergrowing large and sparse, it is necessary to store only their nonzero entries in a most economical manner, i.e., the default matrix format within the PETSc library, compressed sparse row, is used. At this point, it should be pointed out that the parallel vectors and the sparse matrices can be easily and efficiently assembled through the mechanisms provided by PETSc. In case of the standard eigenvalue problem (1), the algorithms presented in Sections III-B and III-D perform repeated computations of matrix–vector products, which are the only large-scale linear operations included within these approaches. On the other hand, the Lanczos solver with SI (see Section III-C) introduces a factorization of the matrix , and therefore, this approach is not favored over the Lanczos solver with polynomial filtering for the interior eigenvalue calculation. Similarly to the B-Lanczos eigenvalue solver, the B-Lanczos solver with SI and the B-Lanczos solver with polynomial filtering implement a B-Lanczos method for the solution of the generalized eigenvalue problem (2) by means of a spectral transformation and polynomial filtering, respectively. Here, the repeated computations of matrix–vector products are not the only large-scale operations. More importantly, the B-Lanczos solver and the B-Lanczos solver with polynomial filtering require a solution of a linear system of equations for the positive–definite matrix . That is, an iterative conjugate gradient (CG)

8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

method, implemented in PETSc, along with Jacobi preconditioner is used for the solution of the resulting linear system of equations at each iteration step. Dependent on the polynomial degree, additional system of equations have to be solved at line 5 in Fig. 3. Hence, there remain possible enhancements of the B-Lanczos solver with polynomial filtering for the case when the requested frequency range is narrow, which results in a polynomial with a high degree. For the interior eigenvalue determination, the B-Lanczos solver with spectral transformation requires a solution of an indefinite system of linear equations at every step. Typically, a straightforward factorization yields factors, which are denser. Therefore, an LU factorization followed by the forward–backward substitution is performed using the SuperLU direct solver package with reordering the rows and columns of the given matrix such that sparse factors can be obtained. Once the LU decomposition itself is computed, this procedure is repeatedly applied to solve the multiple system of equations with different right-hand-side vectors [13]. Finally, the runtime options from the PETSc library include control over the choice of solvers without any additional coding cost.

Fig. 4. Difference in a relative sense of the analytical solution to the numerical solutions depending on the number of mesh cells for a spherical m. The eigenfrequency of the first mode cavity with radius is considered.

B. Accuracy of the Frequency-Domain Approach The accuracy of the approach for eigenfrequency determination in the frequency domain is assessed for the electromagnetic problems, which are either analytically or numerically resolved. The implementation of the proposed algorithms is verified using a superconducting spherical resonator, which encloses vacuum. The radius of the sphere is fixed to 1 m and the eigenfrequency of the first mode is computed from analytical expressions given in [23] by employing a root-finding algorithm of transcendental equations, which is simply explained in [24]. According to the simple setup, the exact eigenvalue of the spherical resonator can be analytically evaluated, and as a result, a relative error on a logarithmic scale can be defined as

error

(8)

To give an illustration of the convergence study depended on (8), Fig. 4 depicts the relative deviation of the analytical solutions to the numerical ones as a function of the number of mesh cells. Here, it is worth mentioning that the number of mesh cells is not equivalent to the numerical effort caused from the FIT and the FEM with higher order curvilinear elements. The desired eigenfrequency has been calculated for several different discretization meshes by employing the eigenmode solvers with SI. As suggested by Fig. 4, a good agreement between the numerical and analytical solutions can be observed and with the increasing number of discretization cells, the difference becomes smaller. Additionally, some comments about the different convergence properties of the solvers should be made. Employing a tetrahedral mesh with curvilinear elements to discretize the computational domain leads to higher order convergence, and consequently, a lesser number of computational cells are required to obtain the same accuracy. The B-Lanczos solver

Fig. 5. Magnitude of the electric-field patterns for three modes of a spherical m (cut view). The top row shows the data obtained cavity with radius with CST MWS, whereas the bottom row illustrates the patterns acquired with the Lanczos solver SI. A contour snapshot of the: (a) first mode, (b) third mode, and (c) fourth mode.

with SI, visualized in Fig. 4, undoubtedly demonstrates a fast convergence of fourth order, which can only be obtained with nonplanar elements. In other words, using the FEM implementation (see [4]), the matrices with a million DoF already give very precise results. Therefore, in the situation when thousands of eigenpairs are required, the number of matrix factorizations, which are needed to obtain all desired eigenvalues, is acceptable. Finally, an additional interest of this work is to employ the B-Lanczos eigenmode solver with SI for the large-scale eigenvalue determination. As already stated above, the eigenvectors corresponding to the desired eigenvalues can also be determined with the frequency-domain approaches. In order to examine the accuracy of their calculation, the electric-field patterns for the first, the third, and fourth mode of the spherical cavity have been compared with the patterns obtained with the CST MWS Eigenmode Solver. For this purpose, Fig. 5 shows contour snapshots

BANOVA et al.: SYSTEMATIC DETERMINATION OF EIGENFIELDS IN TIME AND IN FREQUENCY DOMAIN

9

Fig. 6. (a) Computation time and (b) memory consumption of selected eigenmode solvers for the determination of the 100 largest eigenvalues for the billiard cavity. Different number of curvilinear tetrahedron- and hexahedron-discretization meshes are used within the solvers.

of the spherical cavity, where an evident agreement can be noticed from the observation of the corresponding electric-field patterns. V. APPLICATION EXAMPLE: BILLIARD CAVITY With the aim to validate the proposed numerical procedures from Section III, the numerically obtained results are compared with measurements. In the frame of this paper, the statistical properties of chaotic microwave resonators [1] are investigated. The requirements for chaotic characteristics are met, for example, by using a 3-D superconducting resonator, as illustrated in Fig. 1. The Bunimovich billiard cavity has chaotic classical dynamics and is made of niobium that becomes a superconducting material when cooled down to a temperature of 9.2 K. The structure is defined with two quarter cylinders with radii mm and mm, which are rotated with respect to each other by 90 . In this work, the focus is put on the case when since this geometry is studied in the microwave experiments [2], [25], where the ratio is also chosen to be irrational in order to avoid nongeneric quantum effects due to the classical orbits of measure zero. Namely, the institute for nuclear physics at TU Darmstadt, Darmstadt, Germany, studies the classical and the quantum mechanics of a 3-D stadium billiard, where the spectral properties and the physical quantities of a microwave resonator with the same shape are investigated experimentally. For more information regarding the experimental setup and the investigation, the reader is referred to [2] and [25]. A. Comparison With Other Eigenvalue Solvers In order to compare the computational speed as well the memory consumption issues of the time-domain approach and the (B-)Lanczos solvers, the recent versions of MATLAB, SLEPc, and CEM3D are chosen for the solution of the generalized eigenvalue problem. The results are plotted in Fig. 6, where in each simulation 100 eigenvalues of the billiard cavity are computed with an accuracy of 10 .

With the aim to calculate the time-domain field responses, a computer with two quad-core Intel Xeon 3-GHz processors and 64 GB of RAM memory is used. The same computer configuration is also exploited for the time- and frequency-domain approaches for eigenvalue determination. As a result, the computer resources limit the availability of the results, which are extractable with the different eigenvalue solvers. The eigenvalues in MATLAB are obtained employing the Arnoldi’s method implementation, while in SLEPc the KrylovSchur method is used in combination with a Jacobi preconditioner of the CG and the generalized minimal residual (GMRES) method. Also, two different setups for the SLEPc simulations with the CG method are analyzed, where the difference is in the dimension of the subspace, i.e., 200 or 500 vectors are selected. As depicted in Fig. 6, the iterative solvers, which are part of the frequency-domain methods, need long simulation time, but less memory, as to be expected. Here, the B-Lanczos solver next to the SLEPc with a CG can be considered as one group. On another side, the B-Lanczos solver with SI and MATLAB are clearly separated from the other solvers in a time-consuming sense. However, it should be noted that these solvers require more memory than the other solvers. Therefore, the B-Lanczos solver with SI or MATLAB can be recommended in a case that enough computation resources are provided. Further, it can be also observed that CEM3D, SLEPc with CG, Lanczos, filtered Lanczos, and the B-Lanczos solvers have a very good characteristic from a memory usage point of view. Moreover, Fig. 6 indicates that the Lanczos and the filtered Lanczos solvers provide efficient solutions, both in terms of time and memory consumption, and therefore they can be successfully employed for the calculation of thousands of eigensolutions. According to Fig. 6, the following observations could be made. In case of the Lanczos solver with polynomial filtering, the repeated computations of matrix–vector products are the only large-scale linear operations, and therefore, the arising computational costs are insignificant. Regarding that the B-Lanczos solver with poly-

10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 7. Strong scaling tests. Parallel: (a) speedup and (b) efficiency conducted for the calculation of the smallest 615 eigenpairs of a billiard cavity being discretized with two different hexahedral meshes. Within the analyses, the eigenpairs are determined with the filtered Lanczos solver and the tests are performed on the TEMFCL1000 computer cluster without employing the hyper-threading technology.

nomial filtering has to solve subsequent system of equations, it could be pointed out that the Lanczos solver with polynomial filtering takes an advantage over the B-Lanczos solver with polynomial filtering in terms of time and memory consumptions. When compared to all other methods, the memory usage of the time-domain method remains low even with a dramatic rise of the problem size, making this method a proper choice when the computational resources are limited. According to Fig. 4, if a higher accuracy is desired, i.e., relative error less than 10 , the time-domain approach would require a higher mesh resolution, which can finally result in a longer simulation time compared to the frequency-domain approach. In such situations, the advantages of the parallelization provided with the GPUs can be used to accelerate the simulations considerably and to enable the handling of meshes with more than ten million mesh cells. B. Scalability As explained in Section IV-A, the (B-)Lanczos solvers are built on the top of the PETSc library and they have the capability to run on a distributed memory machine with multiprocessors in parallel. With the intention of obtaining insights into the parallel performance of the codes, strong and weak scaling tests for the Lanczos solver with polynomial filtering are briefly examined within this section. a) Strong Scaling: For this purpose, performance measurements have been conducted for the calculation of 615 smallest eigenvalues of the billiard cavity, which has been discretized with hexahedral meshes having 3 407 398 and 6 632 645 elements. The employed Lanczos solver with polynomial filtering covers at least 615 iteration steps in order to calculate the sought eigenfrequencies. The work definition for the numerical tests includes the parallel portion of the code, the communication, as well as the serial part of the program. Precisely, the serial part is limited to the startup, the initialization, and finally, the process of writing the results. In order to quantify how much of the computational time for the different

problems is reduced with the increase of the resources, strong scaling tests are performed. Typically, a program shows good scalability if, partitioned over more and more processors, it demonstrates perfect or near-perfect speedup. The computations are performed on the TEMFCL1000 computer cluster, owned by the computational electromagnetics laboratory (TEMF), TU Darmstadt. According to the characteristics summarized in Table I, the cluster has 60 nodes, each node has two Intel Xeon processors clocked at 2 GHz, and each processor has four cores. The nodes are connected with a gigabit Ethernet network and each node has 16 GB of available working memory. During the simulations, the numerical tests are performed on up to 200 cores and the hyper-threading option on the computer cluster has been switched off. Taking the simulation on 48 cores as a reference data from which the strong scalability is judged, Fig. 7(a) and (b) presents the speedup and the efficiency curves obtained on the TEMFCL1000 cluster for the two tested problems. A general conclusion for the strong code scalability can be drawn based on the results for the above analyzed tests, where the comparison with the ideal speedup shows only minor differences. For the TEMFCL1000 cluster, the coarse and the fine grid do not saturate up to the accounted number of 200 cores and the parallel efficiency, defined in [26], stays at 90%. Namely, the overall increased node communication produces only lower deviations from the ideal speedup, as the communication-to-computation ratio remains low. Finally, the code attains good scalability on the typical high-performance cluster, and as depicted in Fig. 7(a), the speedup does not noticeably decrease from the optimal, meaning that this problem scales up to minimum 200 cores. b) Weak Scaling: Splitting the given problems over more and more processors yields to a certain point when there is not enough work for each processor to operate efficiently. Therefore, a series of increasingly larger problems are solved on correspondingly growing numbers of processors and the so-called

BANOVA et al.: SYSTEMATIC DETERMINATION OF EIGENFIELDS IN TIME AND IN FREQUENCY DOMAIN

11

Fig. 8. Weak scaling tests: parallel efficiency conducted for 100 iteration steps of the Lanczos solver with polynomial filtering. The problem size and the number of processors are kept in such a way that the amount of data per processor stays constant. The tests are performed on the computer cluster TEMFCL1000 without employing the hyper-threading technology. TABLE I CHARACTERISTICS OF THE COMPUTER CLUSTER TEMFCL1000

weak scaling [26] is examined. For this reason, the problem size and the number of processors grow in such a way that the amount of data per processor is kept constant. Analogously, the speed in operations per second of each processor also stays constant. Again the performance measurements are conducted for the Lanczos solver with polynomial filtering, where 100 iteration steps are executed for each problem size (see Fig. 8). As expected, the code scales good, meaning that the parallel execution time is nearly constant as the problem size and the number of processors grow.

Fig. 9. Comparison of the numerically obtained level-spacing analysis with the one calculated from the measurements. (a) Eigenfrequency level-spacing analysis based on the eigenvalues, which are extracted with the time-domain approach. The billiard cavity is discretized with a hexahedral mesh having 3 634 917 mesh elements. (b) Eigenfrequency level-spacing analysis based on the eigenvalues, which are determined with the frequency-domain approach. Specifically, the Lanczos solver with polynomial filtering is used for the eigenvalue determination of the billiard cavity, which is discretized with a hexahedral mesh having 3 379 770 mesh elements.

C. Level-Spacing Analysis In this section, the statistical properties of the Bunimovich stadium billiard are reviewed. For this purpose, thousands of eigenfrequencies needed for its level-spacing analysis are numerically computed. As already mentioned in Section I, the goal of this work is also to validate the proposed approaches for precise determination of thousands of eigenvalues. Therefore, the numerically calculated spectral properties are compared with the measured ones, which at the same time fulfills the project requirements. The measurement data of the 3-D stadium billiard are kindly provided for comparison reasons by the institute for nuclear physics at the TU Darmstadt. In addition, the experimental investigations have been published in [2] and [27]. For the numerical simulations, the time-domain approach and the frequency-domain approach using the Lanczos solver with

Fig. 10. Level-spacing analyses conducted for three different hexahedral meshes. For each distribution, around 2300 eigenfrequencies are calculated using the Lanczos solver with polynomial filtering.

polynomial filtering are exploited. Here, it is observed that a powerful computer is required for problems with up to several millions of mesh cells. To be precise, the computer possesses 256 GB of RAM memory and two quad-core Intel Xeon E5-2643 processors, clocked at 3.3 GHz.

12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 11. (a) Difference between the level-spacing analyses calculated with the Lanczos solver with polynomial filtering and with the measurements. For the numerical calculation, the discretized problem has 11 554 666 DoF. (b) Difference in a relative sense of the eigenfrequencies calculated with the numerical simulation from Fig. 11(a) and the measurements.

Using the time-domain approach, about 974 eigenfrequencies are determined for the Bunimovich billiard up to 7.5 GHz. At this point, the billiard is discretized with a hexahedral mesh having 3 634 917 elements. On the other side, 2292 eigenpairs are calculated with the filtered Lanczos solver, where the structure is discretized with a hexahedral mesh having 3 379 770 elements. Analogously, the resulting matrix from the algebraic standard eigenvalue problem has 6 632 645 DoF. Here, the Lanczos solver with polynomial filtering is set to calculate the above-mentioned eigenfrequencies along with their associated eigenvectors in three different simulations. The level-spacing analyses based on the calculated eigenfrequencies are given in Fig. 9, where the computation time as well as the memory consumption for the eigenvalue determination are also summarized. Prior to comparing the level-spacing analyses based on the eigenvalues calculated with the different numerical methods, an additional information about the computational costs is worth being presented. That is, when compared to the frequency-domain approach, the memory usage of the time-domain method remains low even with a dramatic rise of the problem size. Consequently, the eigenvalue extraction from time-domain computations is a proper choice when the computational resources are limited. However, the frequency-domain approach shows significant reduction in the computation time, which in some applications is preferred over the burden of additional memory consumption. In both Fig. 9(a) and (b), the level spacings in megahertz are given on the abscissa, whereas the ordinate shows the number of occurrences that belong to a specific frequency bin. According to these plots for the level-spacing analyses, it is obvious that smoother and better statistical properties are attained in case of more eigenvalues being determined. Additionally, the black line in Fig. 9(a) and (b) shows the processed data, which are obtained from measurements with antennas placed at different positions inside of the billiard cavity. In the experimental setup, the billiard has been constructed from niobium with the aim to reach superconductivity by a continuous cooling with liquid helium at low temperatures (4.2 K). As a result, the cooling is naturally accompanied with a geometrical shrinkage of the cavity. Thus, the measurement data are scaled with a factor that compensates

for the difference in the dimensions of the measured and the simulated structure. To compare the measurement data with the simulation results, an identical number of eigenvalues is used. According to Fig. 9, it is worth mentioning that the numerically calculated histograms agree well with the corresponding curve obtained with the measurements. In addition, the robustness of the different approaches is investigated and the results indicate that the number of eigenfrequencies found with the proposed approaches coincides with the reference data. Finally, it can be concluded that the measured spectrum closely resembles those obtained by the numerical simulations. In order to examine the convergence of the distributions for the level-spacing analysis, several hexahedral mesh cells are used to calculate around 2300 eigenfrequencies. The results are obtained with the Lanczos solver with polynomial filtering and they are depicted in Fig. 10. From this figure, it is clearly observable that the different simulation scenarios yield the expected Wigner distribution for the level-spacing analysis [27]. In order to make clearer the difference between the levelspacing analyses calculated with the Lanczos solver with polynomial filtering and with the measurements, Fig. 11(a) presents the difference in the level-spacing analyses for the Bunimovich billiard. According to this figure, the difference in the both solutions is small, as less than 25 occurrences are present for the level spacings until 4 MHz. Here, it can be clearly observed that the bigger the level spacing, the data are more precise and the difference lies at 2–3 occurrences for the higher level spacings. In conclusion, a good agreement is evident between the data calculated with the numerical simulations and the measurements. A similar conclusion could be drawn from Fig. 11(b). The difference in a relative sense of the eigenfrequencies calculated with the numerical simulation and the measurements is depicted here. Beside the good accordance of the solutions, it is also apparent from Fig. 11(b) that the higher mode eigenfrequencies are in a better agreement. The eigenvectors corresponding to the desired eigenvalues are also determined with the frequency-domain approach. In order to examine the accuracy of their calculation, the electric-field patterns for several modes of the billiard cavity are

BANOVA et al.: SYSTEMATIC DETERMINATION OF EIGENFIELDS IN TIME AND IN FREQUENCY DOMAIN

13

Fig. 12. Magnitude of the electric-field patterns for several modes of the billiard cavity. The top row shows the data obtained with CST MWS, whereas the bottom row illustrates the patterns acquired with the filtered Lanczos solver. A contour snapshot of the: (a) first mode, (b) second mode, (c) third mode, (d) eighth mode, (e) tenth mode, (f) 70th mode, and (g) 97th mode.

compared with the patterns obtained with the CST MWS. For this purpose, Fig. 12 shows contour snapshots of the stadium billiard cavity and from the observation of the corresponding electric-field patterns, an evident agreement can be noticed. Finally, this shows that first, the numerically calculated eigenvectors are in good accordance with those obtained by the numerical simulations with CST MWS; second, the parallel program implementations have proven to work in a robust, accurate, and stable fashion; and third, that the proposed procedures for determining thousands of eigenpairs are applicable and complete. VI. CONCLUSION In this paper, a superconducting cavity has been analyzed via an employment of different numerical approaches to calculate plenty of eigenfrequencies. Specifically, thousands of eigenpairs for the billiard cavity are numerically computed and its statistical analyses are investigated based on the acquired eigenfrequencies. The numerical calculations are performed, on one hand, via the time-domain approach, where the postprocessing of the probe data is developed and implemented especially for this purpose, and on the other hand, via the proposed approaches for eigenpair determination in the frequency domain. The frequency-domain approaches are initially based on the FIT or the FEM with higher order curvilinear elements, and afterward, the (B-)Lanczos method with its variations takes advantage over its competitors for the solution of the (generalized) eigenvalue problem. In order to confirm high precision of the proposed approaches, the numerically calculated eigenpairs are compared with the reference solutions, which are determined either by analytical expressions, by measurements, or by FEM simulations with the commercial software package CST MWS. Hereby, the findings show that the proposed approaches deliver solutions that are in a good agreement with the reference data, gaining accuracy and efficiency in eigenfield determination. Beside the accuracy, the robustness of the underlying approaches is also investigated throughout this work.

Summing up, the time- and frequency-domain approaches are able to accurately determine many eigenfrequencies of closed resonators, and they are fast, as well as memory efficient compared to others presented in the literature. REFERENCES [1] C. Dembowski et al., “Distribution of resonance strengths in microwave billiards of mixed and chaotic dynamics,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, pp. 046 202/1–046 202/7, Apr. 2005. [2] C. Dembowski et al., “Experimental test of a trace formula for a chaotic three-dimensional microwave cavity,” Phys. Rev. Lett., vol. 89, pp. 064 101/1–064 101/4, Jul. 2002. [3] T. Weiland, “A discretization method for the solution of Maxwell’s equations for six-component fields,” (AEU) Int. J. Electron. Commun., vol. 31, no. 3, pp. 116–120, 1977. [4] W. Ackermann and T. Weiland, “High precision cavity simulations,” in Proc. 11th Int. Comput. Accelerator Phys. Conf., 2012, pp. 1–5. [5] W. Ackermann, G. Benderskaya, and T. Weiland, “State of the art in the simulation of electromagnetic fields based on large scale finite element eigenanalysis,” Int. COMPUMAG Soc. Newslett., vol. 17, no. 2, pp. 3–12, 2010. [6] G. L. G. Sleijpen and H. A. V. D. Vorst, “A Jacobi-Davidson iteration method for linear eigenvalue problems,” SIAM J. Matrix Anal. Appl., vol. 17, pp. 401–425, 1996. [7] Y. Saad, Numerical Methods for Large Eigenvalue Problems, 2nd ed. Philadelphia, PA, USA: SIAM, 2011. [8] C. Lanczos, “An iteration method for the solution of the eigenvalue problem of linear differential and integral operators,” J. Res. Nat. Bureau Standards, vol. 45, no. 4, pp. 255–282, 1950. [9] V. Hernandez, J. E. Roman, and V. Vidal, “SLEPc: A scalable and flexible toolkit for the solution of eigenvalue problems,” ACM Trans. Math. Softw., vol. 31, no. 3, pp. 351–362, 2005. [10] A. Stathopoulos and J. R. McCombs, “PRIMME: Preconditioned iterative multimethod eigensolver: Methods and software description,” ACM Trans. Math. Softw., vol. 37, no. 2, pp. 21:1–21:30, Apr. 2010. [11] C. Baker, U. Hetmaniuk, R. B. Lehoucq, and H. Thornquist, “Anasazi software for the numerical solution of large-scale eigenvalue problems,” ACM Trans. Math. Softw., vol. 36, no. 3, pp. 1–23, 2009. [12] V. Heuveline, “On the computation of a very large number of eigenvalues for selfadjoint elliptic operators by means of multigrid methods,” J. Comput. Phys., vol. 184, no. 1, pp. 321–337, 2003. [13] T. Banova, W. Ackermann, and T. Weiland, “Accurate determination of thousands of eigenvalues for large-scale eigenvalue problems,” IEEE Trans. Magn., vol. 50, no. 2, pp. 481–484, Feb. 2014. [14] T. Banova, W. Ackermann, and T. Weiland, “Eigenvalue extraction from time domain computations,” Adv. Radio Sci., vol. 11, pp. 23–29, Jul. 2013.

14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

[15] T. Banova, W. Ackermann, and T. Weiland, “Performance analysis of eigenvalue extraction from time-domain computations,” in Eur. Microw. Conf., Oct. 2013, pp. 243–246. [16] M. Mandal and A. Asif, Continuous and Discrete Time Signals and Systems. Cambridge, U.K.: Cambridge Univ. Press. [17] U. van Rienen, Numerical Methods in Computational Electrodynamics—Linear Systems in Practical Applications, ser. Lecture Notes Comput. Sci. Eng.. New York, NY, USA: Springer, 2001, vol. 12. [18] H. D. Simon, “The Lanczos algorithm with partial reorthogonalization,” Math. Comput., vol. 42, no. 165, pp. 115–142, Jan. 1984. [19] H. ren Fang and Y. Saad, “A filtered Lanczos procedure for extreme and interior eigenvalue problems,” SIAM J. Sci. Comput., vol. 34, no. 4, pp. A2220–A2246, 2012. [20] Y. Saad, “Filtered conjugate residual-type algorithms with applications,” SIAM J. Matrix Anal. Appl., vol. 28, no. 3, pp. 845–870, 2006. [21] D. Balay et al., PETSc Users Manual. Argonne, IL, USA: Argonne Nat. Lab., 2011. [22] X. Li, “An overview of SuperLU: Algorithms, implementation, and user interface,” Lawrence Berkeley Nat. Lab., Berkeley, CA, USA, 2003. [23] S. Gallagher and W. Gallagher, “The spherical resonator,” IEEE Trans. Nucl. Sci., vol. 32, no. 5, pp. 2980–2982, Oct. 1985. [24] D. M. Pozar, Microwave Engineering, 2nd ed. New York, NY, USA: Wiley, 1998. [25] T. Papenbrock, “Numerical study of a three-dimensional generalized stadium billiard,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 61, no. 4, pp. 4626–4628, Apr. 2000. [26] G. Hager and G. Wellein, Introduction to High Performance Computing for Scientists and Engineers, 1st ed. Boca Raton, FL, USA: CRC, 2010. [27] B. Dietz, B. Mößner, T. Papenbrock, U. Reif, and A. Richter, “Bouncing ball orbits and symmetry breaking effects in a three-dimensional chaotic billiard,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 77, no. 4, pp. 046 221/1–046 221/11, Apr. 2008. Todorka Banova received the M.S. degree in electrical engineering and information technologies from the Ss. Cyril and Methodius University, Skopje, Macedonia, in 2010, and is currently working toward the Dr.-Ing. degree in electrical engineering at the Institut für Theorie Elektromagnetischer Felder, Technische Universität Darmstadt, Darmstadt, Germany. From 2008 to 2010, she was a Junior Teaching and Research Assistant with the Faculty of Information and Communication Technologies, FON University, Skopje, Macedonia. Her current research interests include determination of the eigenvalue distribution of chaotic resonators, where thousands of eigenfrequencies have to be considered.

Wolfgang Ackermann received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Universität Siegen, Siegen, Germany, in 1995 and 2002, respectively. From 1995 to 1997, he was involved with the interdisciplinary research project “deep sea mining” forming a cooperation between the electrical and mechanical engineering faculties of the Universität Siegen. Since 2002, he has been with the Institut für Theorie Elektromagnetischer Felder, Technische Universität Darmstadt, Darmstadt, Germany, where he started to lead a research group in 2005. His research interests include high-frequency engineering, analytical and numerical methods, high-performance computing, and beam-dynamics simulation.

Thomas Weiland (M’90–SM’03–F’11) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Technische Hochschule Darmstadt, Darmstadt, Germany, in 1975 and 1977, respectively. As a Fellow with the European Organization for Nuclear Research (CERN), Geneva, Switzerland, he began the first studies on electromagnetic (EM) simulation of relativistic particles in time domain. In 1983, he was with the Deutsches Elektronen Synchrotron (DESY), Hamburg, Germany, where he set up an international collaboration in order to develop the software package MAFIA for 3-D EM and charged particle simulation. Since 1989, he has been a Full Professor with the Technische Universität Darmstadt, as well as the Head of the Institut für Theorie Elektromagnetischer Felder (TEMF). In 1992, he founded CST GmbH, which is recognized as the market leader in 3-D EM time-domain technology. He has authored or coauthored over 1000 papers on numerical methods for field computation and has given hundreds of presentations at international conferences. Prof. Weiland became an elected member of the Academy of Science and Literature, Mainz, Germany, in 1992. In 1986, he was the recipient of the Physics Prize of the German Physical Society for his contributions to the field of scientific computing and the U.S. Particle Accelerator Schools Prize for Achievements in Accelerator Physics and Technology. In 1987, he was the recipient of the Leibniz Prize from the German Research Association. In 1995, he was the recipient of the Max Planck Research Prize for International Collaboration. In 1997, he was the recipient of the Philip Morris Research Prize. In 2004, he was awarded an honorary professorship by Tongji University, Shanghai, China.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Accurate Circuit Modeling of Fishnet Structures for Negative-Index-Medium Applications Víctor Torres, Francisco Mesa, Fellow, IEEE, Miguel Navarro-Cía, Senior Member, IEEE, Raúl Rodríguez-Berral, Miguel Beruete, and Francisco Medina, Fellow, IEEE

Abstract—Metallic plates with a two-dimensional (2D) periodic distribution of sub-wavelength apertures are known to exhibit extraordinary transmission of electromagnetic waves. Stacking two or more of such plates gives place to the so-called fishnet structures, which constitute a popular way of achieving an effective negative index medium at frequencies ranging from microwaves to optics. Unfortunately, a general wideband equivalent circuit has not yet been proposed to facilitate its understanding and design. This work presents this circuit model with closed-form expressions for the circuit elements, thus making it possible to obtain the electrical response for this class of structures in a very efficient way. This procedure is much faster than alternative numerical methods at the same time that it retains a high level of accuracy when compared with some other oversimplified models. The circuit model also provides a simple rationale as well as a good physical insight in order to explain the qualitative behavior of such structures, independently of the number of stacked layers. Index Terms—Equivalent circuit models, extraordinary transmission (ET), fishnet structures, metamaterials, negative index materials (NIMs).

I. INTRODUCTION

W

ITHIN THE realm of metamaterials, major efforts have been focused on realizing structures with effective negative index of refraction, the so-called negative index metamaterials (NIMs). Apart from the pure scientific interest on their peculiar electromagnetic response, the study of these media has been triggered by many potential practical applications including superlensing, sensing and other novel

Manuscript received March 12, 2015; revised August 13, 2015; accepted November 17, 2015. This work was supported by the Spanish Ministry of Economy and Competitiveness with European Union Feder Funds (under grants TEC2011-28664-C02-01, TEC2014-51902-C2-2-R, TEC2013-41913-P, and Consolider EMET CSD2008-00066, and by the Spanish Junta de Andalucía under Project P12-TIC-1435). The work of M. Navarro-Cía was supported by the Imperial College Junior Research Fellowship and the Birmingham Fellowship. The work of M. Beruete was supported by the Spanish Government via RYC-2011-08221. V. Torres and M. Beruete are with the Antenna Group—TERALAB, Universidad Pública de Navarra, Pamplona, 31006, Spain (e-mail: [email protected]; [email protected]). M. Navarro-Cía was with the Imperial College London, London SW7 2AZ, U.K. He is now with the School of Physics and Astronomy, University of Birmingham, Birmingham, B15 2TT, U.K. (e-mail: [email protected]). R. Rodríguez-Berral and F. Mesa are with the Department of Applied Physics 1, ETSII, 41012, Seville, Spain (e-mail: [email protected]; [email protected]). F. Medina is with the Department of Electronics and Electromagnetism, Faculty of Physics, University of Sevilla, 41012, Seville, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504441

electromagnetic devices [1], [2]. The first experimental implementation of a NIM was done at microwave frequencies by combining thin metallic wires and split-ring resonators [3] but the achievement of NIMs at higher frequencies (infrared or optics) is not trivial because not all topologies retain the required strong magnetic response in such spectral windows [4]. In this regard, the fishnet structure has outperformed other topologies [5] and can be applied over a very wide range of frequencies. The fishnet is a multi-layered structure that comprises two (or more) metallic periodic hole arrays separated by dielectric slabs. Roughly speaking, the negative index of refraction in the fishnet stems from the virtual current loop formed by the coupling between the layers and the surface currents rounding the holes provided the perforated metal screens are operating in the extraordinary transmission (ET) [6], [7] regime. Its simple geometry facilitates the fabrication at the nanoscale, making it one of the most attractive metamaterials so far. Hence, the fishnet is currently the preferred option for synthesizing NIMs from microwaves [8]–[10] to the optical regime [5], [11], [12], and has been successfully employed for designing quasi-optical devices such as polarizers, lenses, and demultiplexers [13]–[17]. In the context of electromagnetic periodic structures, analyses based on equivalent circuits (ECs) have a long tradition [18]–[26]. The main advantage of the circuit theory approach is that qualitative as well as quantitative predictions are feasible without performing intensive full-wave numerical simulations. Therefore, an EC for the fishnet metamaterial is also highly desired. In the study of the ET phenomenon, transmission line concepts and ECs have been able to provide successful explanations to ET through sub-wavelength hole arrays [27]. The electrically small apertures are seen as reactive discontinuities modeled with lumped elements (or, sometimes, distributed elements) in the path of an electromagnetic mode propagating along an artificial waveguide. This approach reduces the original problem to a classical waveguide discontinuity problem [28]. Further works have extended this technique to similar ET metamaterials in order to analyze the importance of the aperture type [29]–[31], polarization [32], [33], metal losses [34], the inclusion of dielectric slabs [24], [35] or the design of polarizing devices [36]. Likewise, the fishnet has also been analyzed from an EC point of view in order to obtain a more intuitive explanation than alternative interpretations based on diffraction orders of complex waves, Floquet-Bloch modes or surface plasmons [37]–[39], while retaining the underlying physics of the problem and the accuracy of the results. The response of the basic fishnet structure can be understood in terms of an elementary backward transmission line having series capacitance and shunt inductance. The series capacitance comes from the electric coupling between consecutive layers and the shunt inductance represents

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the inductive nature of the sub-wavelength apertures [40]. Several other EC models can be found in the literature dealing with problems involving stacked perforated metal layers [41]–[46]. However, most of these works are of heuristic nature and do not provide closed-form expressions for the circuit elements [43], [44] or their scope is limited to certain configurations and structural parameters (small unit cells, large longitudinal period and so on). Thus, a general formulation valid for structures having unit cells of arbitrary electrical size separated by arbitrary distances would be of great interest from a practical point of view. Computationally intensive brute force calculations would then be avoided at the same time that a better physical insight could be gained. In this work, a simple analytical circuit model that uses lumped elements and transmission lines is derived to study the transmission of electromagnetic waves through a fishnet structure with a finite number of layers (see Fig. 1). The structure is assumed periodic and infinite along the and transverse directions. The incident plane wave is impinging obliquely to the structure and its electric field is assumed to be directed along the direction (the wave will be considered of TM nature at normal incidence). The attention is mainly focused on the separation between layers because it tunes the effective refractive index of the fundamental band [40]. Therefore, two different operation regimes are studied: large and short separation, which respectively produce weak and strong coupling between layers through higher-order modes. Note that interaction through the fundamental mode is always present for any separation as this mode has not cutoff frequency. For each regime, we investigate the configurations with air and a dielectric material as inter-spacing layers. Different with permittivity closed-form expressions for the circuit elements are presented for all situations. The physical insight provided by the EC is corroborated with the study of the electromagnetic fields at the most relevant frequencies. Although our study is focused on microwaves to simplify the model for metals to perfect electric conductor, the method could be extended to consider other models such as Drude or finite conductivity models [47], [48]. This paper will be organized as follows. Section II will show our proposed model for fishnet structures with a finite number of layers. Section III will present comparison results of the transmission coefficient obtained with the circuit model and with full-wave simulations under normal and oblique incidence for different longitudinal periods. Also, the study of the electromagnetic fields at the most relevant frequencies. Finally, some concluding remarks will be summarized in Section IV. II. CIRCUIT MODELING The use of ECs to model many propagation/scattering problems is a common and very fruitful practice. However, most of the analyses just propose (in a heuristic way) the topology of an equivalent network that has a behavior very similar to the original problem over a certain frequency band. The values of the parameters of the equivalent circuit are then obtained from some full-wave simulations of the complete electromagnetic problem (these simulations often need to be carried out at particular frequency points that are only known a posteriori). Although this strategy is useful in many situations, our aim here is to derive the appropriate circuit topology in a rigorous way from first principles and to give the values of the circuit parameters in closed form. This standpoint has been used in the past by some of the authors of the present work to model other periodic structures

Fig. 1. Schematic representation of a fishnet structure with a finite number of layers. The upper panel shows a longitudinal cross section and the bottom panel a front view of the structure.

[35], [49]–[51], and it is now extended to stacked 2D geometries so that the problem under study (multilayer fishnets) can be covered. In [51] it was shown that the key aspect that makes it possible to easily obtain an equivalent network for the problem stacked metallic slit gratings (1D version of the problem of of interest in that paper) comes from the decomposition of the two-coupled metallic screens problem into “internal” and “external” sub-problems as a consequence of the Equivalence Theorem [52]. The extension of this idea to a pair of coupled aperture-type frequency selective surfaces (FSS's) (the most basic form of fishnet structure) is immediate as long as the FSS's are of slot-type nature too (the procedure is not directly applicable to patch-based FSS's). Thus, following [51], the problem of the two-coupled aperture-type FSS's shown in Fig. 2(a) has the equivalent network shown in Fig. 2(b), or the internal/external version given in Fig. 2(c). It is now straightforward to realize that the equivalent network of, say, four cascaded identical FSS's such as that shown in Fig. 3(a) is the one given in Fig. 3(b). Our attention now focuses on the calculation of the few admittances involved in the analysis of the coupled aperture-based FSS. For that purpose, the standard application of the even/odd excitation approach [52] to the problem in Fig. 2(a) makes it possible to reduce our original problem to the analysis of problems with just one single FSS and electric/magnetic walls at half the distance between the original FSS's, as shown in Fig. 4. The analysis of this much simpler structure will follow some of the guidelines reported in [35], [50]. When this analysis is carried out, the final results for the equivalent admittance corresponding to the incident TM plane wave (electric field directed along the direction) are the following (see Fig. 4):

(1) where the prime in the summation means that it excludes the fundamental harmonic associated with the impinging,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 3

TORRES et al.: ACCURATE CIRCUIT MODELING OF FISHNET STRUCTURES FOR NEGATIVE-INDEX-MEDIUM APPLICATIONS

The admittances appearing in (1) are given by the following analytical expressions: even excit. odd excit. (2) (3) (4) (5) being the vacuum wavenumber, with quency,

Fig. 2. (a) Two coupled apertured-based FSS's. (b) Equivalent circuit for the scattering of the impinging plane wave in the two-coupled FSS structure. The coupled screens are represented by a -network. (c) Equivalent circuit already shown in (b) but now showing the decomposition of the parallel element into and an internal admittance . an external admittance

the angular fre-

the wavevector of the impinging plane wave, and index “(0)” refers to the external free-space region while index “(1)” refers to the internal region between metal screens. The angles and define the direction ofthe impinging wave as usual. However, in this paper, it will only be considered incidence along principal planes; i.e., will be allowed to take only the values 0 and 90 . The general case of conical incidence could be treated using the method reported in [50]. It is worth mentioning that the given expression for accounts for all the high-order harmonics excited at the discontinuity. This means that the possible effects associated with high-order modes caused by the close proximity between screens are properly incorporated into the model. Assuming that the tangential electric field at the aperture is for TM incidence or for TE directed along ( coefficients in (1) are given by incidence), the (6) (7)

Fig. 3. (a) Stack of four coupled apertured-based FSS's. (b) Equivalent circuit for the scattering of the impinging plane wave in the stacked structure.

Fig. 4. Pair of coupled FSS's and its associated even/odd excitation (magnetic/ electric wall) half-problems with their equivalent circuits.

reflected, and transmitted uniform plane waves (the harmonic is also excluded since it cannot exist in the present configuration). The superscripts “e/o” stand for “even/odd” excitation and “TM/TE” refers to the nature of the considered harmonic.

being the Fourier transform of the spatial with profile of the tangential electric field in the aperture. This spatial profile is not known a priori but can be very well-approximated for many practical cases. In particular, for rectangular apertures and for the type of illumination considered in this paper, we have employed the spatial profile suggested in [53] (see the Appendix). Here, it is important to point out that the above approximation turns out to be quite accurate up to frequencies close to the second self-resonance of the individual aperture that is compatible with the impinging wave [50]. In practice, this means that the aperture has not to be sub-wavelength for the circuit approach to be applied with reliability. Actually, for normal incidence, the size of the apertures can be even greater than a wavelength [50]. It is also noteworthy that and also that . This is consistent and harmonics cannot be excited with the fact that due to the symmetries of the unit cell and the polarization of the incident plane wave. Our next step is to find the relationship between the defined and the admittances of the equivalent -network for a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

pair of coupled perforated screens. Since the even and odd excitations correspond respectively to open and short-circuit terminations at the middle plane of the equivalent circuit, the parallel and series admittances of the -network in Fig. 2 are found to be (8) From these expressions, it is straightforward to identify the admittances appearing in Fig. 3(b) to obtain (9) where

(16) coefficients have been purposely incorporated where the to the “lo” admittances. Thus, the parallel admittances are composed of a regular parallel tank which is connected in parallel with a few admittances having a more complex frequency dependence. Part of the admittance is associated with the and part with the internal one . external problem The series admittance in (12) can equivalently be written as

(10)

(11) and

(12) The formulas provided for all the parameters appearing in the above expressions are frequency-dependent, which implies that the above infinite series summations have to be carried out, in principle, for every frequency value. The fact of having admittances with an involved frequency-dependent behavior does not certainly contribute to highlight the possible advantages of the equivalent circuit approach. Fortunately, for high-order har), it is possible to write monics (13) This means that for all the evanescent harmonics operating well below cutoff (“localized” modes in the terminology used in [23], where a related problem is dealt with), the wave admittances can be approximated as (14) (15) coeffiwhere the label “ho” indicates “high order”. The cients can be interpreted as lumped capacitances associated with sufficiently high-order TM harmonics in medium . Similarly, are lumped inductances associated with high-order TE harmonics (note that they do not depend on permittivities). In the light of the above approximation, the admittances of the -network can be decomposed in one part with certain complicated, although known, frequency dependence and another part accounting for standard capacitance and inductance admittances. Thus, assuming that there are and “low order” (lo) harmonics that do not satisfy condition (13) (“accessible modes in [23]), it is possible to write the parallel admittances in (10) and (11) in the following general form:

(17) attenuating exNevertheless, the presence of the ponential factor in (12) would allow us to suppress the effect and ) accounting for of the series lumped elements ( high-order mode contributions if the electric separation between metal screens is large. In such case, it is apparent that the effect of the high order harmonics can be neglected due to its residual effect. The number of modes to be retained in the description will obviously depend on the value of the dielectric slab of thickness and should include, at least, the first propagating harmonic. The explicit expressions for all the capacitances and inductances involved in our proposed equivalent circuit are given in the Appendix. A key point that should be noted here is that, fortunately, in many practical cases the number of frequency-dependent elements (namely, the number of “accessible harmonics) required to obtain good qualitative results is just one or two. Often, with this low number of accesible harmonics, satisfactory quantitative results can also be obtained. This makes it possible to have a “minimal” equivalent circuit whose topology is rigorously derived and its components are given in closed form. Thus, let us assume that there is only one relevant “low-order” harmonic in the frequency region of interest; i.e., a single harmonic has to be retained with its whole distributed frequency-dependence while all the others are represented by frequency-independent and . This harmonic is necessarily the incident TM-poharlarized plane wave—which has been taken as the monic. In the interior region (1), this harmonic would be the only propagating harmonic with all the higher-order harmonics being below cutoff. This situation would model appropriately those configurations where the electrical distance between suc, where is the cessive screens is large enough, say wavelength inside medium (1). In such case, the series admittance in (17) can be approximated by just one component given by (18) where it has been assumed that the contribution of the reand is maining evanescent harmonics modeled by negligible. The internal part of the parallel admittance in (11) can be rewritten as (19)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TORRES et al.: ACCURATE CIRCUIT MODELING OF FISHNET STRUCTURES FOR NEGATIVE-INDEX-MEDIUM APPLICATIONS

5

ation regimes: 1) the “short period” regime corresponding to less than half the transverse period a longitudinal period , ; and 2) the “long period” regime . Special attention is also paid to corresponding to to the the importance of incorporating the series admittance model—see Fig. 5(b). In the following, the electromagnetic field distribution is analyzed at the most relevant frequencies observed in the spectra to correlate them with the equivalent circuit elements presentedin the previous section. In this analysis, all the frequencies are normalized to the period; i.e., is expressed in units of where refers to the wavelength of the first Rayleigh-Wood anomaly. Fig. 5. Equivalent network of a pair of coupled FSS's when (a) only one loworder harmonic (the incident plane-wave) is present in the structure, and (b) there is an additional propagating low-order harmonic.

where a new parallel admittance, , has been introduced and . It is defined in terms of the already known admittance easy to realize that the equivalent -circuit of the pair of coupled FSS's in Fig. 2 can then be rephrased as the circuit shown in Fig. 5(a). The topology of this equivalent network can readily be recognized as the one usually proposed in the literature for this kind of problems when the coupling between successive screens is only accounted for by the fundamental harmonic (see, for instance, [41], [46] among many others). Although this situation is well understood, the model in Fig. 5(a) yields qualitatively and quantitatively wrong predictions in typical fishnet structures where the structured metal screens are electrically close to each other. If more than one propagation mode operates in the interior region, this simplified equivalent network is no longer valid. Nevertheless, the only significant difference in the topology required to account for interactions through higher order modes comes from the appearance of an additional series admittance, , as shown in Fig. 5(b). The relation between this new sein (12) is given by ries admittance and (20) Note that the contribution to the series admittance of the propagating harmonic is explicitly extracted out in (20). Thus, only contains information of the high-order modes responsible for interactions between the two metal screens. These are very few modes which are operating above cutoff (this is possible due to the presence of dielectric slabs) or below but close to their cutoff frequencies. If all the involved harmonics are far and below cutoff, using again the approximations in (13) and circuit. For electrically (14), can be interpreted as a shunt thick dielectrics, the values of the corresponding parameter tends to be extremely small while is very large, thus giving place to a very low admittance, as expected. This means that can be neglected if the electrical thickness of the dielectric is large enough, thus explaining why the model employed in some papers dealing with stacked structures [the model in Fig. 5(a)] works properly. However, if the electric length between screens is not large enough, the more accurate model in Fig. 5(b) has to be used. In next section, it will become apparent that neglecting the role of can give place to completely wrong results. III. RESULTS In this section, the behavior of the stacked fishnet structure of interest in this work is studied under two different oper-

A. Short Longitudinal Period The structure analyzed in this and subsequent sections is a finite stacked fishnet lossless structure composed of five metallic screens separated by four air/dielectric layers. First, the structure is numerically analyzed by means of a full-wave Floquet-mode simulation of a unit cell using the software CST Microwave Studio. The presented numerical results come after the adaptive mesh refinement between two consecutive calculations of the scattering parameters shows differences below 0.001. In Fig. 6(a), the transmission coefficient under normal inciwith air between dence for the short period regime is assothe perforated metal screens is shown. The dip at ciated with the first Rayleigh-Wood anomaly, which is the upper limit of the spectral window of interest (the diffraction regime is beyond the scope of the present work). A transmission band with five recognizable is clearly observed for , , , and ). The relevant components peaks ( , and ( is negligible) of the electric field at the peak fre, is mainly located quencies are presented in Fig. 7(a). At is confined at the aperon the outer metal-air interfaces while ture, resembling the so-called ET resonance in a single layer hole array [38], [40]. Regarding the fields at the other peaks , it can be seen that is confined near the aperture, gradually changes: from the highest as well. However, to the lowest frequency, becomes more and more confined between the perforated layers, where the fieldamplitude is higher. These peaks correspond with internal mode resonances [38], [54]. Furthermore, in the longitudinal direction, different distributions of maxima and minima are noticed. Interestingly, the number of variations (maxima and minima) inside the struccorture increases as the frequency decreases: , , and mode inside the structure. responds to a Hence, the band has a negative-index character, as it is expected for short longitudinal periods [40], [54]. The number of these in, with being the ternal resonances is always equal to number of perforated screens. Finally, on the rightmost column , between of Fig. 7, the -component of the magnetic field, the 3rd and 4th layers is represented. The distribution of for all peaks resembles the mode of the unit-cell of the virtual parallel-plate waveguide induced by the transverse pedistribution indicates riodicity. This fact together with the that the relevant higher order mode involved in this transmis[27], [40]. Similar field distribution is sion band is the obtained between each pair of adjacent layers. This high-order dominant mode controls the values of the series admittance in the circuit model in Fig. 5(b). The equivalent circuit (EC) results for this “short-period” case are shown in Fig. 8(a). Certainly, the CPU time involved in EC calculations is completely negligible when compared with

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Electric field ( and ) on the -plane and magnetic field on the -plane, at the relevant frequencies labeled in Fig. 6(a) and Fig. 6(b) for and a) with air and b) with dielectric between layers. the fishnet with

Fig. 6. Transmission coefficient of a finite fishnet structure with metallic screens for several configurations. , , ; (a) with air between metal screens; (b) with , (c) with air and d) with dielectric dielectric between layers.

the computational effort required by the numerical approach. In this figure the full-wave simulation results [black curve] are plotted along with three curves corresponding to results of the , where EC approach. These three curves are labeled as and stand respectively for the number of low-order TE and TM harmonics (in addition to the fundamental impinging one) whose exact frequency behavior (distributed nature) is taken explicitly into account in the EC model. The rest of the high-order modes are approximated with the capacitance and inductance limits discussed in the previous section. The curve EC(0,0) [green line] corresponds to the topology shown in Fig. 5(b) with the coupling between the metallic screens basically accounted for by the harmonic (the harmonic is not excited in the present structure) and with given by , after applying the simplifications in (13), (14) and (15). It should be noted that the results of this

model and the one in which is taken zero are found qualitatively identical although with some quantitative discrepancies. Thus, in most practical cases, the results of the curve EC(0,0) can be associated with the topology of Fig. 5(a). The EC(0,1) curve [cyan line] already fully corresponds to the circuit shown in in Fig. 5(b), with only the first high-order TM mode ( this case) being correctly included in the definition of . It is observed that the inclusion in the circuit model of the harmonic procorrect frequency dependence of just the vides a good qualitative matching with the full-wave results. This is in concordance with the field distributions observed in the previous EM field inspection since high-order TM modes . Hence, in this case of are responsible for such dominant screens electrically close, it is the influence of the first evanescent harmonic in the coupling between metal screens what gives place to the observed passband; i.e., the conventional connection through the fundamental harmonic as well as a wrong evaluation of the interaction due to high-order modes cannot at all account for the appearance of such band. The addition of the first high-order TE harmonic is necessary for a better quantitative agreement, as shown by the red curve EC(1,1). Indeed, but this harmonic has the same cutoff frequency as the its influence on the overall field is smaller (since the reaction of this eigenfield with the assumed aperture field is smaller too). In the EM field inspection, the TE distribution is mainly masked. However, by looking at the magnetic field on the cross-secwhich actional -plane in Fig. 9, we can observe some counts for the inductive coupling between holes [45], particularly at . Clearly the agreement between the circuit model and the numerical results can be systematically improved by adding higher-order modes to the definition of . Fishnet metamaterials usually employ dielectric slabs between the metallic layers for the sake of robustness and ease of fabrication. In Fig. 6(b) the numerically computed transmission coefficient for the short period case with a dielectric is presented. The transmission band now extends from to , which indicates that adding the dielectric widens the operation bandwidth. In addition, six peaks ( , , , , and ) are present. Looking at the field and , shown in Fig. 7(b), a clear distribution for

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TORRES et al.: ACCURATE CIRCUIT MODELING OF FISHNET STRUCTURES FOR NEGATIVE-INDEX-MEDIUM APPLICATIONS

Fig. 8. EC results for the “short-period” structures previously analyzed in Figs. 6(a) and (b). (a) Air between metal screens; (b) dielectric material between , with the electric field directed metal layers. (c) Oblique incidence along the direction, for the structure in (a). This figure (c) also shows EC results when a relatively high number of harmonics are explicitly considered.

Fig. 9. Magnetic field on the , the relevant frequencies

-plane in the middle plane of the unit cell at and .

concordance with the fields at (case without dielectric) is and present the same distribution observed. Actually and and therefore is identified as the even in both , the is mainly located on external ET resonance. For is highly confined at the the outer metal-air interfaces and longitudinal resonance is observed aperture; although a with respect to in this case. The symmetric distribution of the transversal central -plane at the external faces indicates

7

that can be associated with the so-called odd external ET resonance [38]. The magnetic field distribution also shows the higher order mode. The field distribuexcitation of the are omitted in Fig. 7(b) since they are tions for . similar to the cases already studied in This case can also be conveniently handled with the EC approach, as it is shown in Fig. 8(b). If the series interaction associated with the presence of a non-vanishing is eliminated or incorrectly accounted for [case (0,0), green line], the circuit model has not any physical meaning again. It is then essential to correctly introduce the interaction between successive perforated in screens through, at least, the first high-order mode ( this structure) to account for the existence of transmission peaks in the frequency region of interest [cyan curve in Fig. 8(b)]. in exThe proper inclusion of the contribution of the plains the appearance of the transmission band and yields reasonably accurate results for the low-frequency part of the transmission band. However, it is clear that quantitative agreement is poor, especially as the frequency increases, and even one of the transmission peaks is lost. This drawback is alleviated by the contribution of the first TE mode too [red line adding to in Fig. 8(b)]. Similar to the non-dielectric case, TE modes are less excited but still noticeable in the field inspection, particuand which, in the EC results, appear when larly at dispersion is taken account. More modes should be the added for a better quantitative agreement but the model would become more and more cumbersome. Actually, the curve corresponding to EC(1,2) has been found to be almost indistinguishable in the graphic to that corresponding to CST Microwave Studio. With a computation involving EC(3,3), the numerical agreement with CST Microwave Studio is very good. It is worth mentioning that the circuit-model approach provides a simple explanation for the difference between the transmission patterns observed for the structure with and without dielectrics. When the space between metal screens is occupied by air, the first two high-order modes involved in the interaction are below cutoff in the whole frequency range of interest. However, when the dielectrics are present, the cutoff for the two lowest order TM and , in such a way that the TE modes is approximately at frequency dependence of drastically changes due to the propagation of the first two high-order modes, thus allowing for additional transmission peaks. Finally, Fig. 8(c) shows the results obtained for oblique TE/TM incidence and for an azhimutal . In this figure, the equivalent-circuit curve corangle responding to EC(1,1) means that all the TM/TE har, 0, 1 are explicitly considered in monics involving the dynamic series [for normal incidence, there appears degen]. These EC(1,1) eracy in the harmonics of the type data show a good qualitative behavior but the figure also makes it apparent that for a good quantitative behavior it is necessary to explicitely consider more harmonics. Actually, a satisfactory quantitative behavior is again achieved with EC(1,2) as in Fig. 8(b) [relative errors around or less than 1%], and numerical excellent agreement is obtained for the TE polarization for EC(3,3). Anyway, this figure clearly demonstrate the ability of the EC approach to deal also with oblique incidence reliably, without a significant increase of the required CPU time (the two thousand frequency points shown in Fig. 8(c) for EC(3,3) were computed in about 1 to 2 seconds on an i7 laptop). B. Long Longitudinal Period In the long period case, (a longitudinal periodicity of is assumed), considering air between the metallic layers,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

a significant change in the transmission coefficient is appreciated in Fig. 6(c). Now, two different transmission bands are and observed. The higher band is located between and five peaks are noticed ( , , , , and ). By examining the fields at these peaks in Fig. 10(a), the naand ture of this band can be immediately extracted. At , corresponds with the fields already observed at (i.e., the also reeven external ET resonance). Likewise, the fields at and, therefore, it is related to semble the fields observed at internal mode resonances as well. Due to the similarity between to the field for the short and long period cases, the fields at are omitted. The lower band extends from to and it contains four peaks ( , , , and ). Analyzing the fields at the peaks, a completely different distribucomponent is no longer the tion is noticed [Fig. 10(a)]. The dominant contribution since the mutual coupling between adjacent layers is partially lost due to the larger longitudinal periodicity. Now, the highest intensity of the electric field is observed . This kind of field distribution is well known in stacked in structures and corresponds with Fabry-Pérot resonances [40], [46], [54]. Moreover, peaks at higher frequencies show more maxima and minima (contrarily to what happens in the higher band) and, therefore, this is a band with positive index of refraction [40], [54]. By examining the magnetic field between to ], does not show a relevant the layers [ variation along the -axis, differently from the previous bands. along with the domand The continuous distribution of resemble the TEM mode, inant character of the latter over and excitation of higher order modes are not observed. As discussed in [54], the Fabry-Pérot band for short periods takes place above the Rayleigh-Wood's anomaly. Hence, it was not observed in the previous analysis. It is interesting now to find out what the proposed EC model predicts for this situation. The results plotted in Fig. 11(a) show that the basic circuit [EC(0,0): green curve], where interaction between metal layers is carried out through the fundamental TEM mode, qualitatively accounts for the first transmission band. This model also predicts the appearance of a second transmission band at about twice the frequency range of the first transmission band, but this happens beyond the onset of the diffraction regime. For structures with an electrically-small transverse period, such as those studied in [41], the simplified EC(0,0) model has proven to give very good results. However, in the present situation, the transverse period is not so small and the influence of the interaction through high-order modes gives place to additional bands of transparency below the . Thus, the existence Rayleigh-Wood frequency point of the second transmission band observed in Fig. 11(a) is found to be intimately linked with the existence of a path of interaction due to high-order modes, which are purely evanescent in this frequency range for air filling. As the separation between screens is now relatively large, the accuracy of the model is excellent when only the full contribution of the first high-order TM and TE modes are included in . This agreement is now better than in the short period case because, for the present separation between layers, the interaction through modes with even higher order is completely negligible. Finally, the fishnet configuration corresponding to the long between period and dielectric slabs with permittivity the metallic layers is analyzed. Here it is observed the appearance of three transmission bands in Fig. 6(d) instead of two. to The highest frequency band extends from (peaks , , and ), the central one from

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Electric field ( and ) on the -plane and magnetic field on the -plane, at the relevant frequencies labeled in Fig. 6(c) and Fig. 6(d) for and a) with air and b) with dielectric between layers. the fishnet with Bottom figures in b) also show the perspective view of the electric field on the xy-plane.

to (peaks , , and ) and the to (peaks , , lower one from and ). In the lower panels of Fig. 10(b), the electric field in a transversal -plane between the 3rd and 4th layer is depicted , , and . The for one peak of every band: dominant components of the fields within all peaks in a band are very similar, so these peaks are taken as representative of and the fields in each band. The fields at the lower bands correspond with the fields obtained the central in the lower and higher bands, respectively, in the free-standing the electric field corresponds to a long-period fishnet. At Fabry-Pérot resonance since it points primarily to the -axis. On the electric field is mainly longitudinal the other hand, at pointing to opposite directions in the upper and lower part of the unit cell. Hence, the peaks are identified as the internal mode harmonic. Similarly, the resonances associated with the also points like , is highly confined fact that primarily external to at the apertures, and the presence of the whole structure suggest that this band also corresponds with mode. Beexternal resonances ascribed to the high-order sides, this band exhibits positive index of refraction. The appearance and bandwidth of this new band is highly dependent of the longitudinal periodicity and the permittivity of the dielectric and hence it is not always present. Despite this complex behavior, our circuit modeling shown in Fig. 11(b) is able to predict the behavior of this band without including additional circuit elements with respect to the non-dielectric fishnet. The relatively trivial model denoted as EC(0,0) predicts the existence of the first transmission band but completely loses the information of the interactions that gives place to the two additional transmission bands appearing in the non-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TORRES et al.: ACCURATE CIRCUIT MODELING OF FISHNET STRUCTURES FOR NEGATIVE-INDEX-MEDIUM APPLICATIONS

9

of subwavelength apertures (the so-called fishnet structures). A first analysis of the structure is carried out by means of a numerically intensive electromagnetic solver. It is demonstrated next that the equivalent circuit approach, commonly used in the analysis of frequency selective surfaces, yields a faster solving procedure which also sheds light on the physics behind the appearance of the different observed transmission bands. In contrast with many analytical models available in the specialized literature, the proposed model accounts for the frequency-dependent behavior of the contributions associated with the lowest-order excited modes and, most importantly, correctly accounts for the high-order mode interaction between successive screens. The latter fact is very important since, for typical dimensions involved in the implementation of stacked fishnet structures, high-order mode interaction is key to explain the existence of some of the transmission bands. The model automatically collapses into simpler conventional circuits involving interaction through the fundamental TEM wave if the distance between screens and/or the transverse period have the appropriate values. The accuracy of the circuit model can be systematically enhanced by adding high-order contributions at the expenses of generating a more complex circuit topology. In any case, the numerical effort demanded by these circuit approaches is negligible when compared with usual numerical codes. APPENDIX In the computation of the numerical results in this work, the following approximated expression is used for the spatial profile of the aperture field [53]: (21) whose Fourier transform is given by

Fig. 11. (a) and (b): Equivalent circuit (EC) results for the “long-period” structures previously analyzed in Fig. 6(c) and (d), respectively. (c) Oblique inci, with the impinging electric field directed along the didence rection, for the structure in (a). This figure (c) also shows EC results when a relatively high number of harmonics are explicitly considered.

diffraction region. The inclusion in of the interaction through the dominant high-order TM mode is already enough to account for the existence of those two high-frequency bands, one below the cutoff of all the high-order modes (thus implying interaction by means of evanescent fields) and the other above cutoff. However, for the correct prediction of the number of peaks in the third transmission band, the influence of the TE mode must be taken into account [red curve]. In Fig. 11(c) it is finally depicted the case of oblique incidence, which similarly to Fig. 8(c) also shows good agreement between our EC results and CST Microwave Studio. Actually, for this longer period case, it is found a satisfactory quantitative agreement for the case EC(1,1) and excellent matching for the case EC(3,3).

(22) where stands for the zeroth-order Bessel function of the first kind. Using this aperture field profile, analytical expressions for the high-order capacitances and inductances in the model can be written explicitly in terms of the structure parameters. Thus, and such that the high-order condition given holds for or , the following expressions are found for the external parallel elements of the -network [see (10) and (16)]: (23)

(24)

with

IV. CONCLUSION This paper discusses the behavior of NIM implemented as stacked identical metal screens with 2D periodic distributions

(25)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

and where the sums extend to all such that or . Concerning the internal parallel element of the -network and values can be obtained from [(11) and (16)], the with (23) and (24) just by replacing (26)

. Note that the tanh function and multiplying (23) by quickly approaches unity for values of its argument larger than a few units. Therefore, for largely spaced screens the value of the sums can be taken directly equal to those in (23) and (24), which is a consequence of the fact that largely spaced screens do not interact through evanescent harmonics. For closely spaced screens, only a few addends in the infinite sum (those with lower values of and ) are significantly different from and those in (23) and (24). Similarly, the expressions of in the series element [(12) and (17)] can be obtained from with (23) and (24) by replacing (27)

. In this case, due to the fast and multiplying (23) by exponential decrease of the csch function, the contribution of the high-order terms to the series element can be neglected for largely spaced screens, whereas for closely spaced screens only the first few addends contribute significantly to the final values of the high-order capacitance and inductance. Finally, it is worth noting that the following asymptotic form: (28) can be conveniently used for

.

REFERENCES [1] R. Marqués, F. Martín, and M. Sorolla, Metamaterials With Negative Parameters: Theory, Design, Microwave Applications. Hoboken, NJ: Wiley, 2008. [2] L. Solymar and E. Shamonina, Waves in Metamaterials. New York: Oxford Univ. Press, 2009. [3] R. A. Shelby, D. R. Smith, and S. Schultz, “Experimental verification of a negative index of refraction,” Science, vol. 292, no. 5514, pp. 77–79, Apr. 2001. [4] R. S. Penciu, M. Kafesaki, T. Koschny, E. N. Economou, and C. M. Soukoulis, “Magnetic response of nanoscale left-handed metamaterials,” Phys. Rev. B, vol. 81, no. 23, p. 235111, Jun. 2010. [5] C. García-Meca, J. Hurtado, J. Martí, A. Martínez, W. Dickson, and A. V. Zayats, “Low-loss multilayered metamaterial exhibiting a negative index of refraction at visible wavelengths,” Phys. Rev. Lett., vol. 106, no. 6, p. 067402, Feb. 2011. [6] T. W. Ebbesen, H. J. Lezec, H. F. Ghaemi, T. Thio, and P. A. Wolff, “Extraordinary optical transmission through sub-wavelength hole arrays,” Nature, vol. 391, no. 6668, pp. 667–669, Feb. 1998. [7] M. Beruete, M. Sorolla, I. Campillo, J. S. Dolado, L. Martín-Moreno, J. Bravo-Abad, and F. J. García-Vidal, “Enhanced millimeter-wave transmission through subwavelength hole arrays,” Opt. Lett., vol. 29, no. 21, pp. 2500–2502, Nov. 2004. [8] M. Beruete, M. Sorolla, and I. Campillo, “Left-handed extraordinary optical transmission through a photonic crystal of subwavelength hole arrays,” Opt. Express, vol. 14, no. 12, pp. 5445–5455, Jun. 2006. [9] M. Navarro-Cía, M. Beruete, M. Sorolla, and I. Campillo, “Negative refraction in a prism made of stacked subwavelength hole arrays,” Opt. Express, vol. 16, no. 2, pp. 560–566, Jan. 2008.

[10] M. Navarro-Cía, M. Beruete, F. Falcone, J. M. Illescas, I. Campillo, and M. Sorolla, “Mastering the propagation through stacked perforated plates: Subwavelength holes vs. propagating holes,” IEEE Trans. Antennas Propagat., vol. 59, no. 8, pp. 2980–2988, Aug. 2011. [11] S. Zhang, W. Fan, N. Panoiu, K. Malloy, R. Osgood, and S. Brueck, “Experimental demonstration of near-infrared negative-index metamaterials,” Phys. Rev. Lett., vol. 95, no. 13, pp. 1–4, Sep. 2005. [12] G. Dolling, C. Enkrich, M. Wegener, C. M. Soukoulis, and S. Linden, “Simultaneous negative phase and group velocity of light in a metamaterial,” Science, vol. 312, no. 5775, pp. 892–894, May 2006. [13] M. Beruete, M. Sorolla, M. Navarro-Cía, and I. Campillo, “Polarized left-handed extraordinary optical transmission of subterahertz waves,” Opt. Express, vol. 15, no. 13, pp. 8125–8134, Jun. 2007. [14] M. Beruete, M. Navarro-Cía, M. Sorolla, and I. Campillo, “Planoconcave lens by negative refraction of stacked subwavelength hole arrays,” Opt. Express, vol. 16, no. 13, pp. 9677–9683, Jun. 2008. [15] M. Navarro-Cía, M. Beruete, I. Campillo, and M. Sorolla, “Beamforming by left-handed extraordinary transmission metamaterial biand plano-concave lens at millimeter-waves,” IEEE Trans. Antennas Propagat., vol. 59, no. 6, pp. 2141–2151, Jun. 2011. [16] M. Navarro-Cía, M. Beruete, I. Campillo, and M. Sorolla, “Millimeter-wave left-handed extraordinary transmission metamaterial demultiplexer,” IEEE Antennas Wirel. Propagat. Lett., vol. 8, pp. 212–215, 2009. [17] M. Beruete, M. Navarro-Cía, F. Falcone, I. Campillo, and M. Sorolla, “Single negative birefringence in stacked spoof plasmon metasurfaces by prism experiment,” Opt. Lett., vol. 35, no. 5, pp. 643–645, Mar. 2010. [18] R. Ulrich, “Far-infrared properties of metallic mesh and its complementary structure,” Infrared Phys., vol. 7, pp. 37–55, 1967. [19] I. Palocz and A. A. Oliner, “Equivalent network of a multimode planar grating,” IEEE Trans. Microw. Theory Techn., vol. 18, no. 5, pp. 244–252, May 1970. [20] C. K. Lee and R. J. Langley, “Equivalent-circuit models for frequencyselective surfaces at oblique angles of incidence,” IEE Proc. Microw., Antennas Propagat., vol. 132, no. 6, pp. 395–399, Oct. 1985. [21] B. Munk, Frequency Selective Surfaces: Theory and Design. New York: Wiley, 2000. [22] R. Dubrovka, J. Vazquez, C. Parini, and D. Moore, “Equivalent circuit method for analysis and synthesis of frequency selective surfaces,” IEE Proc. Microw., Antennas Propagat., vol. 153, no. 3, pp. 213–220, March 2006. [23] S. Monni, G. Gerini, A. Neto, and A. G. Tijhuis, “Multi-mode equivalent networks for the design and analysis of frequency selective surfaces,” IEEE Trans. Antennas Propagat., vol. 55, no. 10, pp. 2824–2835, Oct. 2007. [24] M. García-Vigueras, F. Mesa, F. Medina, R. Rodríguez-Berral, and J. L. Gómez-Tornero, “Simplified circuit model for metallic arrays of patches sandwiched between dielectric slabs under arbitrary incidence,” IEEE Trans. Antennas Propagat., vol. 60, no. 10, pp. 4637–4649, Oct. 2012. [25] D. Cavallo, W. H. Syed, and A. Neto, “Closed-form analysis of artificial dielectric layers-Part I: Properties of a single layer under planewave incidence,” IEEE Trans. Antennas Propag., vol. 62, no. 12, pp. 6256–6264, Dec. 2014. [26] D. Cavallo, W. H. Syed, and A. Neto, “Closed-form analysis of artificial dielectric layers-Part I: Part II: Extension to multiple layers and arbitrary ilumination,” IEEE Trans. Antennas Propag., vol. 62, no. 12, pp. 6265–6273, Dec. 2014. [27] F. Medina, F. Mesa, and R. Marqués, “Extraordinary transmission through arrays of electrically small holes from a circuit theory perspective,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3108–3120, Dec. 2008. [28] N. Marcuvitz, Waveguide Handbook, ser. MIT Radiat. Lab. Series. New York: McGraw-Hill/IEE/Peregrinus, 1986, vol. 10. [29] F. Medina, F. Mesa, and D. C. Skigin, “Extraordinary transmission through arrays of slits: A circuit theory model,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 105–115, Jan. 2010. [30] V. Torres, R. Ortuño, P. Rodríguez-Ulibarri, A. Griol, A. Martínez, M. Navarro-Cía, M. Beruete, and M. Sorolla, “Mid-infrared plasmonic inductors: Enhancing inductance with meandering lines,” Sci. Rep., vol. 4, no. 3592, pp. 1–4, Jan. 2014. [31] E. Yarmoghaddam, G. K. Shirmanesh, A. Khavasi, and K. Mehrany, “Circuit model for periodic array of slits with multiple propagating diffracted orders,” IEEE Trans. Antennas Propagat., vol. 62, no. 8, pp. 4041–4048, Aug. 2014.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TORRES et al.: ACCURATE CIRCUIT MODELING OF FISHNET STRUCTURES FOR NEGATIVE-INDEX-MEDIUM APPLICATIONS

[32] M. Beruete, M. Navarro-Cía, S. A. Kuznetsov, and M. Sorolla, “Circuit approach to the minimal configuration of terahertz anomalous extraordinary transmission,” Appl. Phys. Lett., vol. 98, no. 1, p. 014106, Jan. 2011. [33] M. Beruete, M. Navarro-Cía, and M. Sorolla, “Understanding anomalous extraordinary transmission from equivalent circuit and grounded slab concepts,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 9, pp. 2180–2188, Sep. 2011. [34] R. Yang, R. Rodríguez-Berral, F. Medina, and Y. Hao, “Analytical model for the transmission of electromagnetic waves through arrays of slits in perfect conductors and lossy metal screens,” J. Appl. Phys., vol. 109, no. 10, p. 103107, May 2011. [35] R. Rodriguez-Berral, C. Molero, F. Medina, and F. Mesa, “Analytical wideband model for strip/slit gratings loaded with dielectric slabs,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3908–3918, Dec. 2012. [36] M. Navarro-Cía, P. Rodríguez-Ulibarri, V. Torres, and M. Beruete, “Quarter-wave plate based on dielectric-enabled extraordinary resonant transmission,” IEEE Photon. Technol. Lett., vol. 24, no. 11, pp. 945–947, Jun. 2012. [37] A. Mary, S. Rodrigo, F. Garcia-Vidal, and L. Martin-Moreno, “Theory of negative-refractive-index response of double-fishnet structures,” Phys. Rev. Lett., vol. 101, no. 10, pp. 2–5, Sep. 2008. [38] R. Ortuño, C. García-Meca, F. Rodríguez-Fortuño, J. Martí, and A. Martínez, “Role of surface plasmon polaritons on optical transmission through double layer metallic hole arrays,” Phys. Rev. B, vol. 79, no. 7, pp. 1–10, Feb. 2009. [39] J. Yang, C. Sauvan, H. T. Liu, and P. Lalanne, “Theory of fishnet negative-index optical metamaterials,” Phys. Rev. Lett., vol. 107, no. 4, p. 043903, Jul. 2011. [40] M. Beruete, I. Campillo, M. Navarro-Cía, F. Falcone, and M. Sorolla Ayza, “Molding left- or right-handed metamaterials by stacked cutoff metallic hole arrays,” IEEE Trans. Antennas Propagat., vol. 55, no. 6, pp. 1514–1521, Jun. 2007. [41] C. S. R. Kaipa, A. B. Yakovlev, F. Medina, F. Mesa, C. A. M. Butler, and A. P. Hibbins, “Circuit modeling of the transmissivity of stacked two-dimensional metallic meshes,” Opt. Express, vol. 18, no. 13, pp. 13309–133220, Jun. 2010. [42] R. Marqués, F. Mesa, L. Jelinek, and F. Medina, “Analytical theory of extraordinary transmission through metallic diffraction screens perforated by small holes,” Opt. Express, vol. 17, no. 7, p. 5571, Mar. 2009. [43] J. Carbonell, C. Croenne, F. Garet, E. Lheurette, J. L. Coutaz, and D. Lippens, “Lumped elements circuit of terahertz fishnet-like arrays with composite dispersion,” J. Appl. Phys., vol. 108, no. 1, p. 014907, Jul. 2010. [44] M. Kafesaki, I. Tsiapa, N. Katsarakis, T. Koschny, C. M. Soukoulis, and E. N. Economou, “Left-handed metamaterials: The fishnet structure and its variations,” Phys. Rev. B, vol. 75, no. 23, p. 235114, Jun. 2007. [45] V. Torres, P. Rodríguez-Ulibarri, M. Navarro-Cía, and M. Beruete, “Fishnet metamaterial from an equivalent circuit perspective,” Appl. Phys. Lett., vol. 101, no. 24, p. 244101, Dec. 2012. [46] R. Marqués, L. Jelinek, F. Mesa, and F. Medina, “Analytical theory of wave propagation through stacked fishnet metamaterials,” Opt. Express, vol. 17, no. 14, pp. 11582–11593, July 2009. [47] L. Fu, H. Schweizer, H. Guo, N. Liu, and H. Giessen, “Synthesis of transmission line models for metamaterial slabs at optical frequencies,” Phys. Rev. B, vol. 78, no. 11, p. 115110, Sep. 2008. [48] M. Staffaroni, J. Conway, S. Vedantam, J. Tang, and E. Yablonovitch, “Circuit analysis in metal-optics,” Photonics Nanostruct. Fundam. Appl., vol. 10, no. 1, pp. 166–176, 2012. [49] R. Rodríguez-Berral, F. Mesa, F. Medina, and M. García-Vigueras, “Analytical circuit model for dipole frequency-selective surfaces,” in Proc. IMS, Seattle, WA, Jun. 2013. [50] R. Rodríguez-Berral, F. Mesa, and F. Medina, “Analytical multimodal network approach for 2-D arrays of planar patches/apertures embedded in a layered medium,” IEEE Trans. Antennas Propagat., vol. 63, no. 5, pp. 1969–1984, May 2015. [51] C. Molero, R. Rodríguez-Berral, F. Mesa, F. Medina, and A. B. Yakovlev, “Analytical circuit model for stacked slit gratings,” in Proc. IMS, Tampa Bay, FL, Jun. 2014. [52] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991. [53] S. R. Rengarajan, “Choice of basis functions for accurate characterization of infinite array of microstrip reflectarray elements,” IEEE Antennas Wireless Propagat. Lett., vol. 4, pp. 47–50, 2005.

11

[54] M. Beruete, P. Rodríguez-Ulibarri, V. Pacheco-Peña, M. Navarro-Cía, and A. E. Serebryannikov, “Frozen mode from hybridized extraordinary transmission and Fabry-Pérot resonances,” Phys. Rev. B, vol. 87, no. 20, p. 205128, May 2013.

Víctor Torres was born in Pamplona, Spain, in 1985. He received the M.Sci. and Ph.D. degrees in telecommunication engineering from the Public University of Navarre (UPNA), Navarre, Spain, in 2010, and 2014, respectively. From May 2010 to April 2014, he was working as a Predoctoral Researcher (FPI fellowship recipient) in the Electrical and Electronic Engineering Department, UPNA. He also worked as Visiting Researcher at University of Pennsylvania, Philadelphia, PA, for three months in 2011, at Imperial College London, London, U.K., for three months in 2012 and at the Valencia Nanophotonics Technology Center, Valencia, Spain, for two months in 2012. He is currently working as a Postdoctoral Researcher in the Antenna Group-TERALAB, UPNA. His current research interests are mainly aimed on metamaterials, extraordinary transmission structures, metasurfaces, plasmonics, nanoantennas, biosensing and in general, quasioptical devices at millimeter, terahertz and infrared frequencies.

Francisco Mesa (M'93–SM'11–F'14) was born in Cádiz, Spain. He received the Licenciado and Doctor degrees in physics from the Universidad de Sevilla, Seville, Spain. He is currently Professor in the Departamento de Física Aplicada 1, Universidad de Sevilla, Seville, Spain. His research interests focus on electromagnetic propagation/radiation in planar structures. Since 2001, he has served as an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY & TECHNIQUES.

Miguel Navarro-Cía (S'08–M'10–SM'15) was born in Pamplona, Spain, in 1982. He received the M.Sci. and Ph.D. degrees in telecommunication engineering, and the M.Res. degree in Introduction to Research in Communications from Universidad Pública de Navarra, Navarra, Spain, in 2006, 2010, and 2007, respectively. From September 2006 to January 2010, and from February 2010 until March 2011, he worked as a Predoctoral Researcher (FPI fellowship recipient) and a Research and Teaching Assistant in the Electrical and Electronic Engineering Department, Universidad Pública de Navarra,. He was a Research Associate at Imperial College London and University College London in 2011 and 2012, respectively, and a Junior Research Fellow at Imperial College London from December 2012 until November 2015. Currently, he is Birmingham Fellow in the School of Physics and Astronomy, University of Birmingham. He is also affiliated as a Visiting Researcher with Imperial College London and University College London. He worked as Visiting Researcher at the University of Pennsylvania, Philadelphia, PA, for three months in 2010, at Imperial College London in 2008, 2009 and 2010 for four, six, and three months, respectively, and at Valencia Nanophotonics Technology Center, Valencia, Spain, for two months in 2008. His current research interests are focused on plasmonics, near-field time-domain spectroscopy, metamaterials, antennas, complex surface waves, frequency selective surfaces, and millimeter, terahertz and infrared frequencies. Dr. Navarro-Cía is a Member of the Optical Society of America, the European Association on Antennas and Propagation (EurAAP), and the Spanish National Association and Professional Board of Telecommunication Engineers. He was awarded the Best Doctoral Thesis in Basic Principles and Technologies of Information and Communications, and Applications corresponding to the XXXI Edition of Awards Telecommunication Engineers 2010, and the 2012 CST University Publication Awards to the best international journal publication using CST Microwave Studio, and was a recipient of the 2011 Junior Research Raj Mittra Travel Grant.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Raúl Rodríguez-Berral was born in Casariche, Seville, Spain, in 1978. He received the M.Sc. (Licenciado) and Ph.D. degrees in physics from the University of Seville, Seville, Spain, in 2001 and 2008, respectively. In January 2002, he joined the Department of Applied Physics 1, University of Seville, where he is currently an Associate Professor. His research interests include the study of the spectrum and the excitation of periodic and nonperiodic planar structures and high-frequency circuit modeling.

Miguel Beruete was born in Pamplona, Spain, in 1978. He received the M.Sci. and Ph.D. degrees in telecommunication engineering, from the Public University of Navarre (UPNA), Navarre, Spain, in 2002 and 2006, respectively. From September 2002 to January 2007, he was working as Predoctoral Researcher (FPI fellowship recipient) in the Electrical and Electronic Engineering Department, Public University of Navarre. From January to March 2005 he worked as visiting researcher at the University of Seville, as a part of his doctoral research. From February 2007 to September 2009 he was at the electronics department of the technological center CEMITEC in Noain (Navarre), developing, designing and measuring high frequency communication devices. In September 2009 he joined the TERALAB at UPNA, as a post-doc Ramón y Cajal fellow researcher under the supervision of Prof. Mario Sorolla. In March 2014, he joined the Antennas Group-TERALAB of UPNA, where he supervises several Ph.D. and M.Sci. Theses and is responsible of the TERALAB laboratory. Dr. Beruete has authored more than 100 JCR

articles, 3 book chapters, 3 patents and near 250 conference communications. His research interests are directed towards terahertz sensing a communication technology, including metamaterials, plasmonics, extraordinary transmission structures, leaky-wave antennas, nanoantennas, and in general quasioptical devices. His research interests include the study of the spectrum and the excitation of periodic and nonperiodic planar structures and high-frequency circuit modeling. Dr. Beruete was awarded the Ph.D. Prize from the Public University of Navarre (2006–2007) for the best Doctoral Thesis in the year 2006–2007, two CST University Publication Awards for the best international journal publication using CST in the years 2005 and 2012, and the XII Talgo Award of Technological Innovation in 2011.

Francisco Medina (M'90–SM'01–F'10) was born in Puerto Real, Cádiz, Spain, in November 1960. He received the Licenciado and Doctor degrees in physics from the University of Seville, Seville, Spain, in 1983 and 1987 respectively. He is currently a Professor of Electromagnetism with the Department of Electronics and Electromagnetism, University of Seville, and Head of the Microwaves Group. He has co-authored about 130 journal papers and book chapters and about 280 conference contributions. His research interests include analytical and numerical methods for planar structures, anisotropic materials, and artificial media modeling, including metamaterials. He is in the editorial board of three international journals and acts as Reviewer for more than 40 IEEE, IET, AIP, and IOP journals. Dr. Medina has been member of the TPCs of several local and international conferences.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Propagation Characteristics of Groove Gap Waveguide Below and Above Cutoff Antonio Berenguer, Student Member, IEEE, Vincent Fusco, Fellow, IEEE, Dmitry E. Zelenchuk, Member, IEEE, Daniel Sánchez-Escuderos, Member, IEEE, Mariano Baquero-Escudero, Member, IEEE, and Vicente E. Boria-Esbert, Senior Member, IEEE

Abstract—Recently, gap waveguides have been shown as a potential alternative to convenational waveguides in the millimeter-wave band. Until now, groove gap waveguide (GGW) has been studied through direct correspondence with rectangular waveguide with the same physical dimensions. However, there have been observed differences in the above cutoff propagation characteristics between these two waveguide types. Furthermore, the behavior of GGW below cutoff remains unknown. This work presents a discussion of both below and above cutoff propagation characteristics of GGW, and introduces a simple model that explains the observed GGW behavior and establishes well its propagation characteristics. Two thru-reflect-line calibration kits have been manufactured, and the measurements have good agreement with the proposed analysis model results. Index Terms—Characteristic impedance, evanescent propagation, groove gap waveguide (GGW), transmission lines.

I. INTRODUCTION

T

HE millimeter-wave band [1]–[4] continues to attract the interest of the research community, as new applications consistently demand the development of suitable components operating in this high-frequency range. At millimeter-wave bands, dielectric materials can have high losses [5], [6] and coupling to substrate modes [7], [8] can be problematical. Recently, as an attempt to solve these problems, gap waveguides (GWs) were proposed [9], [10]. GW are based on the use of a periodic structure, usually realized by square metal pins, shown in Fig. 1. The pin lattice introduces a high-impedance condition at the plane above the pins. Thus, by placing a metal plate at a distance from the top of the pins, no wave can propagate in this region over a certain frequency range, defined Manuscript received May 14, 2015; revised August 14, 2015 and November 26, 2015; accepted November 26, 2015. This work was supported by the Spanish Ministerio de Economia y Competitividad under Project TEC2013-47360-C3-3-P and Project TEC2013-47037-C5-1-R and by the Spanish Ministerio de Educacion under FPU Research Fellowship Program AP2010-4227. A. Berenguer, D. Sánchez-Escuderos, M. Baquero-Escudero, and V. E. Boria-Esbert are with the Instituto de Telecomunicaciones y Aplicaciones Multimedia (ITEAM), Universitat Politècnica de València, 46022 Valencia, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). V. Fusco and D. E. Zelenchuk are with The Institute of Electronics, Communications and Information Technology, Queen’s University Belfast, Belfast BT3 9DT, Northern Ireland, U.K. (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504501

Fig. 1. GGWs. (a) GGW-VP. (b) GGW-HP.

by the periodic lattice parameters. The groove gap waveguide (GGW) can take two versions, vertical polarization (VP), Fig. 1(a), and horizontal polarization (HP), Fig. 1(b). Both versions behave in a similar way as a rectangular waveguide, propagating a quasi-TE mode [9]–[11]. GGWs have shown their potential advantage versus conventional waveguides through prototypes, including couplers, filters, and antennas [12]–[15]. However, at this moment, although the behavior of the periodic lattice is characterized [16], it seems that more efforts are necessary in the development of simple models that explain better GGW propagation characteristics especially close to, and below, cutoff. The difficulty in characterizing GWs arises from the presence of the periodic pin structure, which leads to a waveguide that is not homogeneous in the transversal direction, is periodic in the propagation direction, and has many design parameters. Homogenization of the structure based on metamaterial analogies has led to analytical models [17]–[19]. However, unlike metamaterials, the periodic structure in the GGW is comparable with the operating wavelength, thus calling into question their general applicability. In fact, the propagation characteristics of the GGW have not been analyzed in great detail. Usually, it is assumed that the GGW behaves like a rectangular waveguide with the same propagation channel dimensions [20]. However, in this paper, important differences between the GGW and rectangular waveguide will be shown to exist. To the authors’ knowledge, the GGW behavior near to, or below, the cutoff frequency has not been reported up to now. There are many practical applications using below-cutoff waveguides since evanescent-mode components can be very compact, and are very appropriate to exhibit a spurious-free response [21]–[24]. Therefore, the main aim of this paper is to address the aforementioned questions. In particular, a simple model that shows

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. GGW cross-section and

-field distribution of its fundamental mode.

very good agreement with full-wave results, and provides a simple explanation of how the GGW operates, is presented. This model is also useful as a tool for extracting the influence of the different waveguide parameters in the dispersion diagram through fast parametric analysis, thus avoiding the need for very time-consuming full-wave electromagnetic (EM) simulations. The remainder of this paper will focus on GGW-VP (for simplicity, in the remainder of this paper, the letters “VP” will be omitted), which is the option, to date, chosen to implement high-quality resonators [25] and low insertion-loss filters [13], [14]. This paper is organized as follows. In Section II, the GGW is reminded, and its propagation characteristics are studied both below and above cutoff. The observed differences between the GGW and classical rectangular waveguide are discussed. In Section III, a simple propagation model is proposed and the results obtained are shown. In Section IV, the proposed model is successfully validated by means of experimental measurements of two GGW prototypes. Finally, conclusions and overall remarks are given. II. GGW The GGW was firstly proposed in [9]. Fig. 2 shows the transverse view of this type of waveguide, its main geometrical parameters, and the field distribution of its fundamental propagating mode. The periodic structure inhibits propagation in lateral directions, and imposes a propagation mode similar to the mode of a standard rectangular waveguide. Although the lateral periodic structures should be of infinite extension ideally, in practice they can be significantly truncated without significant loss of performance. Three rows of pins have been shown to be enough in order to achieve the desired effect of forbidden propagation in the lateral regions [13], [25]. A. Operation Above Cutoff To analyze the dispersion diagram of the structure, the dimensions used in [13] are taken as a reference. These dimensions are mm mm, mm, mm, and mm. The full-wave simulations are carried out using CST. The simulated model includes three rows of pins at each lateral side, following the conclusions of [13] and [25], and a

Fig. 3. GGW dispersion diagram. Three lateral rows of pins are used.

perfect electric conductor (PEC) is placed as lateral boundary condition at a distance from the third row on each side. The authors have checked that, although no difference is obtained between using a PEC wall or leaving the structure open (the field is noticeably attenuated after the third row of pins), the employed model is more suitable in terms of computation time. Fig. 3 shows the propagation constant of the first modes for this structure. The dashed curve is the propagation constant of a plane wave, solid curves correspond to unwanted modes, and the curve with square marks is the desired mode. The propagation constant of a rectangular waveguide with the same dimensions of the propagation channel of the GGW (i.e., mm, mm) is displayed for comparison (solid line with crosses). It can be seen that the band (28.1–52.9 GHz) represents the stopband of the periodic structure so that in such a frequency range only the desired mode is propagating in the GGW. In previous works, [13], [14], [20], it has been assumed that the equivalent of a GGW is a rectangular waveguide having the same propagation channel dimensions (i.e., , ). However, when comparing the curves of the GGW with those of the rectangular waveguide (see Fig. 3), it can be seen that in the upper half of the stopband both curves are similar, but that this is not true near cutoff. In fact, both waveguides present a different cutoff frequency, and even for frequencies where they are similar, a greater dispersive behavior is observed for the GGW structure. To further characterize the propagation properties of the GGW, the width of the propagation channel is parameterized. To cover the possible cases of having cutoff going from near the minimum frequency of the stopband to the maximum frequency of the stopband, six values of equally distributed between mm and mm are considered. The standard waveguide configuration is represented by the curve mm [13]. The results of this parameterization are shown in Fig. 4. Here, in most cases, the GGW presents a higher cutoff frequency than its rectangular waveguide counterpart, especially as increases. This means that the GGW is effectively smaller in terms of propagating aperture than the equivalent rectangular waveguide assumed for each case (same physical aperture).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BERENGUER et al.: PROPAGATION CHARACTERISTICS OF GGW BELOW AND ABOVE CUTOFF

Fig. 4. Propagation constant of the GGW and of the rectangular waveguide for different values of . Solid lines correspond to the rectangular waveguide and dashed lines correspond to the GGW.

The contrary could be expected since in the GGW the fields are not strictly transmitted in the channel, but spread evanescently through the lateral pin regions. As decreases, this difference becomes smaller and, if is small enough ( mm), the GGW has lower cutoff frequency than the rectangular waveguide, and becomes effectively larger than the equivalent rectangular waveguide. In general, simulation reveals the GGW to exhibit a greater dispersive behavior when compared with the equivalent rectangular waveguide. For the cases of larger values, the GGW curve grows faster with frequency and reaches the rectangular waveguide curve, and, although surpasses , both curves are quite similar from that point. For the cases of smaller values, this difference is greater and both curves diverge having only a very narrow band of coincidence, or even no coincidence (e.g., at mm where a very dispersive curve is observed). This behavior will be understood through the analysis of Section III. From the above study, it can be concluded that the standard assumption of equivalence between the rectangular waveguide and GGW is only valid in certain bandwidth, which is determined by specific range values of . B. Operation Below Cutoff In a below cutoff rectangular waveguide the lateral conditions are electric walls, and evanescent energy is delivered along the axial direction only. In the GGW, the condition of forbidden propagation into the pins regions also permits exponential decay as a lateral condition [17]. Consider the following example: the electric field is simulated for the case of a GGW with mm, which implies a cutoff of GHz. Since the stopband of the GGW structure starts at GHz, three frequencies are analyzed, GHz (outside the stopband, mode below cutoff), GHz (inside the stopband, mode below cutoff), and GHz (inside the stopband, mode under usual operation above cutoff). The results of this comparison are shown in Fig. 5. As can be seen, outside the stopband,

Fig. 5. field inside a GGW with GHz. (c) agation. (a) Structure. (b)

3

mm for different cases of propGHz. (d) GHz.

the field spreads into the pin structure, whereas inside the stopband, energy is delivered along the axial direction in a similar manner to the rectangular waveguide in both cases, below and above cutoff. It is observed, however, that the field spreads more in the lateral directions when the mode is below cutoff. In Fig. 6, the component is plotted as a function of on two transverse planes: (transversal plane cutting the pins at the middle) and (transversal plane located between two rows of pins) for different heights. The frequencies considered are GHz (far below cutoff), GHz (near below cutoff), GHz (above, but near, cutoff), and GHz (far above cutoff). These graphs allow to quantify better the propagation differences in terms of the operating frequency. It is seen that the field is better confined in the propagation channel as frequency increases. As it is well known, under below cutoff operation, the axial attenuation is higher when the operating frequency is further from the cutoff (lower frequencies). At those frequencies, relative to the axial attenuation, the lateral attenuation imposed by the pins becomes comparable (i.e., the mode is not clearly forced to follow the axial direction as it occurs in other cases where the axial attenuation is lower). This explains why the field spreads more laterally. Above cutoff lower interaction with the GGW lateral walls occur since the mode is not longer evanescent. The higher the frequency, the lower the interaction with the lateral walls imposed by the pins is, what can be explained if one thinks in the usual two plane wave decomposition of the fundamental mode of a rectangular waveguide: the closer to , the lower the angle of incidence on the walls is. It is worth mentioning that in most of the structure (from to ) the field correspond approximately to the solid curve with square marks. These results suggest that the GGW can operate below cutoff in an analogous manner to rectangular waveguide. However, the differences between both waveguides types are accentuated and local effects near or above the first row of pins must be taken into account. In fact, the influence of the pins is clearly appreciated in Fig. 6, comparing the two positions in considered. Concentration of the is observed near the pins, especially for lower frequencies. This should be taken into account if elements such as irises or radiating slots are disposed close to the pins.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Propagation and attenuation constant for a rectangular waveguide and mm. a GGW of

gular waveguide (analytical) and a GGW (eigenvalue computation, and field computation of the full structure) for the case of mm. Fig. 7 indicates that the difference between curves for both waveguide types continue increasing when the frequency goes below cutoff. It is seen that as the frequency decreases, the attenuation in the GGW grows faster than in the rectangular waveguide. Furthermore, the GGW exhibits growth as the stopband limit approaches instead of the expected slope decrease (as happens with the rectangular waveguide). Similar results have been observed for other values of , indicating that rectangular waveguide and GGW behave noticeably different below cutoff. Fig. 6. GGW with mm on a transversal plane at three different heights and at two different positions in . Solid line with square marks , solid line is , and dashed line is . Left is (transversal plane cutting the column of graphs correspond to position pins at the middle) and the right column of graphs correspond to position (transversal plane located between two rows of pins). Vertical dashed lines are included to indicate the position of the pins in for clarity purposes. (a) ConGHz, . sidered heights in . (b) Considered positions in . (c) GHz, . (e) GHz, . (f) GHz, . (d) GHz, . (h) GHz, . (i) GHz, . (g) GHz, . (j)

We now study the dispersion diagram of the structure under below cutoff operation. Above cutoff, it is sufficient to calculate the propagation constant of the GGW fundamental mode, as indicated in [26], i.e., solving the corresponding eigenvalue problem. Below cutoff we must simulate the whole structure, the one shown in Fig. 5(a). Rectangular waveguide ports with the dimensions of the GGW propagation channel are employed as suggested in [20]. Once the structure is solved, the following expression is evaluated: Np m

(1)

where and is the amplitude of the field component in the center of the waveguide at the corresponding -position. The results of this study are shown in Fig. 7, which displays the attenuation and propagation constants of a rectan-

III. PROPOSED MODEL From the previous study, it is clear that the assumption of an equivalent behavior in a GGW and a rectangular waveguide with identical width implies errors, unless is near to the low stopband limit and the operation frequency is far enough from cutoff. Moreover, modeling this behavior is not possible by scaling the rectangular waveguide by a constant factor depending on the geometry (as it occurs with the substrate integrated waveguide (SIW) [27]) since both waveguides posses different dispersion characteristics. The shape of the obtained curves indicates that a mechanism is occurring within the structure, which involves the presence of a reactance due to the periodic lateral lattice. This reactance modifies the effective width of the GGW with the frequency. A. Proposed Method Consider the GGW, but now with regard to propagation in the lateral direction . The most interesting parameter in this configuration is the impedance that is seen when looking into the first row of pins (as shown in Fig. 8), where is the impedance of the waves incident on the sidewall. The reference plane is placed on the first pin with the rest of the arrangement terminated with a PEC, located at a distance of value with regard to the third pin. Periodicity is considered and only one period is analyzed, using the perfect magnetic conductor (PMC) at the laterals (i.e., at and ). The difference in the results has not been appreciated when using more pins or adding more space after the third pin,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BERENGUER et al.: PROPAGATION CHARACTERISTICS OF GGW BELOW AND ABOVE CUTOFF

5

Fig. 8. Schematic of the proposed method.

Fig. 10. Lateral impedance viewed at the first pin row plane.

Fig. 9. Schematic of the structure solved with CST to obtain

.

and only a slight difference when using one pin less. Again, in terms of accuracy and time, three rows seems to be the optimum choice. Referred to this port the normalized input impedance of the structure is

Fig. 11. Distance at which a PEC wall would produce the same impedance as the one of the periodic structure.

(2) In order to obtain the required parameter, the structure depicted in Fig. 9 has been simulated. In this figure it can be seen the PMC planes at the lateral sides of the structure, which provide periodicity by means of image theory, the unique port of the structure, and the reference plane. The parameter is easily obtained at the reference plane by de-embedding, and using (2), the normalized impedance is calculated. For canonical shapes further reductions in computing time are possible using the methods proposed in [28] and [29]. With the model of Fig. 9, only a lateral row of three pins and the vacuum volume of the auxiliar feeding parallel-plate are discretized and solved to determine its scattering parameters. Note that can be made as small as desired and the discretizing cost of the auxiliar waveguide is negligible. The resulting normalized impedance obtained with this approach is shown in Fig. 10. We can see that the periodic structure presents a reactance that exhibits capacitive behavior at the beginning of the stopband, changing to inductive behavior at the end of the stopband and crossing zero at GHz. Let us now consider the normalized input impedance of the transmission line of characteristic impedance loaded with

Fig. 12. Cutoff frequency

an impedance short-circuit

versus waveguide width .

. If the transmission line is terminated with a , the input impedance becomes (3)

hence, (4)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Propagation and attenuation constants of the rectangular waveguide and the GGW, comparing several calculations methods for the GGW case. (a) mm. (b) mm. (c) mm. (d) mm. (e) mm. (f) mm.

where is the propagation constant of the lateral parallel-plate waveguide feeding the structure with three pins. Thus, the GGW is equivalent to a rectangular waveguide having lateral walls positioned at a distance that depends on (and therefore, on the frequency value). We therefore have a virtual rectangular waveguide with (see Fig. 8). Since for small arguments the function is almost linear and the variation with frequency is small compared frequency variation, the behavior of both and is quite similar. Depending on the sign of , the GGW will be equivalent to a smaller or a larger rectangular waveguide, as shown in the inset of Fig. 11. B. Results The cutoff frequency of the fundamental mode in a rectangular waveguide is (5) Since in the proposed model for the GGW the equivalent width depends on the frequency, the term will also have this dependence. For a given frequency , one has and from (5), . In order to obtain the cutoff frequency for the GGW, a zero-finding routine is applied to . Fig. 12 shows the cutoff frequency as a function of for both the rectangular waveguide and GGW, comparing the solutions obtained with the eigenvalue method and the proposed model for the GGW. The CPU effort spent in the zero-finding routine is negligible. The propagation or attenuation constant of the GGW can now be obtained through the standard rectangular waveguide formulas (6)

(7) In Fig. 13, it can be observed how the presented method shows good agreement with the other techniques based on intensive full-wave simulations (i.e., the one based on eigenvalues and the one using field evaluation). Above cutoff, as grows with frequency, (6) implies for the GGW that grows with the frequency faster than for the rectangular waveguide case. When the term is large compared with , the variation of is less significant, and the propagation behavior is similar to that of a standard rectangular waveguide. This occurs for large values of and high frequencies. Below cutoff, as frequency is reduced, the term becomes small compared with . Thus, with regard to (7), exhibits growth with the decrease of with the frequency. This effect explains why the curve of the GGW does not exhibit a reduction of its slope, as occurs with the rectangular waveguide when the frequency decreases. Therefore, below cutoff, the assumption of the rectangular waveguide as an equivalent GGW with the same propagation channel dimensions implies a considerable error. Finally, it is worth noting that, due to the Foster reactance theorem [30], the lateral reactance will always monotonically increase with the frequency. This, translated through (4) and (6), gives that the GGW will always exhibit greater or equal dispersion than the equivalent rectangular waveguide. The proposed method has shown good accuracy for the several cases of considered. However, it is desirable to check its performance for different geometries of the periodic structure. The authors have checked several geometries, obtaining good results. In this paper, two extreme cases, whose dispersion curves are plotted in Fig. 14, are presented. Case A ( mm, mm, mm, and mm) implies a narrow stopband going from 34 to 39.4 GHz and the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BERENGUER et al.: PROPAGATION CHARACTERISTICS OF GGW BELOW AND ABOVE CUTOFF

7

Fig. 15. TRL calibration kit. Bottom piece containing the pins.

Fig. 16. TRL calibration kit. Top piece.

Fig. 14. Propagation and attenuation constants of a GGW with mm for two different cases (A and B) of the pin structure, comparing several calculation methods (field: F, eigenvalue: EV, proposed method: PM). Case A: mm, mm, mm, and mm. Case B: mm, mm, mm, and mm. Rectangular waveguide curves and previous GGW curves have been added for comparison. Also, images of the unit cells of the different geometries are included. GGW curves are only depicted inside the corresponding stopband.

TABLE I COMPARISON OF CPU TIMES

GGW becomes very dispersive under this condition. As can be observed, the rectangular waveguide becomes a quite poor approximation for the GGW in this case; however, the proposed method is able to recover the dispersion curves with good accuracy. Case B ( mm, mm, mm, and mm) implies a wide stopband going from 15 to 54.4 GHz. In this case, the GGW has a dispersion close to the rectangular waveguide. However, this geometry produces an inductive behavior of , which imply a larger GGW in terms of effective area than the corresponding rectangular waveguide. As a result, also in this case, the rectangular waveguide is not a good approximation, especially in the propagation region, whereas the proposed method keeps its good accuracy. The presented method has shown good performance even for these extreme cases indicating that this method is not only fast and accurate, but also robust. Thus, it can be used for pin structure optimization purposes in a dispersion synthesis task, considering a wide range of geometries. Furthermore, with the different geometries of the periodic structure considered in this paper, they have shown the promising dispersion synthesis possibilities of the GGW. To end this section, a computation efficiency evaluation is carried out. The used computing machine incorporates an Intel Xenon CPU E3-1245 @ 3.40 GHz and 16 GB of RAM memory. Results shown in Table I correspond to the computation time given by CST for each case. The efficiency of the proposed method with regard to the other cases is clear. The simulation of the whole structure results in a heavy task because of the size, and the solution of

an eigenvalue problem is cumbersome by nature [31]. In order to recover the requested data properly, several phase shifts and additional modes should be computed with commercial software. Moreover, there is not the possibility of solving the structure below cutoff with an eigenvalue simulation. In addition, a thoughtful post-processing is required, especially in the calculations involving the field at different frequencies (this additional effort has not been included in the comparison table). Finally, a useful feature of this method is that, since the lateral impedance is independent of , the same calculated values can be applied for different waveguide widths. This means that, for instance, the cost of obtaining the dispersion data of all the graphs of Fig. 13 is the same as the one requested for a graph if the proposed method is used. Contrarily, calculations corresponding to the field or the eigenvalue problem must be completely repeated for each case (value of ). This feature results interesting for the design of more advanced components, e.g., filters, where GGWs of different widths are involved, since the lateral impedance data can be incorporated into a commercial solver, and then use it for designing purposes considering the equivalent rectangular waveguide geometries, with expected noticeable reduction of related computational effort. Thus, due to its speed and additional physical insight, the proposed method appears to be an interesting tool for parametric analysis and optimized design of the GGW. IV. EXPERIMENTAL RESULTS In order to validate the presented results, two thru-reflect-line (TRL) [32] calibration kits corresponding to the GGW widths mm and mm have been manufactured through an in-house process using a DATRON M25 milling system. Figs. 15 and 16 show the top and bottom piece, respectively, for one of the fabricated calibration kits. A perspective view of the bottom piece, where the metal pins can be clearly appreciated, is included in Fig. 17. Finally, the mounted calibration kit, including the transition from WR 22- to 2.4-mm coaxial is shown in Fig. 18. The use of the two different considered widths allows to further check that the presented method is properly obtaining the propagation constant in both cases, both below and above cutoff. The corresponding measurements have been performed using a Keysight PNA N5227A network analyzer. The measurement setup is shown in Fig. 19. Note that the TRL calibration algorithm allows to recover the complex propagation constant

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. TRL calibration kit. Perspective view. Fig. 20. Comparison between simulated and measured results,

mm.

Fig. 21. Comparison between simulated and measured results,

mm.

Fig. 18. TRL calibration kit. Complete piece and WR-22 rectangular to coaxial transitions.

section confirms that the novel proposed method provides a very accurate modeling of the GGWs. V. CONCLUSION

Fig. 19. Measurement setup.

of each waveguide. Thus, the TRL calibration kits let us recover the propagation constant (or attenuation constant mm if the waveguide is below cutoff) for the cases mm. Comparisons between measured data and and previous results (obtained with different methods) are shown in Figs. 20 and 21 for each case ( value). A good agreement is observed between the results obtained with the proposed method and the experimental curve. The slight frequency shift between both curves is justified by the precision of the in-house process, which is estimated to be about 10 m in the horizontal plane and 30 m in the vertical plane. The study performed in this

Through the study performed in this paper, it has been observed that the direct equivalent correspondence normally assumed between the GGW and rectangular waveguide, which is normally used in practice, is a rough approximation providing accurate results only for some specific cases. Also, it has been proved that the GGW is able to operate below cutoff in a similar manner than the rectangular waveguide does. Nonetheless, it has been confirmed that the GGW and the rectangular waveguide behave in a different way in terms of their dispersion characteristics. A simple method for the accurate analysis of GGW dispersion characteristics, based on equivalent short-circuited transmission lines, has been presented, and it has been shown to provide very good prediction capability for all frequencies (below and above cutoff) and for a wide range of geometries of the pin structure. The proposed model reduces significantly the computational effort, thus being suitable for fast parametric analysis of GGWs and their efficient design through optimization algorithms. By using the proposed model it can be concluded that, in dispersion terms, the GGW is equivalent to a virtual rectangular waveguide whose width grows with the frequency. The good agreement observed between the two measured results of the TRL calibration kits and the simulated results fully validates the proposed analysis method.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BERENGUER et al.: PROPAGATION CHARACTERISTICS OF GGW BELOW AND ABOVE CUTOFF

ACKNOWLEDGMENT The authors would like to thank B. Bernardo-Clemente and A. Vila for the construction of the prototypes. REFERENCES [1] D. Lockie and D. Peck, “High-data-rate millimeter-wave radios,” IEEE Microw. Mag., vol. 10, no. 5, pp. 75–83, Aug. 2009. [2] J. Wells, “Faster than fiber: The future of multi-G/s wireless,” IEEE Microw. Mag., vol. 10, no. 3, pp. 104–112, May 2009. [3] T. S. Rappaport et al., “Millimeter wave mobile communications for 5G cellular: It will work!,” IEEE Access, vol. 1, pp. 335–349, 2013. [4] J. Hasch, E. Topak, R. Schnabel, T. Zwick, R. Weigel, and C. Waldschmidt, “Millimeter-wave technology for automotive radar sensors in the 77 GHz frequency band,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 845–860, Mar. 2012. [5] C. Yeh and F. Shimabukuro, The Essence of Dielectric Waveguides. Berlin, Germany: Springer Verlag, 2008. [6] M. N. Afsar, “Dielectric measurements of millimeter-wave materials,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 12, pp. 1598–1609, Dec. 1984. [7] H. Shigesawa, M. Tsuji, and A. A. Oliner, “Simultaneous propagation of bound and leaky dominant modes on printed-circuit lines: A new general effect,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 3007–3019, Dec. 1995. [8] F. Mesa, A. Oliner, D. Jackson, and M. J. Freire, “The influence of a top cover on the leakage from microstrip line,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 12, pp. 2240–2248, Dec. 2000. [9] P.-S. Kildal, “Three metamaterial-based gap waveguides between parallel metal plates for mm/submm waves,” in 3rd Eur. Antennas Propag. Conf., 2009, pp. 28–32. [10] A. Valero-Nogueira, M. Baquero, J. I. Herranz, J. Domenech, E. Alfonso, and A. Vila, “Gap waveguides using a suspended strip on a bed of nails,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 1006–1009, 2011. [11] E. Rajo-Iglesias and P.-S. Kildal, “Groove gap waveguide: A rectangular waveguide between contactless metal plates enabled by parallel-plate cut-off,” in 4th Eur. Antennas Propag. Conf., 2010, pp. 1–4. [12] E. Alfonso, A. Zaman, and P. Kildal, “Ka-band gap waveguide coupled-resonator filter for radio link diplexer application,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 3, no. 5, pp. 870–879, May 2013. [13] A. del Olmo-Olmeda, M. Baquero-Escudero, V. E. Boria-Esbert, A. Valero-Nogueira, and A. Berenguer, “A novel band-pass filter topology for millimeter-wave applications based on the groove gap waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., 2013, pp. 1–4. [14] A. U. Zaman, P.-S. Kildal, and A. A. Kishk, “Narrow-band microwave filter using high-Q groove gap waveguide resonators with manufacturing flexibility and no sidewalls,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 2, no. 11, pp. 1882–1889, Nov. 2012. [15] S. Martinez Giner, A. Valero-Nogueira, J. Herranz Herruzo, and M. Baquero Escudero, “Excitation of untilted narrow-wall slot in groove gap waveguide by using a parasitic dipole,” in 7th Eur. Antennas Propag. Conf., 2013, pp. 3082–3085. [16] E. Rajo-Iglesias and P.-S. Kildal, “Numerical studies of bandwidth of parallel-plate cut-off realised by a bed of nails, corrugations and mushroom-type electromagnetic bandgap for use in gap waveguides,” IET Microw., Antennas, Propag., vol. 5, no. 3, pp. 282–289, 2011. [17] A. Polemi and S. Maci, “Closed form expressions for the modal dispersion equations and for the characteristic impedance of a metamaterial-based gap waveguide,” IET Microw., Antennas, Propag., vol. 4, no. 8, pp. 1073–1080, 2010. [18] M. Bosiljevac, A. Polemi, S. Maci, and Z. Sipus, “Analytic approach to the analysis of ridge and groove gap waveguides—Comparison of two methods,” in 5th Eur. Antennas Propag. Conf., 2011, pp. 1886–1889. [19] M. Bosiljevac, Z. Sipus, and P.-S. Kildal, “Construction of Green’s functions of parallel plates with periodic texture with application to gap waveguides—A plane-wave spectral-domain approach,” IET Microw., Antennas, Propag., vol. 4, no. 11, pp. 1799–1810, 2010. [20] H. Raza, J. Yang, P.-S. Kildal, and E. Alfonso, “Resemblance between gap waveguides and hollow waveguides,” IET Microw., Antennas, Propag., pp. 1–7, 2013. [21] G. F. Craven and C. Mok, “The design of evanescent mode waveguide bandpass filters for a prescribed insertion loss characteristic,” IEEE Trans. Microw. Theory Techn., vol. MTT-19, no. 3, pp. 295–308, Mar. 1971.

9

[22] G. F. Craven and R. F. Skedd, Evanescent Mode Microwave Components. Norwood, MA, USA: Artech House, 1987, vol. 1. [23] P. Ludlow and V. Fusco, “Reconfigurable small-aperture evanescent waveguide antenna,” IEEE Trans. Antennas Propag., vol. 59, no. 12, pp. 4815–4819, Dec. 2011. [24] P. Ludlow, V. Fusco, G. Goussetis, and D. E. Zelenchuk, “Applying band-pass filter techniques to the design of small-aperture evanescentmode waveguide antennas,” IEEE Trans. Antennas Propag., vol. 61, no. 1, pp. 134–142, Jan. 2013. [25] E. Pucci, A. Zaman, E. Rajo-Iglesias, P.-S. Kildal, and A. Kishk, “Study of Q-factors of ridge and groove gap waveguide resonators,” IET Microw., Antennas, Propag., vol. 7, no. 11, pp. 900–908, 2013. [26] P.-S. Kildal, A. Zaman, E. Rajo-Iglesias, E. Alfonso, and A. ValeroNogueira, “Design and experimental verification of ridge gap waveguide in bed of nails for parallel-plate mode suppression,” IET Microw., Antennas, Propag., vol. 5, no. 3, pp. 262–270, 2011. [27] W. Che, K. Deng, D. Wang, and Y. Chow, “Analytical equivalence between substrate-integrated waveguide and rectangular waveguide,” IET Microw., Antennas, Propag., vol. 2, no. 1, pp. 35–41, 2008. [28] G. Conciauro, M. Bressan, and C. Zuffada, “Waveguide modes via an integral equation leading to a linear matrix eigenvalue problem,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 11, pp. 1495–1504, Nov. 1984. [29] H. Auda and R. F. Harrington, “Inductive posts and diaphragms of arbitrary shape and number in a rectangular waveguide,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 6, pp. 606–613, Jun. 1984. [30] R. M. Foster, “A reactance theorem,” Bell Syst. Tech. J., vol. 3, no. 2, pp. 259–267, 1924. [31] L. N. Trefethen and D. Bau, III, Numerical Linear Algebra. Philadelphia, PA, USA: SIAM, 1997, vol. 50. [32] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. Antonio Berenguer (S’14) was born in Onil (Alicante), Spain, on May 1, 1987. He received the Telecommunication Engineering degree and M.S. degree in electrical engineering (both with honors) from the Universitat Politècnica de València (UPV), Valencia, Spain, in 2010 and 2011, respectively, and is currently working toward the Bachelor’s degree in mathematics at the National Distance Education University (UNED), Madrid, Spain, and the Ph.D. degree in electrical engineering at UPV, Valencia, Spain. Since 2010, he has been with the Institute of Telecommunications and Multimedia Applications (ITEAM), UPV. Since 2013, he has also held several lecturing positions with the UPV. His main research interests include terahertz technology, modal analysis of surface waveguides, numerical methods, and analysis and design of passive components on gap waveguide technology. Mr. Berenguer was the recipient of the Second Prize for the Best Final Degree Project in Security and Defense of the National College of Electrical Engineers (COIT) (2010) and the Best Academic Record of the Master’s Degree in communication technologies, systems, and networks (UPV) (2011). In 2014, his paper was a finalist for the Young Engineer Prize of the European Microwave Conference. He is the current recipient of a FPU Fellowship from the Spanish Government.

Vincent Fusco (S’87–M’82–SM’96–F’04) holds a Personal Chair in High Frequency Electronic Engineering with Queens University of Belfast (QUB), Belfast, Northern Ireland, U.K. His research interests include active antenna and front-end monolithic microwave integrated circuit (MMIC) techniques. He is head of the High Frequency Laboratories, QUB, where he is also Director of the International Centre for System on Chip for Advanced Microwireless. He has authored or coauthored over 450 scientific papers in major journals and in referred international conferences. He has authored two text books and has contributed many invited papers and book chapters. He holds patents related to self-tracking antennas. Prof. Fusco is a Fellow of the Institution of Engineering and Technology and the Royal Academy of Engineers. He is a member of the Royal Irish Academy.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

He serves on the Technical Programme Committee for various international conferences including the European Microwave Conference.

Dmitry E. Zelenchuk (S’02–M’05–SM’14) received the Ph.D. degree in radiophysics from Rostov State University, Rostov-on-Don, Russia, in 2004. From 2003 to 2005, he was a Lecturer with the Department of Applied Electrodynamics and Computer Modelling, Rostov State University. He is currently with Queen’s University Belfast, Northern Ireland, U.K. He has authored or coauthored more than 80 journal and conference papers and a book chapter. His research interests include electromagnetic field theory, material characterization; millimeter-wave circuits, antennas and advanced packaging; propagation in complex environments; and various physical phenomena of plasmonic and nanostructures. Dr. Zelenchuk been a session chair at scientific conferences. He was the recipient of a medal from the Ministry of Education of the Russian Federation for the Best Scientific Student Paper (2001).

Daniel Sánchez-Escuderos Daniel (M’05) was born in Vila-real, Spain, on October 20, 1980. He received the Telecommunications Engineering degree and Ph.D. degree from the Universitat Politècnica de València (UPV), Valencia, Spain, in 2004 and 2009, respectively. Since 2005, he has been with the Institute of Telecommunications and Multimedia Application, UPV. In 2009, he was contracted as a Post-Doctoral Researcher, during which time he was involved in the framework of a national research project in terahertz technology. Since 2014, he has collaborated in a European project supported by the European Space Agency (ESA). His main research interests include antenna measurements, frequency-selective surface (FSS) structures, millimeter- and submillimeter-wave technology, and microwave filters. Dr. Sánchez-Escuderos was the recipient of an FPI National Scholarship in support of his doctorate studies (2005).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Mariano Baquero-Escudero (S’87–M’90) was born in Murcia, Spain, on January 11, 1962. He received the Telecommunications Engineering degree from the Polytechnic University of Catalonia (UPC), Barcelona, Spain, in 1986, and the Ph.D. degree from the Universitat Politècnica de València (UPV), València, Spain, in 1994. From 1986 to 1988, he was with the Antennas, Microwave and Radar Group, UPC, where he was involved with the development of a cylindrical nearfield facility to measure a 3-D radar antenna in CESELSA. Since 1989, he has been with the UPV, where he became a Full Professor in 2003. During 1995, he held a postdoctoral grant with the Joint Research Centre, European Commission, Ispra, Italy, where he developed high-resolution algorithms for radar applications. From April 1996 to February 1998, he was a Vice-Dean of the Telecommunications Engineering School of Valencia. He is currently with the Communications Department and the Institute of Telecommunications and Multimedia Application, Universitat Politècnica de València. His main research interests include microwave circuit and antenna analysis, design, and measurement.

Vicente E. Boria-Esbert (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politècnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993 he joined the Departamento de Comunicaciones, Universidad Politècnica de Valencia, where, since 2003, he has been a Full Professor. In 1995 and 1996, heheld a Spanish Trainee position with the European Space Research and Technology Centre, European Space Agency (ESTEC-ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored 7 chapters in technical textbooks, 75 papers in refereed international technical journals, and over 150 papers in international conference proceedings. His current research interests are focused on the analysis and automated design of passive components, left-handed and periodic structures, as well as on the simulation and measurement of power effects in passive waveguide systems. Dr. Boria has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He is a member of the Technical Committees of the IEEE MTT-S International Microwave Symposium (IMS) and the European Microwave Conference. He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Proceeding of the IET (Microwaves, Antennas and Propagation), IET’s Electronics Letters, and Radio Science. Since 2013, he has served as an Associate Editor of IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

37

A Technique for Localized Rapid Soot Oxidation Using Metal Aided Microwave Radiation Haitham B. Al-Wakeel, Z. A. Abdul Karim, and Hussain H. Al-Kayiem

Abstract—The regeneration of soot filter using microwave heating has several drawbacks, which include non-uniform regeneration, ineffective on very small soot thickness, and could lead to excessive heating, which damages the filter that is loaded with high layer of soot. This paper proposes a novel technique for localized rapid heating and oxidation of any thickness of solid soot, and saving of the consumed microwave energy. This technique was applied by inserting a metal in the accumulated soot, located inside a closed multi-mode microwave cavity, attached to a mono-mode waveguide, connected with a magnetron with a capacity of 2.45 GHz and 800 W. The electric field, generated heat, and temperature variation with time till the attaining of the oxidation temperature of the soot during microwave heating were simulated. The simulation methodology is based on the concept of electromagnetic-thermal energy conversion by coupling Maxwell with heat transfer equations. Finite element method was used with frequency domain for electromagnetic analysis and time domain for thermal analysis. In agreement with experimental results, the predicted microwave heating time to attaining the soot ignition/oxidation temperature was reduced from 8.5 to 0.3 seconds. Based on the presented technique, metallic electrodes can be inserted on the soot filter surfaces as local heating electrodes to enhance the microwave heating of the soot filter regeneration. Index Terms—Energy conversion, heat transfer, Maxwell’s equations, microwave radiation, soot filter, soot oxidation.

I. INTRODUCTION

S

OOT IS A SOLID, black, spherical shape, less than 1 m in size, and mostly made of amorphous carbon accumulated to form a chain-like structure. Soot is produced by incomplete combustion of various carbon-containing compounds as in fuel combustion, coal burning and fires [1]. The significant sources of soot emissions in most countries, as reported by US-EPA [2] are biomass burning 35.5%, domestic activities 25.1%, transport 19%, industry 19.3%, power generation systems 0.7%, and other soot emission 0.5%. The soot emission causes a significant harm to the public health because soot particles have very small sizes, which can easily enter the lungs and bloodstream, potentially causing chronic lung diseases, asthma, coronary heart diseases as well as premature death. Breathing of these particles can potentially cause cancer. Soot contributes to climate changes such as ice-melting and haze formation [3]. Manuscript received December 18, 2014; revised March 20, 2015, May 17, 2015, June 30, 2015, November 23, 2015; accepted November 23, 2015. Date of publication December 11, 2015; date of current version January 01, 2016. (Corresponding author: Haitham B. Al-Wakeel.) The authors are with the Mechanical Engineering Department, Universiti Teknologi PETRONAS, Bandar Seri Iskandar, 31750 Tronoh, Perak, Malaysia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2015.2504468

Recently, there has been increased interest in replacing gasoline engines with more efficient and higher fuel economy diesel engines in order to reduce the greenhouse gas emissions to meet the requirements of the Kyoto protocol [4]. However, diesel engines have higher emissions of nitrogen oxides (NOx) and soot [5]. Therefore the emissions from diesel engines must be reduced to be a viable replacement for gasoline engines, when these emissions standards are implemented. In addition, there is an increase in stringent government regulations imposed on the automotive sector by industrialized countries to limit the emissions of unburned hydrocarbons (HC) or soot, and the other combustion-based air pollutants, such as carbon monoxide (CO), and NOx. For example, the soot and NOx emissions for trucks and passenger cars are required to be less than 0.01 g/hp.hr and 0.2 g/hp.hr respectively in Europe from 2012 and USA from 2010 [6]. Soot emissions from diesel engines can be reduced by using Diesel Particulate Filter (DPF) to trap the soot particles in the exhaust gas. However, the increase in soot loading leads to blockage the filter, which increases backpressure resulting in excessive temperature that may damage the filter [7] and reduce engine performance [8]. So periodically, a regeneration process is required to oxidize the soot particulates in the DPF at ignition temperature of 600–650 C [9]. This temperature is higher than the exhaust gas temperature by more than 200 C. The regeneration process can be done by two approaches, (1) decrease the soot ignition temperature with the aid of a catalyst or (2) increase soot temperature to ignition temperature by soot heating. Literature review of soot reduction strategies shows that for the first approach, a catalyst can be used. But using catalyst alone is very costly and not very efficient for soot oxidation. The second approach, fuel, electric heating and microwave heating can be used. Microwave heating represents an improved method due to its non-polluting heating, volumetric heating, reverse temperature gradient, selective heating, self-limiting reaction [9], and the thermal gradients and stresses resulting of microwave heating are less than conventional heating [1]. The history, applications and identifications of microwave radiation were documented by Osepchuk [11], [12] and Sobol et al. [13]. Filter regeneration by microwave heating was verified experimentally by Zhi et al. [2], Nixdorf [3], Ament and Gonze [4], and Gregoire and Colburn [5]. The accumulated soot is a good absorber for microwave energy [10] due to the high value of dielectric loss tangent, tan , which is equal to 0.86 as reported by Babu et al. [18], and Henrichsen and Popuri [19]. However, they reported that the soot filter regeneration for 10 g/liter soot loaded in silicon carbide (SiC) filter was induced after 10 minutes of

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

38

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

microwave heating at a microwave power of 2 kW. The total regeneration was not observed until after more than 100 hours of heating. The reason for this case can be attributed to the decrease in tan to (or less) 0.3 resulted from the reduction in thickness of the soot layer as reported by Ma et al. [20] and Michel et al. [21]. Hence, catalyst was used with microwave heating to regenerate the soot trap. Palma et al. [6] loaded soot on uncatalytic and catalytic ceramic filters. Silicon Carbide (SiC) disk was used as an uncatalytic filter. The catalytic filter contains Fe/V/K catalyst deposited on the SiC disk. The filters were located in a single mode microwave cavity equipped with an 800 W and 2.45 GHz magnetron. The outlet CO and CO were analyzed to calculate the amount of converted carbon. The results showed that the catalytic filter completed the regeneration and saved energy while the uncatalytic filter did not complete the regeneration. Palma et al. [7] also reported that using microwave applicator and a DPF, catalysed with CuFe O could reduce the temperature, energy and time required for the DPF regeneration. Zhang-Steenwinkel et al. [8], [9] and Zande et al. [10] used microwave heating with a monolithic soot filter coated with La0.8Ce0.2MnO3 Perovskite catalyst. This type of Perovskite had a high dielectric loss factor. It was shown that Perovskite coated cordierite filter, covered with synthetic carbon, could be fully regenerated towards CO . In contrast, the same filter without coating was partially regenerated during the same heating time. Ma et al. [11] used microwave heating with and without metal catalysts. They found that iron and copper were the most active catalysts in lowering the ignition temperature of diesel soot, while palladium was a necessary component in achieving a complete combustion. The iron-containing catalyst was also very effective and energy efficient at low microwave input. Catalyst enhanced the microwave heating by decreasing the ignition temperature more than 200 C. However, the catalyst is expensive and the microwave heating causes non-uniform temperature rise in the mono-mode cavity. Designing a multi-mode cavity increases the power consumption [10]. Supplying high microwave power increases filter regeneration efficiency but increases the energy cost. In addition, high soot load melts the trap due to high amount of heat released during the soot oxidation, while very low soot loading would not complete the oxidation due to the reduction of absorbed microwave energy [12], [13]. The simulation of microwave radiation is conducted by using computational methods to solve Maxwell equations of electromagnetic wave and heat transfer equation. Ciacci et al. [28] predicted electromagnetic fields and temperature behaviors of dielectric materials exposed to a high-frequency electromagnetic wave of 2.45 GHz using two-dimensional finite difference time domain method (FDTD). They found that by comparing microwave heating with external heating, the differences were not only the spatial temperature distribution, conversion rates, and species densities, but also the weight loss characteristics. Klinbun et al. [29] discussed the influence of the two dimensional sample dimensions on the thermal efficiency of microwave heating for a packed bed. Their results showed that the generated temperature depended on the sample size and the middle place inside the microwave cavity resulted in uniform heating. Monzon [30] solved the problem of drying a wet paper

by microwave heating using HPOLA code. He mentioned that the water loss varied with electromagnetic frequency value. Salvi et al. [31] simulated a temperature profile of Newtonian fluids during continuous flow microwave heating by coupling electromagnetism, fluid flow, and heat transport in ANSYS and COMSOL software using finite element method (FEM). They reported that the simulation of power loss distributions and temperature profiles are in close agreement by both software programmes. Al-Wakeel et al. [32], [33] simulated the electric field, dissipated heat, temperature distribution, and weight loss of accumulated soot during microwave heating in a mono-mode cavity using ANSYS. They found that the penetration depth, for soot was equal to 12 mm, but equal to zero for samples with dimensions less than the penetration depth. Electric field forms hot spots at and front corners of the cubic soot sample. Dissipated heat pattern depended on the configuration of electromagnetic field and dielectric properties. Temperature at increased until ignition point was reached, after further heating the temperature increased to maximum temperature and subsequently decreased due to phase change. Radiation heat transfer was not influence on time rate of soot weight loss for sizes less than . Karim and Haron [34] showed by measurements that the smoke opacity, resulting from the soot oxidation, was reduced when increasing the microwave power. Experimental studies were conducted on microwave heating of metals by Cheng et al. [35] and Shayeganrad et al. [36]. Cheng et al. [35] proved there was no temperature rise for a naked solid metal bar exposed to electromagnetic radiation. Shayeganrad et al. [36] found that a thick metal object reflected the electromagnetic wave in the microwave oven while thin metal induced electric current on the metal surfaces and created sparks. Mishra et al. [37] and Shukla et al. [38] developed a two dimensional FDTD model to simulate susceptor-assisted microwave heating for metals. The simulation results revealed that the temperature of the metal increased by using a susceptor and the efficiency of the microwave heating depended on the metal size and thermal conductivity. Jerby et al. [14], [15] and Meir and Jerby [16] presented a theoretical and experimental study of a rapidly local heating (hot-spot) implemented in ceramic and soda-lime glass plates by using FDTD and HFSS code. Jerby et al. [14], [15] used microwave system, included tunable magnetron of 2.45-GHz and 1 kW, rectangular-to-coaxial waveguide, and microwave-drill head. The coaxial center electrode of 1 mm diameter was free to move as a drilling bit toward the drilled material. This electrode was usually made of a tungsten rod, and it sustains insertions into materials with melting temperatures up to 1500 C. The simulation results stated that the temperature of vitreous pottery clay was raised from room temperature to around 1000 K close to the electrode tip after 1 s, and 0.25 s by using microwave power of 200 W, and 400 W, respectively. Meir and Jerby [41] used a low microwave power of 80 W and 2.1 GHz supplied by a solid-state source (rather than a magnetron) and an electrode of 1 mm diameter. The simulation results revealed that the glass temperature at 300 K reached to the softening temperature of 930 K close to the electrode tip in 17 s. Meir and Jerby [17] presented a method to ignite thermite powder (Fe O -Al) by low-power microwave of 100 W and 2.1 GHz.

39

AL-WAKEEL et al.: TECHNIQUE FOR LOCALIZED RAPID SOOT OXIDATION USING METAL AIDED MICROWAVE RADIATION

In their method, the microwave energy was supplied locally to the powder by a mini solid-state microwave-drill device inserted into the thermite powder. The temperature-dependent properties of the mixed powder were estimated according to particle sizes and mixing ratio. The simulation employs the Heat-Transfer and Radio-Frequency modules of the COMSOL Multiphysics software package. The temperature reached the melting temperature of aluminum component at 933 K after 3 s of heating. Based on the progress of work in the field, this paper proposes a new technique, aiming to enhance the microwave heating of soot filter regeneration while saving energy. The concept of the new technique is by the use of metallic electrode inserted inside the accumulated soot, exposed to electromagnetic radiation to induce a high local electric field which generates a high local thermal energy and creates enough high temperature for rapid soot oxidation. The electric field, heat generation, and temperature distribution were simulated by using ANSYS based on three-dimensionall FEM, frequency domain for electromagnetic analysis and time domain for heat transfer analysis. Time-temperature behavior to attain soot ignition temperature of 900 K is investigated by coupling absorbed electromagnetic energy and thermal energy. The simulation results are discussed with relation to the theoretical model and validated through experimental measurement of temperature variation with time in a multi-mode microwave cavity. II. THEORETICAL MODEL The methodology used for soot temperature prediction during microwave heating is based on the correlation of the absorbed electromagnetic energy equation with heat transfer equation. The absorbed electromagnetic energy is determined from the electric field. The electric field is found from the interaction of electromagnetic waves with metal and soot using Maxwell's equations. The following sections present the derivation of Helmholtz's equation for the transverse electric field from Maxwell's equations, absorbed electromagnetic power equation, resonant waveguide wavelength equation, and transient heat transfer equation.

The first order differentials (1) and (2) are the typical Maxwell's equations for electromagnetic fields in an anisotropic medium and from them one can form one equation for , called Helmholtz equation (3) for an unsteady inhomogeneous vector as a second-order differential equation (3) Complex permittivity

is equal to (4)

Substituting (4) and (5) into (3) obtains the equation of a steady electric field vector in a three-dimensional cavity (6) (5) (6) (7) where , and are imaginary part of a complex number, propagation constant, permittivity of vacuum, relative permittivity, relative dielectric loss factor, magnetic permeability of vacuum, relative permeability, electric conductivity, angular frequency, and current, respectively. B. Absorbed Electromagnetic Power The absorbed electromagnetic power, is dissipated as rate of thermal energy, JHEAT within the soot as a dielectric material inside a microwave cavity as in (8) [43], [44] (8) denotes the ability of a dielectric material to absorb the electromagnetic energy from an electric field passing through it and converting the energy to heat. is calculated from and according to (9). indicates the ability of the material to dissipate the stored energy into heat [44]. for dielectric materials is equal to zero but for metals it is in the order of (9)

A. The Electric Field in a Microwave Cavity

C. Waveguide Wavelength

Maxwell's equations are general formulas of an unsteady electric field, and magnetic field, components. These components are propagating one from another and each one traveling as a wave aligned at right angles in the same phase of time and space with each other. Therefore, Maxwell's equations can be presented as a wave equation in terms of a transverse electric field (TE) or a transverse magnetic field (TM). The microwave heating of dielectric material depends on the electric field and frequency domain, so it is useful to present Maxwell's equations as a steady transverse electric field equation as in following. The Maxwell's equations of electromagnetic fields are [43]

The resonant waveguide wavelength, guide is [29]

(1) (2)

of an empty wave(10)

where, is the cutoff wavelength of the propagating wave of an empty cavity, and is the microwave wavelength in free space. D. Transient Heat Transfer The heat transfer equation is given by [45]

(11)

40

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

where , and are the temperature, time, thermal conductivity, density, and specific heat of soot and metal. III. COMPUTATIONAL IMPLEMENTATION The computational simulation was conducted by using ANSYS software based on FEM to determine electric field distribution, absorbed electromagnetic energy, which was dissipated as heat, and temperature distribution of soot accumulated on metal rod inside the microwave cavity. The simulation was performed by coupling of the high frequency electromagnetic code with the thermal code.

Fig. 1. Schematic diagram of simulated geometry.

A. Assumption The assumptions of the numerical simulation are: — The accumulated soot was described as a solid packed bed. — The details of soot properties were not clearly discussed in the literature. So, the soot properties were considered homogeneous, isotropic and not temperature dependent except for , which was temperature dependent. — Experimentally, the soot was placed in a Pyrex beaker of transparent walls (1 mm in thickness). Since the transparent thin material allows the penetration of electromagnetic radiation and thermal energy, Pyrex was not considered in the simulation to decrease the complexity of the geometry, and number of discretization elements. — The simulation work did not deal with chemical reactions. The KILL command was used in the code to remove soot elements, when the temperature exceeded the soot ignition temperature. — The thermocouple wire passing through the cavity was not accounted for the numerical simulation according to the cold measurement, explained in Section IV-C. B. Problem Formulation The geometry of the problem was a microwave cavity having closed surfaces of perfect electric conductors with dimensions of 0.310 m on -axis, 0.180 m on -axis and 0.152 m on -axis. The cavity was attached to a waveguide of mode, having dimensions of 0.078, 0.052, 0.19764 m on the , and axes, respectively, as shown schematically in Fig. 1. The waveguide length in direction was calculated according to (10), to ensure the propagation of resonant frequency, which formed a regular distribution of electric field as shown in Fig. 2. The cavity contained an accumulated cylindrical soot sample (diameter of 0.031 m and height of 0.025 m) and one cylindrical nickel rod (diameter of 0.0006 m and height of 0.01 m). The soot was located in the multi-mode microwave cavity center. The rod was inserted vertically in the center of the soot. It should be noted that nickel is a good reflector for the electromagnetic wave with high thermal conductivity. In addition, the melting temperature of nickel is 1700 K, higher than the oxidation temperature of soot. C. Electromagnetic Code The inputs for the high frequency electromagnetic code were frequency, power supply, dielectric properties of air ( and ), dielectric properties of soot ( and

Fig. 2. Regular distribution of electric field in the waveguide.

) [19], and properties of nickel rod ( and . Tan is calculated from (9). The overall boundary conditions for the cavity and waveguide were taken as perfect electric conductor surfaces on the , and axes. The incident port was on the origin of the -axis where the wave is travelling in -direction. The operating frequency was 2.45 GHz. In this code, the amplitude of the incident electric field was entered as supplied power. The incident microwave power was 800 W. The element type for the high frequency analysis was tetrahedral solid (HF119). The visual code outputs were , and JHEAT distribution. D. Transient Thermal Code The dissipated heat that resulted from the electromagnetic code was applied as the element body load in the thermal code. The tetrahedral shape (Solid87) was used as the element type. The inputs of the transient thermal code were the thermal properties ( and ) of soot and nickel. The soot was insulated from the surrounding air as a boundary condition. Conduction heat transfer was used between the soot and nickel. The initial condition was 315 K. The analysis was nonlinear so the solution process required some intermediate equilibrium steps to correct the heat flow. This was accomplished by step-by-step incremental analysis to reach the applied heat at time and performing Newton-Raphson iteration at each step. Auto time step was selected by the program according to Newton-Raphson method for nonlinear equations controlled between 0.001–0.1 s. Based on the mesh independency method the presented simulation was done by using 12 elements per each dimension for the cavity and the soot, and 0.1 mm element size for the metal rod. The convergence criterion was (12) where was the residual out of heat flow balance on the element at th iteration and was number of elements.

AL-WAKEEL et al.: TECHNIQUE FOR LOCALIZED RAPID SOOT OXIDATION USING METAL AIDED MICROWAVE RADIATION

41

Fig. 3. Microwave oven showing cavity, soot sample, and thermocouple. Fig. 5. Measurement of temperature with time showing the ignition point of soot contained metallic rod inside a microwave cavity. TABLE I RESULTS OF THE TWO SIMULATION CASES

Fig. 4. Setup of

measurement using network analyzer.

IV. EXPERIMENTAL SETUP A. Microwave Cavity Modification The multi-mode microwave cavity of the microwave oven (Sharp R249TS, 800 W power and 2.45 GHz operating frequency) was modified as depicted in Fig. 3. The purpose of the modification was to reduce the cavity size on -direction from 0.304 m to 0.152 m in order to reduce the power losses in the cavity and to reduce the number of discretization elements used in the numerical simulation. The new size of the microwave cavity was optimized for a regular electric field distribution within the cavity. B. Temperature Measurement Many methods can be used to measure the temperature. IR thermography measures the temperature on the surface only which is different from the interior temperature of the soot. The temperature measurement by using fiber optic probe [46] is costly. In this research the temperature of the soot was measured with an insulated nickel thermocouple type-K [43] connected to a data logger and PC for data recording. The soot was accumulated on the thermocouple head inside a cylindrical Pyrex glass insulated from the metal walls of the cavity as displayed in Fig. 3. It is necessary to note that the thermocouple's tip worked as a metal object leading to the creation of a high electric field and thus increase the generated heat inside the soot. C. Frequency and

Parameter Measurement

Network Analyzer model Field Fox 6 GHz was used to measure the frequencies and parameters in the microwave cavity as shown in Fig. 4. The operating frequency was 2.45 GHz and frequency spectrum was 2.4–2.5 GHz [43]. The results showed two resonance frequencies of 2.45 and 2.425 GHz, and no effective loss in the incident power due to the reflection in the soot and thermocouple wire. V. RESULTS AND DISCUSSION A preliminary experiment was conducted to ensure that the soot ignition temperature starts at 900 K by using microwave

heating as plotted in Fig. 5. This result is in agreement with the literature [9]. Also, Fig. 5 shows that the temperature of soot decreases upon reaching the soot ignition due to the oxidation in the soot particles. Then with time progress, temperature oscillates due to the presence of exothermic and endothermic reactions of soot with O . To study the effect of the inserted metallic electrode/rod on the temperature of soot in the modified microwave cavity, the electric field, dissipated heat, and time-temperature profile were numerically simulated. Two simulation cases were compared as shown in Table I. A. Analysis of the Electric Field Figs. 6(a) and 7(a) depict the electric field distribution in soot inside the microwave cavity with and without the metallic rod respectively. Case 1 shows the maximum generated is 5 000 V/m inside the soot without the metallic rod as shown in Fig. 6(a). While in Case 2, it is 80 000 V/m inside the soot that is containing the metallic rod as shown in Fig. 7(a). It can be observed from Fig. 7(a) that the metal rod redistributes the inside the sample as opposed to the observation from Fig. 6(a). This behavior is attributed to the direct effect of electric conductivity, where the increase of reduces within the metal rod and increases it around the rod's tips. The existence of with leads to a conduction current, generation in the conductor surface according to (5) and this leads to an increase in the electric flux, . Since the normal component of is continuous across the materials boundaries which leads to increase inside the dielectric material (soot) according to . B. Analysis of the Generated Heat Figs. 6(b) and 7(b) depict the generated heat distribution in the microwave cavity with and without the metal respectively. The JHEAT is generated inside the soot at with maximum value W/m as shown in Fig. 6(b) of Case 1. The effect of

42

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 6. (a) Simulation of electric field in soot exposed to frequency 2.45 GHz, (b) Simulation of dissipated heat in soot exposed to frequency 2.45 GHz, (c) Simulation of temperature vs time for soot in a microwave cavity.

the metal rod to generate high heat inside the soot is shown in Fig. 7(b) of Case 2. The heat is generated close to the rod tip, with maximum value equal to W/m . The value of JHEAT increases with square power of according to (8).

C. Analysis of the Transient Temperature The result of Case 1 is shown in Fig. 6(c) where the soot temperature is raised from initial temperature of 315 K to ignition temperature about 900 K for 8.5 s of microwave heating and the location of the maximum temperature is at the penetration depth. While Fig. 7(c) of Case 2 shows that the duration of the microwave heating to attain 900 K is 0.3 s and the location of the maximum temperature is close to the metal rod tip. The reason for the rapid temperature rise is due to the increase of the induced electric field around the metal object. The relation between the temperature and JHEAT can be seen in (11). The simulation result of the temperature behaviour with time for the soot, accumulated on a nickel rod of Case 2 was validated with

Fig. 7. (a) Simulation of electric field distribution in soot contained metallic rod inside a microwave cavity of frequency 2.45 GHz, (b) Simulation of dissipated heat distribution in soot contained metallic rod inside a microwave cavity of frequency 2.45 GHz, (c) Experimental and simulated temperature vs time for soot contained metallic rod inside a microwave cavity.

the experimental result as shown in Fig. 7(c), which shows there is a good agreement between the predicted and experimental results.

VI. CONCLUSIONS AND FURTHER DEVELOPMENTS The current study has proven that the metallic electrode inserted into the accumulated soot is able to generate a high local thermal energy that enables to rapid soot oxidation. The reduction in microwave heating time, required to attain the temperature of soot ignition would indicate to energy saving. For further development, metallic electrodes can be inserted on the soot filter surfaces as heating electrodes to improve the regeneration process by microwave heating.

AL-WAKEEL et al.: TECHNIQUE FOR LOCALIZED RAPID SOOT OXIDATION USING METAL AIDED MICROWAVE RADIATION

ACKNOWLEDGMENT The authors would like to acknowledge Universiti Teknologi PETRONAS (UTP) for the financial and technical support to conduct this research work. REFERENCES [1] B. R. Stanmore, J. F. Brilhac, and P. Gilot, “The oxidation of soot: A review of experiments, mechanisms and models,” Carbon, vol. 39, pp. 2247–2268, 2001. [2] U. S. Environmental Protection Agency, “Report to congress on black carbon,” Mar. 2011, EPA-450/D-11-001. U.S. EPA. [3] J. Weidman and S. Marshall, Soot Pollution 101 Center for American Progress, p. 4, Aug. 10, 2012. [4] UNFCCC, “A guide to the climate change convention and the Kyoto protocol,” UNFCCC. Issued by the Climate Change Secretariat CourirDruck, GmbH, Bonn Germany, 2003. [5] C. Lloyd and T. A. Cackette, “Diesel engines: Environmental impact and control,” J. Air Waste Manag., vol. 51, pp. 809–847, 2001. [6] DieselNet, “Diesel net: Emission standard-USA-heavy duty on road engines,” p. 5, Aug. 2015, DieselNet. [7] K. Chen, K. S. Martirosyan, and D. Luss, “Soot combustion dynamics in a planar diesel particulate filter,” Industr. Eng. Chemistry Res., vol. 48, pp. 3323–3330, 2009. [8] M. Lapuerta, J. Rodr´ıguez-Fernández, and F. Oliva, “Effect of soot accumulation in a diesel particle filter on the combustion process and gaseous emissions,” Energy, vol. 47, pp. 543–552, 2012. [9] H. B. Al-Wakeel, Z. A. Abdul Karim, H. H. Al-Kayiem, and M. H. Mat Jamlus, “Soot reduction strategy: A review,” J. Appl. Sci., vol. 12, no. 23, pp. 2338–2345, 2012. [10] J. A. Menéndez et al., “Review: Microwave heating processes involving carbon materials,” Fuel Processing Technol., vol. 91, pp. 1–8, 2010. [11] J. M. Osepchuk, “History of microwave heating applications,” IEEE Trans. Microw. Theory Techn., vol. 32, no. 9, pp. 1200–1224, Sep. 1984. [12] J. M. Osepchuk, “Microwave power applications,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 975–985, 2002. [13] H. Sobol and K. Tomiyasu, “Milestones of microwaves,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 594–611, Mar. 2002. [14] N. Zhi, Z. Xinyun, and Y. He, “Radio-frequency (RF) technology for filter microwave regeneration system,” Diesel Aftertreatment SAE, vol. SP-1561, pp. 89–93, 2000. [15] R. D. Nixdorf, “Filter and Means for Regeneration Thereof,,” U.S. Patent US5087272 A, Feb. 11, 1992. [16] F. Ament and E. V. Gonze, “Microwave Regenerated Diesel Particulate Trap,” U.S. Patent US6709489 B2, Mar. 23, 2004. [17] D. J. Gregoire and J. S. Colburn, “Diesel Particulate Filter Using Microwave Regeneration,” U.S. Patent US7303602 B2, Dec. 4, 2007. [18] V. S. Babu, L. Farinashand, and M. S. Seehra, “Carbon in diesel particulate matter: Structure, microwave absorption, and oxidation,” J. Mater. Res., vol. 10, pp. 1075–1078, 1995. [19] M. Henrichsen and S. Popuri, Development of a Microwave Assisted Particulate Filter Regeneration System Cummins Inc., p. 8, 2001. [20] J. Ma, M. Fang, P. Li, B. Zhu, X. Lu, and N. T. Lau, “Microwave-assisted catalytic combustion of diesel soot,” Appl. Catalysis A: General, vol. 159, pp. 211–228, 1997. [21] R. P. Michel, R. Baican, and E. Schubert, “Soot particle properties in the microwave range,” presented at the Microwave Conference, Madrid, Spain, 1993, pp. 959–960. [22] V. Palma, P. Russo, M. D'Amore, and P. Ciambelli, “Microwave regenerated catalytic foam: A more effective way for PM reduction,” Topics in Catalysis, vol. 30/31, pp. 261–264, 2004. [23] V. Palma, P. Ciambelli, and E. Meloni, “Catalyst load optimization for microwave susceptible catalysed DPF,” Chem. Eng. Trans., vol. 32, pp. 799–804, 2013. [24] Y. Zhang-Steenwinkel, L. M. V. D. Zande, H. L. Castricum, A. Bliek, R. W. V. D. Brink, and G. D. Elzinga, “Microwave-assisted in-situ regeneration of a perovskite coated diesel soot filter,” Chem. Eng. Sci., vol. 60, pp. 797–804, 2005. [25] Y. Zhang-Steenwinkel, H. L. Castricum, A. Bliek, and E. Esveld, “Perovskite-type oxides as susceptor materials in dielectric heating,” J. Mater. Sci., vol. 42, pp. 5851–5859, 2007.

43

[26] L. M. V. D. Zande, Y. Zhang-Steenwinkel, G. Rothenberg, and A. Bliek, “Microwave regeneration of diesel soot filters,” in Proc. Mixed Ionic Electronic Conducting Perovskites for Advanced Energy Systems Conf., Netherlands, 2004, pp. 247–251. [27] V. Palma, P. Russo, G. Matarazzo, and P. Ciambelli, “Microwave improvement of catalyst performance in soot oxidation without additives,” Appl. Catalysis B: Environmental, vol. 70, pp. 254–260, 2007. [28] T. Ciacci, A. Galgano, and C. Di Blasi, “Numerical simulation of the electromagnetic field and the heat and mass transfer processes during microwave-induced pyrolysis of a wood block,” J. Chem. Eng. Sci., vol. 65, pp. 4117–4133, 2010. [29] W. Klinbun, P. Rattanadecho, and W. Pakdee, “Microwave heating of saturated packed bed using a rectangular waveguide (TE10 mode): Influence of particle size, sample dimension, frequency, and placement inside the guide,” Int. J. Heat Mass Transfer, vol. 54, pp. 1763–1774, 2011. [30] J. C. Monzon, “Electromagnetic paper dying,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 2, pp. 299–305, Feb. 1995. [31] D. Salvi, D. Bolder, J. Ortgo, G. M. Aita, and C. Sabliov, “Numerical modelling of continuous flow microwave heating,” J. Microw. Power Electromagn. Energy, vol. 44, no. 4, pp. 187–197, 2010. [32] H. B. Al-Wakeel, Z. A. Abdul Karim, H. H. Al-Kayiem, and H. Fawad, “Numerical simulation of high frequency electromagnetic wave in microwave cavity for soot oxidation,” Appl. Mechan. Mater., vol. 459, pp. 310–318, 2014. [33] H. B. Al-Wakeel, Z. A. Abdul Karim, and H. H. Al-Kayiem, “Numerical simulation of microwave heating for soot oxidation,” Appl. Mechan. Mater., vol. 564, pp. 256–262, 2014. [34] Z. A. Abdul Karim and M. H. bin Haron, “Experimental investigation of in-situ soot oxidation using electromagnetic waves,” Appl. Mechan. Mater., vol. 754–755, pp. 912–916, 2015. [35] J. Cheng, R. Roy, and D. Agrawal, “Experimental proof of major role of magnetic field losses in microwave heating of metal and metallic composites,” J. Mater. Sci. Lett., vol. 20, pp. 1561–1563, 2001. [36] G. Shayeganrad and L. Mashhadi, “Theoretically and experimentally investigation of sparking of metal objects inside a microwave oven,” in Proc. Progress Electromagn. Res. Symp., Beijing, China, Mar. 23–27, 2009, pp. 632–641. [37] P. Mishra, G. Sethi, and A. Upadhyaya, “Modelling of microwave heating of particulate metals,” Metallurgical Mater. Trans. B, vol. 37B, pp. 839–845, 2006. [38] K. Shukla, A. Mondal, and A. Upadhyaya, “Numerical modelling of microwave heating,” Sci. of Sintering, vol. 42, pp. 99–124, 2010. [39] E. Jerby, V. Dikhtyar, O. Aktushev, and U. Grosglick, “The microwave drill,” Science, vol. 298, pp. 587–589, Oct. 2002. [40] E. Jerby, O. Aktushev, and V. Dikhtyar, “Theoretical analysis of the microwave-drill near-field localized heating effect,” J. Appl. Phys., vol. 97, pp. 1–7, 2004. [41] Y. Meir and E. Jerby, “Localized rapid heating by low-power solidstate microwave drill,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2665–2672, 2012. [42] Y. Meir and E. Jerby, “Thermite powder ignition by localized microwaves,” Combustion and Flame, 2012, to be published. [43] T. V. C. T. Chan and H. C. Reader, Understanding Microwave Heating Cavities. London: Artech House Inc., 2000. [44] J. D. Kraus and D. A. Fleisch, Electromagnetics With Applications, 5th ed. , Singapore: McGraw Hill, 1999. [45] R. W. Lewis, P. Nithiarasu, and K. N. Seetharamu, Fundamental of the Finite Element Method for Heat and Fluid Flow. , U.K.: Wiley, 2004. [46] R. Cherban_ski and L. Rudniak, “Modelling of microwave heating of water in a monomode applicator—Influence of operating conditions,” Int. J. Thermal Sci., vol. 74, pp. 214–229, 2013. Haitham B. Al-Wakeel, photograph and biography not available at the time of publication.

Z. A. Abdul Karim, photograph and biography not available at the time of publication.

Hussain H. Al-Kayiem, photograph and biography not available at the time of publication.

44

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Parallel Computational Approach to Gradient Based EM Optimization of Passive Microwave Circuits Venu-Madhav-Reddy Gongal-Reddy, Student Member, IEEE, Shunlu Zhang, Chao Zhang, Student Member, IEEE, and Qi-Jun Zhang, Fellow, IEEE

Abstract—Conventional EM optimization aims to use fewest possible fine model evaluations to increase the speed of optimization. In this work, we propose to use a large number of fine model evaluations to achieve an overall speedup. A large number of fine model evaluations allows us to build a surrogate model valid in a large neighborhood. In the proposed technique, these valid surrogate models are used to achieve large and effective optimization updates, thereby resulting in fewer iterations of the optimization process. Valid surrogate models uses many fine model evaluations which are realized in parallel using hybrid distributed shared memory computing platforms. Parallel computation of large number of fine model evaluations reduces the major computational time required for constructing a surrogate model. Furthermore, we exploit trust region algorithms to guarantee convergence and to re-define the fine model evaluation range in each iteration of the proposed optimization algorithm. The proposed technique aims to increase the speed of gradient based EM optimization when no coarse model (e.g., empirical or equivalent circuits) is available. Three typical examples are used to illustrate the proposed technique. Index Terms—Antennas, electromagnetic (EM) optimization, gradient based optimization, parallel computation, passive microwave circuits, surrogate model, trust region.

I. INTRODUCTION

D

ESIGN and optimization of electromagnetic (EM) structures often requires a massive amount of CPU time to find the optimum design space parameters. The strength of the EM analysis, includes rigorous analysis of general microwave structures, makes the design of microwave circuits more reliable than the use of empirical or equivalent circuit models. Recent advances in optimization methods, especially gradient based methods, made the EM based design more feasible for practical microwave structures. These methods are mostly sequential and evaluate the next optimization update using single-point EM evaluation to minimize the CPU time per iteration. The gradient optimization method involves many iterations of optimization;

Manuscript received March 03, 2015; revised June 24, 2015; accepted November 14, 2015. Date of publication December 11, 2015; date of current version January 01, 2016. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada and in part by Ontario Research Fund. The authors are with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504096

therefore it has to perform that many single-point EM evaluations. Recent efforts on improving the speed of optimization include space mapping techniques and artificial neural networks. Space mapping techniques (SM) [1]–[4]aims to use fewest possible fine model (EM model) evaluations by exploiting coarse models (e.g., empirical or equivalent circuits) during optimization, thereby increasing the speed of overall optimization. Recent improvements in space mapping such as three level output space mapping [5], constrained parameter extraction using implicit space mapping [6], space mapping optimization using EM-based adjoint sensitivity [7], and fast EM modeling using shape-preserving response prediction and space mapping [8]focus on reducing the number of fine model evaluations. Standardized formulation of space mapping [1], [4]uses single-point fine model data, or accumulated fine model data from previous iterations to train the surrogate model in each iteration. However, most space mapping techniques requires the availability of a coarse model of the microwave structure. Another method to speed up the optimization process is neural network based parametric modeling and optimization [9]–[11], where the computation burden of fine model evaluations are shifted from online optimization to offline neural network training. The trained neural network model is used for optimization. A conglomerate of the above two techniques was also proposed and developed in [12]–[14]. In [12], multi-point fine model data is generated in the first iteration, while single-point fine model data is generated in the other iterations. However, single-point fine model data is generated sequentially until the optimum solution is achieved. Recently, parallel computation has been researched to speed up intensive computational processes and utilize computers number crunching ability more effectively [15]. Parallel computation methods has been used for global optimization such as genetic algorithms (GA) [14]and particle swarm optimization (PSO) [16], where the evaluations of multiple chromosomes of a population (GA) or multiple particles of a swarm (PSO) are computed in parallel. Parallel automatic model generation technique is proposed in [17], using parallel adaptive sampling and parallel data generation to save model development time. Distributed fine model evaluation techniques has been proposed in [18], [19], where the fine model frequency range is sub-divided into different frequency bands and evaluated on parallel processors. This method has high efficiency when the fine model uses discrete simulation feature. However, this method [19]is not applicable if fast simulation feature or frequency interpolating simulation feature is used in EM

0018-9480 © 2015 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/ redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

simulations. A parallel space mapping approach [20]is used for EM optimization, where the fine model evaluations and training of the surrogate model uses parallel computational techniques. However, the method in [20]requires the availability of coarse model. In [7], [8], [21]a coarse mesh EM simulation is used instead of an equivalent circuit model for space mapping techniques based on sequential computational approach. When the coarse model is not available, parallel approach to gradient based EM optimization still remains an open subject. Recently, a preliminary work on EM optimization with no coarse models is presented in [22]where the use of parallel computational techniques for EM optimization was briefly explored. This paper is a substantial expansion beyond [22]where a significant development lead to an integrated trust region framework with a systematic parallel approach. A specific type of design of experiments (DOE), i.e., orthogonal arrays, for sampling multiple EM points over the predefined surrogate model range are explored. Without the loss of generality, trust region algorithms [23]–[25]are tailored such that the convergence properties are satisfied and the speed of optimization is increased compared to [22]by using adaptive large optimization updates. Compared to [20]the proposed optimization technique requires no coarse models. In comparison to [9]–[11], the surrogate model development proposed in the present paper doesn't involve an overhead cost of training, thereby speeding the optimization process. Also, the proposed parallel optimization can be used even when the EM simulator uses fast simulation feature or frequency interpolating simulation feature. In the proposed technique, we deliberately use many fine model evaluations (multi-point function evaluations) within each iteration of optimization. The large number of fine model evaluations are used to construct a surrogate model in a relatively large neighborhood around a central point. Central point refers to the best solution from optimizing the surrogate model in each iteration. Each iteration has a set of fine model evaluations that are generated in parallel on a hybrid distributed-shared memory computational architecture. The surrogate model developed provides rich information in estimating the direction for the next optimization update. Further, the central point is updated after each iteration using trust region framework, thereby allowing the trust radius to change dynamically from iteration to iteration. Since the surrogate model is valid in a large neighborhood, this results in a large and effective optimization update. Therefore, the proposed method takes fewer iterations and achieves speedup in the optimization process. Three typical examples are illustrated to verify the proposed optimization technique. This paper is organized as follows. Section II outlines the original optimization problem followed by a detailed description of the proposed parallel optimization technique. Various aspects of the proposed technique such as sampling methods, parallel distribution strategy, surrogate modeling, and optimization update using trust region are elaborated. In Section III, we demonstrate the EM optimization using three microwave examples including an inter-digital band-pass filter, waveguide filter and a dielectric resonator loaded antenna. In Section IV, we provide conclusions and discuss possible future directions.

45

II. PROPOSED PARALLEL OPTIMIZATION USING TRUST REGION FRAMEWORK A. Formulation of the Original Optimization Problem Let denote the response vector of the fine model under consideration, denote a vector of design variables and represents the frequency. The original optimization problem is formulated as (1) where is a given objective function, e.g., minimax. represents the error function of fine model response and the desired design specifications. represents the optimal design vector satisfying the design specifications. Suppose, if and represent the set of upper and lower specifications, where and represent the index sets of the frequency points correspondingly, then we define the error function as (2) where is a frequency sample, i.e., the frequency sample. Objective function is either a minimax or a generalized function of [26]. B. Introduction to the Proposed Optimization Technique Conventional EM optimization of the EM structure is generally based on single fine model evaluations per iteration. In the proposed method, we use a set of fine model evaluations in a relatively larger neighborhood around a central point to provide rich information in estimating the direction for the next optimization update. These fine model evaluations along with the central point are used to predict the overall behavior of the device in the region of interest. The central point is updated after each iteration of the proposed optimization using trust region framework. The proposed parallel optimization technique is formulated as distribution of multiple data samples using sampling techniques, computation using parallel processors, surrogate modeling over multiple EM samples and optimization update using trust region framework. C. Distribution of EM Samples in the n-Dimensional Space In the proposed technique, large and effective optimization updates per iteration are used to increase the overall speed of the optimization process. The large and effective optimization updates are possible only if the surrogate model is valid in a relatively large neighborhood. For such a surrogate model to be valid, a large number of fine model evaluations are necessary. Therefore, the very first step for the successful surrogate model is the distribution of the samples spanning across the large neighborhood. The most commonly used sample distributions are full-grid distribution, star distribution and orthogonal distribution. The full-grid distribution sampling method is feasible when the number of design variables is small and the number of levels (subspace divisions) are small. However, when

46

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

or number of levels becomes larger, the full-grid distribution leads to an exponential increase of sample points. Furthermore, such a huge number of sample points may require millions of fine model evaluations which are not feasible. Alternatively, star distribution of sample points around a central point are used in [12]. In star distribution, when the number of design variables becomes large, the number of sample points grows linearly. However, the surrogate model constructed using star distribution is valid in a relatively small neighborhood around the central point. In the proposed technique, orthogonal sampling [27]is used for generating multiple sample points where the subspace divisions are sampled with the same density and are orthogonal. Orthogonal sampling around the central point enables the surrogate model to be valid in relatively large neighborhood compared to star distribution and also uses far fewer sampling points compared to full-grid distribution. Let be the number of optimization variables, i.e., the dibe the mension of vector . Let best solution of in the th iteration. will be referred to as the central point in the th iteration for the subsequent descriptions. Around the neighborhood of this central point , we define the region of interest using trust region algorithm as

(3) determines the interval of each design variable in the where th iteration and is initialized in the first iteration by a user dedetermines the interval fined value. range of the surrogate model for the th iteration, where the suris selected as the perrogate model is presumed to be valid. centage deviation from the central point. The percentage deviation for each design variable is determined according to the fine model sensitivities estimated through fine model evaluations [28]in the first iteration. For the later stages of optimization, is updated using trust region framework. is defined, design of experiments Once the trust region (DOE) [27]sampling strategy e.g., orthogonal sampling, is used to generate a set of samples around the central point in each iteration of the optimization process. Fig. 1 shows design of experiments (DOE) sampling strategy used to generate multiple sample points around a central point for the th iteration. Let denote one such sample in the trust region , where and represents the sample number in the th iteration. represents the total number of sample points selected using DOE. When the optimization process moves, the central point moves to a new optimization update (new central point) . All the other DOE sample points move along with the central point when the optimization progresses from th iteration to th iteration. Therefore, the values of samples change from iteration to iteration. D. Parallel Computation Over Multiple EM Samples In the proposed technique, multi-point fine model evaluations is the major computational burden for in the trust region

Fig. 1. Illustration of orthogonal arrays (a specific type of DOE) sampling techaround nique to generate multiple sample points in the trust region . The central point is upthe central point dated after each iteration of the proposed optimization method. The updated and the DOE samples around the new central point move central point th as the proposed optimization progresses from the th iteration to the iteration.

constructing a surrogate model. Sequential fine model evaluations of the samples requires times the computational time of one fine model evaluation. Therefore, to reduce the overall computational time, we propose to use parallel computational approach for fine model evaluations over multiple samples. Parallel computational approach is implemented to accelerate data generation on hybrid distributed-shared memory computational architecture, i.e., a cluster consisting multiple computers with multiple processing cores on each computer. A systematic and scalable job distribution is used to evaluate multiple fine model responses (jobs). Firstly, fine model evaluations are distributed across all available computers. Each computer is designed to get equal or similar number of jobs so that the workload on each computer over the entire cluster is balanced. In the proposed technique, we set independent simulation environment in each processor where the input-output files in each environment are separated. Each processor executes a job, and then requests another job, until no jobs remain to be executed. Fine model response is generated for the set of sam, in the trust region simultaneously ples by simulating multiple EM structures in parallel.

(4) be the speed up ratio between optimization time of a Let sequential optimization process to that of the parallel optimization process using processors, and is defined as

(5)

represents the CPU time for estimating the sensitiviwhere ties to determine the interval range of each design variable for the first iteration of the optimization process. is the CPU time per iteration to build a surrogate model and minimize the objective function and is non-parallel. is the fine model evaluation time for a sample in the optimization process. is the overhead CPU time (e.g., communication time between multiple processors) of each iteration for generating many fine model responses in parallel using processors. represents

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

the number of iterations of the proposed optimization and represents the number of samples per iteration in the optimization process. Parallel efficiency is defined as the speedup achieved divided by the total number of processors , (6) is It is observed that maximum parallel efficiency achieved when the overhead CPU time and surrogate model generation and optimization CPU time per iteration are minimized. In the proposed technique, the number of samples derived from typical DOE distributions are comparable to the number of processors of a typical or moderate computer cluster, therefore we set the number of processors to be equal to the number of samples, i.e., . This simplifies the description while maximizing practical parallel efficiency of our algorithm. E. Surrogate Modeling Using Multiple Points Across the Trust Region In existing EM optimization, surrogate models are constructed using single point fine model data, or accumulated fine model data from previous iterations [1], [4]. In [20]multiple samples using star distribution are used for constructing surrogate model. However, the availability of a fast coarse model or problem-dependent prior knowledge is a pre-requisite for these techniques. In reality, not all designs can satisfy this pre-requisite. Therefore, the proposed technique aims to address EM optimization without the use of coarse models. In this way, the proposed technique is useful for EM optimization even when the empirical or equivalent circuits models for the EM structure are not available. In the proposed technique, we use widely accepted transfer functions to represent the EM behavior for constructing the surrogate. The surrogate model, that is valid across the entire trust region (DOE sample space), provides the output response as a function of frequency and the design variables

47

with a constant magnitude and a linear-phase. The numerator and denominator coefficients are transformed from -domain to -domain using pascal matrix [33]. Therefore, the surrogate model response is now expressed in -domain as shown in (9),

(9)

, and are vectors where of numerator and denominator coefficients for the bilinear transfer function (9) in -domain. From equations (7)–(9), it is distinctly clear that the coefficients of the transfer function are dependent only on the design variables and are independent of frequency. Therefore, each coefficient of the numerator or denominator for fine model response can now be expressed as a function of the design variables i.e., (10) and . where represents the index of coefficient (either numerator or denominator). The total number of coefficients for the th iteration in (9) is . is a function representing the relation between and design vector space . represents a vector of weighting factors (unknown factors) in the function . The values of the weighting factors are optimized such that the error between fine model response and the surrogate model response is minimized. Let be a complete set of unknown weighting vectors for both numerator and denominator coefficients, i.e., for all coefficients. The new weighting factors for the th iteration are defined as

(7) is expressed as a The surrogate model response transfer function in the rational function format [29]as shown in (8). This can be achieved by using a popular vector-fitting method [30]–[32]

(8)

where , represents the highest order of the numerator and denominator of the transfer function respectively. If the order of the transfer function in (8) is very high, then the transfer function has a very large dynamic range because of the power series . A high dynamic range will cause the transfer function value to be very sensitive to the coefficients . Consequently, it becomes a challenge to develop a good surrogate model. In order to resolve this challenge the power series are transformed to

(11) where , represents the number of and represents the complete samples in the trust region set of frequency points used for the fine model response. In (11), the surrogate model is expressed as a function of numerator and denominator coefficients, frequency and the design vector space . A simple quadratic polynomial function is used to represent the relation between and design vector space in a relatively large neighborhood. Therefore, can be re-written as follows:

(12)

48

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

where is a generic representation of the th coefficient (either or ) of the transfer function in (9) and is evaluated at . Using data sample of the th iteration in the trust region this approach, the weighting vectors are formulated as (13) The number of unknowns in (13) is dependent on the size of design vector space in the design problem. The number of samples selected using DOE must be greater than the number of unknown weighting parameters for a good modeling accuracy. Also, a good modeling accuracy can be achieved by refining . Re-writing (12) in matrix form as shown in (14) at the bottom of the page, over the set of fine model responses in the trust region will result in a system of represents the matrix in (14) which is linear equations. determined from samples of in the trust region for the th iteration. in (15), shown at the bottom of the page, is , i.e., the th coefficient for a vector in the trust region . Solve all samples ( the linear system of equations in (15) using simple regression techniques to evaluate the unknown weighting factors. The weighting vector is calculated using (11) by minimizing the the error between fine model response and the surrogate model response. A good surrogate model match is obtained not only at the DOE samples points in the trust region, but also across the entire trust region. F. Surrogate Model Optimization and Trust Radius Update The surrogate model generated in Section II-D is used to estimate the next prospective central point (optimization update). The valid surrogate model in the trust region is optimized using optimization routines such as minimax or quasi-newton to obtain the new central point and is formulated as (16)

.. . .. . .. .

where is a given objective function, e.g., minimax or a generalized function of the error in (2) between surrogate model response and the design specifications. represents the surrogate model optimal point. To determine whether is the new prospective central point for the next iteration we use a trust region approach [23]–[25]. A trust region framework is used to improve the convergence of the proposed parallel technique. Control index parameter determines the ratio of actual reduction in the value of objective function to the predicted reduction in the value of objective function. The value of is set to 1, if , i.e., the surrogate model in the trust region is not accurate and will not predict the proper direction for the next optimization update. Otherwise, can be calculated as (17) In the proposed technique, the trust region range of the surrogate model changes from iteration to iteration based on trust radius. The control index parameter determines whether the trust radius has to be expanded or contracted from the previous iteration or remain unchanged using the following conditions, (18) sets the maximum limit for each design variable. where Expansion and contraction of trust radius depends on the values of and in (18). In this paper, we use and . To determine whether the surrogate model optimal point is the next prospective central point, we evaluate the condition , i.e., the value for the objective function decreases (converges) from the previous iteration. If the condition is satisfied, then the optimization update is equal to surrogate model optimal . Also, the trust radius is updated as , point

.. . .. .

.. . .. .

.. . .. .

.. . .. .

.. . .. .

.. . .. .

.. . .. .

.. . .. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. . .. .

(14) (15)

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

hence changing the surrogate model range. This completes one iteration of the proposed optimization technique. Otherwise, remains unchanged and the trust radius is updated . The algorithm is terminated if one of the conditions in (19) or (20) is satisfied; i.e., the absolute difference between subsequent iteration points is sufficiently small or if the desired design specifications are satisfied (19) (20) where is a user defined value (in the order of ). Fig. 2 shows a complete flowchart of our proposed optimization. The proposed algorithm is summarized below Step 1) Set initial central point (starting point) , and at . Step 2) Use design of experiments (DOE) sampling strategy to generate a set of samples around the central point over the range defined by (3) and is shown in Fig. 1. Step 3) Evaluate EM fine model responses at all the DOE sample points using parallel hybrid distributed-shared memory computing platform for as in (4). Step 4) Construct a surrogate model utilizing transfer functions by extracting the coefficients using vector fitting in (10) Step 5) Find the unknown weighting parameters in (14) i.e.,

49

update. The large optimization update provides a better possibility of avoiding being trapped in local minima over classical quasi-Newton method. In this way, the quality of the optimization solution obtained using the proposed method can be better than that from classical quasi-Newton method. Further, by exploiting the fact that the transfer function coefficients are smoother than the S-parameters with respect to the changes in the design parameters [29], we formulate the surrogate model using transfer function and regression techniques which can effectively handle larger region than that of the approximation functions used in the classical quasi-Newton method. This large neighborhood allows the proposed optimization to reach the optimization solution quickly. Furthermore, the large neighborhood allows the proposed algorithm to handle different starting points more effectively. The other factor attributing to the robustness is the guaranteed convergence of the proposed algorithm. This is achieved by the use of trust region framework. The surrogate model range in each iteration of the proposed algorithm can be adaptively controlled using the control parameter which determines the trust radius. The adaptive trust radius ensures the convergence properties of the trust region algorithm are satisfied. Compared to parallel optimization methods such as PSO and GA which are non-gradient based, our proposed method is gradient based. For non-gradient methods the optimum solution is found usually at the expense of substantially more computational time compared to gradient based approach. The proposed parallel gradient method has higher speed of convergence over global optimization methods, better quality of the optimization solution and increased robustness over existing classical quasi-Newton method. III. APPLICATION EXAMPLES

Step 6) Solve and find the surrogate model optimal point using (16). Step 7) Calculate the control index parameter using (17) and update the trust radius using (18). Step 8) If one of the termination conditions in (19) or (20) is satisfied then go to Step 12 else go to next step. Step 9) If is satisfied then go to Step 10 else go to Step 11. Step 10) Set the next optimization update (prospective central point) and also update the trust . Increase the iteration counter radius and go to Step 2. Step 11) Keep the central point unchanged and set . Go to Step 2. Step 12) Stop the optimization process. G. Discussion The robustness of the proposed algorithm is enhanced by the use of multiple EM samples and the use of trust region methods. Multiple EM samples are used to create a very good approximation of the surrogate model in a large neighborhood. The large neighborhood around the central point for constructing a surrogate model results in a large step size for the optimization

A. Optimization of Inter-Digital Band-Pass Filter Consider a standard inter-digital band-pass filter [22]as illustrated in Fig. 3. Assume equal spacing between each end of the resonator and the cavity wall. Coupling ratio between resonators are adjusted by tuning the values of spacing , spacing , and spacing between resonators. Each resonator is of length 43.18 mm, width 5 mm, thickness 0.5 mm, and the structure is enclosed in an cavity of height 10 mm. The design space vector for the example are chosen based on the sensitivity information. Fine model evaluation is performed by HFSS EM simulator using fast simulation feature. The desired filter specification for the above structure are defined as in the frequency range of 1.3 GHz to 1.8 GHz. The initial central point is selected based on designer experience and knowledge of the problem which results in the corresponding fine model response not too far away from the optimal solution. The initial central point may also be selected based on the past design of similar filters. For this example, the initial central point is the same starting point used in the reference [22]. (all values are in mm) with is selected as a staring point. The neighborhood around is defined in (3). the central point for an th iteration

50

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 2. Flowchart showing the proposed optimization process.

Fig. 3. Structure of a inter-digital band-pass filter with optimization variables .

Here, is a user defined initial trust radius selected based on the sensitivity of the fine model data and is chosen as (all values in mm). Fine model sensitivity information for the above example can be extracted in two ways. Firstly, using the in-built feature of the EM simulator to extract sensitivity information. This involves a significant overhead in the computational cost because of the necessity for discrete frequency sweep. Secondly, we can use

perturbation techniques to extract sensitivity information using fast simulation feature without the additional overhead involved in discrete frequency sweep. However, perturbation techniques require fine model responses to extract sensitivity information of the design variables. Using nine (i.e., ) samples of data forming a star distribution, nine (i.e., ) fine model responses are generated using parallel processors simultaneously. Now, we extract the sensitivity information using finite difference method for each design variable with minimum overhead cost. Using this method, one fine model evaluation time is sufficient to obtain all sensitivities. for this structure is chosen as (all values in mm) which is 15% of the central point . gives the relaxed value until the surrogate model no longer predicts the model responses accurately. Typically, it is user defined value and is selected based on the sensitivity of each design variable. In this example, the optimization problem space has four design variables. The size of unknown weighting vector in (14) depends on the type of regression technique. For this example, using quadratic polynomial will result in

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

unknown weighting vectors. Therefore, using regression techniques the size of weighting vector is calculated to be 15. For a good surrogate model, the number of data samples is selected to be greater than the size of weighting vector . Using DOE [27]with four variables and five levels (subspace divisions), 25 different geometrical samples are obtained which are far fewer and feasible for parallel computation compared to full-grid distribution requiring 1024 samples . These 25 data samples along with the central point are distributed on multiple computers with multiple processors such that the workload on each processor is balanced. We use a cluster of Dell PowerEdge computers with Intel Xeon X5680 processor with each computer having eight processing cores. Using this cluster, 25 fine model evaluations are executed in parallel to obtain 25 fine model responses. The set of 25 fine model responses are used to generate a surrogate model valid in the region using Steps 4 and 5 in the proposed algorithm. Vector fitting method uses just enough number of poles so as to avoid numerical instability in (14) while estimating the weighting vectors . For this example, 12 poles are sufficient to get an accurate vector fitting for the 25 fine model responses. The coefficients in (10) are extracted from the transfer function using (8) and (9). Later, using regression techniques (11) is solved to estimate the weighting vectors for all the coefficients. Once the weighting vectors are determined, the surrogate model is optimized using NeuroModeler-plus program in the trust region using (16) to extract the surrogate model optimal point . To determine whether the surrogate model optimal point is the next prospective optimization update, we evaluate the condition , where , in this example, uses a one-sided objective function of the error in (2). If the condition is satisfied then evaluate (17) and (18) to update the next prospective central point and subsequently update the trust radius. Otherwise, the central point remains unchanged and the trust radius is updated using (18). The above process is repeated iteratively until one of the termination conditions in (19) and (20) is satisfied. Using the proposed technique, the final optimal solution (all values are in mm) is obtained after six iterations. Fig. 4(a) and Fig. 4(d) shows the fine model response at the initial central point (starting point) and final optimal solution respectively. For comparison purposes, we also use coarse and fine mesh space mapping optimization method [21]to optimize this filter. Fig. 4(c) shows the final optimal point obtained after three iterations. For a further comparison, we perform EM optimization of this filter using HFSS internal optimization feature. For convenience, we refer the HFSS internal optimization as direct EM optimization method because the optimization algorithm is applied directly to the EM fine model. The direct EM optimization method uses HFSS's built-in gradient based quasi-Newton optimization algorithm. In SM techniques the optimization is applied to the coarse model which are iteratively mapped to the EM fine model (indirect optimization). Fig. 4(b) shows the final optimal point obtained after 302 fine model evaluations using direct EM optimization. Table I and Fig. 4 shows that direct EM optimization doesn't converge to the optimal solution whereas

51

TABLE I COMPARISON OF CPU TIME FOR INTER-DIGITAL BAND-PASS FILTER WITH FOUR DESIGN VARIABLES

—Design specifications are not satisfied.

Fig. 4. Comparison of three different optimization methods. (a) Fine model response at the starting point for all the three optimization methods. (b) Fine model response using direct EM optimization (after 302 iterations). (c) Fine model response using coarse and fine mesh SM optimization (after three iterations). (d) Fine model response using proposed optimization (after six iterations).

our proposed technique takes 29.24 min using 25 parallel processors (one processing core for one fine model evaluation) to reach an optimal point. Fig. 5 shows the values of the objective function for the proposed optimization and coarse and fine mesh SM optimization. Using coarse and fine mesh SM method, the value of objective function decreases for three iterations and on further op-

52

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 5. Values of objective function for the inter-digital band-pass filter using: the proposed method (o) and coarse and fine mesh SM method (x).

timization the value of objective function doesn't improve even after six iterations. Fig. 5 also shows that, the proposed optimization converges quickly to reach the termination condition in (20) (satisfies the design specification) compared to coarse and fine mesh SM optimization. Since we have 25 data samples , we use 25 parallel processors to generate 25 fine model responses. Using proposed parallel technique (4) the CPU time for 25 fine model evaluations is 2.88 min, whereas the CPU time for the sequential fine model evaluations is 72 min (25 2.88 min). The CPU time for estimating the sensitivities of each design variable is 2.88 min. Surrogate model generation and optimization takes 1.033 min CPU time . The overhead CPU time (for e.g., communication time between multiple processors) is considerably small. The speedup factor of 16.74 is calculated using (6) which results in a parallel efficiency of about 66.9%. It is interesting to note that for direct EM optimization we use the built-in capability of HFSS to utilize multiple cores for evaluating the fine model response. The proposed parallel technique, even though increases the total number of fine model evaluations, takes a large and effective optimization update and converges to the optimal solution faster. As a further experiment, we use a new case of different number of design variables to demonstrate the capability of our proposed design strategy. The design vector space has three design variables while the spacing between resonator and the cavity wall is fixed at 3 mm. We choose a different starting point i.e., (all values are in mm) with to re-run our proposed , and method. Using the same initial trust radius , the proposed method reaches the final optimal solution (all value in mm) after seven iterations. Fig. 6(a) and Fig. 6(d) shows the fine model response at the initial central point (starting point) and final optimal solution respectively. Fig. 6(a) shows that the fine model response at the initial point for the new case which is a different starting point from Fig. 4(a) for the optimization process. For comparison purposes, we use coarse and fine mesh space mapping optimization method [21]to optimize this filter. Fig. 6(c) shows the final optimal point is obtained after three iterations. We also performed direct EM optimization of this

Fig. 6. Comparison of three different optimization methods. (a) Fine model response at the starting point for all the three optimization methods. (b) Fine model response using direct EM optimization (after 227 iterations). (c) Fine model response using coarse and fine mesh SM optimization (after three iterations). (d) Fine model response using proposed optimization (after seven iterations).

TABLE II COMPARISON OF CPU TIME FOR INTER-DIGITAL BAND-PASS FILTER WITH THREE DESIGN VARIABLES

—Design specifications are not satisfied.

filter using quasi-Newton method for a further comparison. Fig. 6(b) shows the final optimal point is obtained after 227 fine model evaluations. Table II and Fig. 6 shows that direct EM optimization doesn't converge to the optimal solution whereas our proposed technique takes 33.15 min using 16 parallel processors (one processing core for one fine model evaluation) to reach an optimal point. Fig. 7 shows values of the objective function for the proposed optimization and coarse and fine

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

Fig. 7. Values of objective function for the inter-digital band-pass filter using: the proposed method (o) and coarse and fine mesh SM method (x).

Fig. 8. Structure of a four-pole waveguide filter with optimization variables .

mesh SM optimization. Fig. 7 shows that, the proposed optimization converges quickly to reach the termination condition in (20) (satisfies the design specifications) compared to coarse and fine mesh SM optimization. The speedup factor of 11.11 is calculated using (6) using 16 parallel processors and a parallel efficiency of about 69.4%. The proposed method converges to the optimal solution and has high parallel efficiency even with different number of design variables proving the capability of the proposed design strategy. B. Optimization of Four-Pole Waveguide Filter This example shows a four-pole waveguide filter [34]with tuning elements as the posts of square cross section placed at the center of each cavity and each coupling window, as shown in Fig. 8. Height , height , and height are the heights of the tuning posts in the coupling windows. Heights , and are the heights of the square cross section placed in the center of the resonator cavities. The input and output waveguides, as well as the resonant cavities, are standard WR-75 waveguides ( and ). The thickness of the coupling windows is set to 2 mm. The design space vector for this example are chosen based on the sensitivity information. Fine model evaluation is performed by HFSS EM simulator using the fast simulation feature. The desired filter specifications for the above structure are defined as a standard four-pole chebyshev curves of 300 MHz bandwidth, and centered around 11 GHz. The starting point for this example is selected as the same starting point in the reference [34]. (all values are in mm) with is selected as the starting point. The neighborhood is defined around the central point for an th iteration

53

using (3). Here, is a user defined initial trust radius selected based on the sensitivity of the fine model data and is chosen as (all values in mm). for the this example is chosen as (all values in mm) which is percent of the central point . gives the relaxed value until the surrogate model no longer predicts model responses accurately. Typically, it is a user defined value and is selected based on the sensitivity of each design variable. In this example, the optimization problem space has five design variables. The size of unknown weighting vector in (14) depends on the type of regression technique. For this example, using quadratic polynomial will result in unknown weighting vectors. Therefore, using regression techniques the size of weighting vector is calculated to be 21. For a good surrogate model, the number of data samples is selected to be greater than the size of weighting vector . Using DOE [27]with five variables and five levels (subspace divisions), 25 different geometrical samples are obtained which are far fewer and feasible for parallel computation compared to full-grid distribution requiring 5125 samples . These 25 data samples are distributed on multiple computers with multiple processors and are evaluated in parallel to obtain 25 fine model responses. The set of 25 fine model responses are used to generate a surrogate model valid in the region using Steps 4 and 5 in the proposed algorithm. Vector fitting method of order 10 is used to get an accurate vector fitting for the 25 fine model responses. Later, using regression techniques (11) is solved to estimate the weighting vectors for all the coefficients. Once the weighting vectors are determined, the surrogate model optimal point is estimated using Step 6. Subsequently, using Steps 7–10 the next optimization update (prospective central point) and the new trust radius are calculated. The proposed optimization process is terminated if one of the conditions in (19) and (20) is satisfied. Using the proposed technique, the final optimal solution (all values are in mm) is obtained after four iterations. Fig. 9(a) and Fig. 9(d) shows the fine model response at the initial central point (starting point) and final optimal solution respectively. Fig. 9(a) shows that the initial central point is a very good starting point for optimization. For comparison purposes, we also use coarse and fine mesh space mapping optimization method [21]to optimize this filter. Fig. 9(c) shows the final optimal point obtained after four iterations. For a further comparison, we perform direct EM optimization (quasi-Newton) using HFSS internal optimization feature. Fig. 9(b) shows the final optimal point is obtained after 144 fine model evaluations. Table III and Fig. 9 shows that direct EM optimization doesn't converge to the optimal solution whereas our proposed technique takes 21.23 min using 25 parallel processors (one processing core for one fine model evaluation) to reach an optimal point. The values of the one-sided objective function for the proposed optimization and coarse and fine mesh SM optimization are shown in Fig. 10. Using coarse and fine mesh SM method, the value of objective function decreases for three iterations and on further optimization the value of objective function doesn't improve significantly. Fig. 10 shows that, the

54

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE III COMPARISON OF CPU TIME FOR A FOUR-POLE WAVEGUIDE FILTER USING A GOOD STARTING POINT

Fig. 10. The values of objective function for the four-pole waveguide filter using: the proposed method (o) and coarse and fine mesh SM method (x).

—Design specifications are not satisfied.

Fig. 9. Comparison of three different optimization methods (a) Fine model response at the starting point for all the three optimization methods (b) Fine model response using direct EM optimization (after 144 iterations) (c) Fine model response using coarse and fine mesh SM optimization (after four iterations) (d) Fine model response using proposed optimization (after four iterations).

proposed optimization converges quickly to reach the termination condition in (20) (satisfies the design specifications) compared to coarse and fine mesh SM optimization. In this example, we have 25 data samples , therefore we use 25 parallel processors to generate 25 fine model responses. Using proposed parallel technique (4) the CPU time for 25 fine model evaluations is 2.82min, whereas the CPU time for the sequential fine model evaluations is 70.5 min (25

2.82 min). The CPU time for estimating the sensitivities of each design variable is 2.82 min. Surrogate model generation and optimization takes 1.083 min CPU time . The overhead CPU time (communication time between multiple processors) is considerably small. The speedup factor of 15.69 is calculated using (6) which results in a parallel efficiency of about 62.75%. The proposed parallel technique takes much less CPU time compared to the conventional gradient based EM optimization to reach the optimal solution. As a further experiment about the convergence of the proposed optimization for this filter example, we use a much worse starting point (bad starting point) (all values are in mm) with to re-run our proposed method. , and , Using the same initial trust radius the proposed method reaches the final optimal solution (all value in mm) after eight iterations. Fig. 11(a) and Fig. 11(d) shows the fine model response at the initial central point (starting point) and final optimal solution respectively. Fig. 11(a) shows that the initial point is much worse starting point than Fig. 9(a) for the optimization process. For comparison purposes, we use coarse and fine mesh space mapping optimization method [21]to optimize this filter. Fig. 11(c) shows the final optimal point is obtained after two iterations. We also performed direct EM optimization of this filter using HFSS internal optimization feature (quasi-Newton) for a further comparison. Fig. 11(b) shows the final optimal point is obtained after 812 fine model evaluations. Table IV and Fig. 11 shows that direct EM optimization doesn't converge to the optimal solution whereas our proposed technique takes 39.69 min using 25 parallel processors (one processing core for one fine model evaluation) to reach an optimal point. Fig. 12 shows values of the objective function for the proposed optimization and coarse and fine mesh SM optimization. Using coarse and fine mesh SM method, the value of objective function decreases quickly for two iterations and on further optimization the value of objective function doesn't improve significantly even after eight iterations. Fig. 12 shows that, the proposed optimization converges quickly to reach the termination condition in (20) (satisfies the design specifications) compared to coarse and fine mesh SM optimization. The speedup factor of 16.9 is calculated using (6) which results in a parallel efficiency of about 67.61%. Even with a much

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

55

Fig. 12. Values of objective function for the four-pole waveguide filter using: the proposed method (o) and coarse and fine mesh SM method (x).

TABLE V CONFIRMING THE FINAL SOLUTION OF THE DIRECT EM OPTIMIZATION IS A LOCAL MINIMA

Fig. 11. Comparison of three different optimization . (a) Fine model response at the starting point for all the three optimization methods. (b) Fine model response using direct EM optimization (after 812 iterations). (c) Fine model response using coarse and fine mesh SM optimization (after two iterations). (d) Fine model response using proposed optimization (after eight iterations). TABLE IV COMPARISON OF CPU TIME FOR FOUR-POLE WAVEGUIDE FILTER USING A BAD STARTING POINT

—Design specifications are not satisfied —unused fine model evaluation time in the proposed technique

worse starting point the proposed technique reached the final optimal point, thereby showing the robustness with respect to different starting points for the proposed technique. To further examine the robustness of the algorithm with respect to the quality of the optimum solution, we

consider the optimization solution obtained using classical quasi-Newton approach shown in the Fig. 11(b). The optimal point obtained using direct EM optimization (all values are in mm) is a local minima at which the design specifications are still not satisfied. The value of the objective function at is 39.028. On further optimization, the value of the objective function doesn't improve using existing gradient based approach in the EM simulators. To verify the optimization solution obtained using direct EM optimization is a local minima, we perturb each design variable of by 0.1% of in both positive and negative directions. Table V shows that all the neighboring points obtained by perturbation have a higher value than the at . This confirms that is a local minima. Therefore, classical quasi-Newton method cannot proceed further because the gradient of increases even for a small step size of the next optimization update. Using the proposed method, we perform optimization using as the starting point. The value of objective function decreases to 10.0457 after one iteration of the proposed method. The optimization update after first iteration is . In order to demonstrate the fact the proposed method has a better possibility of avoiding being trapped in local minima we use a discrete parameter , where . By varying , fine model responses are evaluated at the sample points generated using (21)

56

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 13. Value of objective function evaluated at each by changing . The value of objective function increases as increases in a small neighborhood which confirms is a local minima. With large step , our proposed optimization can jump size of the optimization update and find the new solution , where the value of the over the trap objective function is much lower than that at the local minima obtained using classical quasi-Newton method.

Fig. 14. Structure of a dielectric loaded monopole antenna with optimization . variables

As shown in Fig. 13, the value of the objective function increases as increases within a small neighborhood . When is further increased, the value of the objective function jumps over the local minima trap, . This demonstrates the fact the proposed algorithm with large and effective optimization updates has a better chance of avoiding local minima traps than classical quasi-Newton which uses smaller optimization updates. This feature increases the robustness of our proposed algorithm compared to classical quasi-Newton method. C. Optimization of a Dielectric Resonator Antenna The third example is a dielectric resonator loaded antenna example [35]–[37]as shown in Fig. 14. The monopole antenna is loaded with dielectric resonator discs to increase the operating range of the monopole. The height of the monopole from the ground plane is fixed at 8.75 mm and therefore limits the lower end of the operating frequency range of the antenna. Height and height are the heights of the dielectric resonator loaded disc on the monopole. The dielectric resonators have inner radius and outer radii , for each disc respectively. The ground plane size is chosen to be 35 mm 35 mm. The design space vector for the example are chosen based on the sensitivity information. Fine model evaluation is performed by HFSS EM simulator using interpolated simulation feature. Fast simulation feature cannot be used because of the wide frequency range. The desired antenna specifications for the above structure are

defined as in the frequency range of 7.5 GHz to 20.5 GHz. The initial central point is selected based on the values given in [37]while the values for the other set of parameters (fixed parameters such as ground plane size, length and radius of the monopole) are assigned using designer experience and knowledge. (all values are in mm) with is used as the starting point for the optimization process. The neighborhood around the central point for an th iteration is defined in (3). Here, is a user defined initial trust radius selected based on the sensitivity of the fine model data and is chosen as (all values in mm). for this (all values in structure is chosen as mm) which is 20% of the central point . gives the relaxed value until the surrogate model no longer predicts the model responses accurately. Typically, it is a user defined value and is selected based on the sensitivity of each design variable. In this example, the optimization problem space has five design variables. Using regression techniques the size of weighting vector is calculated to be 21 (i.e., ). For a good surrogate model, the number of data samples is selected to be greater than the size of weighting vector . Using DOE [27]with five variables and five levels (subspace divisions), 25 different geometrical samples are obtained which are far fewer and feasible for parallel computation compared to full-grid distribution requiring 5125 samples . These 25 data samples are distributed on multiple computers (computer cluster) with eight processing cores for each computer to evaluate 25 fine model responses in parallel. The set of 25 fine model responses are used to generate a surrogate model valid in the region using Steps 4 and 5 in the proposed algorithm. Vector fitting method uses just enough number of poles so as to avoid numerical instability in (14) while estimating the weighting vectors . For this example, 12 poles are sufficient to get an accurate vector fitting for the 25 fine model responses. Later, using regression techniques (11) is solved to estimate the weighting vectors for all the coefficients. Once the weighting vectors are determined, the surrogate model optimal point is calculated by optimizing the surrogate model in the trust region . Using Steps 7–10 the next optimization update as well as the new trust radius are determined. The proposed optimization is terminated if one of the conditions in (19) and (20) is satisfied. Using the proposed technique, the final optimal solution (all values are in mm) is obtained after six iterations. Fig. 15(a) and Fig. 15(d) shows the fine model response at the initial central point (starting point) and final optimal solution respectively. The values of the objective function for the proposed optimization are shown in Fig. 16. Fig. 16 shows that, the proposed optimization satisfies the design specifications in six iterations. For comparison purposes, we use coarse and fine mesh space mapping optimization method [21]to optimize this filter. Fig. 15(c) shows the final optimal point is obtained after one iteration. We also performed direct EM optimization of the antenna using HFSS internal optimization feature

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

57

TABLE VI COMPARISON OF CPU TIME FOR DIELECTRIC RESONATOR ANTENNA

Fig. 15. Comparison of three different optimization methods. (a) Fine model response at the starting point for all the three optimization methods. (b) Fine model response using direct EM optimization (after 62 iterations). (c) Fine model response using coarse and fine mesh SM optimization (after 1 iteration). (d) Fine model response using proposed optimization (after 6 iterations).

overhead CPU time (communication time between multiple processors) is considerably small. The speedup factor of 20.5 is calculated using (6) which results in a parallel efficiency of about 81.98%. The proposed parallel technique deliberately increases the total number of fine model evaluations and results in a large and effective optimization updates. Also, it is observed from the three examples that the parallel efficiency of the proposed parallel technique increases dramatically when the fine model evaluations CPU time is much greater than surrogate model generation and optimization CPU time. Fig. 16. Values of objective function for the dielectric loaded monopole antenna using: the proposed method (o).

(quasi-Newton optimization algorithm) for a further comparison. Fig. 15(b) shows the final optimal point is obtained after 62 fine model evaluations. Table VI and Fig. 15 shows that direct EM optimization takes 16h 32min and coarse and fine mesh SM optimization method takes 323.99 min whereas our proposed technique takes 170.16 min using 25 parallel processors (one processing core for one fine model evaluation) to reach an optimal point using frequency interpolating simulation feature. In this example, we have 25 data samples , therefore we to generate 25 fine model reuse 25 parallel processors sponses. Using proposed parallel technique (4) the CPU time for 25 fine model evaluations is 19.42 min, whereas the CPU time for the sequential fine model evaluations is 485.42 min (25 19.42 min). The CPU time for estimating the sensitivities of each design variable is 19.42 min. Surrogate model generation and optimization takes 1.25 min CPU time . The

IV. CONCLUSION A trust-region based optimization technique has been proposed for microwave structures using parallel computational approach. The proposed method achieves speedup in the optimization process even if a coarse model is not available. Speedup in optimization has been achieved by using large and effective optimization updates in each iteration which resulted in fewer optimization iterations. In the proposed technique, we deliberately increase the number of fine model evaluations without increasing the computation time by using a parallel computational approach. This method has high parallel efficiency using fast simulation feature and interpolating simulation feature in available EM simulation tools. The proposed technique makes EM optimization more practical for microwave circuit design. In the present paper, we have focused on the optimization of passive circuits. One possible future direction is to expand the proposed parallel optimization approach for active circuit design which typically requires computationally expensive nonlinear circuit simulation within optimization loops. In this paper, a moderate number of design variables are used to demonstrate

58

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

the capability of the proposed technique for passive circuits. A second possible direction is to expand the proposed method to handle more EM samples with more parallel processor cores as the design space increases with increase in dimensionality. The third possible direction is to investigate the higher order regression techniques including neural networks to handle more design variables so that a close match between the fine model response and surrogate model is achieved. By doing so, the proposed gradient based EM optimization can be expanded to address large optimization problems in a relatively large neighborhood. REFERENCES [1] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Sondergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [2] S. Koziel and J. W. Bandler, “Space-mapping with adaptive response correction for microwave design optimization,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 2, pp. 478–486, Feb. 2009. [3] J. W. Bandler, D. M. Hailu, K. Madsen, and F. Pedersen, “A space mapping interpolating surrogate algorithm for highly optimized EM-based design of microwave devices,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 11, pp. 2593–2600, Nov. 2004. [4] S. Koziel, Q. S. Cheng, and J. W. Bandler, “Space mapping,” IEEE Microw. Mag., vol. 9, no. 6, pp. 105–122, Dec. 2008. [5] R. Ben Ayed, J. Gong, S. Brisset, F. Gillon, and P. Brochet, “Threelevel output space mapping strategy for electromagnetic design optimization,” IEEE Trans. Magn., vol. 48, no. 2, pp. 671–674, Feb. 2012. [6] S. Koziel, J. W. Bandler, and Q. S. Cheng, “Constrained parameter extraction for microwave design optimization using implicit space mapping,” IET Microw., Antennas Prop., vol. 5, no. 10, pp. 1156–1163, Jul. 2011. [7] S. Koziel, S. Ogurtsov, J. W. Bandler, and Q. S. Cheng, “Reliable space-mapping optimization integrated with EM-based adjoint sensitivities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3493–3502, Oct. 2013. [8] S. Koziel, Q. S. Cheng, and J. W. Bandler, “Fast EM modeling exploiting shape-preserving response prediction and space mapping,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 399–407, Mar. 2014. [9] Q. J. Zhang, K. C. Gupta, and V. K. Devabhaktuni, “Artificial neural networks for RF and microwave design—From theory to practice,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1339–1350, Apr. 2003. [10] J. E. Rayas-Sanchez, “EM-based optimization of microwave circuits using artificial neural networks: The state-of-the-art,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 420–435, Jan. 2004. [11] V. Rizzoli, A. Costanzo, D. Masotti, A. Lipparini, and F. Mastri, “Computer-aided optimization of non-linear microwave circuits with the aid of electromagnetic simulation,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 362–377, Jan. 2004. [12] M. H. Bakr, J. W. Bandler, M. A. Ismail, J. E. Rayas-Snchez, and Q. J. Zhang, “Neural space-mapping optimization for EM-based design,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 12, pp. 2307–2315, Dec. 2000. [13] L. Zhang, J. Xu, M. C. E. Yagoub, R. Ding, and Q. J. Zhang, “Efficient analytical formulation and sensitivity analysis of neuro-space mapping for nonlinear microwave device modeling,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 2752–2767, Sep. 2005. [14] D. Gorissen, L. Zhang, Q. J. Zhang, and T. Dhaene, “Evolutionary neuro-space mapping technique for modeling of nonlinear microwave devices,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 213–229, Feb. 2011. [15] H. El-Rewani and M. Abd-El-Barr, Advanced Computer Architecture and Parallel Processing. Hoboken, NJ, USA: Wiley, 2005. [16] J. Zhang, K. Ma, F. Feng, and Q. J. Zhang, “Parallel gradient-based local search accelerating particle swarm optimization for training microwave neural network models,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–3.

[17] L. Zhang, Y. Cao, S. Wan, H. Kabir, and Q. J. Zhang, “Parallel automatic model generation technique for microwave modeling,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 103–106. [18] S. Koziel and J. W. Bandler, “Space mapping with distributed fine model evaluation for optimization of microwave structures and devices,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, USA, Jun. 2008, pp. 1377–1380. [19] S. Koziel and J. W. Bandler, “Distributed fine model evaluation for rapid space-mapping optimization of microwave structures,” IET Microw. Antennas Propag., vol. 3, no. 5, pp. 798–807, Aug. 2009. [20] F. Feng, C. Zhang, V.-M.-R. Gongal-Reddy, Q. J. Zhang, and J. Ma, “Parallel space-mapping approach to EM optimization,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1135–1148, May 2014. [21] C. Zhang, F. Feng, and Q. J. Zhang, “EM optimization using coarse and fine mesh space mapping,” in Proc. Asia-Pacific Microw. Conf., Seoul, Korea, Dec. 2013, pp. 824–826. [22] V. Gongal-Reddy, S. Zhang, Y. Cao, and Q. J. Zhang, “Efficient design optimization of microwave circuits using parallel computational methods,” in Proc. 7th Eur. Microw. Integr. Circuits Conf., Amsterdam, The Netherlands, Oct. 2012, pp. 254–257. [23] N. M. Alexandrov, J. E. Dennis, Jr., R. M. Lewis, and V. Torczon, “A trust-region framework for managing the use of approximation models in optimizations,” Struct. Optim., vol. 15, no. 1, pp. 16–23, Feb. 1998. [24] N. M. Alexandrov and R. M. Lewis, “An overview of first-order model management for engineering optimization,” Optim. Eng., vol. 2, no. 4, pp. 413–430, Dec. 2001. [25] S. Koziel, J. W. Bandler, and Q. S. Cheng, “Robust trust-region space-mapping algorithms for microwave design optimization,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2166–2174, Aug. 2010. [26] J. W. Bandler and S. H. Chen, “Circuit optimization: The state of the art,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 2, pp. 424–443, Feb. 1988. [27] P. M. Watson and K. C. Gupta, “EM-ANN models for microstrip vias and interconnects in dataset circuits,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 12, pp. 2495–2503, Dec. 1996. [28] N. K. Nikolova, J. Zhu, D. Li, M. H. Bakr, and J. W. Bandler, “Sensitivity analysis of network parameters with electromagnetic frequencydomain simulators,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 2, pp. 670–681, Feb. 2006. [29] Y. Cao, G. Wang, and Q. J. Zhang, “A new training approach for parametric modeling of microwave passive components using combined neural networks and transfer functions,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 11, pp. 2727–2742, Nov. 2009. [30] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [31] B. Gustavsen, “Improving the pole relocating properties of vector fitting,” IEEE Trans. Power Del., vol. 21, no. 3, pp. 1587–1592, Jul. 1999. [32] D. Deschrijver, M. Mrozowski, T. Dhaene, and D. De Zutter, “Macromodeling of multiport Systems using a fast implementation of the vector fitting method,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 6, pp. 383–385, Jun. 2008. [33] Z. Kang, “An analytical pascal matrix transform for s-to-z domain transfer functions,” IEEE Signal Process. Lett., vol. 13, no. 10, pp. 597–600, Oct. 2006. [34] J. V. Morro, P. Soto, H. Esteban, V. E. Boria, C. Bachiller, M. Taroncher, S. Cogollas, and B. Gimeno, “Fast automated design of waveguide filter using aggressive spacemapping with a new segmentation strategy and a hybrid optimization algorithm,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1130–1142, Apr. 2005. [35] Y. F. Ruan, Y. X. Guao, and X. Q. Shi, “Double annular-ring dielectric resonator antenna for ultra-wideband application,” IEEE Microw. Opt. Tech. Lett., vol. 49, no. 2, pp. 362–366, Feb. 2007. [36] M. Lapierre, Y. Antar, A. Ittipiboon, and A. Petosa, “Ultra wideband monopole/dielectric resonator antenna,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 7–9, Jan. 2005. [37] C. Ozzaim, “Monopole antenna loaded by a stepped-radius dielectric ring resonator for ultrawide bandwith,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 843–845, Aug. 2011.

GONGAL-REDDY et al.: PARALLEL COMPUTATIONAL APPROACH TO GRADIENT BASED EM OPTIMIZATION

Venu-Madhav-Reddy Gongal-Reddy (S’14) was born in Hyderabad, India, on May 9, 1985. He received the B.Eng. degree from Jawaharlal Nehru Technological University, Hyderabad, India, in 2006, the M.S.(Tech) degree in radio frequency and microwave engineering from Indian Institute of Technology (IIT) Kharagpur University, India, in 2008 and is currently working toward the Ph.D. degree in Electronics at Carleton University, Ottawa, ON, Canada. His research interests include EM simulation and design, surrogate modeling and optimization of microwave circuits, devices and antennas.

Shunlu Zhang was born in Shanghai, China, on September 11, 1987. He received the B.Eng. degree from Zhejiang University, Hangzhou, Zhejiang, China, in 2009, the M.A.Sc. degree in electrical and computer engineering from Carleton University, Ottawa, ON, Canada, in 2012, where he is currently working toward the Ph.D. degree in electronics. His research interests include microwave circuit design and modeling, optimization, parallel computing for computer-aided design (CAD) and multi-physics simulations for microwave devices.

Chao Zhang (S’14) was born in Xinyang, Henan, China, on June 2, 1990. He received the B.Eng. degree from Tianjin University, Tianjin, China, in 2012, the M.Eng degree at the school of Electronic Information Engineering, Tianjin University, China, in 2014. He is currently working toward the Ph.D. degree in Electronics at Carleton University, Ottawa, ON, Canada. His research interests include simulation and optimization techniques, design and optimization of microwave circuits, space mapping (SM) and surrogate model optimization.

59

Qi-Jun Zhang (S’84–M’87–SM’95–F’06) received the B.Eng. degree from the Nanjing University of Science and Technology, Nanjing, China, in 1982, and the Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1987. From 1982 to 1983, he was with the System Engineering Institute, Tianjin University, Tianjin, China. From 1988 to 1990, he was with Optimization Systems Associates (OSA) Inc., Dundas, ON, Canada, where he developed advanced microwave optimization software. In 1990, he joined the Department of Electronics, Carleton University, Ottawa, ON, Canada, where he is currently a Full Professor. He has authored or coauthored over 260 publications. He authored Neural Networks for RF and Microwave Design (Artech House, 2000), coedited Modeling and Simulation of High-Speed VLSI Interconnects (Kluwer, 1994), and contributed to the Encyclopedia of RF and Microwave Engineering (Wiley, 2005), Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave Design (Artech House, 2005), and Analog Methods for Computer-Aided Analysis and Diagnosis (Marcel Dekker, 1988). He was a Guest Co-Editor for the “Special Issue on High-Speed VLSI Interconnects” for the International Journal of Analog Integrated Circuits and Signal Processing (Kluwer, 1994), and twice was a Guest Editor for the “Special Issue on Applications of ANN to RF and Microwave Design” for the International Journal of RF and Microwave Computer-Aided Engineering (Wiley, 1999 and 2002). He is an Associate Editor for the International Journal of RF and Microwave Computer-Aided Engineering. His research interests are microwave CAD and neural-network and optimization methods for high-speed/high-frequency circuit design. Dr. Zhang is a Fellow of the Electromagnetics Academy and a Fellow of Canadian Academy of Engineering. He is a member on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is a member of the Technical Committee on CAD (MTT-1) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

60

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Parametric Modeling of EM Behavior of Microwave Components Using Combined Neural Networks and Pole-Residue-Based Transfer Functions Feng Feng, Student Member, IEEE, Chao Zhang, Student Member, IEEE, Jianguo Ma, Senior Member, IEEE, and Qi-Jun Zhang, Fellow, IEEE

Abstract—This paper proposes an advanced technique to develop combined neural network and pole-residue-based transfer function models for parametric modeling of electromagnetic (EM) behavior of microwave components. In this technique, neural networks are trained to learn the relationship between pole/residues of the transfer functions and geometrical parameters. The order of the pole-residue transfer function may vary over different regions of geometrical parameters. We develop a pole-residue tracking technique to solve this order-changing problem. After the proposed modeling process, the trained model can be used to provide accurate and fast prediction of the EM behavior of microwave components with geometrical parameters as variables. The proposed method can obtain better accuracy in challenging applications involving high dimension of geometrical parameter space and large geometrical variations, compared with conventional modeling methods. The proposed technique is effective and robust especially in solving high-order problems. This technique is illustrated by three examples of EM parametric modeling. Index Terms—Electromagnetic modeling, microwave components, neural networks, order-changing, pole-residue-based transfer functions.

I. INTRODUCTION

A

RTIFICIAL NEURAL NETWORK (ANN) is a recognized vehicle for electromagnetic (EM)-based modeling and optimization in microwave area [1]–[5]. EM design optimization can be expensive because it requires repetitive EM simulations due to adjustments of the values of geometrical parameters. Through an automated training process, ANN can learn the relationship between EM responses and geometrical Manuscript received May 15, 2015; revised September 28, 2015; accepted November 16, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada and in part by the Natural Science Foundation of China. F. Feng is with the School of Electronic Information Engineering, Tianjin University, Tianjin 300072, China and Department of Electronics, Carleton University, Ottawa, ON, K1S5B6, Canada (e-mail: [email protected]). C. Zhang and Q. J. Zhang are with Department of Electronics, Carleton University, Ottawa, ON, K1S5B6, Canada (e-mail: [email protected]; [email protected]). J. Ma is with the School of Electronic Information Engineering, Tianjin University, Tianjin 300072, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504099

parameters. The trained model provides accurate and fast prediction of the EM behavior of microwave components with geometrical parameters as variables, and can be subsequently implemented in high-level circuit and system design [6]. As a further approach, the knowledge-based neural network has been developed to improve the accuracy and reliability of modeling and design optimization. In the knowledge-based approach, models are developed using neural networks combined with prior knowledge such as analytical expressions [7], empirical models [8]or equivalent circuits [9], [10]. These knowledge can help speed up model development and enhance the capability for learning and generalization of the overall models [11]. Space mapping is also a recognized knowledge-based modeling and optimization method. Recent efforts on space mapping have focused on several areas, such as implicit space mapping [12], output space mapping [13], [14], neural space mapping [15], [16], generalized space mapping [17], tuning space mapping [18], portable space mapping [19], space mapping with adjoint sensitivities [20], and parallel space mapping [21]. An advanced modeling approach, which combines neural networks and transfer functions (neuro-TF), was developed to perform parametric modeling of EM responses [22], [23]. This approach can be used even if accurate equivalent circuits or empirical models are unavailable. In this method, transfer functions are used to represent the EM responses of passive components versus frequency. However, the coefficients of transfer functions have discontinuity problems when there are large geometrical variations. In [24], a training method for developing parametric neuro-TF model of microwave passive components in the frequency domain has been presented under the rational transfer function formulation. The solution of the discontinuity of coefficients in transfer functions over the geometrical variables is addressed in [24]. The sensitivities of the coefficients of the rational transfer function w.r.t. geometrical parameters become high when the order of transfer function is high and/or the geometrical variations are large. This limits the accuracy and robustness of the model. Reference [25]presented an alternative pole-residue approach to the transfer function. However, the method to address discontinuity in [24]applicable to rational functions is not applicable to pole-residue-based transfer functions, which requires a quite different approach. The orderchanging problem for the pole/residue formulation remains an open problem when the geometrical variations are large. In this paper, a novel technique is proposed to develop a combined neural network and pole-residue-based transfer function

0018-9480 © 2015 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/ redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

Fig. 1. Structure of the pole-residue-based neuro-TF model. represents the geometrical variables. represents real and imaginary parts of the outputs of the pole-residue-based transfer function (e.g., S-parameters). represents the outputs of the EM simulations.

(pole-residue-based neuro-TF) model for parametric modeling of EM behavior of microwave components. A new method is proposed to address the discontinuity issue of the pole-residuebased transfer function. In our method, a novel order-changing technique is developed for pole-residue-based transfer functions to handle the problem of order variations as geometrical parameters change in a large region. With high dimension of geometrical parameter space and large geometrical variations, our proposed method produces more accurate models than the existing order-changing method using rational transfer function in [24], exploiting the advantage that pole/residues have low sensitivities w.r.t geometrical parameters than rational function coefficients do. The accuracy of the model developed by the proposed method remains good even when the order of the model is high. After the proposed modeling process, the trained model can be used to provide accurate and fast prediction of the EM response w.r.t. the geometrical variables and can be subsequently used in high-level circuit and system design. The proposed technique is effective and robust especially in solving high-order problems. This paper is organized as follows. In Section II, our proposed technique for developing a pole-residue-based neuro-TF model is introduced, and details of the new algorithm are provided. In Section III, we demonstrate the parametric modeling of three EM examples, confirming the effectiveness and robustness of our proposed pole-residue-based neuro-TF modeling technique. II. PROPOSED TECHNIQUE FOR DEVELOPING POLE-RESIDUE-BASED NEURO-TF MODEL

A

A. Formulation of the Pole-Residue-Based Neuro-TF Model The structure of the proposed pole-residue-based neuro-TF model is illustrated in Fig. 1. The model consists of pole-residue-based transfer functions and neural networks. The outputs of the overall model are the -parameters of the EM behavior of microwave components and the inputs of the model are geometrical variables of the EM structure and frequency. Let be a vector containing the geometrical variables, representing the inputs of the overall model. Let the frequency response be a function of pole/residues, which is defined using a pole-residue-based transfer function as follows (1)

61

where and represent the poles and residues of the transfer function respectively, and represents the order of the transfer function. As the values of geometrical parameters change, the pole/ residues change accordingly. Due to the relationship between the pole/residues and the geometrical parameters is nonlinear and unknown, we propose to use neural networks to learn and represent this nonlinear relationship. The initial training data of neural networks are obtained by the vector fitting technique [26]. With vector fitting, we obtain the poles and residues of the transfer function corresponding to a given set of EM responses. The neural networks are trained to learn the nonlinear mapping between and the pole/residues. Let be a vector representing real and imaginary parts of the outputs of the pole-residue-based transfer function. Let be a vector representing the outputs of the EM simulations (e.g., real and imaginary parts of -parameters). The objective here is to minimize the error between and for different , by adjusting the neural network internal weights. We use the vector fitting process [26]to extract the coefficients (or pole/residues) of the transfer function. When the frequency range is large w.r.t. the central frequency point, higher order transfer function needs to be used in order to obtain a good vector fitting accuracy. However, high order transfer function results in non-uniqueness and discontinuity problems of the coefficients of the rational formulation (or pole/residues) of the transfer functions. In order to perform vector fitting to obtain accurate results with the minimum orders of the transfer functions, we scale and shift the frequency range to a small range relatively far away from the zero frequency. As illustrated in Table I, for the rational transfer function, when the frequency range is small (e.g., varying around 1 to ignore the influence of ), all the poles are close to each other. When the value of frequency is in the middle of all the , the value of becomes very small, which makes the too sensitive w.r.t. the coefficients and of the rational transfer function. On the other hand, the sensitivity of the w.r.t. all the poles and residues remains low no matter how large the order of the transfer function becomes. This feature of the pole-residue-based transfer function makes the model more robust than the rational transfer function formulation when dealing with high order modeling problems. In this paper, we use frequency scaling and shifting to achieve the minimum order, and use poles and residues as intermediate variables instead of the coefficients of the rational transfer function of [22], [24]. B. The Issue of Discontinuity in Poles and Residues w.r.t. Geometrical Parameters The proposed technique for developing a pole-residue-based neuro-TF model begins with samples of EM data for different values of geometrical parameters, where the subscript is the index indicating the th sample of geometrical parameters, . is the index set of training samples of geometrical parameters and is the total number of training samples. Training samples are generated w.r.t. inputs including the geometrical parameters except frequency which is a separate variable swept by the EM simulator during data generation. In our method, frequency is an additional

62

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE I COMPARISON OF THE SENSITIVITY OF TRANSFER FUNCTION W.R.T. POLE/RESIDUES AND COEFFICIENTS OF RATIONAL FORMULATION

TABLE II DISCONTINUITY OF POLE/RESIDUES WHEN THE ORDERS OF TRANSFER FUNCTIONS ARE CHANGED (E.G., ADDING A NEW POLE)

input of the pole-residue-based transfer function. represents real and imaginary parts of S-parameters generated from EM simulations. The discontinuity of pole/residues in transfer functions w.r.t. the geometrical variables is a major issue for modeling. When geometrical variations are large, the corresponding EM responses will lead to different orders of transfer functions. A repetitive parameter extraction process is required to extract the pole-residues of transfer functions for each sample of geometrical variables. One way is to set the orders to the maximum one among all geometrical samples. In that case, high order transfer function is used to deal with low order problems for subsets of geometrical samples. That causes non-unique and arbitrary numerical solutions for the vector fitting process, resulting in the discontinuity of pole/residues. Another way is to use a minimum order of the pole-residue-based transfer function for each geometrical sample [25]. That may result in different orders of transfer functions for different geometrical samples. These varied orders will result in abrupt changes of the values of poles and residues, and/or abrupt changes in the function structure of poles and residues as functions of geometrical parameters. These abrupt changes also lead to the discontinuity of pole/residues. Table II illustrates an example of this discontinuity problem of pole/residues occurring when a new pole is added resulting in the change of the orders. Assume

that when the length and width (i.e., geometrical parameters) of the components change across the boundary from to , causing the order to change from two to three, a new pole is split from the first pole. By using the brute-force minimum order method, the values of and are the same, when and approach to zeros. However, the pole/residues in and do not approach each other. In other words, the limits of pole/residues of and are quite different from each other. In this case, the pole/residues obtained using the brute-force minimum order method in some columns of Table II are discontinuous (i.e., change abruptly) when the orders of transfer functions are changed over the variations of geometrical parameters. By similar analysis, the discontinuity problem will occur no matter which pole should be split. In subsequent subsections, we introduce a novel pole-residue tracking technique to overcome the discontinuity problems of pole/residues in transfer functions. The idea is based on splitting one pole into two separate new poles to achieve the increase of the order of the pole-residue-based transfer function. This new approach generates a group of new pole/residues based on those in the minimum order transfer functions and ultimately form transfer functions of constant order. The method solves the discontinuity problems of pole/residues while maintaining the accuracy of the transfer functions.

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

C. Vector Fitting for Parameter Extraction Firstly, vector fitting process [26]is performed to obtain a group of poles and residues , using the minimum order of the pole-residue-based transfer function for each geometrical sample. In the vector fitting process, the given information is EM data (i.e., -parameters) versus frequency for a geometrical sample. Expected solutions are poles and residues of the transfer function. A scaling and shifting process for the frequency range is set up during the vector fitting process to get all poles and residues in complex values. Let represent a vector containing the poles and residues of the transfer function of the th geometrical sample obtained after vector fitting, defined as (2) represents the order of the transfer function for the where th geometrical sample. is a vector of poles for the th ge. ometrical sample, defined as is a vector of residues for the th geometrical sample, defined . as We want to build a mapping function to map the pole/residues over geometrical variables. Because the mapping relationship is nonlinear and unknown, we use neural networks as the mapping function. Since there are different minimum orders for different geometrical values, the number of elements in vector will be different for different geometrical sample. This variation of the numbers of pole/residues among different geometrical samples will cause difficulty in training the neural networks. We proposed a novel pole-residue tracking technique to handle the change in the order of transfer functions. D. Pole-Residue Tracking Technique for Order-Changing In this section, a novel pole-residue tracking technique solving the problem due to the change in the order of transfer functions is proposed. The main purpose for this technique is to add groups of new pole/residues to bridge the gap of transfer function orders between different geometrical samples while keeping the responses of the transfer functions unchanged. In this way, we ultimately obtain the transfer functions of constant order w.r.t. all geometrical samples, overcoming non-uniqueness problem of pole/residues. Vector fitting with frequency scaling and shifting is performed to obtain minimum order transfer function for each geometrical sample. Since all the poles and residues are complex numbers, the order of each sample, i.e., should be an even number. The first step of pole-residue tracking technique is to compact the poles and residues into the effective poles and residues. Based on the pole-residue-based transfer function in (1), each pole has a related residue. We will use complex pole/residues to formulate a systematic pole-residue tracking process. Since each complex pole or residue has a conjugate, we remove all of the poles which has negative imaginary part, and the corresponding residues. After that process, the number of pole/

63

residues are reduced by half, and the remaining ones are regarded as effective pole/residues. We define the effective order of the transfer function for the th sample as (3) The maximum and the minimum effective orders among all geometrical samples are defined as (4) (5) where is the index set of training samples for training the neural networks, defined as , and is the total number of the training samples of geometrical parameters. The effective poles include all the poles which have positive imaginary parts. The effective residues include all the residues corresponding to the effective poles. The second step is to sort the effective pole/residues. Poles are sorted in an ascending sequence according to the values of their imaginary parts, while residues are sorted with their corresponding poles. Binary tree sorting method is used in this step. The average cost of this sorting algorithm is for each geometrical sample, where is the effective order of the transfer function for the th sample. The sorting process guarantees the relative correspondence of pole/residues between different samples (i.e., between different sets of geometrical values), therefore, ensuring a smooth and continuous model with respect to varying values of geometrical parameters. The third step is the main pole-residue tracking process. Since the transfer functions created with the effective poles and residues have different effective orders for different geometrical samples, varying from to , we want to add new effective pole/residues without introducing non-uniqueness problem, such that ultimately the orders for all geometrical samples will be equal to the maximum effective order . The pole-residue tracking process is an iterative process. During this process, the minimum effective order is iteratively increased by one, i.e., after each iteration, the minimum effective order becomes . After a certain number of iterations, the minimum effective order becomes equal to the maximum effective order, i.e., . The order of the transfer functions of all the geometrical samples then become the same as , i.e., . More specifically, in each iteration, we search for all the samples to find those whose order is equal to . For each sample with order , say, the th sample, we choose one pole to be split into two overlapping poles according to the information of the neighboring sample. The neighboring sample (defined as the th sample) is the one which has the shortest geometrical distance to the th sample among all the ones whose orders are equal to , defined as, (6) where is the sub-set containing all the training samples whose orders are equal to , and is the number of the

64

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE III ELIMINATION OF DISCONTINUITY OF POLE/RESIDUES USING PROPOSED POLE-RESIDUE TRACKING TECHNIQUE

geometrical parameters. is the th parameter in . is the smallest variance of among all the samples, defined as

original residue. The splitting of the sented as follows:

(7) After (6), the th sample is selected as the neighbor of the th sample. A given sample may have more than one neighboring samples. To determine which pole should be selected to be split, we perform times of trials. In the th trial, we split the th pole and calculate the sum of differences between the imaginary parts of the present poles after splitting and the poles in the neighboring sample whose order is . Assume the order of the th geometrical sample is , while the th sample is the neighboring sample which has order . The formulation of the sum of differences is defined as follows:

th pole/residue is repre(10)

where

(11) Now the order of the transfer function of the th training sample has increased by one. Then we sort the poles and corresponding residues again to make the pole/residues in a continuous sequence. Using and to represent the poles and residues after sorting, the sorting process is defined as follows:

(8) (12) times with This process is performed iteratively for . Because the poles should move continuously when the values of geometrical parameters change slightly, the correct splitting pole should be the one that leads to the minimum sum of differences between the poles of the present sample (present geometrical sample) and the poles of the neighboring sample (whose values of geometrical parameters are slightly different from that of the present sample). Subsequently, we select the pole to be split according to the ranking of its value. The pole with the minimum value is selected as the splitting pole, (9) The th pole of the th training sample is selected to be split since is the minimum sum of differences among the various trials of pole-splitting. The splitting of pole means that, as the geometrical parameters change, the pole will be split into two new poles, whose values are both equal to at the moment of splitting. Consequently, the th residue which is related to the selected pole should also be split into two separate residues. Each of the new residues has half the value of the

The proposed pole-residue tracking technique resolves the discontinuity problem of pole-residues, illustrated in Table III. Not only the values of and are the same, but also the pole/residues in and approach each other, when and approach to zeros. As shown in Table III, the pole/residues in each column of the table are continuous when the transfer function changes from to as changes to . This pole-residue tracking process is performed iteratively for all the samples whose order is equal to . After this process is finished, the minimum order of the entire training set increases by one, i.e., . The pole-residue tracking process terminates when the minimum effective order is equal to the maximum effective order, i.e., , thus, all the samples now have the same orders. The overall pole-residue tracking algorithm is shown in the flowchart in Fig. 2. We implement this tracking technique by developing a C program based on the flowchart in Fig. 2 that automatically searches and splits the pole/residues for all the samples. The input file given to the program contains the minimum-order pole/residues produced from vector fitting for

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

65

each geometrical sample. Such minimum-order may vary from sample to sample. The output file contains the constant-order pole/residues for all geometrical samples after the pole-residue tracking process. Let vector represent the poles and residues including both the original and newly added ones after the pole-residue tracking process

(13) where is a vector of poles including both the original and newly added ones of the th sample, defined as . is a vector of residues including both the original and newly added ones of the th sample, defined as . The pole-residue-based transfer function is rewritten as follows:

(14) where superscript “ ” means complex conjugate. After orderchanging process, we obtain the transfer functions of constant order. We use those poles and residues (including original and newly added ones) to build our proposed pole-residue-based neuro-TF model. E. Preliminary Training of Neural Networks We propose a two stage training process. In the first stage, we perform a preliminary training process. During this process, neural networks are trained to learn the relationships between all the pole/residues of the transfer function and the geometrical parameters. The training data for this phase is , i.e., samples of geometrical parameters as model inputs and pole/residues including both the original and newly added ones as model outputs. The nonlinear relationship between poles and geometrical parameters are usually different from that of residues. Subsequently, poles and residues are provided by separate neural networks. Let represent the outputs of the neural network for poles corresponding to geometrical variables and neural network weights . Let represent the outputs of the neural network for residues corresponding to geometrical variables and neural network weights . During the preliminary training, a certain amount of training error (e.g., 5%–10%) can be tolerated because the sensitivities of the transfer function w.r.t. the pole/residues are low and the solution can be further refined in next training phase. With this relaxed error criteria, less hidden neurons can be used in the neural networks. The neural networks thus have lower nonlinearity, which makes the poleresidue-based neuro-TF more robust. After preliminary training of the neural networks, an overall model refinement process is performed to further refine the final model described next.

Fig. 2. Flowchart of the overall pole-residue tracking algorithm to handle order-changing problem as geometrical parameters change.

F. Refinement Training of the Pole-Residue-Based Neuro-TF Model In the second stage of the training process, a model refinement is performed to further refine the overall pole-residue-based neuro-TF model. The training data for this phase is , i.e., samples of geometrical parameters as model inputs and EM responses (i.e., S-parameters) as model outputs. The mechanism for the refinement training process of the overall

66

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 3. Mechanism for the refinement training process of the overall pole-residue-based neuro-TF model. The objective is to minimize the training error of the overall model. The variables of this training process are the and . weighting parameters in neural networks

pole-residue-based neuro-TF model is shown in Fig. 3. It consists of the pole-residue-based transfer function of (14) and the neural networks whose initial values are the optimal solutions from the preliminary training. This model refinement process consists of both training and testing of the model. Training is performed by optimizing the weights inside the neural networks to minimize the error function

(15) where is the index set of training samples of various geometrical parameters, and is the total number of training samples. is the index set of frequency samples. and represent the weights in the neural networks for poles and residues , respectively. represents the outputs of the overall model, which ultimately is a function of geometrical variables , frequency , and neural network weights and . The training process terminates when the training error becomes lower than a user defined threshold . After the training process, an independent set of testing data which are never used in training is used for testing the quality of the trained poleresidue-based neuro-TF model. The testing error is defined as the error between the model response and the testing data. If the testing error is also lower than the threshold error , the model refinement process terminates and the pole-residuebased neuro-TF model is ready to be used for high-level design. Otherwise, the overall model training process will be repeated with different numbers of hidden neurons. A flowchart illustrating the overall pole-residue-based neuro-TF model development process is shown in Fig. 4.

Fig. 4. Flowchart of the overall pole-residue-based neuro-TF model development process.

G. Discussion We have formulated a systematic pole-residue tracking technique based on complex poles. Because it is more convenient to track and split complex poles than real poles, we developed a method to make all the poles to be complex values. If the original problem has real poles, the frequency scaling and shifting will force the scaled transfer function to have complex poles. When we use proper frequency scaling and shifting, the vector fitting process [26]applied to frequency shifted data will force poles and residues to be complex values. Because vector fitting [26]has the mathematical mandate that each complex pole has a conjugate pole, the order extracted from vector fitting is always even. Further explanations of this process are provided in an Appendix. Let the number of samples in subset be defined as , where is a subset of samples whose orders of transfer functions are the lowest among all samples. Usually is less than , where is the total number of samples. The cost of tracking process is proportional to the total number of samples multiplied to the number of subset samples , i.e., . The purpose of our paper is to develop a method for modeling from user-defined frequency range. It is possible that the user defines a larger bandwidth as requirement for the model (larger than the operating frequency range of interests). For example, if the EM structure is connected to a nonlinear device which has several harmonics in responses, then the frequency band for the model should be several times higher than the operating frequency band of interests. A larger bandwidth may cover

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

more poles. Once this user-defined frequency range is given, our method can proceed, starting from (2). The proposed method is applied to frequency-domain transfer functions, primarily for frequency-domain applications, such as microwave filter design. Mathematically, the method is applicable to transfer functions in scattering, admittance or impedance (S, Y or Z) parameters. For microwave design, S-parameters are most frequently used. The behavior of S-parameters is smoother than that of Y/Z parameters for many microwave passive components. In this case, the S-parameter format of the model is often used.

67

Fig. 5. Geometrical parameters of the junction and the 3-D configuration for EM simulation. A parametric model w.r.t. these seven geometrical parameters is to be developed.

III. APPLICATION EXAMPLES A. Parametric Modeling of a Microwave Junction In this example, we illustrate the development of pole-residue-based neuro-TF model for parametric modeling of the EM behavior of a microwave junction [5], as shown in Fig. 5, where is the gap between two conductive walls. is the height of the tuning cylinder. is the radius of the tuning cylinder. is thickness of the conductive walls. is width of the slot on the upper conductive wall. is the length of the slot on the upper conductive wall. is the height of the junction cavity. This model has seven input geometrical variables, i.e., , as defined in the structure of the junction example, shown in Fig. 6. Frequency is an additional input. The model has eight outputs, i.e., , which are the real and imaginary parts of and , respectively. The CST Studio Suite 2014 software is used to perform the full-wave EM simulation and generate training and testing data for modeling. A parallel computation method is used in data generation. MPI is used for parallel processing with distributed memory. We use a cluster of Dell PowerEdge computers with Intel Xeon E5-2440 processors. We use six cores (two cores per computer from three computers) to generate the data. Design of experiments (DOE) [27]method is used as the sampling method for both training and testing data. The proposed modeling method is applied to two different cases, i.e., Case 1 with a narrower parameter range and Case 2 with a wider parameter range as defined in Table IV. In Case 1, the minimum orders of transfer functions stay unchanged at order eight for all samples, , where the total number of geometrical samples is . In Case 2, the minimum orders of transfer functions vary from six to eight among different samples of geometrical parameters, which results and . In both cases, nine levels of DOE are used for defining samples of the training data, i.e., a total of 81 samples of training data, while seven levels of DOE are used for the testing data, i.e., a total of 49 samples of testing data. The ranges of training data and testing data for the two different cases are defined in Table IV. The total time for training data generation (for 81 samples of data) is about 15 minutes, while the total time for testing data generation (for 49 samples of data) is about nine minutes. If we use more computers to perform the parallel data generation, the time of data generation

Fig. 6. Structure of the pole-residue-based neuro-TF model for the junction example.

TABLE IV DEFINITION OF TRAINING AND TESTING DATA FOR THE JUNCTION EXAMPLE

will be even shorter. The original frequency range is 7 GHz–9 GHz. We scale and shift the frequency range to 0.9 GHz–1.1 GHz. Pole-residue tracking technique for order-changing is applied. The pole-residue-based neuro-TF model is trained using the NeuroModelerPlus software. The average training error is 0.516%, while the average testing error is 0.558% for Case 2. The overall model training time is 9.6 minutes, including parameter extraction, preliminary training and refinement training. For comparison purpose, we also apply brute-force pole-residue-based neuro-TF modeling method which directly uses the maximum orders for all the samples of geometrical parameters and the recent bilinear rational neuro-TF modeling

68

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE V COMPARISONS

OF DIFFERENT MODELING THE JUNCTION EXAMPLE

METHODS

FOR

method with order-changing technique [24]for the two cases. Table V compares these various modeling methods in terms of ANN structures, coefficient (or pole/residue) continuity, coefficient (or pole/residue) sensitivity, and average training and testing error. In Case 1, since the geometrical parameters vary within a small range and the orders of transfer function stay unchanged, all methods obtain comparatively small training and testing errors. In Case 2, the geometrical parameters vary within a wider range and the orders of transfer function vary. The brute-force pole-residue-based neuro-TF modeling method has obvious discontinuity problems which result a high testing error. The overall model training time is 21.3 minutes for brute-force pole-residue-based neuro-TF modeling method using 15 hidden neurons. In the bilinear rational neuro-TF modeling method, the transfer function is more sensitive to its coefficients, thus the model needs more hidden neurons to achieve good training accuracy and cannot guarantee the testing error, where the values of geometrical parameters for testing are never used in training. The overall model training time is 18.6 minutes for bilinear rational neuro-TF modeling method using 15 hidden neurons. When we use bilinear rational neuro-TF method, the transfer function is more sensitive to its coefficients, and the coefficients are more nonlinear than the pole/residues over geometrical parameters from sample to sample. When we use the brute-force method, the pole/residues have much discontinuity problem which also result in high nonlinearity of pole/residues from

sample to sample. Thus the model trained using the two methods cannot obtain a good training error with a small amount of hidden neurons. To achieve good training accuracy, we need to increase the number of hidden neurons in the model. In this example, however, such increase of the number of hidden neurons makes testing error even worse, further indicating the high-nonlinearity (or high sensitivity) of coefficient of transfer function w.r.t. changes in geometrical parameters, or high nonlinearity (or high sensitivity) of the pole/residues in brute-force method w.r.t. changes in geometrical parameters. Our proposed method can achieve better accuracy in testing than the two other methods in the comparison. Fig. 7 shows the output of the proposed pole-residue-based neuro-TF model for three different test geometrical samples of junctions, i.e., test geometrical samples #1, #2, and #3, and its comparison with model response using different methods and EM data. The geometrical variables for three samples of junctions are as follows. Test geometrical sample (mm) Test geometrical sample (mm) Test geometrical sample (mm) It is observed that our model can achieve good accuracy for different geometrical samples even though these samples are never used in training. The comparisons of other outputs (i.e., , and ) of the models developed using different modeling methods and EM data are similar with that of the output . Once the pole-residue-based neuro-TF model training is completed, we can implement the trained model into the design optimization where the geometrical parameters can be repetitively adjusted during optimization. As an example of using the trained model for junction optimization, we perform EM optimization of three separate junctions with different design specifications: Specifications for junction dB, dB, dB, and dB at specified frequency of 7.8 GHz. Specifications for junction dB, dB, dB, and dB at specified frequency of 8 GHz. Specifications for junction dB, dB, dB, and dB at specified frequency of 8.1 GHz. The initial values are (mm). We perform design optimizations of the junction using the pole-residue-based neuro-TF model. The optimization took only about 20 seconds to achieve optimal solution for the each junction. The optimized geometrical values for the three separate junctions are: (mm) (mm) (mm) The CST full-wave EM simulations at the model optimal solutions are shown in Fig. 8. For comparison purpose, we also

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

Fig. 7. Comparison of the magnitude in decibels of of the models developed using different modeling methods and CST EM data: (a) test geometrical sample #1, (b) test geometrical sample #2, and (c) test geometrical sample #3 for the junction example. As shown in the figure, the proposed pole-residue-based neuro-TF model matches well with CST EM data even though the testing geometrical samples in the figures are never used in training.

use CST to perform direct EM optimization of the junction with the same initial value and same design specifications for the three junctions described above. The comparison is illustrated in Table VI. For pole-residue-based neuro-TF optimization, the model development time is 0.7 hours including EM data generation and model training. Once the model is trained, it can be re-used again and again for additional optimizations (i.e., for specifications for junctions 2 and 3). In Table VI, the total CPU time for model development and junction optimization using the pole-residue-based neuro-TF approach is 0.72 hours versus 7.7 hours for direct EM optimization. The more we re-use the pole-residue-based neuro-TF model, the more time will be saved. B. Parametric Modeling of an Ultra-Wideband Antenna In this example, we illustrate the proposed technique using an ultra-wideband (UWB) antenna, as shown in Fig. 9, where

69

Fig. 8. Magnitude in decibels of , and of CST EM data at , (b) , and (c) , for three different sets of design (a) specifications of the junction example. As shown in the figure, the proposed pole-residue-based neuro-TF model behaves well in design optimization with different specifications.

TABLE VI CPU TIME OF OPTIMIZATIONS FOR THE JUNCTION EXAMPLE

is the radius of the two large pads. is the distance between the two large pads. is the thickness of the substrate. is the gap between the small pad and the large pad which are both connected to the port. is the radius of the small pad. The model has five input geometrical variables, i.e., , as defined in the structure of the UWB antenna example, shown in Fig. 10. Frequency is an additional input.

70

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE VII OF TRAINING AND THE UWB ANTENNA

DEFINITION

TESTING DATA EXAMPLE

FOR

Fig. 9. Geometrical parameters of the UWB antenna and the 3-D configuration for EM simulation. A parametric model w.r.t. these five geometrical parameters is to be developed.

Fig. 10. Structure of the pole-residue-based neuro-TF model for the UWB antenna example.

The model has two outputs, i.e., , which are the real and imaginary parts of . The CST Studio Suite 2014 software is used to perform the full-wave EM simulation. A parallel computation method with 6 cores is used in data generation. Design of experiments (DOE) method is used as sampling method. The proposed modeling method is applied to three different cases, i.e., Case 1 with a narrower parameter range, Case 2 and Case 3 with a wider parameter range, as defined in Table VII. The frequency range for Case 1 and Case 2 is 0–15 GHz, while the frequency range for Case 3 is 0–30 GHz. In Case 1, the minimum orders of transfer functions vary slightly from 20 to 22, which results and among different samples of geometrical parameters, where the total number of geometrical samples is . In Case 2, the minimum orders of transfer functions vary from 20 to 24, which results and among different samples of geometrical parameters. In Case 3, the minimum orders of transfer functions vary from 32 to 36, which results and among different samples of geometrical parameters. In all the three cases, nine levels of DOE are used for defining samples of the training data, i.e., a total of 81 samples of training data, while seven levels of DOE are used for the testing data, i.e., a total of 49 samples of testing data. The ranges of training data and testing data are defined in Table VII. For Cases 1 and 2, the total time for training data generation (for 81 samples of data) is about 2.2 hours, while the total time for testing data generation (for 49 samples of data) is about 1.2 hours. The overall model training time for

Case 2 is 23.4 minutes, including parameter extraction, preliminary training and refinement training. For Case 3, the total time for training and testing data generation is about 3.8 hours and 2.1 hours, respectively. For all the three cases, we scale and shift the frequency range to 0.9 GHz-1.1 GHz. The NeuroModelerPlus software is used for training the pole-residue-based neuro-TF model. The average training error for the three cases are shown in Table VII. For comparison purpose, we also apply brute-force pole-residue-based neuro-TF modeling method which directly uses the maximum orders for all the samples of geometrical parameters and the recent bilinear rational neuro-TF modeling method with order-changing technique [24]for the three cases. Table VIII compares the model training and testing errors using these various modeling methods. In Case 1, all methods obtain comparatively small training and testing errors since the geometrical parameters vary within a small range. In Case 2, the geometrical parameters vary within a large range and the orders of transfer function have large variations. Both the brute-force pole-residue-based neuro-TF modeling method and the bilinear rational neuro-TF modeling method have high testing errors. The overall model training time is 54.1 minutes for brute-force pole-residue-based neuro-TF modeling method using 40 hidden neurons and 43.8 minutes for bilinear rational neuro-TF modeling method using 30 hidden neurons. Our proposed method can achieve better accuracy in testing than the two other methods in the comparison. Fig. 11 shows the outputs of the proposed pole-residue-based neuro-TF model for three different test geometrical samples of the UWB antenna, trained using data in Case 2, i.e., test geometrical samples #1, #2, and #3, and its comparison with model response using different methods and EM data. The geometrical variables for three samples of UWB antennas are as follows. Test geometrical sample (mm) Test geometrical sample (mm) Test geometrical sample (mm)

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

71

TABLE VIII OF DIFFERENT MODELING METHODS FOR THE UWB ANTENNA EXAMPLE

COMPARISONS

Fig. 11. Comparison of the magnitude in decibels of of the models developed using different modeling methods and CST EM data: (a) test geometrical sample #1, (b) test geometrical sample #2, and (c) test geometrical sample #3 for the UWB antenna example. As shown in the figure the proposed pole-residuebased neuro-TF model matches well with CST EM data even though the testing geometrical samples in the figures are never used in training.

It is observed that our model can achieve good accuracy for different geometrical samples even though these samples are never used in training. In Case 3, we further increase the frequency range to 0–30 GHz, leading to high order transfer functions. Case 3 uses the same sets of training and testing geometrical samples as Case 2. Fig. 12 shows the outputs of the proposed model for the above three test geometrical samples, trained using data with wider frequency range in Case 3, and its comparison with model response using different methods and EM data. We can implement the trained model into the design optimization, after the pole-residue-based neuro-TF model training is completed. As an example of using the trained model for UWB antenna optimization, we perform design optimization of three separate UWB antennas with different design specifications: Specifications for antenna dB at frequency range of 2.7 GHz-10.6 GHz. Specifications for antenna dB at frequency range of 2.7 GHz-10.9 GHz.

Specifications for antenna dB at frequency range of 2.7 GHz-11.3 GHz. The initial values are (mm). The design optimization using the pole-residue-based neuro-TF model took only about 20 seconds to achieve the optimal design solution for the each UWB antenna. The optimized geometrical values for the three separate UWB antenna optimizations are: (mm) (mm) (mm) The CST full-wave EM simulations at the model optimal solutions are shown in Fig. 13. For comparison purpose, we also

72

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 12. Comparison of the magnitude of of the models developed using different modeling methods and CST EM data in a wider frequency range of the UWB antenna example: (a) test geometrical sample #1, (b) test geometrical sample #2, and (c) test geometrical sample #3. As shown in the figure the proposed pole-residue-based neuro-TF model matches well with CST EM data even for responses with much higher order of the transfer function.

use CST to perform direct EM optimization of the UWB antenna with the same initial value and same design specifications for the three UWB antennas described above. The comparison is illustrated in Table IX. For pole-residue-based neuro-TF optimization, the model development time is 3.9 hours including EM data generation and model training. Once the model is trained, it can be re-used again and again for additional optimizations (i.e., for specifications for antennas 2 and 3). In Table IX, the total CPU time for model development and UWB antenna optimization using the pole-residue-based neuro-TF approach is 3.92 hours versus 35.2 hours for direct EM optimization. C. Parametric Modeling of a Coupled-Line Filter In this example, we illustrate the proposed method for a coupled-line filter [5], as shown in Fig. 14, where and are the spacing between the microstrip lines. , and are

Fig. 13. Magnitude in decibels of of CST EM data at (a) , , and (c) , for three different sets of design specifica(b) tions of the UWB antenna example. As shown in the figure, the proposed pole-residue-based neuro-TF model behaves well in design optimization with different specifications.

TABLE IX CPU TIME OF OPTIMIZATIONS FOR THE UWB ANTENNA EXAMPLE

the offset distances from the ends of each lines to the corresponding fringes, respectively. The CST Studio Suite 2014 software is used to perform the full-wave EM simulation and generate training and testing data for modeling. This model has five input geometrical variables, i.e., , as defined in the structure of the filter example, shown in Fig. 15. Frequency is an additional input.

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

73

TABLE X OF TRAINING AND TESTING DATA FOR THE COUPLED-LINE FILTER EXAMPLE

DEFINITION

Fig. 14. Geometrical parameters of the coupled-line filter and the 3-D configuration for EM simulation. A parametric model w.r.t. these five geometrical parameters is to be developed.

Fig. 15. Structure of the pole-residue-based neuro-TF model for the coupledline filter example.

The model has two outputs, i.e., , which are the real and imaginary parts of . The proposed modeling method is applied to two different cases, i.e., Case 1 with a narrower parameter range and Case 2 with a wider parameter range as defined in Table X. In Case 1, the minimum orders of transfer functions vary slightly from eight to ten, which results and among different samples of geometrical parameters, where the total number of geometrical samples is . In Case 2, the minimum orders of transfer functions vary from eight to twelve, which results and among different samples of geometrical parameters. In both cases, nine levels of DOE are used for defining samples of the training data, i.e., a total of 81 samples of training data, while seven levels of DOE are used for the testing data, i.e., a total of 49 samples of testing data. The ranges of training data and testing data are defined in Table X. The total time for training data generation (for 81 samples of data) is about one hour, while the total time for testing data generation (for 49 samples of data) is about 0.6 hours. The original frequency range is 2 GHz-3 GHz. We scale and shift the frequency range to 0.9 GHz-1.1 GHz. The overall model training takes 14.2 minutes for Case 2. The Q factor for this Coupled-Line Filter is around 420 for different geometrical samples, calculated using the EM simulator. After the modeling process, the average training error is 0.687%, while the average testing error is 0.954%.

For comparison purpose, we also apply brute-force pole-residue-based neuro-TF modeling method which directly uses the maximum orders for all the samples of geometrical parameters and the recent bilinear rational neuro-TF modeling method with order-changing technique [24]for the two cases. Table XI compares these various modeling methods. In Case 1, since the geometrical parameters vary within a small range and the orders of transfer function change slightly, all methods obtain comparatively small training and testing errors. In Case 2, when the geometrical parameters vary within a wider range and the orders of transfer function have larger variations, The brute-force pole-residue-based neuro-TF modeling method and the bilinear rational neuro-TF modeling method has a high testing error. The overall model training takes 32.6 minutes and 28.3 minutes for the brute-force pole-residue-based method and the bilinear rational method, respectively. Our proposed method can achieve better accuracy in testing than the two other methods in the comparison. Fig. 16 shows the outputs of the proposed pole-residue-based neuro-TF model for three different test geometrical samples of filters, i.e., test geometrical samples #1, #2, and #3, and its comparison with model response using different methods and EM data. The geometrical variables for three samples of filters are as follows. Test geometrical sample #1: (mm) Test geometrical sample #2: (mm) Test geometrical sample #3: (mm) It is observed that our model can achieve good accuracy for different geometrical samples even though these samples are never used in training. As another case to demonstrate the proposed technique, we further change the metal of the microstrip lines into perfect electric conductor (PEC), increasing the Q factor for this CoupledLine Filter to around 580 for different geometrical samples. The same sets of geometrical samples as in Case 2 in Table X is used for generating training and testing data for training and validating the model of this higher Q filter. The slopes of S-parameters around pole frequencies for this higher Q filter are steeper than those of the original filter. After the modeling process, the average training error is 0.725%, while the average testing error is 0.917%. Fig. 17 shows the outputs of the proposed pole-residue-based neuro-TF model of the higher Q filter and

74

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE XI OF DIFFERENT MODELING METHODS FOR THE COUPLED-LINE FILTER EXAMPLE

COMPARISONS

Fig. 16. Comparison of the magnitude in decibels of of the models developed using different modeling methods and CST EM data: (a) test geometrical sample #1, (b) test geometrical sample #2, and (c) test geometrical sample #3 for the coupled-line filter example. As shown in the figure the proposed poleresidue-based neuro-TF model matches well with CST EM data even though the testing geometrical samples used in the figures are never used in training.

The initial values are (mm). We perform design optimizations of the coupled-line filter using the pole-residue-based neuro-TF model. The optimization took only about 20 seconds to achieve optimal solution for the each filter. The optimized geometrical values for the three separate filter solutions are: (mm)

original filter responses for the three test geometrical samples of filters defined above, and their comparisons with EM data. It is observed that similar training and testing error are achieved for both higher Q filter and original filter. By utilizing pole-residue-based transfer functions as part of the neuro-TF model, this technique can model examples for low Q or high Q response. Once the pole-residue-based neuro-TF model training is completed, we can implement the trained model into the design optimization where the geometrical parameters can be repetitively adjusted during optimization. As an example of using the trained model for filter optimization, we perform optimization of three separate filters with different design specifications: Specifications for filter #1: dB at frequency range of 2.3 GHz–2.54 GHz. Specifications for filter #2: dB at frequency range of 2.32 GHz–2.56 GHz. Specifications for filter #3: dB at frequency range of 2.34 GHz–2.58 GHz.

(mm) (mm) The CST full-wave EM simulations at the model optimal solutions are shown in Fig. 18. For comparison purpose, we also use CST to perform direct EM optimization of the filter with the same initial value and same design specifications for the three filters described above. The comparison is illustrated in Table XII. For pole-residue-based neuro-TF optimization, the model development time is 1.93 hours including EM data generation and model training. Once the model is trained, it can be re-used again and again for additional optimizations (i.e., for specifications for filters 2 and 3). In Table XII, the total CPU time for model development and filter optimization using the pole-residue-based neuro-TF approach is 1.95 hours versus 22.7 hours for direct EM optimization. The more we re-use the pole-residue-based neuro-TF model, the more time will be saved.

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

75

Fig. 17. Comparison of the magnitude of of the proposed model of the higher Q filter and original filter responses and EM data for the coupled-line filter example: (a) test geometrical sample #1, (b) test geometrical sample #2, and (c) test geometrical sample #3. As shown in the figure the proposed poleresidue-based neuro-TF model matches the EM data of both higher Q and original filter response well.

Fig. 18. Magnitude in decibels of of CST EM data at (a) #1, #2, and (c) #3, for three different sets of design specifications (b) of the coupled-line filter example. As shown in the figure, the proposed pole-residue-based neuro-TF model behaves well in design optimization with different specifications.

IV. CONCLUSION

TABLE XII CPU TIME OF OPTIMIZATIONS FOR THE COUPLED-LINE FILTER EXAMPLE

In this paper, a new approach to parametric modeling of EM behavior of microwave components using combined neural networks and pole-residue-based transfer function has been proposed. In the proposed method, a novel pole-residue tracking technique has been developed for pole-residue-based transfer function to deal with the problem of changing orders when geometrical parameters undergo large variations. With high dimension of geometrical parameter space and large geometrical variations, the model obtained by the proposed method is more accurate and robust than that obtained by the existing orderchanging method using rational transfer function formulation. The proposed method can also obtain good modeling accuracy even when the orders of the models are high. Once trained, the pole-residue-based neuro-TF model developed by the proposed method provides fast and accurate prediction of the EM response w.r.t the geometrical variables. The developed poleresidue-based neuro-TF models can be also used for high-level optimization with geometrical parameters as design variables.

APPENDIX We develop the frequency scaling and shifting method so that the transfer function of a system with real poles can be represented, after scaling and shifting, by a transfer function with complex poles within a given range of frequency. The original frequency range is scaled and shifted to a new frequency range

76

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

which is narrow with the center frequency point relatively far away from the zero frequency, defined as, (16) where is a positive constant, and , and has a large value relative to , i.e., . Thus, the scaled and shifted frequency is close to , i.e., . A real pole can be changed into a complex pole by frequency scaling and shifting. As an example, suppose that a transfer function has one real pole/residue, with the pole value and the residue value , (17) We apply the frequency scaling and shifting of (16) to (17). The scaled and shifted transfer function is represented as, (18) becomes a complex pole We notice that the real pole in in , while . Therefore, after frequency scaling and shifting, real poles become complex poles. The poles are the roots of the denominator of the transfer function. Following complex conjugate root theorem, each complex root in a real system must have a complex conjugate root [28]. Here we demonstrate that the addition of a complex conjugate pole to the system, i.e., (19) does not cause significant change in value under the conditions of our choice of and defined following (16). The error between and is calculated as,

(20) where we have used the conditions that and are close to each other, and . Equation (20) shows that the error between and is much less than the value of , thus can be used to approximately represent . When vector fitting is applied to the frequency scaled and shifted data, optimized values of the complex conjugate pair of poles and residues will be produced by vector fitting, which will make the actual error even smaller. ACKNOWLEDGMENT The authors thank Dr. Peter Thoma, Dr. S. Reitzinger, and F. Mosler, of Computer Simulation Technology (CST) AG, Darmstadt, Germany, for collaboration and technical inputs to

this project, and for providing CST Microwave Studio for this research. REFERENCES [1] J. E. Rayas-Sanchez, “EM-based optimization of microwave circuits using artificial neural networks: The state-of-the-art,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 420–435, Jan. 2004. [2] V. Rizzoli, A. Costanzo, D. Masotti, A. Lipparini, and F. Mastri, “Computer-aided optimization of nonlinear microwave circuits with the aid of electromagnetic simulation,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 362–377, Jan. 2004. [3] M. B. Steer, J. W. Bandler, and C. M. Snowden, “Computer-aided design of RF and microwave circuits and systems,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 996–1005, Mar. 2002. [4] P. Burrascano, S. Fiori, and M. Mongiardo, “A review of artificial neural networks applications in microwave computer-aided design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, no. 3, pp. 158–174, May 1999. [5] S. A. Sadrossadat, Y. Cao, and Q. J. Zhang, “Parametric modeling of microwave passive components using sensitivity-analysis-based adjoint neural-network technique,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1733–1747, May 2013. [6] Q. J. Zhang and K. C. Gupta, Neural Networks for RF and Microwave Design. Norwood, MA: Artech House, 2000. [7] V. K. Devabhaktuni, B. Chattaraj, M. C. E. Yagoub, and Q. J. Zhang, “Advanced microwave modeling framework exploiting automatic model generation, knowledge neural networks, and space mapping,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 7, pp. 1822–1833, Jul. 2003. [8] J. W. Bandler, M. A. Ismail, J. E. Rayas-Sanchez, and Q. J. Zhang, “Neuromodeling of microwave circuits exploiting space-mapping technology,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 12, pp. 2417–2427, Dec. 1999. [9] J. E. Rayas-Sanchez and V. Gutierrez-Ayala, “EM-based Monte Carlo analysis and yield prediction of microwave circuits using linear-input neural-output space mapping,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4528–4537, Dec. 2006. [10] Y. Cao and G. Wang, “A wideband and scalable model of spiral inductors using space-mapping neural network,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2473–2480, Dec. 2007. [11] H. Kabir, L. Zhang, M. Yu, P. H. Aaen, J. Wood, and Q. J. Zhang, “Smart modeling of microwave device,” IEEE Microw. Mag., vol. 11, no. 3, pp. 105–118, May 2010. [12] S. Koziel, J. W. Bandler, and Q. S. Cheng, “Constrained parameter extraction for microwave design optimisation using implicit space mapping,” IET Microw. Antennas Propag., vol. 5, no. 10, pp. 1156–1163, July 2011. [13] S. Koziel, J. W. Bandler, and K. Madsen, “Space mapping with adaptive response correction for microwave design optimization,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 2, pp. 478–486, Feb. 2009. [14] R. B. Ayed, J. Gong, S. Brisset, F. Gillon, and P. Brochet, “Three-level output space mapping strategy for electromagnetic design optimization,” IEEE Trans. Magn., vol. 48, no. 2, pp. 671–674, Feb. 2012. [15] L. Zhang, J. Xu, M. C. E. Yagoub, R. Ding, and Q. J. Zhang, “Efficient analytical formulation and sensitivity analysis of neuro-space mapping for nonlinear microwave device modeling,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 2752–2767, Sept. 2005. [16] D. Gorissen, L. Zhang, Q. J. Zhang, and T. Dhaene, “Evolutionary neuro-space mapping technique for modeling of nonlinear microwave devices,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 213–229, Feb. 2011. [17] S. Koziel, J. W. Bandler, and K. Madsen, “A space mapping framework for engineering optimization: Theory and implementation,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3721–3730, Oct. 2006. [18] S. Koziel, J. W. Bandler, and Q. S. Cheng, “Tuning space mapping design framework exploiting reduced electromagnetic models,” IET Microw. Antennas Propag., vol. 5, no. 10, pp. 1219–1226, July 2011. [19] L. Zhang, P. H. Aaen, and J. Wood, “Portable space mapping for efficient statistical modeling of passive components,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 441–450, Mar. 2012. [20] S. Koziel, S. Ogurtsov, J. W. Bandler, and Q. S. Cheng, “Reliable space-mapping optimization integrated with EM-based adjoint sensitivities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3493–3502, Oct. 2013.

FENG et al.: PARAMETRIC MODELING OF EM BEHAVIOR OF MICROWAVE COMPONENTS USING COMBINED NEURAL NETWORKS

[21] F. Feng, C. Zhang, V. M. R. Gongal-Reddy, Q. J. Zhang, and J. Ma, “Parallel space-mapping approach to EM optimization,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1135–1148, Apr. 2014. [22] X. Ding, V. K. Devabhaktuni, B. Chattaraj, M. C. E. Yagoub, M. Deo, J. Xu, and Q. J. Zhang, “Neural-network approaches to electromagnetic based modeling of passive components and their applications to high frequency and high-speed nonlinear circuit optimization,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 436–449, Jan. 2004. [23] V. M. R. Gongal-Reddy, F. Feng, and Q. J. Zhang, “Parametric modeling of millimeter-wave passive components using combined neural networks and transfer functions,” in Proc. Global Symposium on Millimeter Waves (GSMM), Montreal, QC, Canada, May 2015, pp. 1–3. [24] Y. Cao, G. Wang, and Q. J. Zhang, “A new training approach for parametric modeling of microwave passive components using combined neural networks and transfer functions,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 11, pp. 2727–2742, Nov. 2009. [25] Z. Guo, J. Gao, Y. Cao, and Q. J. Zhang, “Passivity enforcement for passive component modeling subject to variations of geometrical parameters using neural networks,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [26] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [27] S. R. Schmidt and R. G. Launsby, “Understanding Industrial Designed Experiments,”. Colorado Springs, CO, USA, Air Force Academy, 1992. [28] V. V. Prasolov, Polynomials. Berlin, Germany: Springer-Verlag, 2010.

Feng Feng (S’13) was born February 7, 1990, in Huludao, Liaoning, China. He received the B.Eng. degree in Tianjin University, Tianjin, China, in 2012. He is currently pursuing the Ph.D. degree in the School of Electronic Information Engineering at Tianjin University, Tianjin, China. He is also in a cotutelle Ph.D. program of the Department of Electronics at Carleton University, Ottawa, ON, Canada. His research interests include microwave circuit design and modeling, optimization theory and algorithms, space mapping and surrogate model optimization, and EM field simulation and optimization.

Chao Zhang (S’14) was born June 2, 1990, in Xinyang, Henan, China. From February 2010 to June 2010, he was an exchange student in National Taipei University of Technology, Taipei, Taiwan. He received the B.Eng. and M.Eng. degree in Tianjin University, Tianjin, China, in 2012 and 2014, respectively. He is currently pursuing the Ph.D. degree in the Department of Electronics, Carleton University, Ottawa, Canada. His research interests include electromagnetic simulation and optimization techniques, design and optimization of microwave circuits, space mapping, and surrogate model optimization.

77

Jianguo Ma (M’96–SM’97–F’16) received the B.Sc. and M.Sc. degrees from Lanzhou University, Lanzhou, China, in 1982 and 1988, respectively, and the Doctorate degree in engineering from Duisburg University, Duisburg, Germany in 1996. He was a Postdoctoral Fellow at the Technical University of Nova Scotia (TUNS), Halifax, NS, Canada, from April 1996 to September 1997. He was a Faculty Member with Nanyang Technological University (NTU), Singapore, from October 1997 to November 2005, where he was also the Founding Director of the Center for Integrated Circuits and Systems. From December 2005 to October 2009, he was with University of Electronic Science and Technology of China (UESTC), Chengdu, China. He is currently the Technical Director for Tianjin IC Design Center since November 2008 concurrently, and since October 2009 he serves as the Dean for the School of Electronic Information Engineering, Tianjin University. He has published about 245 technical papers, six U.S. patents granted and 15 filed/granted China patents, and two books. His research interests include RFICs and RF integrated systems for wireless, RF device characterization modeling, MMIC, RF/microwave circuits and Systems, EMI in wireless, RFID and wireless sensing network. Dr. Ma served as the Associate Editor of IEEE MICROWAVE AND COMPONENTS LETTERS from January 2004 to December 2005. He is now serving as the Member of the Editorial Board for the PROCEEDINGS OF IEEE. He was the recipient of the prestigious Changjiang (Yangtze) Scholar Award by the Ministry of Education of China in 2007; he was also the Distinguished Young Investigator Awarded by National Natural Science Foundation of China in 2006.

Qi-Jun Zhang (S’84–M’87–SM’95–F’06) received the B.Eng. degree from the Nanjing University of Science and Technology, Nanjing, China, in 1982, and the Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1987. From 1982 to 1983, he was with the System Engineering Institute, Tianjin University, Tianjin, China. From 1988 to 1990, he was with Optimization Systems Associates (OSA) Inc., Dundas, ON, Canada, where he developed advanced microwave optimization software. In 1990, he joined the Department of Electronics, Carleton University, Ottawa, ON, Canada, where he is currently a Full Professor. On leave from Carleton University, he has also been with School of Electronic Information Engineering, Tianjin University, Tianjin, China. He has authored or coauthored over 260 publications. He authored Neural Networks for RF and Microwave Design (Artech House, 2000), coedited Modeling and Simulation of High-Speed VLSI Interconnects (Kluwer, 1994), and contributed to the Encyclopedia of RF and Microwave Engineering (Wiley, 2005), Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave Design (Artech House, 2005), and Analog Methods for Computer-Aided Analysis and Diagnosis (Marcel Dekker, 1988). He was a Guest Co-Editor for the Special Issue on High-Speed VLSI Interconnects for the International Journal of Analog Integrated Circuits and Signal Processing (Kluwer, 1994), and twice was a Guest Editor for the Special Issue on Applications of ANN to RF and Microwave Design for the International Journal of RF and Microwave Computer-Aided Engineering (Wiley, 1999 and 2002). He is an Associate Editor for the International Journal of RF and Microwave Computer-Aided Engineering. His research interests are microwave CAD, neural-network and optimization methods for high-speed/high-frequency circuit design. Dr. Zhang is a Fellow of the Electromagnetics Academy, and a Fellow of the Canadian Academy of Engineering. He is a member on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is a member of the Technical Committee on CAD (MTT-1) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Tests of Sapphire Crystals Manufactured With Different Growth Processes for Ultra-stable Microwave Oscillators Vincent Giordano, Christophe Fluhr, Serge Grop, and Benoît Dubois

Abstract—We present the characterization of 8–12 GHz whispering gallery mode resonators machined in high-quality sapphire crystals manufactured with different growth techniques. These microwave resonators are intended to constitute the frequency reference of ultra-stable Cryogenic Sapphire Oscillators. We conducted systematic tests near 4 K to determine the unloaded Q-factor and the turnover temperature for whispering gallery modes in the 8–12 GHz frequency range. We have shown that high quality sapphire crystals manufactured with the Heat Exchange or the Kyropoulos growth technique are both suitable to meet a fractional frequency stability better than for 1 s to 10,000 s integration times. Index Terms—Dielectric losses, dielectric materials, microwave oscillators.

I. INTRODUCTION

S

TATE-OF-THE-ART ultra-stable microwave oscillators are currently based on a whispering gallery mode sapphire resonator operated in the range 8–12 GHz. For room temperature oscillators, the best phase noise over 1 Hz to 1 kHz Fourier frequencies is achieved with a sapphire resonator oscillator incorporating a sophisticated electronic circuit degenerating the noise of the sustaining oscillator stage [1]. On the other hand, the Cryogenic Sapphire Oscillator (CSO), in which the sapphire resonator is cooled near 6 K, provides a fractional frequency stability better than for integration times lower than 10,000 s [2], [3]. The recent demonstration of a low maintenance CSO based on a pulse-tube cryocooler paves the way for its deployment in real field applications [4]–[6]. Such oscillators are required for the operation of laser-cooled atomic clocks [7]. The CSO can provide the means to improve the resolution of space vehicles ranging and Doppler tracking

Manuscript received April 13, 2015; revised June 15, 2015, October 18, 2015, and October 30, 2015; accepted November 14, 2015. This work has been realized in the frame of the ANR projects: Equipex Oscillator-Imp and Emergence ULISS-2G. This work was supported in part by the Council of the Région de Franche-Comté to the Projets d'Investissements d'Avenir and in part by the the FEDER (Fonds Européen de Développement Economique et Régional) for one CSO. V. Giordano, C. Fluhr, and S. Grop are with the Institute FEMTO-ST (Franche-Comté Electronique, Mécanique, Thermique et Optique—Sciences et Technologies), CNRS (Centre National de la Recherche Scientifique), 25000 Besançon, France (e-mail: [email protected]). B. Dubois is with the Center for Technological Development FEMTO Engineering, 25000 Besançon, France. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2503748

provided by Deep Space Networks as well as those of Very Long Baseline Interferometry (VLBI) Observatories [8]–[13]. The CSO can also enhance the calibration capability of Metrological Institutes or help the qualification of high performance clocks or oscillators [7], [14], [15]. The CSO exceptional performances result from the intrinsic properties of the resonator material. A high Q-factor requires a monocrystal with a low structural defects density. Typically Q-factors of are obtained for modes around 10 GHz with a high quality sapphire crystal cooled down to 4 K. However a pure sapphire crystal will not provide good frequency stability. Indeed the thermal compensation induced by accidental paramagnetic impurities that substitute to is essential for the achievement of the highest frequency stability. The main issue is that the impurities concentration giving a suitable turnover temperature between 5–8 K is very low (typically less than 1 ppm) and cannot be warranted by the manufacturer. To build a CSO the designer has no other choice than to buy expensive crystals without knowing if they are suitable for his application. A cryogenic test is the only way to reveal the temperature dependence of the resonator frequency and thus to fix the operating temperature. This paper presents a compilation of all the measurements we conducted on different sapphire crystals since the development of our first liquid-Helium cooled CSO [16]. We complete here our previous report on crystal characterizations presented in [17], adding recent results and giving a deeper analysis. In Section II, we clarify the characteristics of the sapphire crystal needed to reach a fractional frequency stability of . In Section III, we describe the different sapphire samples we tested. The preliminary measurements at room temperature are reported in Section IV. The low temperature characterizations are presented in Section V. Eventually, in Section VI, we demonstrate that state-of-the-art frequency stability can be obtained with several kinds of sapphire cristals. II. THE QUEST FOR A

FREQUENCY STABILITY

The CSO incorporates a cylindrical sapphire resonator as a frequency reference. The resonator axis is parallel to the crystal C-axis within 0.5 degree. It is placed in the center of a copper cavity that can be cooled down to 4 K. The whispering gallery modes of this structure are characterized by a high energy confinement in the sapphire cylinder due the total reflection at the vacuum-dielectric interface. The different resonators we designed operate on quasi-transverse magnetic whispering gallery

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

modes as where is the number of wavelengths in the resonator along the azimuth. To operate in the 8–12 GHz frequency range, the resonator diameter is –50 mm and its thickness –30 mm. If the azimuthal index is sufficiently high ( typically) the Q-factor can achieve at the liquid-He temperature. The CSO is a Pound-Galani oscillator [18]. In short, the resonator is used in transmission mode in a regular oscillator loop, and in reflection mode as the discriminator of the classical Pound servo [19]. The sustaining stage is placed at room temperature. The choice of the sustaining amplifier is not critical as the CSO short term frequency stability will be limited by the Pound discriminator noise [20]. The results presented in the last section have been obtained with a CSO sustaining stage based on two cascaded commercial GaAs FETs low noise amplifiers (small signal gain 30 dB, 1 dB compression point: 18 dBm). Only the second one is saturated and the open loop gain is about 3 dB. In an autonomous cryocooled CSO, the sapphire resonator is placed into a cryostat and in thermal contact with the second stage of a pulse-tube cryocooler delivering typically 0.5 W of cooling power at 4 K. The resonator temperature can be stabilized above 4 K at . Details on the design and on the techniques that have to be applied to get an ultimate frequency stability can be found in [9], [20]–[22]. Our goal is to provide an ultra-stable oscillator that meets the most stringent short-term frequency stability specifications, such as those for the Deep Space Network for satellites and space vehicles navigation. In this way, let us specify a short-term fractional frequency stability expressed in terms of Allan standard deviation as (1) The questions we wish to address are: i) what are the required resonator properties and ii) what type of sapphire material is suitable to meet this specification. A. Resonator Design and Adjustment As explained in [9], in our most advanced CSO, a , resonator is operated on the mode near 10 GHz. The input coupling coefficient has to be set near to unity to optimize the Pound discriminator. The output coupling coefficient should be low enough to avoid degrading the loaded Q-factor but high enough to stay compatible with the gain of the sustaining amplifier. Overall insertion losses through the cryostat of 30 dB or so is generally a good trade-off. With optimized coupling coefficients, i.e., and , the loaded Q-factor is half the unloaded one: . B. Short Term Frequency Instability: The Line Splitting Factor Noise in electronics is the main source of short-term instabilities. Without characterizing the exact nature of the oscillator individual noise sources, one would like to have a rough estimate of what the resonator bandwidth should be to achieve a given frequency stability. It is generally admitted that the attainable frequency stability at a given time interval (e.g., ) cannot be lower than a given fraction of the resonator bandwidth [23]. We thus define an empirical figure of merit, namely the

“Line Splitting Factor”, or , as the ratio of the frequency fluctuations averaged over of the generated signal divided by the resonator bandwidth. If is the signal frequency, the is (2) The provides a way of quantifying the overall effect of the noise associated with the oscillator electronics. Considering the best experimental results obtained to date [3], [20], [24], we assume , which is a conservative value. Thus, to get a stability of , the minimum resonator unloaded Q-factor is (3) C. Medium Term Frequency Limitation The resonator frequency is determined by its geometry and by the wave velocity inside the medium. These physical characteristics are in turn affected by the resonator temperature and the power of the injected signal. The resonator sensitivity to these environmental parameters limits the oscillator medium term frequency stability. 1) Thermal Sensitivity: For an ideal resonator made of pure sapphire, although the frequency thermal sensibility would decrease significantly with temperature, it will never be low enough to achieve the target stability with a state-of-the-art temperature controller. Fortunately, it turns out that high-purity sapphire crystals always contain a small concentration of paramagnetic impurities, as , , or . At low temperatures, these residual impurities induce a temperature dependant magnetic susceptibility. In certain circumstances this temperature dependance compensates the intrinsic sensitivity of the pure sapphire. Following the notation introduced by Mann [25], for a high-order whispering gallery mode the thermal dependence of the resonator frequency can be written as (4) would be the mode frequency at 0 K in a pure sapwhere phire resonator. combines the temperature dependence of the dielectric constant and the thermal expansion [26], [27]. is the real part of the susceptibility induced by the paramagnetic dopants. If the sapphire crystal contains a density of a paramagnetic ion characterized by its Electron Spin Resonance (ESR) frequency and a spin-to-spin relaxation time , is a dispersive Lorentzian function that nulls at [28] (5) The thermal dependence is contained in the dc-susceptibility , which results from the distribution of the ions among their energy levels through the effect of thermal agitation. Assuming the ion in the crystal lattice behaves like a free spin , is expected to follow the Curie law [28]

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIORDANO et al.: TESTS OF SAPPHIRE CRYSTALS MANUFACTURED WITH DIFFERENT GROWTH PROCESSES

3

TABLE I ESR OF THE IRON-GROUP PARAMAGNETIC IONS THAT CAN BE FOUND IN HIGH-PURITY SAPPHIRE CRYSTALS

(6) is the permeability of vacuum and the Boltzmann where constant. is the Landé factor, is the Bohr Magneton. To show the dependence of the susceptibility, let us introduce as (7) Equation (4) can be rewritten as (8) A thermal compensation can occur if the derivative of (8) nulls, which imposes , and thus , which means , i.e., the signal frequency is below the ESR frequency. In this case, the temperature at which the resonator thermal sensitivity nulls is (9) The ESR characteristics of ions commonly found in sapphire are given in Table I. We also reported the value of calculated from (9) for and for a mode at 10 GHz. The Landé -factor of the ion in sapphire is highly anisotropic. In a direction perpendicular to the crystal C-axis, we have: [29]. The thermal behavior of a quasi-transverse magnetique mode is only slightly impacted by the ion. It is clear that an impurity density such as is too large to get a turnover point below 8 K, which is required to optimize the cryogenerator operation and to keep a high Q-factor. being proportional to , the and concentrations should be very low as their ESR frequency is near 10 GHz. Fig. 1 shows the behavior of two modes at each side of the ESR. These frequency-to-temperature evolutions have been calculated using the previous equations and assuming a concentration of 10 ppb only. The mode at 10 GHz shows a turnover temperature . Around the mode frequency can be approximated by a quadratic function of the temperature. When the resonator is stabilized at , its frequency is no longer sensitive to temperature fluctuations. A high frequency stability can be achieved. Conversely the frequency of the mode is above the ESR frequency and no turnover can be observed, making it not suitable to build an ultra-stable oscillator.

Fig. 1. Calculated frequency-to-temperature evolution of the (10 (12 GHz) of a 54 30 mm sapphire resonator. Dashed GHz) and , Bold lines: with (10 ppb in weight). lines: pure

2) Power Sensitivity: The CSO sensitivity to the injected power results mainly from the thermal effect and from the radiation pressure, which becomes noticeable when the Q-factor is high. At a signal power higher than typically 100 , the resonator sensitivity is about [30], [31]. At lower powers this sensitivity is affected by the paramagnetic impurities for modes laying nearby or in the ESR bandwidth [24]. For a mode near 10 GHz there exists a value of the injected power specific to each resonator at which the mode sensitivity nulls to the first order. This effect greatly relaxes the specification on the signal power stabilization. D. Aging Besides pure fluctuations, the properties of the resonator, or an environmental parameter, may drift with time. For example, it has been observed that the mechanical stress induced during the resonator assembly might relax with a long time constant. Should the change in such a stress cause a corresponding change in the wave velocity of the resonator medium, the oscillator frequency will also drift with time [32]. Such phenomena will induce degradations in the CSO frequency stability over the longer term. This “aging” is generally characterized in the time domain by an Allan standard deviation increasing proportionally with the integration time . As illustrated in Fig. 2, two types of mounts have been tested. Some resonators have a protruding spindle that permits to attach the resonator without perturbing the effective volume in which the electromagnetic wave is confined. Some others are simply held in place by a brass screw passing through a 5 mm hole along their axis. In this last case the stress induced by the screw should affect the effective volume, and consequently a larger drift is expected. III. SAPPHIRE SAMPLES Since our earlier works more than 15 years ago, we have tested different crystals and geometries. Those that have been used for this comparison are listed in the Table II. Some of them are shown in Fig. 2. Many techniques are now used for sapphire bulk-crystal growth [33]. The Heat Exchange Method (HEM) has been commercially developed by the company Crystal Systems (Salem, MA, USA), now part of GT Advance Technology (USA).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

CHARACTERISTICS

TABLE II TESTED RESONATORS

OF THE

Fig. 3. Room temperature number.

factor as a function of the mode azimuthal

Fig. 2. Picture showing different types of sapphire resonators. Up-right, a HEMEX resonator with a spindle. The Bagdasarov crystal is not polished.

raw material used. The refractory metal in which the crucible is made can also contaminate the crystal.

HEM is known to produce high quality sapphire crystals with low dislocation and impurity densities. In the pioneering work at the University of Western Australia (UWA), the superior properties of the HEMEX grad sapphire microwave resonator were demonstrated [34]. Since then, all the CSOs that have been built in different laboratories around the world [35]–[38] incorporate an HEMEX sapphire resonator. The Kyropoulos technique is also able to produce large, high quality sapphire monocrystals. Nevertheless its use in a CSO has never been reported. Czochralski crystals are expected to have a higher defect density although Q-factors of about 1 billion at 4 K have been already reported [39]. We tested Kyropoulos and Czochralski crystals that have been manufactured by Precision Sapphire Technologies (PST- Lithuania). The last crystals we characterized are very preliminary Kyropoulos and Bagdasarov samples provided by the French technology institute Cristal Innov. These samples are smaller than the others and are not polished. The Bagdasarov method is similar to the Horizontal Bridgman technique, which is widely used to grow plates of large surface area. This method produces crystals with a moderate quality. The structural defect density will be affected by the growth rate and the thermal gradient experienced by the crystal during the process. It is thus expected that the Q-factor is dependent on the growth technology and on the skill of the manufacturer. The dopants content is certainly more impacted by the quality of the

IV. PRELIMINARY MEASUREMENTS AT ROOM TEMPERATURE Fig. 3 shows the room temperature product for some of the tested crystals. For all the 54 30 mm resonators the mode at 10 GHz presents consistent with other measurements [40]. The dotted line in Fig. 3 is the expected product assuming the sapphire dielectric losses are predominant and proportional to the signal frequency. Modes with low azimuthal index show extra radiation losses and thus are not limited by the resonator material. For all the tested crystals present a room temperature unloaded Q-factor limited by the sapphire dielectric losses: no impact of the growth method has been observed at 300 K. V. LOW TEMPERATURE MEASUREMENTS A. Q-Factor The resonators were mounted inside a copper cavity designed mode for a 54 30 mm resonator operating on the at 10 GHz. This assembly is cooled down to 4 K. Fig. 4 shows the cavity mounted in the cryostat. The resonator unloaded Q-factor is determined from the measurements made with a Vector Network Analyzer (V.N.A.). The power injected into the resonator is always below 50 to avoid any saturation of the paramagnetic ions.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIORDANO et al.: TESTS OF SAPPHIRE CRYSTALS MANUFACTURED WITH DIFFERENT GROWTH PROCESSES

Fig. 4. Cavity mounted inside the cryostat. The microwave components placed around the resonator are needed for the Pound-Galani oscillator.

5

• Structural resonator defects (dislocations, inclusions, paramagnetic impurities, ) In fact once the operating mode has been chosen for a given resonator, the cavity has to be designed to limit the spurious modes nearby. Moreover the geometrical imperfections lift the mode degeneracy of the cylindrical resonator. Each resonance splits in two twin modes [41]. For all tested crystals the whispering gallery mode splitting is about 1 to 10 kHz. The mode splitting is thus greater than the width of the resonance line. Nevertheless the coupling factors of the twin modes obtained at low temperature are hardly predictable and have to be adjusted to favor only one resonance. This fine tuning requires multiple cool down cycles and ideally a careful cleaning at each run. These time consuming procedures have not been strictly followed for all the measurements presented here. Thus this is only for few of them, i.e., those that were used to build an optimized oscillator, that the measured Q-factor can be considered as approaching the true material limitation. For the others and following our experience we expect that after careful optimization and cleaning the Q-factor value can gain up to 20% of its preliminary value. The HEMEX resonator shows superior performances reaching billions for the operating mode at 10 GHz. is achieved for some modes of the Czochralski and Kyropoulos resonators from PST. These crystals are thus suitable to meet the short-term frequency stability of . The cavity geometry is not adapted for the resonators provided by Cristal Innov. For these crystals the spurious mode density was too high to find high-order whispering gallery modes. Here we only report the modes (12.87 GHz) and (13.86 GHz) we observed in the Bagdasarov resonator, which obviously presents detrimental radiation losses. Further experimental investigations have to be conducted on these crystals to determine the actual material losses. B. Turnover Temperature

Fig. 5. Whispering gallery mode unloaded Q-factor at 4 K.

Fig. 5 shows the unloaded Q-factors measured at 4 K. For high azimuthal numbers, the unloaded Q-factor is ultimately limited by the resonator material dielectric losses. However, at low temperatures, it can be affected by some other detrimental effects. • Cavity wall losses due to non-optimized geometry • Residual contamination of the resonator surface • Extra-losses induced by nearby spurious modes • Extra-losses due to the coupling probes

To determine the turnover temperature, the resonator temperature set-point is increased step by step. At each step and after waiting for the system stabilization the modes resonance frequencies are measured with the V.N.A. referenced to a Hydrogen Maser to ensure long term stability. For all the samples the V.N.A. output power was set to 100 . The cable losses, including the room temperature cable liking the cryostat input to the V.N.A., are typically 6–8 dB. Thus the power injected in the resonator is no more than 25 . We assume that in this setting the turnover temperature is nearly insensitive to the power. Eventually for each mode presenting a turnover the collected data are fitted with a second order polynomial to calculate . Fig. 6 gives the turnover temperature as a function of the mode frequency for all the crystals we tested. Fig. 7 presents the experimental frequency-to-temperature dependences for the HEM-CS-2007 resonator and for modes placed on each sides of the and ESR frequencies. The simulated behaviors are reported in bold lines. Equation (4) was used to compute , the susceptibility being the summation of the contribution of all ionic species. As explained in [24], the individual dc-susceptibilities have been evaluated with the Van Vleck equation [42], [43].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Turnover temperature as a function of the mode frequency.

Fig. 8. Two CSOs in test at the FEMTO-ST Institute.

Fig. 7. HEM-CS-2007 resonator frequency-to-temperature for the modes , 19, 20 and 21. Open circles: experimental data. Bold lines: , 3 ppb of and 3 simulated thermal behaviors assuming 150 ppb of . The origin of the frequency offset has been arbitrarly chosen. ppb of

Indeed the Curie law has been derived for a free system of spin , which consists in levels equally spaced. In a real crystal, the ground state is split by the crystal field in multiple degenerated Kramers doublets separated by a Zero Field Splitting. Strictly speaking, the dc-susceptibility should therfore be calculated by using the Van Vleck equation. Nevertheless the Curie law deserves to be introduced as it explicitely shows the dependence of the susceptibility. Moreover the difference in the values calculated with the two models is less than 20% in the temperature range of interest. In old samples provided in the 90s (HEM-CS-1995), all whispering gallery modes in a large frequency range present a turnover temperature almost independent of the mode order (see Fig. 6). Luiten [26] demonstrated that it is due to the predominance of the ion, whose ESR frequency is 165 GHz. The spread in turnover temperatures observed for modes with could result from or/and residuals. The concentration of these residuals should be very low as the turnover temperature imposed by the ions is not greatly affected.

In most recent HEMEX crystals, the relative concentrations of and are higher. Starting from the lowest frequencies, the turnover temperature increases as the mode approaches the ESR. HEM-CS-2007 resonators keep a turnover temperature between and but not for the at 12.24 GHz just above the ESR. The mode at 12.80 GHz recovers a turning point but at a lower temperature, i.e., 4.6 K. For the HEM-CS-2007 resonators the impact of the and ESR are clearly visible: follows a dispersive-like curve resulting from the summation of the two dispersive Lorentzians centered on and . In HEM-CS-2012, the density seems to be higher as no turnover is observed between and . For the Kyropoulos resonator (KY-PST-2013) all the modes between 8 and 13 GHz present a turnover temperature near 6 K apart from the (11.72 GHz) mode, for which . The behavior of this resonator is very close to those of the HEM resonators, certainly with a lower density. The Czochralski crystal shows turnover temperatures only for the WG modes below the ESR, indicating that this ion is the predominant paramagnetic impurity. VI. CSO FREQUENCY STABILITY Until now, due to its superior properties the HEMEX crystal was always chosen in the realization of an ultra stable microwave cryogenic oscillator. The previous results show that the Kyropoulos crystal can also constitute a good material for a cryogenic resonator. We thus incorporated the KY-PST-2013 resonator in one of our CSO. Its fractional frequency stability has been determined by beating its output with another CSO equipped with a HEMEX grad resonator. Fig. 8 shows two CSOs running in the laboratory. The resulting Allan standard deviation is given the Fig. 9. The apparent flicker floor obtained with the Kyropoulos resonator is whereas it was with an optimized HEMEX CSO [24]. Such a difference can be attributed to the lower Q-factor of the Kyropoulos resonator. As expected

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GIORDANO et al.: TESTS OF SAPPHIRE CRYSTALS MANUFACTURED WITH DIFFERENT GROWTH PROCESSES

Fig. 9. Allan standard deviation calculated from the beatnote between two 10 GHz CSOs. Black bullets: one of the CSOs is equiped with a Kyropoulos crystal from PST, the other one incorporates an HEMEX sapphire crystal. Bold line: the best stability we obtained with a HEMEX resonator [24]. Dashed line: instrument noise floor.

the long term drift of Kyropoulos CSO is higher than those observed with the HEMEX CSO. As already mentioned, the Kyropoulos crystal is simply maintained by a brass screw passing through the hole along its axis. The resulting stress induced into the effective resonator volume relaxes with time, leading to a drift 10 times larger than the drift observed when the resonator is equipped with a spindle. VII. CONCLUSION We tested sapphire crystals manufactured with different growth methods in order to determine which type is suitable to build an ultra-stable cryogenic oscillator. At room temperature the Q-factor of all the tested crystals is limited by the sapphire loss tangent, i.e., at 10 GHz. At low temperatures the HEMEX and the Kyropoulos resonators both present a Q-factor higher than and a turnover temperature compatible with an operation in a cryocooler. These resonators have been used as the frequency determining element of a cryogenic oscillator and a fractional frequency stability better than at short term has been obtained in both cases. Furthermore the analysis of the modes thermal behavior between 4 K and 12 K allows us to approximately determine the impurities contents of each crystal. REFERENCES [1] E. N. Ivanov, M. E. Tobar, and R. A. Woode, “Microwave interferometry: Application to precision measurements and noise reduction techniques,” IEEE Trans. Ultrason., Ferroelect. Freq. Contr., vol. 45, no. 6, pp. 1526–1535, Nov. 1998. [2] S. Grop, B. Dubois, J.-L. Masson, G. Haye, P.-Y. Bourgeois, and Y. Kersalé et al., “Direct comparison of two cryocooled sapphire oscillevel,” in lators presenting relative frequency instability at the Proc. 2012 Eur. Freq. Time Forum (EFTF), Gothenburg, Sweden, Apr. 24–26, 2012, pp. 267–270. [3] J. G. Hartnett, N. R. Nand, and C. Lu, “Ultra-low-phase-noise cryocooled microwave dielectric-sapphire-resonator oscillators,” Appl. Phys. Lett., vol. 100, no. 18, pp. 183501(1)–183501(4), 2012. [4] J. G. Hartnett and N. R. Nand, “Ultra-low vibration pulse-tube cryfractional ocooler stabilized cryogenic sapphire oscillator with frequency stability,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3580–3586, Dec. 2010.

7

[5] C. Wang and J. G. Hartnett, “A vibration free cryostat using pulse tube cryocooler,” Cryogenics, vol. 50, no. 5, pp. 336–341, 2010. [6] V. Giordano, S. Grop, B. Dubois, P.-Y. Bourgeois, Y. Kersalé, and E. Rubiola et al., “New generation of cryogenic sapphire microwave oscillator for space, metrology and scientific applications,” Rev. Sci. Instrum., vol. 83, no. 8, pp. 085113(1)–085113(6), 2012. [7] G. Santarelli, P. Laurent, P. Lemonde, A. Clairon, A. G. Mann, S. Chang, A. N. Luiten, and C. Salomon, “Quantum projection noise in an atomic fountain: A high stability cesium frequency standard,” Phys. Rev. Lett., vol. 82, no. 23, pp. 4619–4622, June 1999. [8] G. J. Dick, D. G. Santiago, and R. T. Wang, “Temperature compensated sapphire resonator for ultra-stable oscillator capability at temperatures above 77 K,” IEEE Trans. Ultrason., Ferroelect. Freq. Contr., vol. 42, no. 5, pp. 812–819, 1995. [9] S. Grop, P. Y. Bourgeois, N. Bazin, Y. Kersalé, E. Rubiola, and C. Langham et al., “ELISA: A cryocooled 10 GHz oscillator with frequency stability,” Rev. Sci. Instrum., vol. 81, no. 2, pp. 025102(1)–025102(7), 2010. [10] S. Grop, P.-Y. Bourgeois, E. Rubiola, W. Schäfer, J. De Vicente, Y. Kersalé, and V. Giordano, “Frequency synthesis chain for the ESA deep space network,” Electron. Lett., vol. 47, no. 6, pp. 386–388, Mar. 17, 2011. [11] N. R. Nand, J. G Hartnett, E. N. Ivanov, and G. Santarelli, “Ultrastable very-low phase-noise signal source for very long baseline interferometry using a cryocooled sapphire oscillator,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 11, pp. 2978–2986, Nov. 2011. [12] S. Doeleman, T. Mai, A. E. E. Rogers, J. G. Hartnett, M. E. Tobar, and N. R. Nand, “Adapting a cryogenic sapphire oscillator for very long baseline interferometry,” Publications Astronomical Soc. Pacific, vol. 123, no. 903, pp. 582–595, 2011. [13] M. Rioja, R. Dodson, Y. Asaki, J. G. Hartnett, and S. Tingay, “The impact of frequency standards on coherence in VLBI at the highest frequencies,” Astronom. J., vol. 144, no. 4, pp. 121(1)–121(11), 2012. [14] V. Dolgovskiy, S. Schilt, N. Bucalovic, G. Di Domenico, S. Grop, B. Dubois, V. Giordano, and T. Südmeyer, “Ultra-stable microwave genrange,” eration with a diode-pumped solid-state laser in the 1.5Appl. Phys. B, vol. 116, no. 3, pp. 593–601, 2014. [15] A. Takamizawa, S. Yanagimachi, T. Tanabe, K. Hagimoto, I. Hirano, K.-I. Watabe, T. Ikegami, and J. G. Hartnett, “Atomic fountain clock with very high frequency stability employing a pulse-tube-cryocooled sapphire oscillator,” IEEE Trans. Ultrasonics, Ferroelectrics, Frequency Control, vol. 61, no. 9, pp. 1463–1469, Sep. 2014. [16] P. Bourgeois, Y. Kersale, N. Bazin, J. Hartnett, M. Chaubet, and V. Giordano, “Progress in the building of sapphire-helium clock at LPMO,” in Proc. IEEE Int. Freq. Contr. Symp. PDA Exhibition Jointly 17th Eur. Freq. Time Forum, May 4–8, 2003, pp. 355–359. [17] V. Giordano, M. Mrad, J.-L. Masson, P.-Y. Bourgeois, Y. Kersalé, S. Grop, and B. Dubois, “Properties of sapphire crystals elaborated with different grow technics for microwave ultra-stable oscillator applications,” in Proc. 2012 Eur. Freq. Time Forum (EFTF), Apr. 23–27, 2012, pp. 62–65. [18] Z. Galani, M. J. Bianchini, Jr., R. C. Waterman, Jr., R. Dibiase, R. W. Laton, and J. B. Cole, “Analysis and design of a single-resonator GaAs FET oscillator with noise degeneration,” IEEE Trans. Microw. Theory Tech., vol. 32, no. 12, pp. 1556–1565, Dec. 1984. [19] R. Pound, “Electronic frequency stabilization of microwave oscillators,” Rev. Sci. Instrum., vol. 17, no. 11, pp. 490–505, Nov. 1946. [20] C. R. Locke, E. N. Ivanov, J. G. Hartnett, P. L. Stanwix, and M. E. Tobar, “Invited article: Design techniques and noise properties of ultrastable cryogenically cooled sapphire-dielectric resonator oscillators,” Rev. Sci. Instrum., vol. 79, no. 5, pp. 051301(1)–051301(12), 2008. [21] S. Grop, W. Schäfer, P.-Y. Bourgeois, Y. Kersalé, M. Oxborrow, E. Rubiola, and V. Giordano, “Unprecedented high long term frequency stability with a microwave resonator oscillator,” IEEE Trans. Ultrason., Ferroelect. Freq. Contr., vol. 58, no. 8, pp. 1694–1697, May 24, 2011. [22] J. G. Hartnett, N. R. Nand, C. Wang, and J.-M. Le Floch, “Cryogenic sapphire oscillator using a low-vibration design pulse-tube cryocooler: First results,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 57, no. 5, pp. 1034–1038, May 2010. [23] M. E. Tobar, J. G. Hartnett, E. N. Ivanov, D. Cros, P. Blondy, and P. Guillon, “Cryogenically cooled sapphire-rutile dielectric resonators for ultrahigh-frequency stable oscillators for terrestrial ans space applications,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1265–1268, Jul. 2000.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[24] V. Giordano, S. Grop, P.-Y. Bourgeois, Y. Kersalé, and E. Rubiola, “Influence of the electron spin resonance saturation on the power sensitivity of cryogenic sapphire resonators,” J. Appl. Phys., vol. 116, no. 5, pp. 054901(1)–054901(7), 2014. [25] A. G. Mann, A. J. Giles, D. G. Blair, and M. J. Buckingham, “Ultrastable cryogenic sapphire dielectric microwave resonators: Mode frequency-temperature compensation by residual paramagnetic impurities,” J. Phys. D: Appl. Phys., vol. 25, no. 7, pp. 1105–1109, 1992. [26] A. N. Luiten, A. G. Mann, and D. G. Blair, “Paramagnetic susceptibility and permittivity measurements at microwave frequencies in cryogenic sapphire resonators,” J. Phys. D: Appl. Phys., vol. 29, no. 8, pp. 2082–2090, 1996. [27] J. G. Hartnett, M. E. Tobar, and J. Krupka, “The dependence of the permittivity of sapphire on thermal deformation at cryogenic temperatures,” Meas. Sci. Tech., vol. 15, no. 1, pp. 203–210, 2004. [28] A. E. Siegman, Microwave Solid-state Maser. New York, NY, USA: McGraw-Hill, 1964. [29] E. D. Nelson, J. Y. Wong, and A. L. Schawlow, “Far infrared spectra and ,” Phys. Rev., vol. 156, no. 2, of pp. 298–308, Apr. 1967. [30] S. Chang, A. G. Mann, A. N. Luiten, and D. G. Blair, “Measurements of radiation pressure effect in cryogenic sapphire dielectric resonators,” Phys. Rev. Lett., vol. 79, no. 11, pp. 2141–2144, Sep. 15, 1997. [31] N. R. Nand, S. R. Parker, E. N. Ivanov, J.-M. Le Floch, J. G. Hartnett, and M. E. Tobar, “Resonator power to frequency conversion in a cryogenic sapphire oscillator,” Appl. Phys. Lett., vol. 103, no. 4, pp. 043502(1)–043502(4), 2013. [32] S. Chang and A. G. Mann, “Mechanical stress caused frequency drift in cryogenic sapphire resonators,” in Proc. 2001 IEEE Int. Freq. Contr. Symp. PDA Exhib., 2001, pp. 710–714. [33] M. S. Akselrod and F. J. Bruni, “Modern trends in crystal growth and new applications of sapphire,” J. Cryst. Growth, vol. 360, pp. 134–145, Dec. 1, 2012. [34] A. N. Luiten, A. G. Mann, and D. G. Blair, “Ultrahigh Q-factor cryogenic sapphire resonator,” Electron. Lett., vol. 29, no. 12, pp. 879–881, May 13, 1993. [35] A. N. Luiten, A. G. Mann, and D. G. Blair, “Cryogenic sapphire microwave resonator oscillator with exceptional stability,” Electron. Lett., vol. 30, no. 5, pp. 417–418, Mar. 3, 1994. [36] G. J. Dick and N. T. Wang, “Stability and phase noise tests of two cryo-cooled sapphire oscillators,” IEEE Trans. Ultrason., Ferroelect. Freq. Contr., vol. 47, no. 5, pp. 1098–1101, Sep. 2000. [37] P. Y. Bourgeois, Y. Kersalé, N. Bazin, M. Chaubet, and V. Giordano., “A cryogenic open-cavity sapphire reference oscillator with low spurious mode density,” IEEE Trans. Ultrason., Ferroelect. Freq. Contr., vol. 51, no. 10, pp. 1232–1239, Oct. 2004. [38] G. Marra, D. Henderson, and M. Oxborrow, “Frequency stability and phase noise of a pair of X-band cryogenic sapphire oscillators,” Meas. Sci. Technol., vol. 18, no. 1, pp. 1224–1228, 2007. [39] A. G. Mann, A. N. Luiten, D. G. Blair, and M. J. Buckingham, “Ultrastable cryogenic sapphire dielectric microwave resonators,” in Proc. 1992 IEEE Freq. Contr. Symp., May 1992, pp. 167–171. [40] J. G. Hartnett, M. E. Tobar, E. N. Ivanov, and J. Krupka, “Room temperature measurement of the anisotropic loss tangent of sapphire using the whispering gallery mode technique,” IEEE Trans. Ultrason., Ferroelectr., Freq. Contr., vol. 53, no. 1, pp. 34–38, Jan. 2006. [41] P. Bourgeois and V. Giordano, “Simple model for the mode splitting effect in whispering gallery mode resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3185–3190, Oct. 2005. [42] J. H. Van Vleck, “Quantum mechanics: The key to understanding magnetism,” Science, vol. 201, no. 4351, pp. 113–120, Jul. 14, 1978. [43] J. G. Hartnett, M. E. Tobar, and J. Krupka, “Complex paramagnetic susceptibility in titanium-doped sapphire at microwave frequencies,” J. Phys. D: Appl. Phys., vol. 34, no. 6, pp. 959–965, 2001.

Vincent Giordano was born in Besançon, France, on February 20, 1962. He received the Engineer degree in mechanics in 1984 from the Ecole Supérieure de Mécanique et des Microtechniques, Besançon, France, and the Ph.D. degree in physical sciences in 1987 from the Paris XI University, Orsay, France. During 1984–1993 he was Researcher of the permament staff of the Laboratoire de l'Horloge Atomique, Orsay, France, where he worked on a laser diode optically pumped cesium beam frequency standard. In 1993, he joined the Laboratoire de Physique et de Métrologie des Oscillateurs (LPMO), Besançon, France. FEMTO-ST was founded in January 2004 from the merger of 5 different laboratories active in different fields of engineering science: mechanics, optics and telecommunications, electronics, time-frequency, energetics, and fluidics. The Department of Time and Frequency was created in 2006 grouping all the activities related to Time and frequency metrology and to micro-acoustics components and systems. His current research interests include ultra-high stability microwave oscillators based on sapphire resonators, microwave and optical atomic clocks and Time & Frequency metrology.

Christophe Fluhr received the Master’s degree n microsystem engineering with embedded electronics specialization from Franche-Comté University, Besançon, France, in 2012, where he is currently working toward the Ph.D. degree. He works at FEMTO-ST Institute, Besançon, France, since 2013, as Electrical Engineer in the Time and Frequency Department.

Serge Grop received the Master’s degree in integrated electronics engineering from the Université Claude Bernard, Lyon, France. In 2010, he received the Ph.D. degree in engineering science from the Universit de Franche-Comté, Besançon, France, in a frame of an European collaboration with the European Space Agency (ESA), the National Physical Laboratory (NPL), Teddington, United Kingdom and Timetech GmbH, Stuttgart, Germany. His Ph.D. lead to the development of an ultra-stable cryogenic oscillator which is currently running in the ESA Deep Space Station (DSA3), Malargüe, Argentina. At the view of these results, he started a commercial activity with his Ph.D. supervisor. From this time, he worked as Technical Manager in the company ULISS-ST. In 2015, he joined Alemnis GmbH as R&D Electronic Engineer.

Benoît Dubois received the Master’s degree in electrical engineering from Joseph-Fourrier University, Grenoble, France, in 2005 and the Ph.D. degree in electrical engineering from University of Strasbourg, France, in 2009. He has been at FEMTO-ST Institute, Besançon, France, since 2011, first as Electrical Engineer in the Time and Frequency department and now as Electrical Engineer in the Technological Center FEMTO Engineering.

86

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

A Quadruplet-Resonator-Based Ferrite-Free Choke for Suppressing Noise Currents on Cable Shielding Ying-Cheng Tseng, Hui-Ling Ting, and Tzong-Lin Wu, Fellow, IEEE

Abstract—This paper presents a novel technique for suppressing cable noise currents, the quadruplet-resonator-based ferrite-free choke (QR-based FFC), to eliminate the gigahertz (GHz) electromagnetic interference caused by noise currents flowing on the cable shielding. This problem is difficult to handle with conventional ferrite materials since their permeabilities dramatically degrade when the operating frequency goes up to several GHz. In this paper, with the aid of QR surrounding on the cable shielding, the FFC forms bandstop responses against the noise currents. The corresponding equivalent lumped circuit model is proposed and investigated. Furthermore, the synthesis procedure is established using microwave filter theory. The QR-based FFC can achieve a high-level current suppression at the desired frequency band. This technology is experimentally verified: a given design example is demonstrated at the operating center frequency of 2.57 GHz. The frequency range of 3-dB current suppression is measured from 2.47 to 2.75 GHz (FBW 10.8%), where the highest suppression level is up to 36 dB. The FFC-added cable also demonstrates a good result in the reduction of far-field radiation, where the reduction level of radiation peak gain is measured as 7 dB compared with that of a bare cable. Index Terms—Cable choke, ferrite free, quadruplet resonator (QR), radio-frequency interference (RFI).

I. INTRODUCTION

T

HESE DAYS, with the urgent need for high-definition multimedia communication, high-speed input/output (I/O) interfaces, such as USB 3.1, Thunderbolt, HDMI, etc., have become the standard I/O interfaces of consumer electronics. Typically, shielded cables are required for those high-speed I/O interfaces to transmit high-speed data between master and client devices. [1]. Many studies show the cables plugging into electronic devices through connectors often induce severe electromagnetic-interference (EMI) or radio-frequency (RF)-interference (RFI) issues [2]–[4]. As these papers indicate, when the high-speed digital data pass across the connector interface into a cable, a voltage drop due to the inductive effect of the connector will be induced to generate the noise currents on the cable shielding. These noise currents that contribute to the unintended radiation are referred Manuscript received March 19, 2015; revised July 21, 2015; accepted November 23, 2015. 20, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This work was supported by the National Science Council, Taiwan, under Grant 101-2221-E-002-127-MY3. The authors are with the Department of Electrical Engineering and the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504447

Fig. 1. Suppression comparison between ferrite chokes and the proposed QR-based FFCs.

to as unbalanced currents [5] or common-mode currents [6] for different scenarios. Hereafter, we called them the noise currents to keep the generality. As the data rate of high-speed I/O interfaces goes higher and higher (several gigahertz (GHz) or even several tens of GHz), solving the EMI or RFI problems caused by the GHz noise currents becomes challenging and nontrivial. Traditionally, utilizing the ferrite chokes to reduce the noise currents flowing on cable shielding is common. They are toroidal ferrite rings and are used to surround the cable that consists of the signal and ground shielding. The ferrite chokes have been widely applied in electronic industries for complying with EMI regulations [7], [8]. The high-permeability characteristic of ferrite chokes behaves as a high input impedance and, thus, the chokes can block the noise currents. However, since the permeabilities of ferrite materials rapidly degrade when the operating frequency goes higher, the function of the ferrite chokes, as shown in Fig. 1, is limited below GHz only. On the other hand, to suppress the noise currents of interest up to GHz, cable balun chokes and sleeve structures were proposed [9]–[11]. They are generally applied for small antenna measurements in order to eliminate the noise currents radiating from cables. The core technology is to utilize quarter-wavelength resonant cavities or stubs to create the band-notch responses. To compose these structures, it requires bulky and heavy metallic tubes which are hard to employ in consumer or portable electronic devices. In this paper, a novel ferrite-free choke (FFC) is proposed by utilizing quadruplet resonators (QRs) to uniformly surround the cable. When the resonance of QR occurs, the cable noise currents can be eliminated by the magnetic coupling between the cable and the QR. As Fig. 1 illustrates, compared with ferrite chokes, the noise currents on the cable shielding can be highly

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

TSENG et al.: QR-BASED FERRITE-FREE CHOKE

87

suppressed at the specified GHz frequency band. Therefore, for practical applications, wideband noise suppression with specific narrowband noise elminiation can be simutaneously achieved using the combination of ferrite chokes and the proposed FFC. This paper is organized as follows. Section II describes the noise radiation mechanism on a cable shielding. By taking coaxial cables as an example, an equivalent lumped circuit model including the coupling and the parallel tanks is proposed to explain the bandstop characteristics of the QR-based FFC in Section III. The susceptance slope parameters of the parallel tank (related to the stopband fractional bandwidth) can be extracted as a design chart by adjusting the coupling space between the QR and cable shielding. And, therefore, in Section IV, the systematic design procedure for designing a QR-based FFC is developed by employing a general filter synthesis method. The theoretical modeling and analysis will be illustrated in detail. In Section V, an FFC prototype based on the QR approach is realized. The capabilities of noise current elimination and radiation reduction are carefully measured to validate correctness of this new idea and corresponding theoretical models. Good agreement will be found in this section. Conclusions are drawn in Section VI. II. RADIATION MECHANISM CAUSED NOISE CURRENTS

BY

CABLE

Fig. 2(a) shows the schematic of a wired communication system with a transmitter connected to a receiver through a coaxial cable. The coaxial cable consists of the inner signal line and outer ground shielding. The cable shielding (the outer conductor of the coaxial cable) is connected with the local ground, where there is a reference voltage plane for the transmitter and receiver, respectively. On the other hand, the global ground represents nearby large metallic objects, such as machine chases or infinity. As illustrated in Fig. 2(b), ideally, high-speed signals transmit inside the cable with the signal currents flowing on the inner signal conductor and return currents flowing back on the shielding ground. When a current probe is encircled on the coaxial cable, the cable net current will be zero for the normal-mode operation due to Ampere's law. Practically, besides the normal-mode operation for high-speed signal transmission, the noise source is generated when the signal passes through discontinuous or unbalanced ground reference, such as from the printed-circuit board (PCB) ground of the transmitter circuit to the shielding ground of the coaxial cables and, thus, the cable noise currents will be induced as shown in Fig. 2(c). Such noise currents are also addressed as common-mode currents or unbalanced currents. In this case, the global ground can be considered as the return path of the noise currents via displacement currents [12]. Consequently, the noise currents flowing on the cable easily result in strong radiation and cause EMI or RFI issues since its return ground may be far away in practical circumstances. III. DESIGN METHODOLOGY FOR QR-BASED FFC As illustrated in Fig. 3(a), the proposed FFC utilizes the quadruplet half-wavelength resonators (QR) uniformly surrounding the cable shielding to achieve the purpose of noise

Fig. 2. (a) Schmatics of the wired communication system using a coaxial cable. (b) Normal-mode operation. (c) Noise-mode operation.

current suppression. Fig. 3(b) shows the cross-sectional view with an enlarged schematic for a single half-wavelength resonator. Each resonator, formed by a rectangular copper strip, is fabricated on a single-layer FR-4 substrate. The width of the strip and the spacing between two open ends of the strip are denoted as and , respectively. In addition, the spacing is the distance between the cable shielding and resonators. In the case of a half-wavelength resonance, the middle point of the resonator strip typically has the peak magnitude for current distribution. The magnetic fields induced by the cable noise currents around the resonant frequencies will strongly couple to the quadruplet resonators, so the noise energy will be trapped into resonators (QR) and then reflected. To maximally achieve magnetic coupling, the normal direction of resonators is orthogonal to the axis of coaxial cable. On the other hand, by taking advantage of a strongly magnetic coupling mechanism, the resonator strips do not need to make direct contact with the cable shielding. As described in Section II, the return path of the noise currents is on nearby large metallic objects or infinity; as a result, to build up systematic design procedures for those resonators, a large metallic plate is assumed below the cable with a distance as the return path. Therefore, the propagation of noise currents can be modeled by transmission-line theory, where the signal trace of the transmission-line model is the cable shielding and the metallic plate is considered as its return path. The corresponding -type model of this transmission line is shown in

88

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 3. Structure of the QR-based FFC: (a) oblique view and (b) cross-sectional view. Fig. 4. Equivalent circuit models of the QR-based FFC: (a) full model, (b) simplified model, and (c) the corresponding even- and odd-mode models.

Fig. 4(a), where is the inductance of cable shielding and the capacitor is the capacitance formed between the cable shielding and the metallic plate. As shown in Fig. 4(a), each half-wavelength resonator can be represented by a lumped parallel circuit and . Dotted arrows indicate the magnetic coupling between the cable shielding and resonators, where the coupling between and each individual is marked with symbols . (Subscript stands for the th resonator.) For design simplicity, four resonators are designed to be identical and, therefore, their corresponding resonant frequencies are the same. By referring to the detailed derivations in the Appendix, the two-port network with the magnetic coupling circuit as shown in Fig. 4(a) can be equivalent to a simplified two-port network with a parallel tank of and , as plotted in Fig. 4(b). and can be related to the parameters in Fig. 4(a) by (A7) and (A8) as derived in the Appendix. In accordance with the even- and odd-mode models plotted in Fig. 4(c), the frequency responses of reflection coefficient and transmission coefficient of the simplified model can be derived as (1a) (1b)

where and are the reflection coefficients of the even and odd modes, respectively, and can be expressed as (1c) (1d) Through solving the frequencies of reflection zero and transmission zero , denoted as and , respectively, the lumped values and of the simplified circuit model can be expressed in terms of as (2) (3) where is the characteristic impedance formed by the cable shielding and metallic plate. Equations (2) and (3) are used to extract the and values from the -parameter responses by the full-wave simulation.

TSENG et al.: QR-BASED FERRITE-FREE CHOKE

Fig. 5.

89

-parameters of the modeled and full-wave simulation. Fig. 6. Extracted values of

Fig. 5 shows the simulated -parameters of an example of the QR-based FFC by a full-wave simulator Ansys HFSS 15.0. The substrate thickness for the resonators is 0.4 mm with 4.4 and 0.02. The detailed dimensions of the layout shown in Fig. 3 are 7.6, 14.6, 17, 10, 0.2, 0.2, and 0.9. The diameter of the inner conductor and cable shielding is 0.9 and 3.58, respectively. All units are in millimeters. The distance between the cable shielding and the large metallic plate is set as 3 cm. It is found in Fig. 5 that the frequencies of and are 2.24 and 2.57 GHz, respectively, by full-wave simulation. In addition, is solved as 180.4 and is extracted as 0.166 pF using the Q3D software [13]. By substituting those parameters into (2) and (3), the inductance of and the capacitance of are extracted as 2.26 nH and 1.686 pF. The -parameters responses predicted by the simplified circuit model are also illustrated in Fig. 5. Compared with the full-wave results, the agreement between them is very good. It is found that the QR-based FFC has the bandstop characteristic for the noise currents around 2.57 GHz with a 3-dB fractional bandwidth (FBW) of 15%. This good consistency also implies that the simplified circuit model can well explain the noise suppression behavior of the QR-based FFC. In fact, there is no specific limitation to the number of resonators. Basically, the resonators should fully surround the cables to have an effective noise suppression performance. However, more resonators will cause higher coupling between resonators and make the resonant mechanism too complicated to be understood. There is a tradeoff for choosing the resonator number. In our experience, the proposed quadruplet (four) resonators is the better setup with lower mutual coupling between resonators and with acceptable surrounding of the cable. For the following section, the quadruplet resonators (QR) and the corresponding simplified circuit model will be utilized as a unit cell for the synthesis of the multiorder bandstop filter. The and values can be easily tuned by changing the spacing between the resonators and the cable shielding to control the coupling level. In other words, the susceptance slope parameter of the parallel tank can be well adjusted by changing the distance . Fig. 6 shows an example of the dependency of and variation on the distance for the aforementioned resonators with a half-wavelength resonance at 2.57 GHz. It is seen that decreases from 2.75 to 1.5 nH as increases

and

with different distances of

.

Fig. 7. Circuit models of the third-order bandstop filter: (a) prototype model and (b) modified model using multiple QRs.

from 0.1 to 1.0 mm. Since and follow the relation of (2), the capacitance is increased accordingly when the spacing is increased. This information is essential for the synthesis of following multiorder QR-based FFC. IV. SYNTHESIS OF MULTIORDER QR-BASED FFC Considering the QR as a unit cell of the parallel resonator, this section will develop an FFC with cascading multiple QRs based on the classical microwave filter theory. Fig. 7(a) shows a prototype circuit model for the third-order bandstop filter. It consists of three parallel resonators and two 90 interconnection transmission lines with the characteristic impedance of . In accordance with the typical synthesis theory of the bandstop filter, the values of lumped elements can be determined by the lowpass prototype using bandstop frequency transformation. Benefitting from the proposed QR structure, its simplified circuit model in Fig. 4(b) can be considered as a composite parallel resonator. By individually replacing the typical parallel resonators with the composite ones, Fig. 7(b) depicts the modified circuit model of the third-order bandstop filter; nevertheless, due to the existence of shunt-to-ground capacitors , its effect

90

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

should be taken into consideration additionally. From Fig. 7(b), by shortening the electrical length to , the interconnection line shunted with capacitors at both ends can be considered as an alternative 90 line with the characteristic impedance of . The corresponding ABCD matrices, therefore, should be equal to each other as in (4) where and are the ABCD matrices of one shunt capacitance of and one transmission line of characteristic impedance and electrical length , respectively. The detailed expansion of (4) is

Fig. 8. Structure of the third-order QR-based FFC.

TABLE I ELEMENT VALUES OF THE THIRD-ORDER BANDSTOP FILTERS

(5) By equalizing the left- and right-handed matrices of (5) the modcan be solved in terms of ified electrical length as in (6) Besides, the equivalent characteristic impedance rived as

is de(7)

On the other hand, since the equivalent characteristic impedance of the interconnection line has been changed to , the lumped values of the middle parallel resonator and have to be re-normalized from to . To maintain the same slope parameter, it can be calculated using the following expressions: (8) (9) Fig. 8 shows the schematic of the implementation of the thirdorder QR-based FFC. Each unit cell is formed by the QR as a composite resonator. The electrical length is defined from the center to center of unit cells. An example of the synthesis procedures for third-order QR-based FFC is as follows. 1) Set the designed center frequency 2.57 GHz and 15% of the stopband. The geometrical parameters of each half-wavelength resonator on the PCB shown in Fig. 3(b) are co-designed to fit the resonant frequency at . In this example, 7.6, 14.6, 17, 10, 0.2, and 0.2 (all units are in millimeters). 2) The Chebyshev's response of 0.1-dB ripple level is selected, and the corresponding low-pass prototype parameters are obtained from the tables [13] as 1, 1.0316, 1.1474, 1.0316, and 1. 3) Using the bandstop frequency transformation, the typical lumped values of resonators , , and in Fig. 7(a) can be calculated as Table I lists. It is worth noting that the 3-dB FBW of the prototype model is 10%, which is slightly narrower than the preliminary

value of 15%, due to the impedance inverters formed by the transmission lines. 4) The lumped values of unit cells #1 and #3 in the modified model shown in Fig. 7(b) are intuitively obtained as 1.72 nH and 2.21 pF. 5) By utilizing (6), the modified electrical length ( 64.1 degree) is solved; meanwhile, the equivalent characteristic impedance can be attained from (7). Thus, the lumped values of the middle unit cell #2, 1.55 nH) and 2.46 pF), can be obtained by using (8) and (9). For clarity, all of the synthesized values of both models for the third-order QR-based FFC are listed in Table I. 6) Using the design curves of and dependency on the spacing as shown in Fig. 6, the desired 1.72 nH of unit cells #1 and #3 ( and ) can be realized by 0.8 mm, whereas the 1.92 nH of the unit cell #2 can be implemented by 0.6 mm. It is noted that the influence of capacitors at the source and load terminations is minor for overall response and, thus, can be ignored for unit cells #1 and #3. Based on the synthesis procedures from Steps 1)–6), all geometrical parameters of QR and the corresponding electrical parameters, such as values and , can be obtained. A third-order QR-based FFC can thus be realized by transferring to the physical length, which is 25.8 mm. Fig. 9(a) and (b) illustrates the simulated and , respectively, by the full-wave simulation for this synthesized third-order QR-based FFC. The results predicted by the modified circuit model shown in Fig. 7(b) are also plotted in Fig. 9 for comparison. It is noted that all materials are assumed lossless in full-wave simulation because the losses are also not considered in the modified circuit model. It is clearly seen that the agreement between full-wave simulation and the modified circuit model is reasonably good

TSENG et al.: QR-BASED FERRITE-FREE CHOKE

91

Fig. 10. Measurement environment for evaluating the performances of current suppression: (a) setup photograph and (b) enlarged view.

Fig. 9. -parameters of the prototype model, FFC model, and full-wave simand (b) . ulation: (a)

for and . As shown in Fig. 9(b), the full-wave simulated result for the frequency range of 3 dB is from 2.47 to 2.72 GHz, and the corresponding FBW is about 9.6%, which is almost identical to that of the prototype. V. MEASUREMENTS AND DISCUSSIONS A. Suppression of the Cable Noise Currents In order to evaluate the suppression of the cable noise currents, a third-order QR-based FFC designed in the previous section is fabricated. The schematic and photograph of the measurement environment are depicted in Fig. 10(a) and (b), respectively. First of all, a 50- microstrip line fabricated on a 3 3 cm FR-4 substrate is connected with a 20-cm-long coaxial cable. A aluminum plate is placed below the cable with height . Meanwhile, a current probe encircles the coaxial cable to measure the noise currents on the cable shielding. The main purpose of connecting the PCB to the cable is to create an apparent ground discontinuity (or unbalance); dtherefore, significant noise currents can be induced on the cable shielding. An Agilent network analyzer E5230A was used to measure -parameters. The transmission coefficients between Port

Fig. 11. Measured results using an F-2000 current probe: (a) . (b)

and

92

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 12. Simulated current distributions on the PCB ground and the cable shielding using HFSS for the bare cable (upper) and the FFC-added cable (lower). (Solved frequency is at 2.6 GHz and the excited source is 1 W.). TABLE II CABLE CURRENT SUPPRESSIONS FOR DIFFERENT HEIGHTS

1 (the excitation to the microstrip line) and Port 2 (the termination on the current probe) can evaluate the performances of noise current suppression. Port 3 is terminated. The current probe (model number: F-2000) with operation band from 100 MHz to 3 GHz is made by Fisher Custom Communications. In this measurement, the operating frequency is extended to 4 GHz. Since the transfer function of current probe is frequencydependent, a calibration process is further required [14], [15]. After the current probe is calibrated, the measured will be proportional to the current noise on the cable shielding. Initially, the height is set as 3 cm, the measured and for the cases with and without using the third-order QR-based FFC along the cable are both shown in Fig. 11. It is seen for the case without adding the FFC is about 35 dB around 2.6 GHz. However, the noise currents are significantly reduced at the designed frequencies when the cable is surrounded by the proposed FFC. The highest suppression level is measured as 36 dB at 2.6 GHz. As shown in Fig. 11(a), the measured 3-dB suppression level is from 2.47 to 2.75 GHz (FBW 10.8%), which has a good agreement with the results by full-wave simulation and circuit model shown in Fig. 9(b). Meanwhile, as Fig. 11(b) plots, the measured between two cases are the same and it clearly indicates the proposed QR-based FFC doesn't influence the signal channel. In addition, the effect of height on the FFC performance is also examined experimentally. It is varied from 3 to 13 cm, and finally the aluminum plate was removed. It is found the corresponding 3-dB suppression bandwidths for the FFC are nearly unchanged as shown in Table II. It can be explained when the height

is high enough, the influence of the large metal plate on the distribution of the magnetic fields induced from cable noise current becomes very small, which leads to the stable bandstop performances of the FFC. Following the measurement setup environment shown in Fig. 10, the current distributions on the PCB ground and cable shielding are simulated by full-wave simulator and illustrated in Fig. 12. The excitation is located on the left-handed side of the microstrip line, and the right-handed side of the coaxial cable is terminated. The current distributions are simulated at the frequency of 2.6 GHz, where the excited source is set to 1 W. For the case without any FFC added, it is clearly seen that the noise currents are able to propagate along the cable shielding. Instead, for the case with FFC added, the noise currents are suppressed by the FFC and cannot pass through the cable. B. Reduction of the Radiation Caused by Noise Currents Fig. 13 shows the experimental setup for measuring radiation emissions from the noise currents on the cable shielding. The measurement was performed in a three-dimensional spherical near-field anechoic chamber along with the NSI-2000 software from Nearfield Systems Inc. In this setup, an Agilent network analyzer E5230A was used. The measuring antenna is an electrically-switch dual-polarized log-periodic antenna. The maximum sweep region of altitude angle is from to 165 , so the rest of data will be calculated by interpolation. During the measurement, the termination on the cable is changed to short-ended loading; consequently, it will enhance the antenna gain, and thus a better signal-to-noise ratio can be achieved. Fig. 14 plots the simulated and measured peak gains with and without adding the fabricated FFC from 2.3 to 2.9 GHz. Compared with the case without adding FFC (or bare cable), the frequency range of 3-dB reduction of peak gain is from 2.5 to 2.7 GHz, where the greatest reduction level is about 7 dB at 2.6 GHz. It is very close to our target center frequency of 2.57 GHz in the design stage. The deviation between simulation and measurement is mainly caused by the parasitic radiation from the excited cable in the anechoic chamber, which is too long to be included into the full-wave simulator. The radiation patterns at 2.6 GHz for both cases were also measured and shown

TSENG et al.: QR-BASED FERRITE-FREE CHOKE

93

Fig. 15. Measured radiation patterns of the

Fig. 13. Measurement setup of radiated emissions in an anechoic chamber.

Fig. 14. Comparison of simulated and measured peak gains from 2.3 to 2.9 GHz.

in Fig. 15. The results measured on both xy-plane and xz-plane clearly indicate adding the third-order QR-based FFC along the cable has an effective performance on reducing radiated powers caused by the noise currents. The maximum power reduction can reach about 15 dB for both planes. On the other hand, it is worth noting the level of radiation reduction is not such high as the level of noise current suppression. Also, the FFC-added cable radiates more than the bare cable at some angles as shown in Fig. 15. They can be explained when the strongly reflected noise currents flow back to the ground discontinuity between the cable and PCB, part of reflected energy will be radiated and thus it degrades the performances. Practically, these ground interconnections such as USB connectors and other high-speed interfaces are surrounded by grounded metallic boxes; consequently, such a “re-radiation” problem induced by reflected currents could be well shielded. VI. CONCLUSION In this paper, based on the QRs, a multiorder FFC to suppress the noise currents on cable shielding is proposed. The equivalent circuit model of a unit-cell QR considering the magnetic

-plane and

-plane at 2.6 GHz.

coupling between resonators and cable shielding has been developed and used to explain the noise current suppression mechanism. By cascading multiple QRs and employing microwave filter theory, a multiorder QR-based FFC is also proposed with an effective noise current suppression at a specific frequency range. The circuit model for the multiorder FFC is developed, and the corresponding synthesis procedure for the FFC is also established. A third-order QR-based FFC is fabricated by following the synthesis procedures, and performances in the reduction of noise current and radiation are both measured. It is found that this FFC achieves high-level suppression (about 36 dB) of noise currents at gigahertz frequency ranges. The radiation peak gain can also be reduced by 7 dB at the center frequency. The measured results also show good agreement with the circuit-modeled and full-wave simulations. By taking advantage of the magnetic-coupling mechanism, the key difference is that the metallic strips of QR-based FFC do not need to directly attach to the shielding of cable. The QR-based FFC can attach on a cable without damaging the cable jacket. For practical usage, with the assistance of a plastic holder, the QR-based FFC can be readily installed on cables, such as with a traditional ferrite choke. By cascading them, the multiorder FFC can be utilized on bended cables as demonstrated in the inset of Fig. 1. Nonetheless, compared with commercial ferrite chokes, the size of QR-based FFC is larger and the operating bandwidth is much narrower. To make the QR-based FFC much easier to utilize practically, further circuit miniaturization and wideband design will be the interesting and critical topics we will focus on. APPENDIX From Fig. 4(a), since the resonators are designed in the same patterns, it can be considered as a single resonator with the equivalent coupling coefficient as Fig. 16(a) depicts. And, therefore, can be calculated as in (A1) is the coupling coefficient between the cable and th where resonator. Next, by introducing the T-type model of the -inverter [13], the decoupled form can be represented as Fig. 16(b) shows and the is expressed as (A2)

94

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

It is worth noting that the derivations are hereby to demonstrate that the QR-based FFC can be considered as a parallel tank (in a narrow band) shown in Fig. 4(b). From the perspective of circuit design, there is no need to know the exact value of ; therefore, it would be much more straightforward to extract the values of and as described in Section III. REFERENCES

Fig. 16. (a) Equivalent single-resonator model and (b) the corresponding decoupled model.

In accordance with the decoupled-form model, the admittance can be derived as (A3) is equal to zero and, The bandstop response occurs when thus, the corresponding resonant frequency is (A4) In addition, by differentiating (A3), the derivative formula can be rearranged as in (A5); furthermore, the slope parameter of susceptance at the resonant frequency can be further calculated as

(A5) (A6) From (A5), for a narrow frequency band, it clearly indicates that the behavior of can be equivalent to a parallel tank formed by and , which are expressed as (A7) (A8) goes larger, it When the equivalent coupling coefficient points out the susceptance slope parameter will become smaller and will reasonably lead to a wider stopband bandwidth.

[1] T.-L. Wu, F. Buesink, and F. Canavero, “Overview of signal integrity and EMC design technologies on PCB: fundamentals and latest progress,” IEEE Trans. Electromagn. Compat., vol. 55, no. 4, pp. 624–638, Aug. 2013. [2] H.-W. Shim and T. H. Hubing, “Model for estimating radiated emissions from a printed circuit board with attached cables due to voltagedriven sources,” IEEE Trans. Electromagn. Compat., vol. 47, no. 4, pp. 899–907, Nov. 2005. [3] H. Ke, K. Morishita, T. H. Hubing, N. Kobayashi, and T. Harada, “Modeling radiated emissions due to power bus noise from circuit boards with attached cables,” IEEE Trans. Electromagn. Compat., vol. 51, no. 2, pp. 412–416, May 2009. [4] C. Su and T. H. Hubing, “Improvements to a method for estimating the maximum radiated emissions from PCBs with cables,” IEEE Trans. Electromagn. Compat., vol. 53, no. 4, pp. 1087–1091, Nov. 2010. [5] T. Fukasawa, T. Yanagi, H. Miyashita, and Y. Konishi, “Extended S-parameter method including radiation pattern measurements of an antenna,” IEEE Trans. Antennas Propag., vol. 60, no. 12, pp. 5645–5653, Dec. 2012. [6] H. H. Park, H.-B. Park, and H. S. Lee, “A simple method of estimating the radiated emission from a cable attached to a mobile device,” IEEE Trans. Electromagn. Compat., vol. 55, no. 2, pp. 257–264, Apr. 2013. [7] J. Urabe, K. Fujii, Y. Dowaki, Y. Jito, Y. Matsumoto, and A. Sugiura, “A method for measuring the characteristics of an EMI suppression ferrite core,” IEEE Trans. Electromagn. Compat., vol. 48, no. 4, pp. 774–780, Nov. 2006. [8] N. V. Blaz, M. D. Lukovic, M. V. Nikolic, O. S. Aleksic, L. D. Zivanov, and L. S. Lukic, “Analysis of a Mn-Zn ferrite bundle EMI suppressor using different suppressing principles and configurations,” IEEE Trans. Magn., vol. 49, no. 8, pp. 4851–4857, Nov. 2013. [9] L. Loizou, J. Buckley, B. O'Flynn, J. Barton, C. O'Mathuna, and E. Popovici, “Design and measurement of a planar dual-band antenna for the tyndall multiradio wireless sensing platform,” in Proc. IEEE Sensor Appl. Symp., Feb. 2013, pp. 11–14. [10] C. Icheln, J. Krogerus, and P. Vainikainen, “Use of balun chokes in small-antenna radiation measurement,” IEEE Trans. Instrum. Meas., vol. 53, no. 2, pp. 498–506, Apr. 2004. [11] S.-C. Jung, T.-W. Jung, and J.-M. Woo, “Design of sleeve dipole antenna for suppressing leakage current on a coaxial cable,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 459–462, 2014. [12] C. R. Paul, “A comparison of the contributions of common-mode and differential-mode currents in radiated emissions,” IEEE Trans. Electromagn. Compat., vol. 31, no. 2, pp. 189–193, May 1989. [13] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Application, 2nd ed. Hoboken, NJ, USA: Wiley, 2001. [14] Y. Kayano, M. Tanaka, J. L. Drewniak, and H. Inoue, “Common-mode current due to a trace near a PCB edge and its suppression by a guard band,” IEEE Trans. Electromagn. Compat., vol. 46, no. 1, pp. 46–53, Feb. 2004. [15] C.-Y. Ho, K.-S. Chen, and T.-S. Horng, “Estimating the reduction of radiated emissions from microstrip components using network analyzer with a bulk current injection probe,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 108–110, Feb. 2013. Ying-Cheng Tseng was born in Tainan, Taiwan, in 1987. He received the B.S. and M.S. degrees in electrical engineering from National Taiwan University of Science and Technology, Taipei, Taiwan, in 2010 and 2012, respectively, where he is currently pursuing the Ph.D. degree in communication engineering from the National Taiwan University, Taipei, Taiwan. His research interests include high-frequency electromagnet interference elimination, passive microwave components, and integration of RF/millimeter-wave front-end systems.

TSENG et al.: QR-BASED FERRITE-FREE CHOKE

Hui-Ling Ting received the M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2006 and 2008, where she is currently pursuing the Ph.D. degree in communication engineering. She is also a Technical Specialist with the Bureau of Standards, Metrology and Inspection, MOEA, Taipei. Her current research interests include electromagnetic compatibility and microwave circuits design.

Tzong-Lin Wu (S’93–M’98–SM’04–F’13) received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University (NTU), Taipei, Taiwan, in 1991 and 1995, respectively. From 1995 to 1996, he was a Senior Engineer at Micro-electronics Technology Inc., Hsinchu, Taiwan. In 1996, after receiving the Ph.D. degree, he joined the Central Research Institute of the Tatung Company, Taipei, where he was involved in the analysis and measurement of electromagnetic-compatibility/electromagnetic-interference (EMC/EMI) problems of high-speed digital systems. In 1998, he decided to pursue an academic career and accepted a position in the Electrical Engineering Department

95

at National Sun Yat-Sen University, Kaohsiung, Taiwan. Since 2006, he has been a Professor in the Department of Electrical Engineering and Graduate Institute of Communication Engineering (GICE), NTU. He was appointed Director of the GICE and Communication Research Center at NTU in 2012. GICE is among the best institutes in Taiwan with 41 faculty members and about 450 graduate students. The research direction of GICE includes electromagnetic wave, communication, and multimedia. Currently, he is Associate Editor of IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY and IEEE TRANSACTIONS ON COMPONENTS, PACKAGING, AND MANUFACTURING TECHNOLOGIES. His research interests include EMC/EMI and signal/power integrity design for high-speed digital/optical systems. Prof. Wu received the Excellent Research Award and the Excellent Advisor Award from National Sun Yat-Sen University in 2000 and 2003, respectively; the Outstanding Young Engineers Award from the Chinese Institute of Electrical Engineers in 2002; and the Wu Ta-You Memorial Award from the National Science Council (NSC) in 2005; Outstanding Research Award from NSC in 2010 and 2014; and the IEEE TRANSACTIONS ON ADVANCED PACKAGING Best Paper Award in 2011. He has served as the Chair of the Institute of Electronics, Information and Communication Engineers (IEICE) Taipei Section in 2007–2011; the Treasurer of the IEEE Taipei Section in 2007–2008; and was a member of the Board of Directors of the IEEE Taipei Section in 2009–2010 and 2013–2016. He served the IEEE EMC Society as a Distinguished Lecturer from 2008 to 2009. He was Co-Chair of the 2007 IEEE Electrical Design of Advanced Packaging and Systems (EDAPS) Workshop, General Chair of the 2015 Asia Pacific EMC Symposium (APEMC), and Technical Program Chair of the 2010 and 2012 IEEE EDAPS Symposiums.

96

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

High-Efficiency Micromachined Sub-THz Channels for Low-Cost Interconnect for Planar Integrated Circuits Bo Yu, Yuhao Liu, Student Member, IEEE, Yu Ye, Member, IEEE, Junyan Ren, Member, IEEE, Xiaoguang Liu, Member, IEEE, and Qun Jane Gu, Senior Member, IEEE

Abstract—This paper presents for the first time the design, fabrication, and demonstration of a micromachined silicon dielectric waveguide based sub-THz interconnect channel for a high-efficiency, low-cost sub-THz interconnect, aiming to solve the long-standing intrachip/interchip interconnect problem. Careful studies of the loss mechanisms in the proposed sub-THz interconnect channel are carried out to optimize the design. Both theoretical and experimental results are provided with good agreement. To guide the channel design, a new figure of merit is also defined. The insertion loss of this first prototype with a 6-mm-long interconnect channel is about 8.4 dB at 209.7 GHz, with a 3-dB bandwidth of 12.6 GHz.

Fig. 1. Proposed sub-THz interconnect by leveraging optical interconnect [3] and electrical interconnect advantages.

Index Terms—Channel, dielectric waveguide, interconnect, micromachined, sub-THz, terahertz (THz).

I. INTRODUCTION

T

HE input/output (I/O) bandwidth growth of intrachip/interchip communications doubles every two years over the past decade, and the trend is projected to continue in the future [1]. However, the number of I/O pins increases slowly over the time due to physical constraints. To overcome this increasing gap between the I/O bandwidth and pin numbers, the transmitting data bandwidth per I/O, defined as bandwidth density, should keep up with the interconnect bandwidth requirement. In addition, the energy used for data communications may potentially be orders of magnitude higher than the energy used for data processing and storage [2]. There are two scenarios for the interconnect: intrachip interconnect, which is the communication among CPU cores or among the high-speed processing components inside a chip, and interchip interconnect, which is the communication between chips. Therefore, to ultimately solve the problem of intrachip/interchip interconnect, both bandwidth density and energy efficiency should be boosted.

Manuscript received March 23, 2015; revised August 19, 2015; accepted November 16, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This work was supported by the National Science Foundation. B. Yu, Y. Liu, Y. Ye, X. Liu, and Q. J. Gu are with the Department of Electrical and Computer Engineering, University of California, Davis, CA 95616 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). J. Ren is with the ASIC & System State Key Laboratory, Microelectronics Department, Fudan University, Shanghai, 201203 China (e-mail: jyren@fudan. edu.cn). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504443

Interconnect research has been active in two areas: optical interconnect [3]–[6] and electrical interconnect [7]–[11]. Optical interconnects have the advantages of low loss and high bandwidth, but it is still very challenging to integrate highly efficient light sources with current CMOS processes [4]. Electrical interconnect schemes are compatible and scalable with silicon processes. However, the transmission media, metal wires, has severe conduction loss with high data rates or operating at high frequencies, thus limiting the supported bandwidth. Therefore, both electrical and optical interconnect face big challenges to fill this gap individually. The sub-THz interconnect, using the spectrum sandwiched between optical and microwave frequencies, holds high potentials to fill the interconnect gap with wide bandwidth density and high energy efficiency by leveraging advantages of both optical and electrical interconnect approaches: low-loss quasi optical channels as well as advanced high-speed semiconductor devices, illustrated in Fig. 1. The comparison with the state of the art is shown in Table I. Wireless chip-to-chip communication, demonstrated in [7]–[10], suffers from large losses. For example, the path loss is greater than 40.9 dB with 40-mm distance at 260 GHz [7] and 66 dB with 1-m distance at 45 GHz [8]. The challenge of wireless chip-to-chip communications is that the path loss is inversely proportional to , which impedes high-frequency adoption in wireless scheme. Besides, the interference between channels is a big issue for wireless based schemes. Chang's group [11] demonstrates a design on wired interconnect based on-chip transmission line, which also faces the challenge of increasingly high losses versus frequencies. In general, the interconnect can be classified into three types: transmission line (including microstrip line, coplanar waveguide (CPW), grounded CPW, etc.) [12], [13], metallic

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

YU et al.: HIGH-EFFICIENCY MICROMACHINED SUB-THZ CHANNELS

TABLE I COMPARISON AMONG DIFFERENT TECHNOLOGIES OF CHIP-TO-CHIP COMMUNICATIONS

97

by incorporating bandwidth-area efficiency and channel loss. The first demonstration is presented at 210 GHz due to the constraint of the measurement equipment availability. The channel design methodology can be readily applied to higher frequencies in the THz range. This paper is organized as follows. Section II reviews and presents the fundamental concept and design methods of the silicon dielectric waveguide-based sub-THz interconnect. Section III discusses the design and considerations of the coupling structure. Section IV presents the fabrication, the measurement, and discussions of the sub-THz interconnect. II. SILICON DIELECTRIC WAVEGUIDES SUB-THZ INTERCONNECT MEDIUM

Fig. 2. Illustration of the proposed dielectric waveguide based sub-THz interconnect, which is adapted from [25].

waveguide [14]–[18], and dielectric waveguide [19], [20]. The dielectric waveguides with low-loss dielectric material have much less losses than transmission lines and metallic waveguides, since the conduction loss is avoided. For example, a metal-based transmission line has almost three orders higher loss than the loss of silicon ribbon at the THz frequency [21]. The loss for the CMOS transmission line is about 1 dB/mm at 100 GHz and 2 dB/mm at 150 GHz and increases fast with frequency [22], [23]. Moreover, from the process-compatible point of view, the dielectric waveguides are easier to fabricate and potentially compatible with integrated silicon circuits compared with metallic waveguides. Metallic waveguide poses a big challenge to integrate with ICs due to the waveguide flange connection. Therefore, to enable a sub-THz interconnect, the channel should have wide bandwidth and small size for large bandwidth density, low loss for high energy efficiency, and good compatibility with silicon processes for low cost as well as good isolation among channels. To satisfy these requirements, dielectric waveguides [24] are employed by taking advantage of quasi optical channels. Lowloss THz channels have been investigated with loss of 0.1 dB/m [21]. However, till now, no investigations have been conducted on planar silicon process based compatible sub-THz channels for intrachips/interchips interconnects. In this work, we demonstrate the feasibility of using a micromachined dielectric waveguide as a low-loss chip-to-chip interconnect channel that is compatible with conventional semiconductor and packaging processes. Fig. 2 illustrates the concept [25]. The signal, transmitting from chip A, propagates through the channel and reaches chip B. The bending structures at two ends of the channel are to establish the link for planar processes. Compared with the authors' previous work [25], this paper presents a thorough analysis of the design tradesoffs for the silicon dielectric waveguide as well as the analysis of the bending loss, radiation loss, and mode conversion loss. We propose a figure of merit (FoM) to quantify channel design

AS

To enable a high data transmission rate, the proposed sub-THz dielectric interconnect channel must be optimized for both bandwidth and loss. The bandwidth of a dielectric waveguide is primarily determined by the dispersion characteristics of the chosen mode of the propagating wave and the orthogonality and/or separation from other modes. In this demonstration of the proposed concept, we choose to operate our waveguide in the lowest order mode to simplify the design and implementation. The loss of the waveguide is determined by many factors, including the dielectric loss of the material, the geometry of the waveguides, such as bending and discontinuity structures, and possibilities of mode conversions. The following subsections provide detail discussions of these factors for design guidance. A. Material Loss Material loss can be a critical contribution to the total channel loss. It is, therefore, desirable to use low-loss materials as the dielectric medium. Several materials have been studied at sub-THz frequencies, such as silicon [21], [26]–[30], quartz [21], and plastic [31], [32]. These works provides evidence that dielectric sub-THz interconnect channels can be designed with low loss. In particular, the loss of high-resistivity (HR) silicon has been reported to be as low as 0.1 dB/m at 200 GHz [21], which is one of the reasons that HR silicon is used in this work. A second reason to choose HR silicon is due to its relatively high dielectric constant , which helps to confine the electromagnetic (EM) wave inside the waveguide. A higher level of confinement can reduce cross-talk between adjacent channels, lower packaging parasitics, and facilitates wave guidance in nonstraight channels, such as bending structures. B. Waveguide Geometry Rectangular silicon waveguides with air surrounding are used in this work. Compared to the other geometries, such as circular waveguides, rectangular waveguides are easier to fabricate using microfabrication technologies, such as the deep reactive-ion etching (DRIE). Also, rectangular waveguides are not prone to have polarization mode dispersion issues [33], which minimize polarization mismatches and losses. Fig. 3(a)–(c) shows the effective index , the wave confinement factor , and the attenuation constant of rectangular waveguides of various dimensions of 100–500 m, and of 100–700 m) based on full-wave simulation in an ANSYS high-frequency structure simulator (HFSS). Due to the

98

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 4. Cross section views of magnitude of the E-field distribution with at 200 GHz with different channel height (a) m, 200- m m, (c) m, (d) m, (e) m, and (b) m. (f)

Fig. 5. Simulated phase velocity and group velocity as a ratio of the free-space value for the channel with 6-mm , 300- m , and 500- m .

Fig. 3. (a) Calculated effective index, (b) simulated confinement factor, and (c) simulated attenuation constant 200 GHz with various values. The inset of (c) shows the HFSS simulation setup labeled with waveguide dimensions (p1 and p2 are wave ports).

unshielded characteristic, the size of the wave port in simulation is set significantly larger than the cross section. The channel is enclosed by an air box with radiation boundary. is given by (1) is the power inside the waveguide, and is the total where cross section power. represents how much EM wave energy is propagating inside the dielectric channel. It can be seen that at small and (compared to the propagation wavelength ,

which is about 1.3 mm at 200 GHz, the wave is weakly confined and has a large portion of wave propagating outside the channel. At large and ( m), the wave is mostly confined inside the silicon channel, with , , values saturating with larger dimensions. The cross section views of electric field distribution with various are plotted in Fig. 4. It is observed that larger portion of the electric field is confined within the waveguide as increases. The attenuation constant is a weak function of due to the mode polarization along direction. The dispersion is another important aspect to optimize this channel. The phase and group velocity are utilized to check the dispersive characteristic as shown in Fig. 5. When the frequency is higher than 150 GHz, the dispersion decreases. Therefore, from a bandwidth point of view, it is desirable to operate at either the weakly or strongly confined states. However, from a loss point of view, we prefer to avoid the weakly confined region even though its straight channel loss is lower. This is due to the excessive radiation loss caused by the bending structures and large cross-talk among channels when waves are not confined. It is noted that the attenuation constant is still low ( dB/mm from simulation) for the highly confined case. Isolation is also important with multiple channels, which is dependent on the channel space. The simulated isolation versus channel space is shown in Fig. 6. To achieve 30-dB isolation criteria, the minimum space is 480 m between two channels for mm, m and m at 200 GHz. will scale down with the increase of the operating frequency, since

YU et al.: HIGH-EFFICIENCY MICROMACHINED SUB-THZ CHANNELS

99

Fig. 8. Bending loss mechanism analysis diagram [34].

Fig. 6. Isolation between two identical 6-mm straight channels versus channel space with 300- m and 500- m at 200 GHz.

where is the power loss through radiation, is the total cross section power, and is the field propagation distance with a unit power loss [34]. Based on diffraction theory, is derived as (3) where is the channel height, is the half beam angle, and the is the guided wavelength in the channel. and can be obtained from (4)

Fig. 7. (a) Simulated radiation loss and (b) mode conversion loss illustrated by HFSS simulations.

the dimensions of the channel are inversely proportional to the operating frequency. C. Radiation Loss To implement the intrachip/interchip interconnect for planar processes, the bending structure is the most intuitive and convenient approach. However, the bending structures may introduce additional loss due to radiation and mode conversion as shown in Fig. 7. Bending structure has been studied as early as the 1920’s [33]. Researchers have reported very low bending loss designs [27], [28]. However, these works are for electrically large bending structures, such as [27] with about 113 wavelengths and [28] with about six wavelengths. Because the practical constraints of integrated circuit fabrication and packaging, a large bending structure is not feasible to integrate, and the investigation of a small bending structure is needed. Radiation loss is caused because the portion of EM waves leaking into the air cannot preserve the phase front after the bending. As shown in Fig. 7(a), the portion of the waves propagating in air does not follow the curvature of the bend and results in the power loss. A method proposed in [34] can be used to analyze the radiation loss. The bending structure can be divided into infinitesimal sections as shown in Fig. 8. Considering each section as an array of point sources [35] and assuming that the power beyond the first null of the beam, that is, the power in minor lobes, will be lost after bending, the attenuation constant is defined as (2)

(5) where is the magnetic field distribution, and is the distance from the waveguide center to the position of the first null. Beyond the radius , the waves phase velocity would have to exceed the velocity of unguided waves, which results in the loss of the corresponding wave power. To preserve the phase front [34], has the relationship of (6) In addition,

is given by (7)

where is the amplitude of is the decay rate along the direction, and is the mode number. Substituting (3), (4), and (5) into (2) yields

(8)

where is the channel radius, is the guided propagation constant, and is the propagation constant in free space. By multiplying the EM waves propagation distance , the arc length of a quarter circle, the radiation loss for a bend is given by (9) Note that although (9) shows a linear relationship between Loss and , the term is also dependent on with an re-

100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 9. Calculated and simulated bending loss with respect to with 200- m and 500- m .

Fig. 10. Calculated with 300- m .

at 200 GHz

Fig. 11. Simulated total bending loss versus 300- m .

at 200 GHz with 300- m

Fig. 12. Simulated total bending loss versus .

and

and

versus frequency for the first three modes at 200 GHz

lationship, which decays much faster. Therefore, the radiation loss is lower for a larger , which is verified in Fig. 9 with both analytical and full-wave simulation results. The theoretical radiation loss analysis at the bend is based on Fig. 8 by assuming the bending structure has infinite width in the direction. In a real case, the width is finite. Hence, the field distributions are not exactly same, which is responsible for the major discrepancy between theoretical and simulated results in Fig. 9. D. Mode Conversion Loss The discussions so far seem leading to a conclusion that a waveguide with large , and has smaller bending loss. However, the large waveguide dimensions may introduce a competing loss mechanism by introducing mode conversion as shown in Fig. 7(b). Mode conversion can lead to additional loss when and of the waveguide are large enough to allow multiple modes to exist at the operating frequency. The issue is exacerbated by the bending structure of the proposed interconnect scheme. Fig. 10 shows of several possible modes for a channel of m at various values. For example, the mode may be converted to mode when is larger 260 m after bending. Fig. 11 shows the bending loss, consisting of radiation loss and mode conversion loss, versus with a fixed of 300 m and a fixed of 300 m at 200 GHz. Multi-mode wave ports

at 200 GHz with 300- m

are used in HFSS to extract the power conversion among the lowest three modes. When is less than 500 m, radiation loss dominates. Smaller height leads to a larger portion of the waves leaking into the air and causes larger radiation loss as shown by the curve with up triangles. When is larger than 500 m, higher order modes may be excited and propagate, causing increasing mode conversion loss as shown by the curve with down triangles. The total loss is plotted as the curve with squares. The minimum loss of 0.3 dB occurs around 500 m. A contour map of the bending loss versus and is plotted in Fig. 12. The minimum bending loss occurs for m and m. E. Figure of Merit As discussed in Section I, the performance of chip-to-chip interconnect is determined by the bandwidth density and energy efficiency. The bandwidth density is defined as bandwidth per cross section area (10) A larger bandwidth density means a higher spatial utilization efficiency to enable a higher data rate transmission per unit space.

YU et al.: HIGH-EFFICIENCY MICROMACHINED SUB-THZ CHANNELS

Fig. 13. FoM for sub-THz interconnect versus 300- m radius.

and

101

at 200 GHz with

Fig. 14. FoM versus frequency for sub-THz interconnect with 6-mm .

To evaluate the performance of sub-THz interconnect channel, we propose a figure of merit, defined as (11) , and of the chanwhere channel loss depends on the nels. Note that the dominant loss mechanism depends on the communication distance. The total channel loss is dominated by bending loss for short distance communications ( mm) while material loss is the dominant factor for the longer ones. Assuming that the data bandwidth is 10% of the carrier frequency, the contour map of FoM versus and is plotted in Fig. 13. The highest FoM occurs around m and m. Higher operating frequency leads to better FoM. This is because at higher operating frequencies, the optimal waveguide dimensions, , and , are all inversely proportional to the operating frequency. Assuming a constant fractional bandwidth, higher operating frequency leads to the significant increasing of FoM as shown in Fig. 14. III. COUPLING STRUCTURE To transmit signals between IC chips and channels, coupling structures are needed with the requirements of high directivity, high radiation efficiency, and with the broadside radiation pattern. High directivity and high coupling efficiency aim to maximize the power transfer to the receiver through the intercon-

Fig. 15. Simulated for the patch-antenna-based channel coupling structure with insets of schematic, and field distribution at 210 GHz.

nect channel. The reasons to choose broadside radiation patterns compared to end-fire radiation patterns are: a) better isolation due to nondirect interference from the reflected waves to the circuits in surrounding places and b) flexible channel location because the coupling structure can be located in the whole chip instead of just on the chip peripherals otherwise in end-fire radiation pattern cases. In this work, a patch-antenna-based coupling structure is chosen due to the mature design method [33]. Fig. 15(a) illustrates the coupling structure. The signal is excited through a coplanar waveguide (CPW), and transitioned to a microstrip line before feeding the coupling structure Rogers 3850, with 25.4- m thickness and dielectric constant of 2.9, is chosen as the coupling structure substrate. To simplify the fabrication, a vialess CPW to microstrip line transition is adopted [36]. In order to prevent the energy leakage through the substrate, choosing the ground plane width smaller than half wavelength of the signal avoids the generation of parallel plate modes and high order modes [37]–[39]. The dimensions of the coupling structure are labeled with EM simulation results in Fig. 15. Also, both near-field and far-field patterns are presented. From these patterns, it can be seen that the signal effectively propagates upward to the perpendicular direction from the antenna. Noted that the coupling structure exhibits a limited bandwidth whereas the bandwidth of the dielectric waveguide is very large as shown in Fig. 17. Future demonstrations of the sub-THz interconnect concept will focus on improving the bandwidth of the coupling structures. IV. EXPERIMENTAL DEMONSTRATION A. Design of the Demonstration Setup The simulation results of the complete sub-THz interconnect channel with a pair of patch antenna coupling structures and feeding structures are shown in Fig. 16. The waves are radiated by the coupling structure A, and then coupled to the waveguide. Propagating through the waveguide, the waves are collected by the coupling structure B. Fig. 16(a) also shows partial portions of EM waves leaking into air due to the bending structure causes coupling loss. Besides, a larger beam width compared with waveguides cross section size also results in a finite power collection capability. The simulated as shown in

102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 16. (a) Simulated magnitude of E-field distribution of a complete sub-THz interconnect channel with a pair of channel feeding structures at 210 GHz and with 300- m , 500- m , and 300- m . (b) simulated

Fig. 18. Fabrication procedure of (a) the silicon channel and (b) the patch antenna.

Fig. 17. Simulated S-parameters versus frequency for the straight silicon channel waveguides without or with bending structure with 6-mm , 300- m , 500- m , and 300- m .

Fig. 16(b) indicates the minimum insertion loss of 5.9 dB of the complete interconnect structure. The bandlimited behavior is due to the limited bandwidth of the patch antenna; the silicon channel waveguide itself is very wideband as shown in Fig. 17. B. Fabrication The fabrication processes of the sub-THz interconnect channel are summarized in Fig. 18. A 500- m-thick HR silicon wafer (resistivity of 10 000 cm) is first patterned with a thick ( m) photoresist (AZ9260) to define the waveguide geometries: and . Then, the HR silicon wafer is attached to a carrier substrate and etched through in a DRIE process. The individual channels are isolated after etching. Fig. 19(a) shows the photographs of the channel from a different perspectives. Note that the silicon waveguide is etching from the side view to simplify the fabrication complexity as shown in Fig. 18(a)(3). The coupling structure is fabricated on a Rogers 3850 substrate. Photolithographic thin-film patterning is used to achieve a fine feature definition. One side of the copper laminate is

Fig. 19. (a) Photographs of the channel from different perspective and (b) SEM photograph of the patch antenna.

completely removed first. The antenna structure is then patterned with a Ti/Au thin film of thickness 50/300 nm by a lift-off process. Fig. 19(b) shows the fabricated scanning electron microscope (SEM) photograph of the antenna coupling structure. A 3-D-printed holder with a low dielectric constant material (Acrylate-based polymer, ) is used to support the channel. According to the full-wave simulations, the holder introduces negligible effects on the signal propagation. The alignment of the channel and two coupling structures is very critical. To ensure good alignment, the channel holder and alignment marks are introduced. The channel holder is first attached to the two alignment marks, which should be put exactly between alignment marks; the holder’s slot, where the channel is to insert, is then in the center of alignment marks and holds the channel.

YU et al.: HIGH-EFFICIENCY MICROMACHINED SUB-THZ CHANNELS

103

Fig. 20. Schematic of the test bench. Fig. 22. Comparison of measured and simulated S-parameters (with extracted material parameters) with 6-mm , 500- m , 500- m , and 300- m and the case without the sub-THz interconnect channel.

Fig. 21. (a) Photograph of the test bench and (b) the zoom-in picture of the channel with the holder.

C. Measurement Results and Discussions Figs. 20 and 21 illustrate the measurement setup, which is based on an Agilent network analyzer (PNA-X N5247A). A pair of Virginia Diodes frequency extension modules (VDI WR5.1VNAX) up-converts the signal frequency to G band (140–220 GHz). WR-5 waveguides are used to guide the wave toward the tip of the probes. The Short, Open, Load, Thru (SOLT) calibration method is employed to set the reference plane at the edge of the patch antenna for each side. The measured interconnect path includes two patch-antenna-based coupling structures and the sub-THz interconnect channel. Fig. 22 shows the comparison of measurement results between the cases with and without the sub-THz interconnect channel, which indicates the insertion loss is significantly improved. Fig. 23 also shows the measured S-parameters comparing with the simulation results after using extracted

Fig. 23. Measured and simulated of the fabricated transmission line before and after extraction of loss tangent of a Rogers 3850 board and metal conductivity by including titanium and gold together. The length of the transmission line is 5.1 mm.

material parameters, specifically the substrate loss tangent and metal effective conductivity. The minimum insertion loss is 8.4 dB, which is about 2.5 dB higher than the simulation result in Fig. 16(b) due to two major reasons. First, the real loss tangent of the substrate for the coupling structure is larger than their typical values at such high frequencies, with the extraction method described in the next paragraph. Second, titanium is used as an adhesion layer under the gold thin film. Because the skin depth of gold and titanium at 210 GHz are 172 and 807 nm, separately, the underlying titanium layer with 50-nm thickness can be penetrated completely. The effective conductivity of the Ti/Au thin-film is extracted to be S/m. The substrate loss tangent is extracted through fabricated transmission lines as shown in Fig. 23(a). After comparing the between measurement and simulation results, the extracted loss tangent is 0.053, as compared to 0.0067 at 98.5 GHz [40].

104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 23(b) shows the comparison among measured and simulated before and after extraction. By using the extracted material parameters, the updated simulated S-parameters have a good agreement with measurement results as shown in Fig. 22. V. CONCLUSION This paper for the first time presents the design, analysis, and demonstration of a micromachined silicon dielectric waveguide-based sub-THz interconnect channel for silicon planar integrated circuits. A detailed analysis of channel losses, including radiation loss and mode conversion loss, channel size optimization for the bending, fabrication procedure, and the measurement setup have been conducted. To quantify the design optimization, an FoM is also defined. The analytical, simulated, and measured results agree well, demonstrating much lower loss than other electrical interconnect methods while maintaining better process compatibility than optical interconnect. In addition, this technique can be readily scaled up to THz frequencies due to a better FoM at higher frequencies. Therefore, the authors envision that THz interconnect has the potential to eventually solve the long-standing interconnect problems of intrachip/interchip communications. REFERENCES [1] X. Zheng and A. V. Krishnamoorthy, “Si photonics technology for future optical interconnection,” presented at the Asia, Int. Soc. Optics and Photonics Communications and Photonics Confe. Exhib., 2011 (ACP), Dec. 2011, 83 091V. [2] E. Kadric, K. Mahajan, and A. DeHon, “Kung Fu data energy minimizing communication energy in FPGA computations,” in Proc. 2014 IEEE 22nd Annu. Int. Symp. Field-Programmable Custom Computing Machine (FCCM), 2014, pp. 214–221. [3] N. Holonyak and M. Feng, “The transistor laser,” IEEE Spectrum, vol. 43, no. 2, pp. 50–55, Feb. 2006. [4] M. A. Green, J. Zhao, A. Wang, P. J. Reece, and M. Gal, “Efficient silicon light-emitting diodes,” Nature, vol. 412, no. 6849, pp. 805–808, 2001. [5] F. E. Doany, B. G. Lee, D. M. Kuchta, A. V. Rylyakov, C. Baks, C. Jahnes, F. Libsch, and C. L. Schow, “Terabit/Sec VCSEL-based 48-channel optical module based on holey CMOS transceiver IC,” IEEE J. Lightw. Technol., vol. 31, no. 4, pp. 672–680, 2013. [6] S. Mishra, N. K. Chaudhary, and K. Singh, “Overview of optical interconnect technology,” Int. J. Sci. Eng. Res., vol. 3, no. 4, pp. 390–396, Apr. 2012. [7] J.-D. Park, S. Kang, S. V. Thyagarajan, E. Alon, and A. M. Niknejad, “A 260 GHz fully integrated CMOS transceiver for wireless chip-tochip communication,” in IEEE VLSI Circuits Symp. Dig., June 2012, pp. 48–49. [8] F. Zhu, W. Hong, W.-F. Liang, J.-X. Chen, X. Jiang, P.-P. Yan, and P. Wu, “A low-power low-cost 45-GHz OOK transceiver system in 90-nm CMOS for multi-Gb/s transmission,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2105–2117, Sep. 2014. [9] C. W. Byeon, C. H. Yoon, and C. S. Park, “A 67-mW 10.7-Gb/s 60-GHz OOK CMOS transceiver for short-range wireless communications,” IET Microw., Antennas Propag., vol. 61, no. 9, pp. 3391–3401, Sept. 2013. [10] W.-H. Chen, S. Joo, S. Sayilir, R. Willmot, T.-Y. Choi, D. Kim, J. Lu, D. Peroulis, and B. Jung, “A 6-Gb/s wireless inter-chip data link using 43-GHz transceivers and bond-wire antennas,” IEEE J. SolidState Circuits, vol. 44, no. 10, pp. 2711–2721, Oct. 2009. [11] H. Wu, L. Nan, S.-W. Tam, H.-H. Hsieh, C. Jou, G. Reinman, J. Cong, and M.-C. Chang, “A 60 GHz on-chip RF-interconnect with coupler for 5 Gbps bi-directional communication and multi-drop arbitration,” in IEEE Custom Integr. Circuits Conf. Dig., 2012, pp. 1–4.

[12] M. Y. Frankel et al., “Terahertz attenuation and dispersion characteristics of coplanar transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 6, pp. 910–916, Jun. 1991. [13] H.-M. Heiliger, M. Nagel, H. Roskos, H. Kurz, F. Schnieder, and W. Heinrich, “Thin-film microstrip lines for MM and sub-MM/wave on-chip interconnects,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, vol. 2, pp. 421–424. [14] V. M. Lubecke, K. Mizuno, and G. M. Rebeiz, “Micromachining for terahertz applications,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 11, pp. 1821–1831, Nov. 1998. [15] S. Lucyszyn, “The future of on-chip terahertz metal-pipe rectangular waveguides implemented using micromachining and multilayer technologies,” presented at the IET Colloq. Terahertz Technology and Its Applications, 1997. [16] J. P. Becker, Y. Lee, J. R. East, and L. P. Katehi, “A finite ground coplanar line-to-silicon micromachined waveguide transition,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 10, pp. 1671–1676, Oct. 2001. [17] G. Gentile, V. Jovanovic, M. J. Pelk, L. Jiang, R. Dekker, P. de Graaf, B. Rejaei, L. C. de Vreede, L. K. Nanver, and M. Spirito, “Silicon-filled rectangular waveguides and frequency scanning antennas for mm-wave integrated systems,” IEEE Trans. Antennas Propag., vol. 61, no. 12, pp. 5893–5901, Dec. 2013. [18] M. Yap, Y.-C. Tai, W. R. McGrath, and C. Walker, “Silicon micromachined waveguides for millimeter and submillimeter wavelengths,” in Proc. 3rd Int. Symp. on Space Terahertz Technology, 1992. [19] A. Malekabadi et al., “High-resistivity silicon dielectric ribbon waveguide for single-mode low-loss propagation at F/G-bands,” IEEE Trans. Terahertz Sci. Technol., vol. 4, no. 4, pp. 447–453, Jul. 2014. [20] N. Ranjkesh, M. Basha, A. Taeb, and S. Safavi-Naeini, “Silicon-on-glass dielectric waveguide—Part II: For THz applications,” IEEE Trans. Terahertz Sci. Technol., vol. 5, no. 2, pp. 280–287, Mar. 2015. [21] C. Yeh, F. Shimabukuro, and P. H. Siegel, “Low-loss terahertz ribbon waveguides,” Appl. Opt., vol. 44, no. 28, pp. 5937–5946, Oct. 2005. [22] S. Amakawa, A. Orii, K. Katayama, K. Takano, M. Motoyoshi, T. Yoshida, and M. Fujishima, “Design of well-behaved low-loss millimetre-wave CMOS transmission lines,” in Proc. 2014 IEEE 18th Workshop on Signal and Power Integrity (SPI), 2014, pp. 1–4. [23] K. H. Yau, I. Sarkas, A. Tomkins, P. Chevalier, and S. P. Voinigescu, “On-wafer S-parameter de-embedding of silicon active and passive devices up to 170 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 600–603. [24] E. A. J. Marcatili, “Dielectric rectangular waveguide and directional coupler for integrated optics,” Bell Syst. Tech. J., vol. 48, no. 7, pp. 2071–2102, Mar. 1969. [25] B. Yu, Y. Liu, X. Hu, X. Ren, X. Liu, and Q. J. Gu, “Micromachined sub-THz interconnect channels for planar silicon processes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014. [26] R. Mendis, “THz waveguides: The evolution,” Univ. Wollongong. Wollongong, Australia, p. 17, 2006. [27] J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, “Low loss etchless silicon photonic waveguides,” Opt. Exp., vol. 17, no. 6, pp. 4752–4757, 2009. [28] M. Cherchi, S. Ylinen, M. Harjanne, M. Kapulainen, and T. Aalto, “Dramatic size reduction of waveguide bends on a micron-scale silicon photonic platform,” Opt. Exp., vol. 21, no. 15, pp. 17 814–17 823, 2013. [29] J. A. Wright, S. Tatic-Lucic, Y.-C. Tai, W. R. McGrath, B. Bumble, and H. LeDuc, “Integrated silicon micromachined waveguide circuits for submillimeter wave applications,” in Proc. 6th Int. Symp. Space Tetrahertz Technolog, Pasedena, CA, USA, 1995, pp. 387–396. [30] D. Dai, J. Bauters, and J. E. Bowers, “Passive technologies for future large-scale photonic integrated circuits on silicon: Polarization handling, light non-reciprocity and loss reduction,” Light-Sci. Appl., vol. 1, no. 3, p. e1, 2012. [31] R. Mendis and D. Grischkowsky, “Plastic ribbon THz waveguides,” J. Appl. Phys., vol. 88, no. 7, pp. 4449–4451, Oct. 2000. [32] R. Piesiewicz, C. Jansen, S. Wietzke, D. Mittleman, M. Koch, and T. Kürner, “Properties of building and plastic materials in the THz range,” Int. J. Infrared Milli. Waves, vol. 28, no. 5, pp. 363–371, Dec. 2007. [33] C. A. Balanis, Antenna Theory: Analysis and Design, 3rd ed. Hoboken, NJ, USA: Wiley, 2012.

YU et al.: HIGH-EFFICIENCY MICROMACHINED SUB-THZ CHANNELS

105

[34] R. G. Hunsperger, Integrated Optics, 6th ed. New York, NY, USA: Springer, 2009. [35] J. D. Kraus and R. J. Marhefka, Antenna for All Applications, 3rd ed. New York, NY, USA: McGraw Hill, 2002. [36] G. Zheng, J. Papapolymerou, and M. M. Tentzeris, “Wideband coplanar waveguide RF probe pad to microstrip transitions without via holes,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 544–546, Dec. 2003. [37] C.-C. Tien, C.-K. Tzuang, S. Peng, and C.-C. Chang, “Transmission characteristics of finite-width conductor-backed coplanar waveguide,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 9, pp. 1616–1624, Sep. 1993. [38] G. E. Ponchak, S. Robertson, F. Brauchler, J. East, and L. P. Katehi, “Finite width coplanar waveguide for microwave and millimeter-wave integrated circuits,” in Proc. SPIE, Oct. 1996, pp. 517–521. [39] G. Y. Cho, H. D. Cho, and W. S. Park, “Investigation of higher-order modes in finite-width parallel-plate waveguide,” J. Electromagn. Waves Appl., vol. 27, no. 12, pp. 1509–1520, July 2013. [40] S. L. Smith and V. Dyadyuk, “Measurement of the dielectric properties of Rogers R/flex 3850 liquid crystalline polymer substrate in V and W band,” in Proc. IEEE Antennas Propagation Soc. Int. Symp., 2005, vol. 4, pp. 435–438.

Junyan Ren (M’01) received the B.S. degree in physics and the M.S. degree in electronic engineering from Fudan University, Shanghai, China, in 1983 and 1986, respectively. Since 1986, he has been with the State Key Lab of ASIC and System, Fudan University. He is currently a Full Professor of microelectronics. He has authored or coauthored over 100 technical conference and journal papers. He has filed over 20 patents in China. His research areas include RF, and mixed-signal circuit design in CMOS with applications in wireless/wired communications, bio- and medical imaging, optical communications. The recent topics are ultrahigh-speed ADCs in optical communication, multichannel analog front-end and data converters in ultrasound imaging and MRI, photo-acoustic imaging algorithms, MIMO signal detection, CMOS millimeter-wave and terahertz circuit for imaging and radar application, ultrasound transducer in MEMS, etc. Prof. Ren was the recipient of 1999 Distinguished Young Faculty Award and 2008 Subject Chief Scientists Award from Shanghai Government, and 2004 Excellent Graduate Advisor Award from Fudan University. He is the Senior Member of China Institute of Communications.

Bo Yu received the B.S. degree in electrical engineering from Sichuan University, Sichuan, China, in 2007, and the M.S. degree in electrical engineering from Peking University, Beijing, China, in 2010, and another M.S. degree in electrical engineering from the International Technological University, San Jose, CA, USA, in 2012. He is currently working toward the Ph.D. degree in electrical engineering at the University of California, Davis. His research interests include RF and microwave system design, and THz interconnects.

Xiaoguang Liu (S’07–M’10) received the B.S. degree from Zhejiang University, Hangzhou, China in 2004 and the Ph.D. degree from Purdue University, West Lafayette, IN, USA, in 2010. He is currently an Assistant Professor in the Department of Electrical and Computer Engineering, University of California, Davis, CA, USA. His research interests include RF-MEMS devices and other reconfigurable high-frequency components, high-frequency integrated circuits, and biomedical and industrial applications of high-frequency communication and sensing systems.

Yuhao Liu (S’12) received the B.Eng. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, n 2011. He is currently working toward the Ph.D. degree in electrical engineering at the University of California at Davis (UCD), Davis, CA, USA. His research interests are RF MEMS devices, THz interconnects, tunable filters, and active RF devices.

Yu Ye (S’12–M’14) received the B.S. degree in physics from Nanjing University, Nanjing, China, in 2009, and the Ph.D. degree in electrical engineering from the Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai, China, in 2014. In 2014, he joined the University of California, Davis, CA, USA, where he is a Postdoctoral Researcher involved with silicon-based millimeter/terahertz integrated circuit design. His research interests include RF integrated circuit design and system architectures for wired and wireless communications.

Qun Jane Gu (M’07–SM’15) received the B.S. and M.S. degrees from the Huazhong University of Science and Technology, Wuhan, China, in 1997 and 2000, the M.S. degree from the University of Iowa, Iowa City, IA, USA, in 2002, and the Ph.D. from the University of California, Los Angeles (UCLA), CA, USA, in 2007, all in electrical engineering After graduation, she subsequently joined the Wionics Realtek research group and AMCC as a Senior Designer and UCLA as a Postdoctoral Scholar till August 2010. From August 2010 to August 2012, she was with the University of Florida as an Assistant Professor. Since August 2012, she has been with the University of California, Davis as an Assistant Professor. Her research interest includes high-efficiency, low-power interconnect, mm-wave and sub-mm-wave integrated circuits and SoC design techniques, as well as integrated THz circuits and systems for communication, radar, and imaging. Qun Jane Gu is a recipient of the National Science Foundation CAREER award and 2015 College of Engineering Outstanding Junior Faculty Award. She is a coauthor of several best paper awards, including the Best Student Paper Award of the 2010 IEEE Asia-Pacific Microwave Conference (APMC), the Best Paper Award of 2011 IEEE RFIT (RF Integrated Circuit Technology Conference), the Best Student Papers the Third Place of 2012 IEEE MTT-S International Microwave Symposium, and the Best Conference Paper Award of 2014 IEEE Wireless and Microwave Technology Conference (2014 WAMICON).

106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Design and Analysis of LTCC-Integrated Planar Microstrip-to-Waveguide Transition at 300 GHz Takuro Tajima, Member, IEEE, Ho-Jin Song, Senior Member, IEEE, and Makoto Yaita

Abstract—A 300-GHz planar microstrip-to-waveguide transition in low-temperature co-fired ceramic (LTCC) is numerically and experimentally analyzed. A via fence and air holes are used to form a three-dimensional transition structure and a vertical hollow waveguide inside the multilayer LTCC substrate. The transition consists of a coplanar-waveguide-fed slot radiator backed by a short-wall of the waveguide and an open-circuited microstrip resonator. On the basis of the dual operation mechanism, the design guideline for the complex 3D structure is described using full wave analysis. The overall design is experimentally verified by a back-to-back transition which exhibits insertion loss of 4 dB at 300 GHz and 36-GHz bandwidth with better than 10-dB return loss. To evaluate the loss of a single transition, we carried out a loss component analysis by evaluating different lengths of microstrip line and hollow waveguide. The estimated loss for a single transition is 1 dB at 300 GHz. The planar transition without a metal back-short significantly reduces the size of terahertz packages and eliminates the need for additional components for hermetic sealing. The compact transition is easy to integrate in a low-cost LTCC package with an MMIC chip. Index Terms—Low-temperature co-fired ceramic (LTCC), packages, terahertz (THz), transitions.

I. INTRODUCTION

T

HE RECENT demand for massive data transfer will push data rates higher in broadband wireless communications. Sub-terahertz (THz) communications is a promising solution for such high-speed data transfer in short-range applications, such as Kiosk download [1], [2]. The large bandwidth of the sub-THz band allows us to attain high data rates even with a simple modulation scheme. Based on this concept, high data rates transmission has been demonstrated at 300 GHz [3], [4]. To take advantage the wide bandwidth, the transceiver components and interconnections have to be wideband. The reported sub-THz modules provide wideband operation using waveguide-based on-chip and off-chip transition [5]–[8]. However, the reported sub-THz modules were built by metal split-block technology, so that compact and low cost modules are required to open up mobile applications. Low-temperature co-fired ceramics (LTCC)

Manuscript received May 21, 2015; revised August 12, 2015 and November 24, 2015; accepted November 26, 2015. Date of publication December 10, 2015; date of current version January 01, 2016. This work was supported in part by the research and development program “Multi-ten Gigabit Wireless Communications Technology at Sub-terahertz Frequencies” of the Ministry of Internal Affairs and Communications, Japan. The authors are with the Nippon Telegraph and Telephone Corporation (NTT) Device Technology Laboratories, NTT Corporation, Kanagawa 243-0198, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504474

technology offers many advantages, such as high integration capability and low cost for high-volume production. On the basis of substrate integrated waveguide (SIW) technology, integrated antennas in LTCC package have been reported in the 300-GHz band [9], [10]. For feeding these integrated antennas and connecting the antenna and circuit, microstrip-to-waveguide transitions in the 300-GHz band are necessary. Several types of LTCC integrated transitions have already been reported in the millimeter-wave band [11]–[13]. The integrated transitions without the back-short have advantages in its usefulness of hermetic sealing and being compact without a metal block for the backshort. To apply these integrated transitions into sub-THz regime, not only the scaling of the dimension but also the matching techniques should be developed. We have recently developed and demonstrated a wideband sub-millimeter transition integrated in multilayer LTCC substrate [14]. In addition to scaling of a conventional probe-type transition, a vertical hollow SIW and an air-hole matching structure and a back-short surrounding the microstrip probe were formed in the multilayer LTCC surrounded by metal layers and the vias. These structures were employed to match the probe impedance into the microstrip line. Low return loss and wideband operation from 220 to 300 GHz were preliminary demonstrated. However, the back-short structure with two layers of LTCC (75 ), which is minimum of this topology, limits the bandwidth of transition below 300 GHz. To tune up to 300 GHz, we redesigned the back-short structure of the transition. Fig. 1 illustrates the concept of the proposed transition integrated in a standard LTCC package [15]. To improve bandwidth, we changed the back-short structure into the short-wall structure with single layer of LTCC. This structure is realized by sealing the top side of SIW with an additional layer whose both side is specially covered with metal layer. After optimizing the structure with full-wave simulation, the improved bandwidth and insertion loss of the LTCC transition was confirmed by back-to-back evaluation at 300 GHz. In this paper, we numerically and experimentally analyze a 300-GHz planer microstrip-to-waveguide transition. The transition works in dual operation mechanism with two resonant frequencies for wider bandwidth; the lower resonant mode is excited as a half-wavelength microstrip antenna, and the higher resonant mode is excited as the resonant mode of the rectangular slot antenna. This paper is organized as follows. In Section II, the design procedure for the proposed transition is provided on the basis of the operation mechanism. The influential dimension of the transition is parametrically studied by a full-wave analysis and key design factors for improving the transition characteristics are discussed. In Section III, the design procedure is verified by comparing measured results for a prototype with simulation

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

TAJIMA et al.: DESIGN AND ANALYSIS OF LTCC-INTEGRATED PLANAR MICROSTRIP-TO-WAVEGUIDE TRANSITION

107

Fig. 1. Concept of the proposed LTCC-integrated microstrip-to-waveguide transitions.

results. In addition, the loss of a single transition at 300 GHz is evaluated from the results of loss analysis. For the loss analysis, test fixtures were fabricated and measured to estimate each loss component. Finally, the estimated loss of the transition is compared with other reported transition at 300 GHz. II. DESIGN A. Overall Structure The transition must achieve both mode conversion and impedance matching between the microstrip line and waveguide. The probe-type microstrip-to-waveguide transition is well known for its low insertion loss and wide bandwidth [13], [16], [17]. A probe placed in the center of the -plane of the waveguide efficiently captures the electromagnetic wave. In the conventional design of a microstrip-to-waveguide transition, the height of the back-short is set at around ( : guided wavelength of the waveguide) and precisely adjusted to both maximize mode conversion efficiency and satisfy the impedance-matching condition. However, it becomes difficult to adjust the height of the back-short by changing the number of layers because the thickness of the LTCC layer approaches a quarter wavelength in 300-GHz band. Other topology without back-short, such as slot-coupling transitions, achieve coupling between the microstrip line and waveguide via a slot in a ground plane [18]–[20]. For better efficiency, a resonant patch element in the waveguide is formed by using multilayered substrates [21], [22]. In this context, proximity-coupling transitions have also been reported [23], [24]. This type consists of only a single dielectric substrate with a rectangular patch element and a probe, and the probe is coupled to the patch which resonates in fundamental resonance mode. To obtain wider bandwidth, a dual resonance approach has been proposed and demonstrated [20], [24]. We take the same approach of prior art which creates and controls the frequencies of dual resonances in the passband of transition. The detailed structure of the proposed transition is depicted in Fig. 2. The features of the transition are a vertical SIW, an open-circuited microstrip stub inserted into the center of the -plane, a stepped impedance stripline, a microstrip-to-stripline with coplanar ground transition, and the short wall of the SIW. The

Fig. 2. Schematics of the proposed transition. (a) Top view of the LTCC transition; (b) cross sectional view of the transition with vertical SIW.

Fig. 3. Electric field distribution of the LTCC transition.

transition mechanism of the proposed structure is explained as follows: Fig. 3 shows the electric field distribution of each mode in the yz plane at the center of transition. The modes of the microstrip line and the waveguide are the quasi-TEM transmission mode and fundamental transmission mode, respectively. The microstrip-to-stripline with coplanar ground transition at an intermediate section works not only to convert transmission mode, but also works to match the input impedance to a 50- line at the microstrip feed point. After the microstrip-to-stripline with coplanar ground transition, the coplanar even mode and parasitic microstrip mode between the center line and surrounding ground is radiated via two different operations working together. First radiator is the CPW-fed

108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE I PARAMETERS USED IN SIMULATION

Fig. 4. Equivalent circuit model of the proposed microstrip-to-waveguide transition.

rectangular slot backed by the short-wall of the SIW. The electric field is excited inside the rectangular slot and it directly couples to the dominant mode of the waveguide. By adjusting the length of open-circuited microstrip stub or the dimension of slot opening, resonant frequency is controlled. Second radiator consists of the half-wavelength open-circuited microstrip antenna. The quasi-TEM mode between microtrip stub and short-wall of waveguide is radiated by incorporating the stepped impedance stripline. The resonant frequency is adjusted by the impedance of the open-circuited microstrip stub and stepped stripline. Electric field surrounding the microstrip couples to the electric field of the dominant mode of the waveguide. For each radiation, separately controlling two resonant frequencies provides wider bandwidth of the proposed transition. Another feature of the transition is the vertical SIW for integrated antennas. A side wall of SIW is implemented by using the via fence and metal layers, which serves as a quasi-metallic wall. As the SIW constructed vertically in stacked layer as illustrated in Fig. 2(b), the hollow SIW works as a feeder to the integrated waveguide antennas. This topology allows us to integrate the SIW with the transition, which can reduce loss and reflection due to the misalignment bsetween the waveguide and transition. Further, a quasi-metallic hollow waveguide can reduce dielectric loss of LTCC and enhance antenna efficiency [10]. B. Design and Simulation Here, we discuss the design procedure for each parts of the transition individually. To describe the mechanism of the proposed transition clearly, an equivalent circuit model using transmission lines and ideal transformers is shown in Fig. 4. The model consists of three transformers, a slot radiator, and an open-circuited microstrip resonator. The transition works as matching the impedance of microstrip and waveguide . The impedance of the microstrip-to-stripline with coplanar ground transition, open-circuited microstrip, and a rectangular slot are depicted as , , and , respectively. The transformers are the coupling between two radiators and fundamental mode in a rectangular waveguide. The coupling ratios of transformers are and , respectively. Design procedure relies on the adjustment of two resonant frequencies of resonators via , , and and enhancement of coupling efficiency , using structural elements. These parameters are strongly coupled together with structural elements. Therefore, in the design, we used the finite element method in

Fig. 5. Simulated

of the transition for different microstrip lengths.

commercial software. Parameters used for simulation model are summarized in Table I. The parameter that strongly affects the two resonance operation is the dimension of open-circuited microstrip, which is placed at the center of short wall of the SIW. By setting the microstrip width at the minimum value not to increase the capacitive reactance of the microstrip, the microstrip length is only changed to adjust the resonant frequencies. Fig. 5 shows simulated of the LTCC transition for different microstrip lengths . As is increased from 150 to 200 , a single resonance is split into two resonances and the interval of these frequencies becomes wider at 200 . When is set at 170 , around slightly smaller than a half of the effective wavelength in LTCC due to fringing effect, dual resonant operation around 300 GHz is clearly achieved. In the dual resonant mode, the lower resonant mode corresponds to an open-circuited microstrip resonator and the higher resonant mode corresponds to a slot radiator with backed by the short wall. For the design of the microstrip resonator, the width of stepped impedance stripline is an independent parameter because it less influences the impedance of the rectangular slot.

TAJIMA et al.: DESIGN AND ANALYSIS OF LTCC-INTEGRATED PLANAR MICROSTRIP-TO-WAVEGUIDE TRANSITION

Fig. 6. Simulated

Fig. 7. Simulated

of the transition for different line widths.

of the LTCC transition for via positions.

Fig. 6 shows simulated of LTCC transition for different width of the stripline . As increases, the lower resonant frequency shifts to higher frequency close to 300 GHz. For the design of the slot radiator, the via fence distance from the microtrip is an independent parameter because it determine the impedance of the backed cavity and doesn't strongly coupled to the microstrip stub. Fig. 7 shows simulated of the LTCC transition for different via positons at the broad wall. As becomes shorter, the higher resonant frequency in shifts to higher frequency above 320 GHz. The height of short-wall layer is important parameters because it determines the impedance of the backed reflector coupled with the slot. Fig. 7 shows the effect of the thickness of the short-wall layer. The simulated result for the short-wall layer with single layer of LTCC (50 ) compared to that of two layers of LTCC (75 ) in the previous study [14]. The higher resonant frequency in the keeps lower loss above 300 GHz thanks to 50short-wall structure. also makes overall slightly shifts to higher frequency for thinner short-wall structure. It worth noting that should be chosen in range of a few tens , as it determines the upper and lower limit for the bandwidth of the transition. In addition to impedance matching, the transition should be able to provide sufficient mode conversion from quasi-TEM modes into waveguide dominant mode. In the proposed transition, incorporating the air holes beside the microstrip stub, the electrical field is concentrated at the center of the waveguide so that the mode conversion into the fundamental

Fig. 8. Simulated layers.

109

of the transition for different number of short-wall

transmission mode is enhanced. Fig. 8 shows simulated of the LTCC transition for different air-hole sizes. When becomes wider while keeping the sum of and constant, overall is gradually improved by increasing . The simulation indicates that and affects the overall impedance matching for the transition rather than dual resonant frequencies. Finally, the design of SIW part is determined by the design of the integrated antenna. In the previous study, we showed that the hollow SIW surrounded by the via fence also works as a corrugated waveguide at 300 GHz [10]. As the inner wall of the SIW has dielectric-filled corrugation, the propagation characteristics of the SIW are controllable by slot depths. For instance, when slot depth is set around a half wavelength, it works as a metal waveguide. Similarly, the slot depth, the via distance from the surface of inner wall , is the same on the all four inner walls, and is set at around 0.20 mm. A further issue is the leakage through the via fence in the LTCC substrate around the waveguide opening. Several fence geometries varying in via diameter and spacing were studied. To suppress the leakage through the via fence, we use two rows of vias as shown in Fig. 2(a). Optimization was carried out to obtain the better performance of the transition. Systematic optimum design of waveguide-tomicrostrip transition has been reported, in which analytical and numerical tools are combined [24]. Due to the proposed transition has quite complex structure due to metal layers and vias, it requires full-wave analysis. Thus, in the optimization, we used the finite element method in commercial software for all the parts of transition. The goal of optimization for the transition was return loss exceeding 15 dB from 280 to 320 GHz. From a frequency-domain spectroscopic analysis, the dielectric constant and loss tangent of LTCC at 300 GHz are evaluated to be 6.7 and 0.02, respectively [25]. The conductivity of silver on LTCC is . To prevent leakage between the vias, we set the via diameter to 100 and the center-center-distance to 200 , which is equal to two-tenths of the free-space wavelength at 300 GHz. The simulation model consists of seven LTCC layers. Three layers of 0.1-mm-thick LTCC are used for the vertical SIW. The microstrip line is formed on the 50-thick base layer, whose line width and impedance is 60 and 50 , respectively. Since the thin LTCC sheets can be easily torn during the via drilling process, some space is required between

110

Fig. 9. Simulated

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

of the transition for different air hole sizes.

Fig. 11. Photograph of the fabricated transition. Overall view of LTCC substrate (top), and microphotograph of the SIWs on the bottom (bottom).

Fig. 10. Simulated S-parameters of the transition for optimized parameters.

the via fence and the air-hole region. We also make both the width and length of the holes more than 50 smaller than the waveguide cross section. This is to avoid overlapping when the LTCC layers are misaligned with a tolerance of 50 in either direction during the manufacturing process. Based on the parametric study, the optimizing parameters are , , , and as denoted in Fig. 2. Impedance matching between microstrip and SIW was performed by changing these parameters in the design rules provided by a manufacturer. Finally, is also slightly tuned for better insertion loss. Fig. 10 shows the simulated S-parameters of a transition for the optimized values in Table I. The simulated insertion loss (S21) of the transition including microstrip loss and the loss of the SIW is 2.0 dB at 300 GHz and less than 3 dB at 41-GHz bandwidth from 289 to 330 GHz. The simulated return loss (S11) of the transition is less than 15 dB at 54-GHz bandwidth from 280 to 334 GHz. By employing several matching structures including air holes, low insertion loss and wide bandwidth are achieved. III. MEASUREMENT A. Back-to-Back Measurement Transmission characteristics of the transition were measured in a back-to-back configuration. The prototype of the transition for the back-to-back measurement was fabricated with six layers using the LTCC multilayer process. A photograph of the

transition is shown in Fig. 11. Two transitions were built on the vertical SIW with the microstrip p robe and end-wall structure. Two transitions are connected by a microstrip line at the center of substrate. The transition size, including the SIW part, is . On the bottom side of the transitions, the size of the vertical SIW is as shown in Fig. 11. The air-hole structure and backside metal layer are shown in a microscopic photograph of the bottom side of the substrate. The transition was measured with a vector network analyzer (Agilent E8362B) and WR3 extenders (Virginia Diodes). The SOLT calibration was done at the waveguide flange. Fig. 12(a) shows a measurement setup and a metal waveguide module made for the back-to-back measurement. For the measurement, the prototype was mounted on top of the metal waveguide module, which connects the two vertical SIWs of the prototype to a WR3 metal waveguide on the module as shown in Fig. 12(b). Using the split-block technique, we built the waveguide module with two symmetrical E-plane bend waveguides. The accuracy of the alignment is limited by the milling precision of the lid and the fabrication tolerance of the LTCC substrate. Considering theses errors, the accuracy of the alignment is estimated to be better than 50 . The measured and simulated of the prototype is shown in Fig. 13. Lower resonant mode was observed at 295 and higher resonant modes were observed at 307 and 315 GHz. The discrepancy originates from the difference in the two transitions. The return loss is 15 dB at 300 GHz and less than 10 dB at 36-GHz bandwidth from 288 to 324 GHz. The measured return loss shows some discrepancy with the simulation, especially at the lower frequency region below 280 GHz. The return loss is relatively high compared to the simulated results because of the misalignment between the LTCC SIW on the bottom of the prototype and the metal waveguides of the metal waveguide module due to shrinkage of the substrate.

111

TAJIMA et al.: DESIGN AND ANALYSIS OF LTCC-INTEGRATED PLANAR MICROSTRIP-TO-WAVEGUIDE TRANSITION

Fig. 14. Measured and simulated

of the transition.

back transition is also shown. Simulation model consists of two symmetrical transitions connected 1.5-mm microstrip line. Each SIW of the transitions in the model is connected to metal waveguides as same as the measurement. Simulation results of backto-back transition reproduce more similar to the measured results compared that of single transition in Fig. 10. B. Loss Analysis

Fig. 12. (a) Photograph of the back-to-back measurement setup using the waveguide module (b) cross sectional view of the waveguide module.

The measured loss trends to be similar to that in the simulation, although the measured result shows some discrepancy. One reason for the discrepancy is the disagreement of dimensions in simulation model and the prototype. The manufacturing error is influential due to the dimension of the transition. The possible errors are the alignment error of layer-to-layer, via, and cavity. These errors are typically considered as less than 50 . Among the parameters depicted in Table I, the manufacturing tolerance of microstrip length and via position are the most severe as predicted in Fig. 5 and Fig. 7, respectively. The manufacturing error in these parameters could degrade the performance, especially above 300 GHz. The manufacturing error in could also limit lower side of operational frequency of transition due to the corrugations in SIW [10]. Another reason is the underestimation of loss component in simulation. There are four components in the measured loss: transition loss , microstrip-line loss , SIW loss , and waveguide module loss . In order to extract transition loss, we evaluate the losses, in particular, the microstrip-line loss and SIW loss. Assuming return loss is negligible, total loss for back-to-back transition can be express as, (1)

Fig. 13. Measured and simulated

of the transition.

Because of the symmetry of the back-to-back transition, the insertion loss for a single transition is calculated from the measured insertion loss (S21) for the total structure as shown in Fig. 14. Measured is simply divided by two for a single transition, assuming that return loss is negligible in the loss. The measured insertion loss for a single transition is 4 dB at 300 GHz. For comparison, the simulated for a back-to-

In order to extract a transition loss, we evaluate the other losses, in particular, the microstrip-line loss and SIW loss. To evaluate the microstrip-line loss, we fabricated prototypes for three different line lengths as shown in Fig. 15. We also made three types of waveguide module corresponding to theses dimensions for the back-to-back evaluation. The microstrip-line loss at 300 GHz is evaluated to be 5 dB/mm by interpolation as shown in Fig. 16. The loss of the microstrip line is found to be quite high due to rough surface and dielectric loss of the LTCC substrate.

112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 18. Measured loss of the SIWs at 300 GHz. Fig. 15. Photograph of the fabricated LTCC transition for different lengths of microstrip line.

better than 50 . For the prototypes of transitions, the length of SIW is only 0.3 mm, so that the loss of SIW is found to be negligible compared to the microstrip loss. Having been divided by two and subtracted from the microstrip loss, the insertion loss for a single transition is calculated to be 1 dB at 300 GHz. C. Comparison With Other Sub-THz Transitions

Fig. 16. Measured loss of the microstrip line on the transitions at 300 GHz.

Fig. 17. Photograph of the fabricated LTCC waveguide for different total lengths.

In a similar way, to evaluate the SIW loss, we fabricated prototypes for three different lengths as shown in Fig. 17. The SIW is formed at the center of test fixtures. Different numbers of layers were used for the fabrication. To feed the LTCC waveguide, we sandwiched the substrate with a metal guide between the fringes [10]. Thinner metal guide compared to previous study was used to measure 1.5-mm thickness substrate. Dependence of the SIW loss on the length at 300 GHz isn't clearly observed due to the variation of measurement loss as shown in Fig. 18. The possible reasons for the variation are assembly tolerance or misalignment between parts. The accuracy of the alignment is limited by the milling precision of the guide and the fabrication tolerance of the LTCC substrate. Considering theses errors, the accuracy of the alignment is estimated

Table II summarizes the performance of the presented 300-GHz microstrip-to-waveguide transitions along with that of other reported transitions at 300 GHz. In the 300-GHz band, on-chip transitions have been embedded directly on the edge of a monolithic microwave integrated circuit (MMIC) [5], [6]. These solutions are mainly used with metal waveguide packages made by split-block technology. Although the insertion loss of the proposed transition is comparable to that of other transition, the loss isn't the lowest among them. In addition, the bandwidth of the proposed transition is narrower than the other transitions. Loss of the proposed transition is largely due to the dielectric loss of LTCC. Choice of lower dielectric substrate with low dielectric loss is advantageous for lower insertion loss and wider bandwidth [7], [8]. We should note that off-chip transitions need an additional interconnection structure to the MMIC, such as wire-bonding and flip-chip bonding, which could result in additional loss. For the proposed transition, achieving low-loss interconnection to MMIC is also a challenge. One of the advantages of the proposed transition is compatible with hermetic sealing, which separates MMIC on the substrate from the feeding waveguide for MMIC reliability. On the other hand, a sealing window in the waveguide is required for the metal packages. It is worth noting that the sealing window inserted in the waveguide could limit the bandwidth and cause additional loss [26]. IV. CONCLUSION A 300-GHz LTCC microstrip-to-waveguide transition was designed and analyzed. On the basis of full-wave analysis, we employed 3D structural elements for dual resonant operation to wider bandwidth. By incorporating the air-holes besides the microstrip stub, larger bandwidth and better mode conversion efficiency is also achieved. To verify the design, measured loss is compared with simulated loss for the back-to-back transition. The experimental results have good agreement with simulations

TAJIMA et al.: DESIGN AND ANALYSIS OF LTCC-INTEGRATED PLANAR MICROSTRIP-TO-WAVEGUIDE TRANSITION

113

TABLE II COMPARISON TO OTHER SUB-THZ MICROSTRIP-TO-WAVEGUIDE TRANSITIONS

and confirm that optimizing the dual resonant structure is the key to achieving low insertion loss and wide bandwidth. Furthermore, loss components in the transition were experimentally investigated. The loss of a prototype of the transition is estimated 1-dB insertion loss at 300 GHz. Although there are several issues in using LTCC for sub-THz applications, such as high dielectric loss and a relatively high dielectric constant and metal lines with a coarse line and space pattern, experimental results show that the low-loss transition integrated in the LTCC package is a possible solution even for sub-THz transceiver modules. Furthermore, the proposed transition can be integrated in compact and low-cost LTCC packages. Unlike bulky and expensive metallic modules, the low-cost highly integrated 300-GHz module meets the size and cost requirements for mobile phones and security radars. REFERENCES [1] H.-J. Song and T. Nagatsuma, “Present and future of terahertz communications,” IEEE Trans. THz Sci. Tech., vol. 1, no. 12, pp. 256–263, Sep. 2011. [2] T. Schneider, A. Wiatrek, S. Preußler, M. Grigat, and R.-P. Braun, “Link budget analysis for terahertz fixed wireless links,” IEEE Trans. THz Sci. Tech., vol. 2, no. 2, pp. 250–256, Mar. 2012. [3] H.-J. Song, K. Ajito, Y. Muramoto, A. Wakatsuki, T. Nagatsuma, and N. Kukutsu, “24 Gbit/s data transmission in 300 GHz band for future terahertz communications,” Electron. Lett., vol. 48, no. 15, pp. 953–954, Jul. 2012. [4] H.-J. Song, J.-Y. Kim, K. Ajito, N. Kukutsu, and M. Yaita, “50-Gb/s direct conversion QPSK modulator and demodulator MMICs for terahertz communications at 300 GHz,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 600–609, 2014. [5] L. Samoska, W. R. Deal, G. Chattopadhyay, D. Pukala, A. Fung, T. Gaier, M. Soria, V. Radisic, X. Mei, and R. Lai, “A submillimeterwave HEMT amplifier module with integrated waveguide transitions operating above 300 GHz,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 6, pp. 1380–1388, Jun. 2008. [6] W. Deal, X. B. Mei, K. M. K. H. Leong, V. Radisic, S. Sarkozy, and R. Lai, “A 340–380 GHz integrated CB-CPW-to-waveguide transition for sub millimeter-wave MMIC packaging,” IEEE Trans. Microw. Wireless Comp. Lett., vol. 19, no. 6, pp. 413–415, Jun. 2009. [7] A. Tessmann, A. Leuther, V. Hurm, H. Massler, M. Zink, M. Kuri, M. Riessle, R. Lösch, M. Schlechtweg, and O. Ambacher, “A 300 GHz mHEMT amplifier module,” in Proc. IEEE Int. Conf. Indium Phosphide Related Mater., 2009, pp. 196–199. [8] J. W. Kooi, G. Chattopadhyay, S. Withington, F. Rice, J. Zmuidzinas, C. Walker, and G. Yassin, “A full-height waveguide to thin-film microstrip transition with exceptional RF bandwidth and coupling efficiency,” Int. J. Infrared Millimeter Waves, vol. 24, no. 3, pp. 261–284, 2003. [9] J. Xu, Z. N. Chen, and X. Qing, “270-GHz LTCC-integrated striploaded linearly polarized radial line slot array antenna,” IEEE Trans. Antennas Propag., vol. 61, no. 4, pp. 1794–1801, Apr. 2013.

[10] T. Tajima, H.-J. Song, K. Ajito, M. Yaita, and N. Kukutsu, “300-GHz stepped-profiled corrugated horn antenna integrated in LTCC,” IEEE Trans. Antennas Propag., vol. 62, no. 11, pp. 5437–5444, Sep. 2014. [11] Y. Huang and K.-L. Wu, “A broad-band LTCC integrated transition of laminated waveguide to air-filled waveguide for millimeter-wave applications,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 5, pp. 1613–1617, 2003. [12] Y. Ding and K. Wu, “Substrate integrated waveguide-to-microstrip transition in multilayer substrate,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2839–2844, 2007. [13] P. Wu, Z. Wang, Y. Zhang, S. Sun, and R. Xu, “Wideband waveguide to microstrip probe transition with LTCC technology,” Electron. Lett., vol. 47, no. 1, pp. 43–44, 2011. [14] T. Tajima, H.-J. Song, and M. Yaita, “Wideband probe-type microstripto-waveguide transition integrated in LTCC,” Electron. Lett., vol. 50, no. 3, pp. 194–195, 2014. [15] T. Tajima, H.-J. Song, and M. Yaita, “300-GHz microstrip-to-waveguide transition integrated in LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., 2014, pp. 1–4. [16] Y.-C. Leong and S. Weinreb, “Full band waveguide-to-microstrip probe transitions,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 4, pp. 1435–1438. [17] J. Purden, D. Zimmerman, and M. Miller, “A new vertical transition for FR-4 based millimeter-wave MCMs,” in IEEE MTT-S Int. Microw. Symp. Dig, 2012, pp. 1–3. [18] F. J. Villegas, D. I. Stones, and H. A. Hung, “A novel waveguide-tomicrostrip transition for millimeter-wave module applications,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 1, pp. 48–55, 1999. [19] W. Grabherr, B. Huder, and W. Menzel, “Microstrip to waveguide transition compatible with MM-wave integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 9, pp. 1842–1843, 1994. [20] X. Huang and K.-L. Wu, “A broadband U-slot coupled microstrip-towaveguide transition,” IEEE Trans. Microwave Theory Techn., vol. 60, no. 5, pp. 1210–1217, May 2012. [21] L. Xia, R. Xu, and B. Yan, “LTCC-based highly integrated millimeterwave receiver front-end module,” Int. J. Infrared Millimeter Waves, vol. 27, no. 7, pp. 975–983, 2006. [22] Y. Deguchi, K. Sakakibara, N. Kikuma, and H. Hirayama, “Millimeter-wave microstrip-to-waveguide transition operating over broad frequency bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2107–2110. [23] H. Iizuka, T. Watabnabe, K. Sato, and K. Nishikawa, “Millimeter-wave microstrip line to waveguide transition fabricated on a single layer dielectric substrate,” IEICE Trans. Commun., vol. E85-B, no. 6, pp. 1169–1177, 2002. [24] H. Lee and T. Itoh, “A systematic optimum design of waveguide-tomicrostrip transition,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 5, pp. 803–809, 1997. [25] J.-Y. Kim H.-J. Song, K. Ajito, M. Yaita, and N. Kukutsu, “Continuouswave THz homodyne spectroscopy and imaging system with electrooptical phase modulation for high dynamic range,” IEEE Trans. THz Sci. Tech., vol. 3, no. 2, pp. 158–164, 2013. [26] F. J. Schmückle, W. Gross, K. Hirche, M. Rostewitz, and W. Heinrich, “A 30-GHz waveguide-to-microstrip transition,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1169–1172.

114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Takuro Tajima (S’03–M’04) received the B.S. and M.E. degrees from the University of Tokyo, Tokyo, Japan, in 2000 and 2002, respectively. In 2002, he joined Nippon Telegraph and Telephone Corporation (NTT), Telecommunication Energy Laboratories, Kanagawa, Japan, where he was engaged in the research and development of measurement technologies for laser photo-acoustic spectroscopy for non-invasive biosensors. Since 2012, he has been engaged in the research and development of terahertz antennas and packages. He is currently a Senior Research Engineer with NTT Device Technology Laboratories, Kanagawa, Japan. His current research involves millimeter-wave and sub-terahertz-wave antenna-in-package and terahertz spectroscopy system based on photonic integration. Mr. Tajima is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Ho-Jin Song (S’02–M’06–SM’13) received the B.S. degree in electronics engineering from Kyungpook National University, Daegu, Korea, in 1999, and the M.S. and Ph.D. degrees in information and communications engineering from Gwangju Institute of Science and Technology, Gwangju, Korea, in 2001, and 2005, respectively. From 2005 to 2006, he was involved with the Center for Hybrid Optical Access Networks at the Gwangju Institute of Science and Technology, Gwangju, Korea, as a Research Professor, where

he was engaged in research on millimeter-wave communications systems utilizing radio-over-fiber technologies. Since he joined Microsystem Integration Laboratories, Nippon Telegraph and Telephone Corporation (NTT), Atsugi, Kanagawa, Japan, in 2006, he has been working on the development of millimeter-wave and sub-terahertz wave systems for communications, sensing, imaging, and measurement applications using photonic technologies and high-speed electronics. Dr. Song is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Makoto Yaita received the B.S. and M.E. degrees from Waseda University, Tokyo, Japan, in 1988 and 1990, respectively. In 1990, he joined Nippon Telegraph and Telephone Corporation (NTT), LSI Laboratories, Kanagawa, Japan, where he was engaged in the research and development of measurement technologies for high-speed devices and ultrafast-optical signals. From 1999 to 2008, he was engaged in the development of the digital television relay network for NTT Communications. He is currently a Senior Research Engineer and Supervisor with NTT Device Technology Laboratories, Kanagawa, Japan. His current research involves millimeter-wave and sub-terahertz-wave radio transmission.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

115

Direct Extraction of InP/GaAsSb/InP DHBT Equivalent-Circuit Elements From -Parameters Measured at Cut-Off and Normal Bias Conditions Tom K. Johansen, Member, IEEE, Rémy Leblanc, Julien Poulain, and Vincent Delmouly

Abstract—A unique direct parameter extraction method for the small-signal equivalent-circuit model of InP/GaAsSb/InP double heterojunction bipolar transistors (DHBTs) is presented. -parameters measured at cut-off bias are used, at first, to extract the distribution factor for the base-collector capacitance at zero collector current and the collector-to-emitter overlap capacitance present in InP DHBT devices. Low-frequency -parameters measured at normal bias conditions then allows the extraction of the external access resistances , , and as well as the intrinsic HBT elements of the device. The terminal inductances of the device are extracted from high frequency -parameters by employing the intrinsic HBT elements extracted at low-frequency. Compared to other published direct parameter extraction techniques the proposed method is developed specifically for III-V based HBTs and avoids -parameters measured at the critical open-collector bias condition. The method is applied to an emitter width InP/GaAsSb/InP DHBT device and leads to excellent prediction of the measured -parameters in the 250 MHz–65 GHz frequency range. Index Terms—Direct parameter extraction, GaAsSb, heterojunction bipolar transistor (HBT), InP, small-signal equivalentcircuit model.

I. INTRODUCTION

T

HE development of small-signal equivalent-circuit models for integrated high-frequency transistors is a key step for technology optimization, construction of large-signal models, and design of monolithic microwave integrated circuits (MMICs) [1]. Despite the strong interest in integrated circuits employing Silicon based devices, double heterojunction bipolar transistors (DHBTs) using InP technology are still recognized for their performance advantages, such as higher speed and higher signal swing. This makes these devices suitable for the design of the highest-speed mixed-signal and millimeter-wave integrated circuits. To aid the technology development of InP based DHBT devices and associated circuit design activities Manuscript received May 15, 2015; revised September 26, 2015; accepted November 20, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. T. K. Johansen is with the Electromagnetic Systems Group, DTU Elektro, Technical University of Denmark, DK-2800 Kgs. Lyngby, Denmark (e-mail: [email protected]). R. Leblanc, J. Poulain, and V. Delmouly are with OMMIC, BP11, 94453 Limeil Brévannes Cedex, France. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2503769

accurate small-signal equivalent circuit models valid well into the millimeter-wave frequency range are needed. In general, there are two different approaches in common use for the small-signal equivalent circuit modeling of HBTs, namely the T-topology and the hybrid- topology [2]. The T-topology is often claimed to better represent the physical structure of the HBT making it the topology of choice for many previously reported parameter extraction studies, e.g., [3]–[9]. Most established large-signal models for HBT devices, however, build upon the Gummel-Poon formulation and reduce to the hybrid- topology when linearized. Therefore a number of studies have considered parameter extraction techniques for the hybrid- topology [10]–[15]. Common to both approaches is the assumption that the small-signal equivalent circuit model can be separated into an intrinsic bias dependent part and an extrinsic bias independent part. The series parasitics in the extrinsic part of the model is commonly extracted using two-port small-signal measurements performed on the HBT biased in the “open collector” condition [7]–[9], [11], [12]. Similarly, two-port small-signal measurements in the “cut-off” bias condition are often proposed to extract the external shunt parasitics by assuming a certain bias dependence of the internal junction capacitances [9], [11]. Several shortcomings can be identified with respect to these standard methods. 1) The “open collector” method relies on heavily forward biased HBT junctions. This is accomplished by forcing a high current into the base of the HBT with high risk for irreversible damage to the device. Furthermore, the method is complicated by the existence of a distributed diode between the base and collector. This leads to a noticeable overestimation of the emitter resistance [16] and underestimation of the external base resistance [17]. 2) The junctions of high-speed InP DHBTs are often designed to reach punch-through under rather low reverse bias voltages. As a consequence the simple bias dependence typically assumed for the junction capacitance during the estimation of the probe pad parasitic capacitance is not valid, in general, for these devices. 3) InP DHBTs are often targeting operation in the millimeter-wave frequency range. The simple distribution of external parasitic elements assumed in previously approaches is expected to lead to a high level of modeling inaccuracies at such high frequencies. In order to overcome these difficulties, an improved direct parameter extraction method dedicated to III-V based HBTs is proposed in this work. It is shown that the complete small-signal equivalent circuit model including all access resistances and

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 2. Device under test (DUT) embedded in coplanar probe pads and interconnects parasitic network.

Fig. 1. Microphotograph of on-wafer test-structure used for experimental characterization of InP/GaAsSb/InP DHBT device. The insert shows a SEM photograph of the device before interconnection metal layer deposition.

terminal inductances can be extracted from -parameters measured under cut-off and normal bias conditions only. Hence, the critical “open-collector” measurement step can be completely eliminated. To accomplish this an extraction procedure using multiple bias points and a separation between low and high frequency effects is employed. The proposed extraction method is an extension of the physical based extrinsic base resistance extraction method previously reported for submicronmeter [17] and transferred substrate [18] InP/InGaAs DHBTs. Another feature of the proposed extraction method is the employment of an elaborate de-embedding procedure based on on-wafer dummy structures to provide a more accurate distribution between probe pads, interconnects, and device parasitics for the modeling at millimeter-wave frequencies. The organization of the paper is as follows. Section II describes the de-embedding procedure followed for the removal of probe pads and interconnects parasitics. Section III presents the small-signal equivalent circuit model valid at millimeter-wave frequencies, along with its analytical two-port parameters. The details in the parameter extraction methodology is presented in Section IV. In Section V the extraction results are given and the accuracy of the proposed small-signal equivalent-circuit modeling approach is discussed. Conclusions are finally drawn in Section VI. II. DE-EMBEDDING PROCEDURE The device considered in this work is a triple mesa self-aligned InP/GaAsSb/InP DHBT device with emitter size of . The device is fabricated at OMMIC in France and features RF figures-of-merits of more than 200 GHz. The epitaxial structure is similar to the one described in [19] and consists of a heavily doped InP/InGaAs emitter cap, n-doped 80 nm InP emitter, heavily p-doped 25-nm GaAsSb base, n-doped 240-nm InP collector, and lattice matched heavily doped InP/InGaAs subcollector. A microphotograpy of the on-wafer test-structure used for experimental characterization of the InP/GaAsSb/InP DHBT device is shown in Fig. 1. The insert of Fig. 1 shows a SEM photograph of

the device before interconnection metal layer deposition. To accommodate on-wafer testing, the device under test (DUT) is placed between coplanar probe pads and connected using short interconnects. At microwave and millimeter-wave frequencies the parasitic effects arising from the probe pads and interconnects may completely mask out the performance of the device under test and should therefore be accurately determined and de-embedded from the measured two-port parameters. The small-signal equivalent circuit model for the on-wafer test structure employed in this work is shown in Fig. 2. It consists of shells of parasitics with the shunt parasitic elements distributed on each side of the interconnect lines series parasitics for a more accurate representation at millimeter-wave frequencies [20]. The de-embedding procedure requires “Open Pad,” “Open Base-Shorted Collector,” “Shorted Base-Open Collector,” and “Thru” test structures. Should experimental data not be available for these test-structures they can be obtained using electromagnetic simulation as described in [21]. The shunt capacitive elements, , , and in the outer shell can be determined from the “Open Pad” test structure as (1) (2) (3) with are the two-port -parameters where for the “Open Pad” test structure. The series elements, , , and can be determined from the “Open Base-Shorted Collector,” “Shorted Base-Open Collector,” and “Thru” test structures as (4) (5) (6) is the trans-admittance parameter for the “Thru” where test structure, and are -parameters for the

JOHANSEN et al.: DIRECT EXTRACTION OF INP/GAASSB/INP DHBT EQUIVALENT-CIRCUIT ELEMENTS FROM

-PARAMETERS

117

TABLE I PROBE PADS AND INTERCONNECTS PARASITIC ELEMENTS

“Shorted Base-Open Collector” and “Open Base-Shorted Collector” test structures, respectively. The prime indicates that the shunt parasitic elements in the outer shell have been de-embedded from these test-structures. The parasitic shunt capacitive elements in the inner shell can be determined as (7) (8) where the approximation is due to the neglect of the series impedance in the “Open Base-Shorted Collector” and in the “Shorted Base-Open Collector” test structures, respectively. The extracted values for the probe pads and interconnects parasitic elements are given in Table I. It is interesting to notice that approximately 25% of the total shunt capacitance should be located internally across the terminals of the device-under-test. This is in good agreement with the findings in [22]. Once all parasitic elements have been determined their influence on the measured two-port scattering matrix can be removed following a three-step de-embedding procedure. In the first step the parasitic elements in the outer shells are removed as follows (9) where is the measured two-port admittance matrix converted from the measured scattering matrix. In the second step the series parasitic elements are removed using (10) and finally the shunt parasitics elements associated with the inner shell are removed (11) which gives the two-port admittance matrix of the DUT. III. MODEL DESCRIPTION The small-signal equivalent circuit model for the de-embedded InP/GaAsSb/InP DHBT device valid under normal forward active bias operation is shown in Fig. 3. The hybridtopology is chosen due to its direct link to HBT large-signal models. The total base-collector capacitance, , is distributed between the external part, , and an intrinsic part, .

Fig. 3. Small-signal equivalent circuit model for InP/GaAsSb/InP DHBT device valid at millimeter-wave frequencies. The dashed box indicates the intrinsic HBT model.

The resistance, , represents the finite output resistance of the device at normal bias conditions. The elements , , represents residual terminal inductances not removed during the de-embedding procedure. The total base resistance is divided into an intrinsic part, , and an extrinsic part, . The intrinsic part, , represents the spreading resistance associated with the active region under the emitter while the extrinsic part, , represents the combined resistance due to the lateral semiconductor region underneath the base contact and the gap region between the base contact and the active emitter edge. Following the authors previous study regarding the extrinsic base resistance in InP/InGaAs HBT models [17], it is assumed that the influence from a complex shunt base contact impedance can be neglected at frequencies above a few GHz. In the hybrid- topology, the base-emitter capacitance, , includes a capacitance term where and represents the base transit time and collector depletion region transit-time, respectively. This is in contrast to the T-topology which only includes the junction capacitance and models the effect of transit times using a frequency dependent base transport factor . The effect of the base and collector depletion region transit-times on the base-emitter capacitance in the hybrid- topology follows directly from a small-signal quasi-static approximation to the regional charge-control relation as described by te Winkel [25]. High-frequency distributed effects (also known as nonquasi-static effects) are included into the small-signal model by a time-delay on the transconductance and a capacitor in shunt with the intrinsic base resistance to represent ac current crowding [26]. The collector mesa to emitter runner overlap capacitance found in InP based HBTs is represented with in Fig. 3. As shown in Fig. 3 the only overlap capacitance present in the model is between the collector and emitter. Any overlap capacitance existing between base-emitter and base-collector can be absorbed into the base-emitter capacitance and external base-collector with minor influence on the model accuracy. The two-port -parameters for the equivalent circuit model, depicted in Fig. 3, can be expressed as (12)

118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

where is the angular frequency and the -parameters without overlap capacitance are given as

(13)

(14)

(15)

(16) with

, , and

Fig. 4. Small-signal equivalent circuit model for InP/GaAsSb/InP DHBT device in the cut-off mode. The influence from the terminal inductances, shown as dashed components, are neglected at low frequencies.

, .

IV. PARAMETER EXTRACTION METHODOLOGY A. Cut-off Mode Extraction The extraction procedure starts at the collector side by the determination of the external overlap capacitance from cut-off mode measurements. The small-signal equivalent circuit model valid in the cut-off mode is shown in Fig. 4. The influence from the terminal inductances are negligible in the lower frequency where the high reactance of the capacitances dominates the behavior of the device. The extraction of the collector-emitter overlap capacitance follows directly from the -parameters in cut-off mode as

Fig. 5. Extracted cut-off mode distribution factor versus frequency. The bias , . The dashed line indicates the extracted point is value.

(17) A value around 3.4 fF is extracted for the device considered here. Once the element has been determined from cut-off mode measurements its influence can be de-embedded from the small-signal -parameters and will not be given any more attention during the remaining parameter extraction procedure. The two-port parameters measured in cut-off mode can also be used to extract the distribution factor between the intrinsic and total base-collector capacitances as needed for the extraction of the extrinsic base resistance (see next subsection). Analysis of the small-signal equivalent circuit model in Fig. 4 shows that the distribution factor must fulfill the equation [17] (18) where the only valid solution for zero and one. The function

will be in the range between is given as (19)

which can be evaluated by neglecting the influence from the emitter resistance . At first this may seem like a rather crude approximation but at frequencies where it can be shown that it is equivalent to the condition which is normally well fulfilled in InP based DHBTs. Fig. 5 shows the extracted cut-off mode distribution factor in the frequency range from 2 to 20 GHz. The frequency range of extraction is limited to 2–20 GHz to avoid potentially dispersive behavior caused by parasitic terminal inductances. Despite some oscillatory behavior in the lowest frequency range the extracted value for shows rather constant behavior versus frequency which verifies the approximation introduced for the evaluation of (19). The averaged extracted value of is somewhat smaller than the calculate ratio of the emitter to collector areas and indicates that a small-valued overlap capacitance may be present between the base and collector terminals. This overlap capacitance is absorbed into the extrinsic base-collector capacitance with negligible effect on the extraction of the remaining elements of the small-signal equivalent-circuit model.

JOHANSEN et al.: DIRECT EXTRACTION OF INP/GAASSB/INP DHBT EQUIVALENT-CIRCUIT ELEMENTS FROM

Fig. 6. Small-signal equivalent circuit model for InP/GaAsSb/InP DHBT device valid at lower frequencies.

-PARAMETERS

119

Fig. 7. Extracted (symbols) and fitted curve (solid line) for base-collector ca). The fitted parameters are pacitance versus collector current (at , , and .

B. Extraction of Extrinsic and Intrinsic Base Resistances In [17], a novel method using -parameters measured under normal forward-active bias conditions was reported for the extraction of the extrinsic base resistance, . The extraction procedure assumes a small-signal equivalent circuit as shown in Fig. 6 which remains valid at lower frequencies where the influence from the terminal inductances and intrinsic base shunt capacitance, , is negligible. The method exploits the physical behavior of the base-collector capacitance versus collector current found in III-V based HBTs [23] (20) is the total base-collector capacitance at zero curwhere rent, is a characteristic parameter describing the slope of the inverse electron velocity versus electric field in the collector, and is a characteristic current describing the response of the electric field to current flow in the collector. The parameters , , and are determined by fitting (20) to extracted values for the base-collector capacitance versus collector current. By combining (15) and (16) the total base-collector capacitance can be extracted as (21) where the influence from the not yet determined external collector is negligible. Therefore even the roughest estimate for (i.e., ) will be good enough in this extraction step. Fig. 7 shows the extracted base-collector capacitance versus collector current along with the corresponding fitted curve using the parameters , , and . With a reference to the elements in Fig. 6 an effective base resistance can be defined as (22) where

the

approximation

is , and

valid

at

frequencies, is the

distribution factor between the current dependent intrinsic and total base collector capacitances. The current dependent distribution factor can be linearized according to [17] (23) for with where at zero current has been introduced. Inserting the linear approximation from (23) into (22) gives (24) which is observed to reduce to the extrinsic base resistance at the collector current where the linear approximation for reaches zero. Fig. 8 illustrates the external base resistance extraction method applied to the emitter area InP/GaAsSb/InP DHBT device considered here. It is noticed that the fitted linear approximation for the effective base resistance also provides an estimate for the intrinsic base resistance, , through the initial slope of the effective base resistance versus as illustrated in Fig. 8. An assumption of the above extraction method is that the intrinsic base resistance is independent of bias current. In practice a moderate bias dependence of the intrinsic base resistance is often observed in InP DHBTs. This is mainly believed to be caused by dc current crowding which, however, is estimated to be of minor importance for the device considered here. At higher current levels thermal effects may become important due to the self-heating in the device and will lead to an increase in intrinsic base resistance. At a later extraction step, the value of the extracted intrinsic base resistance will be refined at each bias point once the remaining extrinsic elements have been extracted. The proposed approach allows the extraction of the extrinsic and intrinsic base resistances from the effective base resistance in (22) independently of the emitter inductance. Thereby, the strong dependence on the emitter inductance experienced in other base resistance extraction methods such as

120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 8. Extracted effective base resistance plotted versus for and (at ). The dashed line is a , , , plot of (24) using the parameters . and

Fig. 9. Extracted intrinsic and extrinsic base-collector capacitance versus freand quency. The dashed lines indicates the extracted values of .

the well-known improved semi-impedance circle method [27] is avoided. C. Extraction of Extrinsic and Intrinsic Base-Collector Capacitance With the determination of the extrinsic and intrinsic base resistances, and in the previous extraction step the current dependent distribution factor can be extracted from normal bias conditions using (25) which is an exact expression for an HBT with reverse biased base-collector junction and infinite . The intrinsic and extrinsic base-collector capacitances are easily found from the distribution factor in (25) using (21) for the total base-collector capacitance (26) While the value of the extrinsic base-collector capacitance is expected to stay constant versus collector current for a fixed base-collector voltage, the value of the intrinsic base-collector capacitance is dependent on the collector current and will therefore be extracted again once the intrinsic hybrid-pi model is extracted. Fig. 9 shows the extrinsic and intrinsic base-collector capacitance versus frequency extracted using (26) at the bias point of and . The low-frequency dispersion is caused by a finite . D. Extraction of Extrinsic Collector and Emitter Resistances The extraction of the extrinsic collector resistance its outset based on the relation

takes (27)

valid at normal bias conditions. The right hand side of (27) decreases as the square of frequency. At low frequencies it approaches the output conductance . The value of the extrinsic collector resistance has a strong effect on the frequency

Fig. 10. Extracted conductance factor versus frevalues. The dashed line plots the right hand quency calculated for varying , side of (27) versus frequency using the parameters , , and . The bias is , .

dependence of the left hand side of (27), here called the conductance factor. This is clearly illustrated in Fig. 10 which plots the conductance factor for , , and . The low frequency value of the conductance factor is observed to be nearly independent of and allows an output conductance around to be determined. Now all equivalent circuit elements needed to calculate the right hand side of (27) is known. The value of the extrinsic collector resistance is chosen as the one leading to the best fulfillment of the relation given in (27). Following this novel procedure an external collector resistance of is extracted. The extraction of the emitter resistance from normal bias conditions is based on the linear extrapolation of the real part of versus the inverse of emitter current [3]. At very high current levels the dynamic resistance of the base-emitter junction is forced towards zero. The intrinsic and extrinsic base-collector junctions remains reverse biased and their dynamic resistances can be assumed to be very high. Under this assumption the analytical expression for evaluated at low frequencies becomes [16] (28)

JOHANSEN et al.: DIRECT EXTRACTION OF INP/GAASSB/INP DHBT EQUIVALENT-CIRCUIT ELEMENTS FROM

Fig. 11. Evolution of , corrected for the offset, versus inverse emitter . The bias voltage is . current

where the forward current gain has been introduced. While the extrapolated value associated with the first term of (28) reduces to zero as expected, the second term gives rise to an offset which leads to an overestimate of the emitter resistance. This is especially true for InP DHBT devices with high intrinsic base resistance and low forward current gain [6]. The extraction of the emitter resistance can be improved by calculating the second term in (28) at each bias point and subtracting it from . The forward current gain is determined from the low frequency magnitude of . The evolution of , corrected for the offset, versus inverse emitter current for the InP DHBT device is shown in Fig. 11. Before correction an extrapolated value of approximately was obtained. After correction a value for the emitter access resistance of is determined. E. Extraction of Intrinsic Circuit Parameters Following the extraction of the extrinsic circuit parameters their influence on the measured two-port parameters are de-embedded by employing a straightforward peeling algorithm. The influence from the not yet extracted terminal inductances is ignored in this step. Analysis of the intrinsic equivalent circuit model within the dashed box of Fig. 3 gives the following -parameters:

-PARAMETERS

121

Fig. 12. Extracted intrinsic base resistance versus frequency. The dashed line . The bias point is , indicates the extracted value of .

where the reciprocal formulation helps to minimize frequency dispersion at high frequencies caused by a possible base shunt capacitance. Fig. 12 shows the intrinsic base resistance extracted versus frequency at the bias point of ; . The average extracted value over the frequency range from 2–20 GHz is . This is very close to the value of extracted previously using the initial linear slope of the effective base resistance versus as illustrated in Fig. 8. The intrinsic base shunt capacitance can, in principle, be extracted according to (34) however no reliable value can be identified from the experimental data within the full measurement range up to 65 GHz. Instead it is proposed here, similar to the approach in [18], to assign the theoretically expected value of to the intrinsic base shunt capacitance. The improved model accuracy obtained using this approach will be discussed in the next section. The impedance associated with the base-emitter junction can be extracted from (35)

(29) (30)

which allows

and

to be extracted as (36)

(31) (32) where the superscript indicates that the -parameters represents the intrinsic equivalent circuit model. The intrinsic circuit elements can be extracted from (29) to (32) as follows. At first the previous extracted value of is refined using (33)

Similar the intrinsic base-collector impedance tracted from

can be ex-

(37) leading to (38)

122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 13. Extracted terminal inductances versus frequency. The bias point is , .

Fig. 14. Measurement setup showing probe-station for on-wafer characterization and Anritsu Vector Network Analyzer.

for and . Notice that there exist some redundancy in the extraction of some of the intrinsic elements as these were already determined during previous extraction steps. The transconductance is extracted according to

residual terminal inductances remaining after the de-embedding procedure employing nonideal short structures. V. EXTRACTION RESULTS AND DISCUSSION

(39) from which

and

follows directly.

F. High-Frequency Extraction of Terminal Inductances The terminal inductances of the device can be extracted from high frequency -parameters measured at normal bias condition by employing the intrinsic HBT elements extracted at low-frequency where the influence from the terminal inductances is expected to be minor. The terminal inductances follows directly from (13)–(16) as (40)

(41) and

(42) This approach is similar to that followed in [13]. Fig. 13 illustrates the extraction of the terminal inductances versus frequency. The extraction is performed in the high frequency range from 40 to 65 GHz where the values shows the least dispersive behavior. As seen, the base and collector inductances are rather small valued, on the order of 1–2 pH, while the emitter inductance is slightly larger at around 6.5 pH. The reason for the low base and collector terminal inductance may be the use of a nonideal short test structures in place of the device during the de-embedding procedure. This is expected to lead to a slight over de-embedding of the terminal inductances. Therefore, the inductances extracted in this subsection are to be understood as

The two-port scattering parameters are measured on-wafer using picoprobes model 67A-GSG-125-P from GGB Industries and an Anritsu W360B Vector Network Analyzer (40 MHz to 65 GHz). Fig. 14 shows a photograph of the measurement setup. The small-signal equivalent circuit elements extracted at the bias points , , , and are given in Table II. The extracted intrinsic elements shows consistent behavior over bias. For instance, the intrinsic base-collector capacitance shows a reduction from 5.5 to 3.1 fF with increasing collector current from 3.8 to 28.9 mA, while the external base-collector capacitance only varies by over the same bias range. The reduction in base-collector capacitance is due to capacitance cancelation [23] and should only influence the intrinsic part as well captured by our extraction procedure. It can also be observed that the intrinsic base resistance stays rather constant against bias current as assumed during the extraction of the extrinsic base resistance. Furthermore, the extracted values allows us to verify the frequency range used for the extraction. First of all the condition corresponding to introduced during the extraction of the effective base resistance in (22) evaluates to frequencies in the terahertz region. Thus it presents no real limitation to the accuracy of the extrinsic and intrinsic base resistance extraction. Secondly, the effect of ac current crowding is expected to become noticeable only at higher frequencies where . At the bias point , this corresponds to a frequency around 62.7 GHz. The terminal inductances, in particular the emitter inductance, are found to have a strong influence on the extracted value for some intrinsic elements in the highest frequency range. Thus the frequency range from 2–20 GHz initially chosen for the extraction of extrinsic resistances and all intrinsic equivalent circuit elements should be sufficient to guaranty frequency independent elements as also confirmed by our extraction examples. Fig. 15 shows the comparison between measured and calculated -parameters at the bias-points: , ,

JOHANSEN et al.: DIRECT EXTRACTION OF INP/GAASSB/INP DHBT EQUIVALENT-CIRCUIT ELEMENTS FROM

TABLE II SMALL-SIGNAL EQUIVALENT CIRCUIT ELEMENTS

-PARAMETERS

123

TABLE III RESIDUAL FITTING ERRORS AT THE EXTRACTED BIAS POINTS

where is the number frequency points, is the measured -parameter at the frequency , and is the modeled -parameter at this frequency. The residual errors between the measured and modeled -parameters, at different bias conditions, for the complete small-signal equivalent circuit model are compared in Table III. The residual errors of around 2.4%–2.8% are quite low and lower than the errors given in [15] despite the higher frequency employed in our work. The table also gives the residual errors for small-signal equivalent circuit models without nonquasi-static (NQS) and reactive external device parasitic effects. The nonquasi-static effects is deactivated by setting the elements and to zero. Similar the effect of reactive external device parasitics is deactivated by setting the elements , , , and to zero. It is quite interesting to observe that there is only a minor increase in residual errors when using the model without NQS effects included. This may explain the difficulties experienced in the extraction of reliable values for from experimental data. The residual errors for the model without reactive external device parasitics increase more, especially at higher current levels. This is mainly found to be due to the strong influence from the emitter inductance on the -parameters at higher frequencies. VI. CONCLUSION

Fig. 15. Comparison between measured (dots) and calculated (lines with symbols) -parameters over the frequency range from 250 MHz to 65 GHz. The , , 9.1, 15.1, and 28.9 mA. bias points are

9.1, 15.1, and 28.9 mA. As seen, an excellent agreement is obtained between measured and calculated -parameters in the frequency range from 250 MHz to 65 GHz for all considered bias-points. This confirms the reliability of the proposed extraction methods as well as the validity of the millimeter-wave small-signal equivalent circuit model in Fig. 3. The Smith chart plots of -parameters alone, however, do not clearly quantify the level of match between measured and calculated -parameters. For this purpose the residual error can be calculated according to [15]

(43)

In this work, a direct parameter extraction method for the hybrid- small-signal equivalent-circuit dedicated to III-V based HBTs, such as InP/GaAsSb/InP DHBTs, is developed. The parameters of the model are determined from cut-off and normal (operating) bias conditions only. Thereby, measurements in the critical “open-collector” bias conditions previously required for the extraction of access resistances and terminal inductances of HBTs can be avoided. By employing an elaborate de-embedding procedure based on on-wafer dummy structures a more accurate distribution between probe pads, interconnects, and device parasitics is obtained. The presented extraction methodology thus permits improved modeling of InP based HBTs at millimeter-wave frequencies. REFERENCES [1] A. R. Alt, D. Marti, and C. R. Bolognesi, “Transistor modeling,” IEEE Microw. Mag., vol. 14, no. 4, pp. 83–101, Jun. 2013. [2] M. Rudolph, Introduction to Modeling HBTs. Norwood, MA, USA: Artech House, 2006. [3] S. A. Maas and D. Tait, “Parameter-extraction method for heterojunction bipolar transistors,” IEEE Microw. Guided Wave Lett., vol. 2, no. 12, pp. 502–504, Dec. 1992. [4] S. J. Spiegel, D. Ritter, R. A. Hamm, A. Feygenson, and P. R. Smith, “Extraction of the InP/GaInAs heterojunction bipolar transistor smallsignal equivalent circuit,” IEEE Trans. Electron Dev., vol. 42, no. 6, pp. 1059–1064, Jun. 1995.

124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

[5] C.-J. Wei and J. C. M. Hwang, “Direct extraction of equivalent circuit parameters for heterojunction bipolar transistors,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 9, pp. 2035–2040, Sep. 1995. [6] J. M. M. Rios, L. M. Lunardi, S. Chandrasekhar, and Y. Miyamoto, “A self-consistent method for complete small-signal parameter extraction of InP-based heterojunction bipolar transistors,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 1, pp. 39–45, Jan. 1997. [7] B. Sheinman et al., “A peeling algorithm for extraction of the HBT small-signal equivalent circuit,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 12, pp. 2804–2810, Dec. 2002. [8] J. Gao, X. Li, H. Wang, and G. Boeck, “An approach to determine small-signal model parameters for InP-based heterojunction bipolar transistors,” IEEE Trans. Semicond. Manuf., vol. 19, no. 1, pp. 138–145, Feb. 2006. [9] W.-B. Tang, C.-M. Wang, and Y.-M. Hsin, “A new extraction technique for the complete small-signal equivalent-circuit model of InGaP/GaAs HBT including base contact impedance and AC current crowding effect,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3641–3647, Oct. 2006. [10] D. Costa, W. U. Liu, and J. S. Harris, “Direct extraction of the AlGaAs/ GaAs heterojunction bipolar transistor small-signal equivalent circuit,” IEEE Trans. Electron Dev., vol. 38, no. 9, pp. 2018–2024, Sep. 1991. [11] Y. Gobert, P. J. Tasker, and K. H. Bachem, “A physical, yet simple, small-signal equivalent circuit for the heterojunction bipolar transistor,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 1, pp. 149–153, Jan. 1997. [12] Y. Suh, J.-H. Shin, B. Kim, D. Heo, A. Radhavan, and J. Laskar, “Direct extraction method for internal equivalent circuit parameters of HBT small-signal hybrid- model,” in IEEE MTT-S Dig., Jun. 2000, pp. 1403–1404. [13] T. S. Horng, J. M. Wu, and H. H. Huang, “An extrinsic-inductance independent approach for direct extraction of HBT intrinsic circuit parameters,” in IEEE MTT-S Dig., Jun. 2001, pp. 1761–1764. [14] L. Degachi and F. M. Ghannouchi, “An augmented small-signal HBT model with its analytical based parameter extraction technique,” IEEE Trans. Electron Devices, vol. 55, no. 4, pp. 968–972, Apr. 2008. [15] A. Oudir, M. Mahdouani, and R. Bourguiga, “Direct extraction method of HBT equivalent-circuit elements relying exclusively on -parameters measured at normal bias conditions,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 1973–1982, Aug. 2011. [16] T. K. Johansen et al., “A novel method for HBT intrinsic collector resistance extraction from -parameters,” in Proc Asia–Pacific Microw. Conf., Dec. 2007, pp. 1825–1828. [17] T. K. Johansen, V. Krozer, V. Nodjiadjim, A. Konczykowska, J.-Y. Dupuy, and M. Riet, “Improved extrinsic base resistance extraction for submicronmeter InP/InGaAs DHBT models,” IEEE Trans. Electron Devices, vol. 58, no. 9, pp. 3004–3011, Sep. 2011. [18] T. K. Johansen et al., “Small- and large-signal modeling of InP HBTs in transferred-substrate technology,” Int. J. Microw. Wireless Technol., vol. 6, no. 3-4, pp. 243–251, Jun. 2014. [19] H. Maher et al., “A 300 GHz InP/GaAsSb/InP HBT for high data rate applications,” in Proc. 23th Indium Phoshide Rel. Mater. Conf., May 2011, pp. 1–3. [20] L. F. Tiemeijer and R. J. Havens, “A calibrated lumped-element de-embedding technique for on-wafer RF characterization of high-quality inductors and high-speed transistors,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 822–829, Mar. 2003. [21] T. K. Johansen, V. Krozer, A. Konczykowska, and J. Vidkjær, “Largesignal modeling of high-speed InP DHBTs using electromagnetic simulation based de-embedding,” in Proc. MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 655–658. [22] L. F. Tiemeijer, R. M. T. Pijper, J. A. van Steenwijk, and E. van der Heijden, “A new 12-term open-short-load de-embedding method for accurate on-wafer characterization of RF MOSFET structures,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 2, pp. 419–433, Feb. 2010. [23] L. H. Camnitz and N. Moll, “An analysis of the cutoff-frequency behavior of microwave heterojunction bipolar transistors,” in Compound Semiconductor Transistors, Physics and Technol. Piscataway, NJ, USA: IEEE Press, 1993, pp. 21–46.

[24] S. Blayac, M. Kahn, M. Riet, P. Berdaguer, and J. Godin, “Simple and accurate method to extract intrinsic and extrinsic base-collector capacitance of bipolar transistors,” Electron. Lett., vol. 39, no. 17, pp. 1282–1283, 2003. [25] J. te Winkel, “Past and present of the charge-control concept in the characterization of the bipolar transistor,” Adv. Electr. Electr. Phys., vol. 39, pp. 253–289, 1975. [26] M. P. J. G. Versleijen, “Distributed high frequency effects in bipolar transistors,” in Proc. Bipolar Circuits Technol. Meet., 1991, pp. 85–88. [27] W. J. Kloosterman, J. C. J. Paasschens, and D. B. M. Klaassen, “Improved extraction of base and emitter resistance from small-signal high frequency admittance measurements,” in Proc. Bipolar/BiCMOS Circuits Technol. Meet., 1999, pp. 93–96.

Tom K. Johansen (S’04–M’04) received the M.Sc. and Ph.D. degrees in electrical engineering from the Technical University of Denmark (DTU), Lyngby, Denmark, in 1999 and 2003, respectively. In 1999, he joined the Electromagnetic Systems Group (DTU Elektro), DTU, where he is currently an Associate Professor. From September 2001 to March 2002, he was a Visiting Scholar with the Center for Wireless Communication, University of San Diego, San Diego, CA, USA. From November 2012 to February 2013, he spent a sabbatical with the Ferdinand Braun Institute (FBH), Berlin, Germany. His research interests include the modeling of HBT devices, millimeter-wave and sub-millimeter-wave integrated circuit design, and reconfigurable microwave components.

Rémy Leblanc received the degree in microwaves and optoelectronics engineering from the Paris “Telecom-ParisTech” High School, Paris, France, in 1983. After graduation, he worked with the Microwave Research Group of the Laboratoire d'Electronique PHILIPS, where he was involved in MMIC design and modeling. From 1988 to 2000, he was Manager of the Foundry Support Group within the Philips Microwave Limeil GaAs Foundry. From 2000 to 2010, he was Manager of the MMIC design, product engineering and foundry support activities at OMMIC, Limeil, France. Since 2011, he has been Director of Product Development, including MMIC design, on wafer test, foundry support, modeling, design kits, qualification, and reliability activities.

Julien Poulain was born on August 6, 1985. He received the master’s degree in radio frequency and microwave engineering from the University of Lille, Lille, France, in 2009. In 2012, he joined the Foundry Support Department at OMMIC, Limeil, France, where he is working on design kit development and modeling activities.

Vincent Delmouly received the master’s degree in electronic engineering from Université Bordeaux 1, Talence, France, in 1997, and the Ph.D. degree in electrical engineering at Université Paris sud-Orsay, Paris, France, in 2000. In 2000, he began to work in the Epitaxial Department at OMMIC, Limeil, France, where he was involved in the electrical characterization of III-V epilayers. In 2004, he became the Project Manager of InP HBT Industry development at OMMIC. His main research interest is based on material and process development for InP HBT devices as well as their physical and electrical characterizations.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

125

Characterization of Hot-Carrier-Induced RF-MOSFET Degradation at Different Bulk Biasing Conditions From -Parameters Fabián Zárate-Rincón, Student Member, IEEE, Daniel García-García, Victor H. Vega-González, Reydezel Torres-Torres, Senior Member, IEEE, and Roberto S. Murphy-Arteaga, Senior Member, IEEE

Abstract—A method to evaluate hot-carrier-induced degradation in MOSFETs and incorporating the corresponding effect into the RF small-signal model is presented. The method is based on the controlled and gradual degradation of a common-source configured RF-MOSFET by applying a high drain-to-source dc voltage. For this purpose, the electrical stress is monitored through the current measured at a bulk terminal separated from the RF pads used to collect the -parameters, which allows the precise definition of the stress condition. The small-signal parameters are determined from measured -parameters for a fresh device and as degradation occurs to quantify the corresponding dependence on time. Exhaustive measurements and parameter extractions point out the impact of hot-carrier-injection (HCI) stress on both the intrinsic and extrinsic elements, which show an expected trend described by a power equation. To verify the validity and consistency of the method, -parameter model-experiment correlations are carried out before and after HCI stress when using the implemented models and extracted data. In this way, an excellent agreement between simulated and experimental data is achieved. Index Terms—Hot-carrier-injection -parameters.

(HCI),

RF-MOSFET,

I. INTRODUCTION

C

HARACTERIZING and modeling semiconductor device reliability becomes mandatory to predict failures in ICs. This is particularly important for circuits implemented in advanced technologies due to the tremendously high magnitude of electric fields applied to nanometer MOSFETs, which originate hot-carrier-injection (HCI). Even though device degradation has been widely studied in the dc regime, ac analysis is currently required due to the considerable application of nanometer Manuscript received May 28, 2015; revised September 25, 2015; accepted November 20, 2015. Date of publication December 21, 2015; date of current version January 01, 2016. This work was supported in part by CONACyT, Mexico, under Grant 83774-Y, and by IMEC, Leuven, Belgium. F. Zárate-Rincón, D. García-García, R. Torres-Torres and R. S. MurphyArteaga are with the Instituto Nacional de Astrofísica, Óptica y Electrónica (INAOE), Department of Electronics, Tonantzintla, Puebla 72840, Mexico (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). V. H. Vega-González is with IMEC, B-3001 Leuven, Belgium (e-mail: victor. [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504090

MOSFETs at RF and microwave frequencies [1]–[4]. Thus, in order to incorporate HCI effects into an RF model, it is necessary to take into account that HCI decreases transconductance in the saturation and gate-to-source capacitance regime, which are related to the cut-off frequency and the maximum oscillation frequency [5], [6]. This also and an increase involves a reduction of the drain current of the channel resistance since oxide-trapped charge makes a higher voltage necessary to achieve inversion of the channel region. In order to analyze these effects, there is much research relying on -parameter measurement-based characterization for microwave MOSFETs degraded by HCI [5]–[9]. However, since the standard tool to perform the measurements is a two-port Vector Network Analyzer (VNA), the MOSFET is typically configured as a two-port device with the source and bulk terminals tied. Unfortunately, this configuration does not allow one to have independent access to the bulk terminal for either biasing or measuring purposes. Hence, when only devices in this configuration are available, research associated with HCI has been restricted to characterizing RF parameters at bulk-to-source voltage . In current approaches, the stress condition is normally established when the gate-to-source voltage is equal to the drain-to-source voltage or when [1], [10]. These biasing points are considered to be the worst-case HCI stress conditions taking place near the pinch-off region. Indeed, it was experimentally found that the bulk current versus . Nevertheless, curves present a maximum value at when shrinking the gate length, the value for at which reaches its maximum becomes independent of [11], [12]. Thus, an improved way to define stress conditions to degrade the MOSFET for characterization purposes requires to consider how fast or slow the transistor will change its characteristics as it is degraded. This allows for the quantification of the gradual change of the affected parameters. In this regard, can be chosen at the point where equals a given percentage of [7]. In this case, access to the device's bulk terminal is needed. In order to provide a solution to the problem of characterizing HCI degradation effects in an RF-MOSFET as a four-terminal device, dc and RF measurements are performed on a test structure that includes a separate bulk terminal. In this direction, our previous work shows how to extract the MOSFET

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 1. MOSFET's small-signal equivalent circuit indicating the bulk terminal . Here, . biasing

parameters from RF data [13]. Furthermore, we extended this proposal to devices in which the bulk biasing is varied [14]. Thus, the dependence of and the small-signal model param, , , and on HCI is thoroughly eters, , , , studied in Sections III and IV. This allows for the consideration of the change of these parameters as the device is degraded within the small-signal model, which is presented in Section V.

Fig. 2. Micrograph of the test structure when the RF probes and the bulk dc probe are positioned.

II. TEST STRUCTURES AND RF MEASUREMENTS Multifingered common-source RF-MOSFETs with gate length , finger width , and number of were fabricated in a CMOS process with a fingers shallow trench isolation scheme. The devices under test (DUTs) present a ground shield, which is built at the bottom metal layer and connected to the ground pads to appropriately isolate them from the substrate [14]. These pads are made of aluminum and are formed at the top metal layer. To increase the performance of the transistor, a polysilicon/SiON gate and a guard ring are used. In order to measure the two-port -parameters on the DUTs, pitch ground-signal-ground (GSG) a VNA, two probes, and the corresponding cable interfaces were used. For this purpose, the setup was calibrated using an off-wafer line-reflect-match algorithm. Furthermore, the effect associated with the probing pads is de-embedded through the measurement of on-wafer “open” and “short” dummy structures, following the procedure in [15]. The measurements were performed up to 20 GHz under different bias conditions, considering the linear region, strong inversion and saturation. This allowed the extraction of the intrinsic parameters: , , , gate-to-source capacitance , drain-to-source capacitance , as well as the extrinsic parameters: gate resistance , source resis, drain resistance , bulk resistance , and tance junction capacitances ( and ), which are illustrated in Fig. 1. The DUT, test fixture, and the probe configuration are presented in Figs. 2 and 3. Notice that an additional dc probe with a power bypass capacitor (not shown) is used for the independent biasing of the bulk terminal. The objective of this probe is explained in the following section. III. STRESS CONDITION AND DC MEASUREMENTS With the purpose of exposing the fabricated MOSFETs to HCI degradation, a dc current is injected through the drain terminal while is measured. Since in this case is mainly due

Fig. 3. Experimental setup used to perform RF measurements under different bias conditions, illustrating the semiconductor device analyzer (SDA), the vector network analyzer (VNA), the device under test (DUT), and the probes.

to impact ionization, is an adequate figure of merit to monitor HCI. To take advantage of this fact in the experiment, a bulk terminal is conveniently located independently of the GSG pad array used to measure the -parameters; the corresponding pad is labeled as “bulk pad” in Fig. 2. It is important to remark this detail since conventional test fixtures for measuring two-port network parameters on RF-MOSFETs tie the substrate to the source terminal, which impedes the control of the hot-carrier induced degradation through the direct quantification of . Two important conditions should be established when defining the experiments to degrade a MOSFET in a controlled way: 1) the magnitude of to observe hot-carrier induced degradation , and 2) the time intervals to observe the . Due to the considerable degradation in a gradual fashion differences in structure, fabrication process, and dimensions between MOSFETs implemented in continuously evolving technologies, developing an analytical way to determine and considering all these differences is not practical. In this regard, previous approaches mention that defining the magnitude of as high as 10% that of the drain current

ZÁRATE-RINCÓN et al.: HOT-CARRIER-INDUCED RF-MOSFET DEGRADATION

allows to induce observable device degradation at time intervals in the order of minutes [7]. Nonetheless, that research was performed in relatively large devices with gate mask lengths down to . For our experiments, however, the considered devices present , which requires and to allow observing the gradual the reduction of device degradation. After exhaustive dc measurements carried out on one of the fabricated devices, it was determined that an appropriate value for is 1% of . Thus, in order to obtain the bias voltages to achieve this condition, it is useful to consider an expression that relates the ratio to the applied voltages. In this regard, an approximate equation is given by [16]

127

Fig. 4. Data regression to obtain the drain voltage to perform degradation.

(1) is the drain satwhere is the effective ionization length, uration voltage, and and are the ionization constants. Thus, when plotting experimental versus in a semilogarithmic scale, a straight line with negative slope should be observed, wherein can be obtained as explained in [17]. Fig. 4 shows a regression performed on experimental data plotted in this fashion. Good linearity is observed in the data plotted in Fig. 4 except at the lowest measured range, which is outside the interest of this work (i.e., negligible degradation occurs in this range). Notice in Fig. 4 that a well above the threshold voltage was selected to operate the device within strong inversion reis set to zero to assure that the measured gion. In addition, is only due to the HCI associated with the applied . It is worthwhile to mention that the regression shown in Fig. 4 allows to obtain for the HCI degradation experiment from a preliminary measurement. Thus, these measurements can be carried out at relatively low ; then an extrapolation can be performed to determine the degradation condition without practically modifying the characteristics of the device before starting the degradation experiment. Summarizing, for the measured device the conditions established to perform the degradation experiment are the following: , , , and . Under these conditions, an electric field between the drain and source terminals of about 30 MV/m is applied for the used technology node. It is observed that, when the device is exposed to HCI degradation, its characteristics change in a more accentuated way during the first degradation intervals, and this change becomes smaller as the degradation time increases. For this reason, is chosen to be smaller at the beginning of the degradation process and takes larger values as it progresses to shorten the experimentation without penalizing the resolution of the characterization methodology. Therefore, the degradation time spans from 0 to 1000 s in several steps of 25, 50, 100, and 500 s, considering more points at lower time instants wherein parameter changes are more significant. As a result of HCI stress, the inversion region is significantly reduced by the gate oxide charge trapping, increasing the associated channel impedance, which decreases for a given , as is illustrated in Fig. 5. This figure shows two families of curves

Fig. 5. Experimental versus curves at and two different bulk biasing conditions (top)

Fig. 6. Extracted

at different times and (bottom) .

as a function of stress time under different

.

to observe their expected shift on the axis when is modi, which is about 0.1 V. This change can be fied from 0 to analyzed through due to its well known dependence on . In our experiments, the extrapolated was obtained and the corresponding increase with the total HCI degradation time is shown in Fig. 6. In fact, it can be observed that the slope of decreases gradually within the considered time span, following a power law trend, empirically expressed as [18] (2) where is the number of excess carriers generated by impact ionization near the drain region due to carrier-carrier collisions, which is also known as the magnitude of degradation, is a fitting parameter, is at 0 s and . In addition, is strongly dependent on biasing. and can be found from the regression of experimental versus data, which is shown in Fig. 7 for two different volt; and at ; ages. In this case, ; and at . That is to say, increases in 51% as is varied from 0 to . This is attributed to high energy holes produced by impact ionization which then reach the bulk terminal.

128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 7. Logarithmic versus stress time data regressions at two to obtain the parameters in (2). different

IV. DETERMINATION OF THE SMALL-SIGNAL PARAMETERS FROM THE TRANSISTOR IN THE LINEAR REGION With the aim of simplifying the analysis for evaluating the variation of the MOSFET extrinsic parameters because of HCI stress, the device is biased in the linear region, where the transistor does not show gain. In this regime, is much lower and the channel can be considered as uniformly than inverted. In fact, in the linear region the parasitic series resistances , , and can be appropriately obtained even as [19]. Nevertheless, due to the considerable a function of substrate losses in RF-CMOS technologies at high-frequencies, before obtaining , and , the substrate parasitics have to be determined. This allows to remove the corresponding effect from the experimental data. The full procedure is detailed afterwards. The most convenient bias condition to carry out the parameter extraction for the substrate parasitics is: and (i.e., the zero-bias FET condition). In this case, the and can be neglected since the effects associated with channel region is not inverted and , and , can be extracted through linear regressions of the experimental data [13], [14]. Then, after obtaining these elements, the corresponding effect can be removed from the experimental -parameters (represented by means of the matrix) using the following matrix operation: (3) where

Fig. 8. Determination of , and .

at different stress times at

Fig. 9. Extracted , , , and

, and

,

,

as a function of stress time at .

which allows finding from the equivalent impedance of the output port . Explicitly, can be properly determined from a linear regression at low frequencies using (5) . The previous prowhere cedure can be seen in Fig. 8, where experimental data up to a frequency were used. It is due to the fact that at higher frequencies the data becomes noisy since the reactance associated with is so low that it increases the uncertainty of the intercept to find . In addition, using data under the cold-FET condition, and can be determined as a function of by using

(4)

(6)

This step is important since even when simplified analysis may be useful to characterize MOSFETs in low loss or isolated substrates [5], neglecting the substrate losses in standard CMOS processes may lead to unrealistic results. Bearing in mind also that, due to the weak dependence of the substrate network components on the gate and drain biasing voltages, the removal of , , and determined at the zero-bias FET condition is performed from measurements in other bias conditions. The only bias dependence to be considered in the substrate components is related to . Once the measurements have been corrected for the substrate parasitics, the data obtained when the MOSFET is biased in the cold-FET condition (i.e., and ) is used to extract and the series parasitic resistances. In order to accomplish this, is transformed to -parameters ,

(7) When has been obtained for different HCI stress times in strong inversion, an increase of can be observed, mainly due to excess of carriers in the gate oxide, while the extrinsic parameters , , , and do not significantly change; this is illustrated in Fig. 9. Now, consider the equation that relates with , given by (8) which can be rewritten as (9)

ZÁRATE-RINCÓN et al.: HOT-CARRIER-INDUCED RF-MOSFET DEGRADATION

Fig. 10.

129

as a function of stress time. Fig. 12. Experimental (symbols) and simulated (continuous line) data of varying stress time at , , and .

Fig. 11. Experimental (symbols) and simulated (continuous line) data of up to 20 GHz varying stress time at , and .

Fig. 13. Experimental (symbols) and simulated (continuous line) data of varying stress time at , , and .

where is the effective mobility, is the oxide capacitance per unit area, is the total gate width, and is the effective gate length. Thus, using (9), can be obtained since the rest of the parameters are already known. In Fig. 10, the versus stress time curve is shown. Notice the monotonically decreasing behavior of these data, which is clearly observable in a linear scale up to 400 s due to the reduction of and , and the increasing of . The change of is associated with the damage of the gate occurs due to the oxide. In turn, the undesirable effect on decrease of the carrier concentration in the channel. This also involves the variation of , since scattering by carrier-carbecomes rier collisions is lower than ordinarily. However, roughly constant when the carrier concentration of the inversion region is below that of the lightly doped drain (LDD) regions for a given value at . Moreover, decreases and increases at the same rate. Based on these assumptions, as Fig. 10 shows, does not significantly vary after . 400 s, reaching a value of Using the model in Fig. 1 and the extracted data at different stress times, a model-experiment correlation was performed for the -parameters under the cold-FET condition. The good agreement achieved up to 20 GHz shows the validity of the extraction procedure under strong inversion at different stress times, as it is presented in Figs. 11–13.

do this, was kept at 1.2 V which is the nominal value for the studied devices. A value of was chosen, since it is well above for all the polarization conditions considered in the present analysis, as shown in Fig. 5. In addition, was varied from to 0 V. To obtain the intrinsic parameters, linear regressions of the experimental data were performed after removing the effects of the parasitic substrate network and the series parasitic resistances [21]. As , , , , and are dependent on the level of the inversion in the channel which varies with the hot carrier degradation, these parameters must be taken into account to evaluate the impact of aging on the device. In order to correctly represent this, the variation of the intrinsic parameters can be considered as the sum of each of the elements at 0 s plus its respective change for a given (e.g., ), which is illustrated in Fig. 14. These elements are experimentally obtained from

V. DETERMINATION OF THE SMALL-SIGNAL PARAMETERS IN THE SATURATION REGIME

where the admittances , , and do not consider the effect of the parasitic elements and thus, these must be subtracted from experimental data to use (10) through (14). As a consequence of the damage of the gate oxide during the aging of the transistor, decreases while increases with stress time, which affects the reliability of the device, as shown in Fig. 15. This happens since most of the carriers in

For most applications, the transistor is biased in strong inverand , which makes the study of sion at different values of MOSFET in the active region varying necessary [20]. This dc bias condition allows analyzing the intrinsic parameters with HCI stress. In accordance to the RF measurements required to

(10) (11) (12) (13) (14)

130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 14. Equivalent circuit in the saturation regime, considering the degraand dation of the MOSFET's intrinsic parameters. Here, .

Fig. 15. Extracted .

and

as functions of stress time at

Fig. 17. Extracted and .

and

Fig. 18. and

and .

and

as a function of stress time at

and

Fig. 19. and

Fig. 16. and

as functions of stress time at

versus stress time at

and

(inset) as a function of stress time at .

TABLE I EXPRESSIONS FOR THE INTRINSIC PARAMETERS

.

the saturation regime transit the pinch-off region by ballistic transport and experience no scattering events, interacting with the interfacial layer that generates carriers with enough energy to be redirected and injected into the gate oxide. In a similar way to , and also follow a power law trend, as is presented in Fig. 16. In this regard, it is worthwhile to mention that the slopes of the regressions to obtain the models for and do not change with , and are equal to 0.5 and 0.6, respectively. On the other hand, varies slightly with stress time for the reason that there is no inversion region under the gate near the drain terminal in the saturation regime. In contrast, it varies at the source side and thus, becomes smaller after HCI stress. and are shown in Fig. 17, whereas The curves for the regressions to obtain the corresponding model parameters are illustrated in Fig. 18. In the same way, decreases with , which is presented in Fig. 19 for two values of . In turn, the inset of Fig. 19 illustrates the regression to obtain the model for . Note that the slopes of the regressions , , and do not vary of

with . In accordance with this, the value of only affects the intercept, which takes into account the number of excess carriers generated by impact ionization near the drain region. The extracted values from regressions for all intrinsic parameters are summarized in Table I. This allows us to determine each of the elements at any . The validation of the extracted parameters for the small-signal equivalent circuit, which is made through the comparison of experimental and simulated two-port S-parameters under different stress times at , , , is presented in Fig. 20, in which it is and

ZÁRATE-RINCÓN et al.: HOT-CARRIER-INDUCED RF-MOSFET DEGRADATION

131

VI. CONCLUSION

Fig. 20. Two-port -parameters up to 20 GHz at and for three different stress times.

,

The effect of RF-MOSFET aging due to hot carrier injection on the small-signal model parameters under different bulk bias conditions was studied. For this purpose, the stress condition to degrade the transistor was carefully established by means of monitoring the bulk current, which allows determining the required drain-to-source voltage and degradation time steps. In order to do this, the device under test presents an independent bulk terminal, which allows to measure the bulk current and to apply bulk-to-source voltages different from zero. Therefore, the change in the small-signal model parameters, as well as in the threshold voltage, was experimentally quantified through exhaustive measurement and parameter extractions at several degradation stages, even when a bias voltage is applied to the bulk terminal. These changes were appropriately represented using equations describing a power law, where the corresponding parameters were determined through data regressions. Moreover, the obtained equations were incorporated to the small-signal model to represent the high-frequency behavior of the device as degradation takes place. ACKNOWLEDGMENT The authors would like to thank IMEC, Leuven, Belgium, for supplying the test structures REFERENCES

Fig. 21. Experimental and simulated two-port , , and and the method in [22].

-parameters up to 20 GHz at , using the proposed method

possible to observe the shift to the right of and . For this, the expressions listed in Table I and the equivalent circuit in Fig. 14 are used to obtain the simulated data. The variation of with stress time is more significant at low frequencies because the impedance of the output port is mainly defined by , which is strongly dependent on excess carriers in the gate , related to the real part of oxide. In turn, the reduction of admittance , changes . In order to carry out a fair comparison with a recently reported approach to model HCI degradation at microwave frequencies, the small-signal model used in [22] was used to represent the MOSFET considered here. Fig. 21 shows the corresponding results at a particular bias condition considering a stress time . Even though the bias dependent characteristics of the intrinsic MOSFET parameters are appropriately represented before and after degradation using the formulation in [22], the lack of consideration for the substrate parasitics and the bias dependence of the extrinsic series resistances introduce noticeable errors in the corresponding simulations. Thus, it is important to remark the fact that in addition to the proper modeling of the impact of HCI on the intrinsic MOSFET's characteristics, taking into account the extrinsic effects is necessary to avoid either neglecting their effect or incorrectly incorporating it on other parameters.

[1] H. Su, H. Wang, T. Xu, and R. Zeng, “Hot-carrier-induced damage and its spatial location on RF noise in deep-submicrometer NMOSFETs,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 5, pp. 1295–1300, May 2008. [2] S. Naseh, M. J. Deen, and C. H. Chen, “Effects of hot-carrier stress on the performance of CMOS low-noise amplifiers,” IEEE Trans. Device Mater. Rel., vol. 5, no. 3, pp. 501–508, Sep. 2005. [3] J. S. Yuan and S. Chen, “A simulation study of colpitts oscillator reliability and variability,” IEEE Trans. Device Mater. Rel., vol. 12, no. 3, pp. 576–581, Sep. 2012. [4] E. Xiao, J. S. Yuan, and H. Yang, “Hot-carrier and soft-breakdown effects on VCO performance,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 11, pp. 2453–2458, Nov. 2002. [5] L. Negre et al., “Reliability characterization and modeling solution to predict aging of 40-nm MOSFET DC and RF performances induced by RF stresses,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1075–1083, May 2012. [6] L. Negre et al., “Aging of 40 nm MOSFET RF parameters under RF conditions from characterization to compact modeling for RF design,” in Proc. IEEE RF IC Symp., Jun. 2011, pp. 1–4. [7] S. Naseh, M. J. Deen, and O. Marinov, “Effect of hot-carrier stress on technology NMOSFETs and circuits,” the RF performance of in Proc. IEEE Int. Reliab. Physics Symp., Dallas, TX, USA, 2002, pp. 98–104. [8] L. Pantisano et al., “RF performance vulnerability to hot carrier stress and consequent breakdown in low power 90 nm RFCMOS,” in IEDM Tech. Dig., Washington, DC, USA, 2003, pp. 181–184. [9] J. P. Walko and B. Abadeer, “RF S-parameter degradation under hot carrier stress,” in Proc. IEEE Int. Rel. Physics Symp., Phoenix, AZ, USA, 2004, pp. 422–4255. [10] T. Nigam, B. Parameshwaran, and G. Krause, “Accurate product lifetime predictions based on device-level measurements,” in Proc. IEEE 47th Annu. Int. Rel. Physics Symp., Montreal, 2009, pp. 634–639. [11] F. Balestra, T. Matsumoto, M. Tsuno, H. Nakabayashi, and M. Koyanagi, “New experimental findings on hot carrier effects in subMOSFETs,” IEEE Electron Device Lett., vol. 16, no. 10, pp. 433–435, Oct. 1995. [12] K. G. Anil, S. Mahapatra, and I. Eisele, “Electron-electron interaction signature peak in the substrate current versus gate voltage characteristics of n-channel silicon MOSFETs,” IEEE Trans. Electron Devices, vol. 49, no. 7, pp. 1283–1288, Jul. 2002.

132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

[13] G. A. Alvarez, R. Torres, and R. S. Murphy, “Using S-parameter measurments to deteremine the threshold voltage, gain factor, and mobility degradation factor for microwave bulk-MOSFETs,” Micrelectronics Rel., vol. 51, no. 2, pp. 342–349, Feb. 2011. [14] F. Zarate, G. A. Alvarez, R. Torres, R. S. Murphy, and S. Decoutere, “Characterization of RF-MOSFETs in common-source configuration at different source-to-bulk voltages from S-parameters,” IEEE Trans. Electron Devices, vol. 60, no. 8, pp. 2450–2456, Aug. 2013. [15] R. Torres-Torres, R. S. Murphy-Arteaga, and J. A. Reynoso-Hernandez, “Analytical model and parameter extraction to account for the pad parasitics in RF-CMOS,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1335–1342, Jul. 2005. [16] C. Wen-Chung, C. Shen-Li, C. S. Ho, and Y. G. Chen, “A semi-analytical substrate current model of N-channel MOSFETs operating at 77 K and 300 K,” in Proc. IEEE Southcon, 1996, pp. 350–355. [17] W. Y. Jang, C. Y. Wu, and H. J. Wu, “A new experimental method to determine the saturation voltage of a small-geometry MOSFET,” Solid-State Electron, vol. 31, no. 9, pp. 1421–1431, 1988. [18] E. Takeda, N. Suzuki, and T. Hagiwara, “Device performance degradation to hot-carrier injection at energies below the Si-SiO2 energy barrier,” in Proc. IEEE Int. Electron Dev. Meet., 1983, vol. 29, pp. 396–399. [19] F. Zarate-Rincon, R. S. Murphy-Arteaga, R. Torres-Torres, A. Ortiz-Conde, and F. J. Garcia-Sanchez, “Modeling the impact of multifingering microwave MOSFETs on the source and drain resistances,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3255–3261, Dec. 2014. [20] L. Zuo and S. K. Islam, “Low-voltage bulk-driven operational amplifier with improved transconductance,” IEEE Trans. Circuits Syst., vol. 60, no. 8, pp. 2084–2091, 2013. [21] R. Torres-Torres and R. Murphy-Arteaga, “Straightforward determination of small-signal model parameters for bulk RF-MOSFETs,” in Proc. IEEE Int.. Caracas Conf. Dev., Circ. Syst., Dominican Republic, Nov. 2004, pp. 14–18. [22] L. Negre, D. Roy, S. Boret, and P. Scheer, “Advanced 45 nm MOSFET small-signal equivalent circuit aging under DC and RF hot carrier stress,” in Proc. IEEE Int. Rel. Physics Symp., Monterey, CA, USA, 2011, pp. 811–814. Fabián Zárate Rincón (S’14) received the B.S. degree in electronic engineering from University of Quindio, Armenia, Colombia, in 2006, and the M.S. degree in electronics from the National Institute for Astrophysics, Optics and Electronics (INAOE), Puebla, Mexico, in 2012. He is currently working toward the Ph.D. degree in electronics at INAOE. From 2006 to 2010, he was a Research Assistant with the University of Quindio. His research interest includes the study of semiconductor devices operating at microwave frequencies.

Daniel García-García received the B.E. degree in mechatronic engineering from the Instituto Tecnologico de Estudios Superiores de Monterrey (ITESM), Puebla, Mexico, in 2008, and the M.S. degree in electronics from National Institute for Astrophysics, Optics and Electronics (INAOE), Puebla, Mexico, in 2015. He is currently working on power integrity for PCBs at Intel, Mexico. His research interests include characterization and modeling of HF devices and Hot-Carriers degradation.

Victor H. Vega-González received the Ph.D. degree in electronics from the National Institute for Research on Astrophysics, Optics, and Electronics (INAOE), Tonantzintla, Puebla, México, in 2014. He currently works at IMEC, Leuven, Belgium, as a BEOL Process Integration Engineer for the interconnects program.

Reydezel Torres-Torres (S’01–M’06–SM’15) received the Ph.D. degree in electronics from the National Institute for Research on Astrophysics, Optics, and Electronics (INAOE), Tonantzintla, Puebla, México, in 2003. He is a Senior Researcher in the Electronics Department of INAOE. He has authored more than 70 journal and conference papers and directed six Ph.D. and 15 M.S. theses, all in experimental high-frequency characterization and modeling of materials, interconnects, and devices for microwave applications. He has worked for Intel Laboratories in Mexico and IMEC in Belgium.

Roberto S. Murphy-Arteaga (M’92–SM’02) received the B.Sc. degree in physics from St. John’s University, Collegeville, MN, USA, and the M.Sc. and Ph.D. degrees from the National Institute for Research on Astrophysics, Optics, and Electronics (INAOE), Tonantzintla, Puebla, México, in 1988 and 1997, respectively. He has taught graduate courses at the INAOE since 1988, totaling over 100 taught undergraduate and graduate courses. He has given over 80 talks at scientific conferences and directed seven Ph.D., 13 M.Sc., and two B.Sc. theses. He has published more than 120 articles in scientific journals, conference proceedings, and newspapers; and is the author of a text book on Electromagnetic Theory. He is currently a Senior Researcher with the Microelectronics Laboratory, INAOE, and the Director of Research of the INAOE. His research interests are the physics, modeling, and characterization of the MOS transistor and passive components for high frequency applications, especially for CMOS wireless circuits, and antenna design. Dr. Murphy-Arteaga is the President of ISTEC, a member of the Mexican Academy of Sciences, and a member of the Mexican National System of Researchers (SNI).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

133

A Three-Port Nonlinear Dynamic Behavioral Model for Supply-Modulated RF PAs Gian Piero Gibiino, Student Member, IEEE, Gustavo Avolio, Member, IEEE, Dominique M. M.-P. Schreurs, Fellow, IEEE, Alberto Santarelli, Member, IEEE, and Fabio Filicori

Abstract—We propose a three-port nonlinear dynamic behavioral model for supply-modulated power amplifiers (PAs). The proposed model not only accounts for the radio frequency (RF) inputoutput relationship, but also for the interaction between a modulated voltage supply, the RF output power and the supply current. The model is based on a modified Volterra formulation which accounts for the dynamic deviations with respect to a quasi-static model. The frequency-domain kernels of the proposed model are directly extracted from measurements performed with a low-frequency-extended large-signal network analyzer on an RF hand-set PA. The model is validated under random multitone modulated RF input and supply. The presented technique allows for the independent control of the RF and the supply ports. As such, it allows a separate description of both the dynamic contribution of the RF modulated input and of the dynamic supply voltage. The proposed model shows an improvement with respect to a quasi-static approach in predicting the RF output, the supply current, as well as the power-added efficiency. Index Terms—Behavioral modeling, envelope-tracking power amplifiers (PAs), large-signal network analyzer, supply modulation, three-port PA modeling, Volterra series.

I. INTRODUCTION

D

URING the last decades, much work has been carried out to provide maximally efficient signal amplification within the linearity constraints imposed by applications in the telecommunications industry. Especially in the last years, more stringent energy consumption specifications for portable devices, as well as operative cost reduction for base stations, have posed the challenge of increasing the full system efficiency. In parallel, latest telecommunication standards request higher system linearity for larger signal bandwidth, which is needed to guarantee high data-rate. Given such trends, the power amplifier Manuscript received April 21, 2015; revised August 19, 2015; accepted November 20, 2015. Date of publication December 21, 2015; date of current version January 01, 2016. This work was supported in part by CIRI—Advanced Applications in Mechanical Engineering and Materials Technology, by FWO Flanders, and by the Hercules Foundation. G. P. Gibiino is with the Department of Electrical, Electronic, and Information Engineering (DEI) “Guglielmo Marconi,” University of Bologna, 40136 Bologna, Italy, and also with ESAT-TELEMIC, University of Leuven (KU Leuven), B-3001 Leuven, Belgium (e-mail: [email protected]). G. Avolio and D. M. M.-P. Schreurs are with ESAT-TELEMIC, University of Leuven (KU Leuven), B-3001 Leuven, Belgium (e-mail: gustavo.avolio@esat. kuleuven.be). A. Santarelli and F. Filicori are with the Department of Electrical, Electronic, and Information Engineering (DEI) “Guglielmo Marconi,” University of Bologna, 40136 Bologna, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504467

(PA) designer's community has been proposing a number of PA architectures to deal with the linearity-efficiency trade-off [1]. On one side, load optimization techniques led to high-efficient PA design [2]. On the other side, approaches making use of power supply modulation such as in envelope-tracking PAs and polar transmitters have been demonstrated as suitable solutions for obtaining increased power conversion performances [3]–[8]. Such architectures usually rely on additional signal processing in order to compensate the nonlinear dynamic behavior under supply modulation conditions. Behavioral modeling techniques [5]–[12] are needed to properly reproduce the PA behavior in system-level simulations; predistortion approaches [13]–[15] based on such models are necessary to guarantee the linearity requested by the application. More in detail, techniques to minimize the undesired impact of a dynamic supply voltage are of key importance. A possible approach consists of reducing the bandwidth [4] or the slew rate [15] of the supply modulator. However, depending on the envelope shaping strategy, or in case of polar modulators, the supply voltage must be considered as a variable of the PA model [16]–[19]. A quantity of works reports 2-D look-up tables (LUTs) or memory polynomial models which include the supply voltage as a static variable in order to take into account the different operating modes in which the PA is driven [5]–[9], [13]; much fewer works account for its dynamic influence [15], [20]. A common procedure to obtain the predistortion coefficients consists of applying a portion of the modulated signal used for the application and run a local optimization such that the minimum number of predistortion coefficients for a chosen method are used, while the linearity constraints are satisfied for the specific output signal. Since, in most cases, the supply excitation is not optimized together with the radio frequency (RF) predistortion and the dynamic supply current is not modeled, the trade-off between linearity and efficiency may not be fully exploited; this is also pointed out in [8], where a static characterization of the efficiency is used. Yet, due to memory effects, there is no guarantee that, in presence of consistently different RF waveforms, the PA will still maintain the same optimized performance. Thus, such system-level linearization approaches rely on a high-bandwidth feedback, through which the predistortion model coefficients are adjusted in real-time when the RF input signal is changed [14]. However, especially when considering the increasing number of applications for which a high-speed coefficient update is unreliable, due to the nonidealities of the feedback loop, or unfeasible, due to bandwidth limitation as well as feedback stability reasons, the predistortion performance is critically dependent on the capabilities of the adopted PA description. In

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

the case of supply-modulation, the PA should be, in general, considered as a three-port device. First, the inherent nonlinear characteristic of converting power from low to radio-frequency must be fully accounted including dc and the carrier frequency. Moreover, a desirable feature is the ability of modeling the dynamic supply current consumption, so that the model could predict the dynamic efficiency of the supply-modulated PA. Such a task is made complex by the fact that a PA driven into large-signal operation shows dynamically varying drain – characteristics, which interact with the supply output impedance [18], [21]. While fixed supply can be made nearly ideal by the parallel of large capacitors, such approach is not feasible for supply modulation. The design of efficient supply modulators guaranteeing low output impedance for the necessary bandwidth and power is very critical and a topic of active research [22]–[24]. Thus, the possibility to include the supply port and model the PA behavior with respect to dynamic supply voltage and current provides a key for exploiting PA performance, especially in those cases for which the supply modulation is far from ideal. In this work, we propose a unified behavioral model for supply-modulated PAs, suitable for system-level simulations and useful for linearization. It consists of a three-port description of the PA in terms of the electrical variables at both the supply and the RF input-output ports. More precisely, a simplified representation, which takes advantage of the RF input and output matched impedances, is derived from a general three-port description (Appendix I). The model is expressed through a modified Volterra approach, originally presented in [25] for electron devices and extended in [26] for modulated signals, in order to account for the nonlinear dynamic mixed effects between RF and baseband frequencies. Such an approach allows to accurately predict not only the RF output signal, but also the supply current dynamically drained by the PA, providing the framework for a mutual optimization of the RF input and dynamic supply excitations to maximize linearity and efficiency. Furthermore, through a straightforward yet general identification procedure, the identified kernels do not depend on specific identification signals, and the model provides higher accuracy under a wider range of input signals, as demonstrated by the extensive validation we report. The paper is organized as follows: in Section II, the behavioral modeling approach is formulated. Section III describes a kernel identification procedure in frequency-domain. Section IV and Section V report the measurement set-up and the experimental validation, respectively. Conclusions are drawn in Section VI. II. BEHAVIORAL MODEL FORMULATION Let us consider and the incident and scattered power waves at perfectly-matched input and output (port 1 and port 2 in Fig. 1), respectively, of a RF PA. If the signals are a modulation of a carrier at , they can be properly represented as (1) where and are the complex, baseband equivalent representations of the signals, through which the PA behavior can be described around the chosen carrier. If baseband dynamic signals (such as in supply modulation) are of interest, such notation shall be extended at low-frequency. As already mentioned,

Fig. 1. Representation of a PA as a three-port device. In this work, port 1 and port 2 are considered 50 matched, while the gate bias is considered as constant value. The supply port represents the third port and is excited by a modulated supply.

especially if the envelope shaping is designed to maximize the PA efficiency [16], the dependency of the RF transfer characteristic on the supply voltage may not be ignored. Furthermore, since the aim is to model the dynamic supply current drained by the PA, both the baseband-equivalent waves around the carrier, and the baseband signals at the supply port (port 3 in Fig. 1) have to be accounted for. The first step consists of extending the quasi-static model (e.g., AM/AM—AM/PM characteristics) under a set of fixed supply voltages. The output signal is and of the then a static function of the input amplitude supply port voltage . If also the supply current is accounted for by an additional equation, the following quasi-static model can be introduced: (2) where and are two quasi-static functions of the control variables and ; and are the modeled variables. can also be interpreted as a generalized AM/AM—AM/PM characteristic, while the equation of the current is simply a real function of real variables. In standard operation, the quasi-static relationship between and can be considered monotonic for any , thus bi-univocal and analytically invertible (3) where is obtained as a result of a quasi-static model inversion. With the dependency on still standing, the quasistatic prediction of the current can be equivalently written as a function of the quasi-static prediction of the RF output wave in the following form (Appendix A): (4) accounts for the new dependency with where respect to (2) (Fig. 2). The formulation in (4)—equivalent to (2)—explicitly relates the output power and the drained current. Moreover, (4) is a more suitable formulation for predistortion, in which the algorithms are normally computed starting from the output power as known variable. When dealing with large-bandwidth signals, or fast-varying supply signals as, for example, in envelope-tracking PAs, the quasi-static approximation of (4) might be not sufficient. In

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

135

A number of works has shown the use of this approach and the idea of separately modeling the dynamic deviations in RF PAs [26], [28]–[32]. In this work, we consider it to model the combined effects of RF input and dynamic supply for an RF PA. First, the quasi-static description of the PA around a large-signal operating point (LSOP) is obtained from (4). Then, a basebandequivalent Volterra representation [33] is adopted to perform a 1st order linearization around the nonlinear operation, and a superposition of dynamic effects due to all excitations (specifically, the RF input and the supply port) is included in a nonlinear dynamic model. A. RF Input Port Excitation Fig. 2. Static characteristics of gain and drain current of the RF PA used in the measurements.

general, memory effects affect the RF input-output nonlinearity; under dynamic operation, time-variant loading conditions are occurring at the interface between the supply modulator and the RF PA drain port at baseband frequencies, up to tens of MHz. Furthermore, even in PAs specifically designed for supply modulation, RF inductive chocking and decoupling capacitive effects might still be present for stability reasons. Therefore, another independent source of dynamic effects impacts both the supply current and the RF output power. On the basis of these considerations, in the following we extend the model in (4) to include memory effects. We choose a representation through the modified Volterra approach [25]–[30]. Such an approach consists of a modified Volterra series expressed in terms of dynamic deviations with respect to a static nonlinearity. The classic input –output Volterra series formulation for a nonlinear dynamic system is expressed as

(5) By a simple mathematical manipulation, the series in (5) can be rewritten without approximation as

(6) where is a static nonlinearity. Dynamic phenomena are fully with reaccounted for by the nonlinear impulse responses spect to the dynamic deviations . Further details about the mathematical derivation above can be found in [25]. The formulation in (6) allows, on one side, to properly model a strong quasi-static nonlinearity. On the other side, the dynamic deviations, as they are de-embedded by the quasi-static nonlinearity, can be considered only weakly nonlinear for most applications, thus allowing for a first-order approximation of the Volterra series (7)

At first, let us consider the RF input excitation. Equation (4) can be extended at first order approximation as (Appendix A) (8) where the quasi-static RF input-output nonlinearity is modeled . The term is a dynamic deviation which accounts by for the nonlinear memory with respect to . By assuming a maximum memory duration of , we have [26]

(9) The two additive terms in (9) account for the direct and the complex conjugate contribution of the complex RF input envelope to the RF output envelope . The two complex kernels and are functions to be suitably identified (see Section III). It is worth noting that the first term in (9) is here taking into account both the linear and nonlinear memory effects, while in the derivation previously published in [26] these were separated into different contributions. Furthermore, unlike the previous formulations adopted in literature [28]–[30], is also a function of , as it represents a deviation around the static condition which is not only set by the RF input wave, but also by the instantaneous supply voltage. The supply current is referred to the RF output variable by means of (3). In addition to the static contribution already described in (4), a general expression of the dynamic deviation of the drain current with respect to the RF output signal is

(10) in which the two terms represent the direct and the conjugate contributions of the complex modulated envelope to the

136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

current . Due to the fact that is real-valued, a single complex kernel allows to define both contributions. (15)

B. Supply Port Excitation The proposed model also accounts for the dynamic depen. As sysdency with respect to a modulated supply voltage tematically derived in Appendix A, the dynamic contributions of are included in (8) by a further superposition of perturbations on the output variables of the model, and can be described in the following terms: (11) where the added terms and account separately and , respectively, for the dynamic dependency of with respect to the dynamic voltage supply. It is noteworthy that and are in general, independently influenced by . For example, while the dynamic dependency a dynamic of the supply current also appears—depending on the circuitry at the PA supply port—at small RF signal, the up-converted intermodulation distortion and its dynamic behavior shows up at the RF output only with increasing compression, such as in a “mixer-like” operation. By assuming a memory duration , is made explicit with the contribution on

(12) where is a complex kernel function. The term (12) accounts for the up-conversion of the low-frequency excitation at the supply to the RF output. By means of a first order mixing product between and , the function maps the variations at the baseband frequency, at which a dynamic supply is applied, into variations around , represented as baseband-equivalent. The dynamic effects of the supply voltage on are modeled through a baseband relationship expressed in terms of the dynamic deviation

(13) with being a real-valued kernel function dependent on the LSOP. Notably, the combination of and represents a nonlinear, admittance-like relationship between the current and the voltage at the supply port, which models the supply port of the PA working in nonlinear operation under modulated signals. This feature is of key importance for the design and optimization of supply modulators [22]–[24]. As from the formulation in (11), the model is symmetrical in accounting for the dynamic deviations due to the RF and supply inputs. The idea of performing a 1st order approximation with respect to the dynamic deviations, instead of directly approximating with respect to the bare input signals, allows a wider range of applicability. In fact, it can be proved that (see [26], [27])

(14)

, are the peak-to-peak amplitudes of the RF where and of the supply voltage , respecsignal envelope tively. Thus, the dynamic deviations (14) and (15) are small, allowing a first order approximation without involving relevant errors, either when: 1) the amplitudes , are small, whatever being the input signal bandwidths; 2) the amplitudes , are large, but the input waveforms feature a moderately small-bandwidth in relation with the relatively long duration of the nonlinear memory effects , . Thus, the dynamic deviations are small not only when the input signal amplitudes are small (e.g., perturbations of a LSOP), as in the case of the signals used in the model identification phase, but also when the variations of the signal amplitudes are large, but slow enough in relation to the nonlinear memory effects duration in the PA. From this point of view, the model identification signals that will be used should not lead to think in terms of a limited range of applicability of the model, which is instead consistently wider. The authors believe that this aspect distinguish this modeling approach with respect to others found in the literature, in which the applicability range often corresponds to the operation involved by the identification measurements. It should be noted that no approximation is done on the quasistatic nonlinear functions and in (11). In addition, the approach introduces no approximation on the linear dynamics either. This is fully accounted for by the memory kernels, which in this case become independent of the amplitudes, simplifying the model dynamic terms into linear convolutions. A higher order model approximation would be needed only in the case of input signal variations featuring both high-bandwidth and large amplitudes. However, a higher order approximation is obtained at the cost of a sensibly higher complexity in the model formulation, implementation and identification. The 1st order approximation is tested in this work and proved to provide a good compromise between complexity and accuracy. In the next Section, a method to independently identify and directly measure in the frequency domain the dynamic kernels of the deviations terms in (11) is described. III. MODEL IDENTIFICATION The identification of the static and dynamic parts of the model in (11) can be obtained following frequency [29] or time [31] domain approaches. In this work, a direct frequency-domain approach has been used for the measurements of the nonlinear-dynamic deviation kernels. To the best knowledge of the authors this is the first time that such a measurement is performed on a three-port PA and by means of a large-signal network analyzer. The quasi-static model (4) can be identified with a continuous-wave (CW) characterization of the PA at the constant voltage , obtaining (16)

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

where

are the constant envelopes defined as and , indicates the avis the central frequency at which erage supply current and the CW tone is applied. As for the dynamic deviations, five kernels— , , , , and —must be identified in an independent way to obtain the model in (11). First of all, it is useful to reformulate the kernels in the frequency domain, expressing them with respect to a frequency modulation . This is obtained by means of straightforward mathematical steps reported in Appendix B

137

and

Fig. 3. Schematic diagram of the experiments to identify the kernels from RF and LF excitations. If the supply is modulated (SWA and SWB in position 1), the ; if the RF input is modulated RF input is terminated at 50 for . (SWA and SWB in position 2), the LF termination is set to short for The DC supply voltage and a CW generator set the large-signal operating point (LSOP).

the modulation bandwidth of interest. As a consequence, the would include both sides of output equivalent baseband the spectrum accounting for the direct input amplification and the (third-order) intermodulation distortion at frequency . At the same time, a sinusoidal drain current is generated. Thus, we have (19) (20) (17)

At the RF output port, the ratios between complex signals at the two frequencies can thus be measured over the considered band by sweeping

is the modulation bandwidth of interest, and is a where modulation frequency resulting by either a modulated RF input signal or a modulated voltage applied at the supply port, both of which, in general, excite a modulation at RF and a modulation of the current. A small tone applied at the modulating frequency around a LSOP instantaneously set by and , generates intermodulation products at both the output variables and . By sweeping in the band of interest, the frequency and the supply current can response of the RF output be measured around each considered LSOP. Such a measurement must be performed by applying the excitation at RF and supply ports in order to acquire two sets of independent data, so that the response can be used to separately identify the kernels of the dynamic deviations. A possible approach is depicted in Fig. 3. First (switch SWA and SWB in position 1 in Fig. 3), a modulated supply is applied to the PA, while the RF input is a CW excitation (constant envelope) at and terminated at 50 at the other frequencies in the bandwidth of interest. In this way, for and the dynamic contribution measured for and are only due to the excitation at port 3. Then (switch SWA and SWB in position 2 in Fig. 3), a modulated RF input is applied at port 1; at the same time, only a dc voltage is applied at port 3, while terminating with a short at LF, meaning that for . Let us consider the identification of kernels and . The supply voltage is set to a constant value . By applying an RF complex envelope signal excitation like

in frequency As for the current, its dynamics is described by domain with respect to the RF output; both RF excitations in (19) must be accounted to predict the modulated current. The function can be identified by solving the following complex , at each LSOP: equation for every

(18)

(25)

so that with modulation is applied at

a one-tone, small-signal , being

(21) and the two kernels in the frequency domain can be obtained by simply subtracting the value measured at narrowband modulation

(22)

(23) From this, the representation in frequency domain can be obtained

(24) The same identification procedure can be performed to measure and if a small, voltage modulating tone is applied at the supply port at

so that with envelope signal

. At the same time, a constant is applied at the RF input. As a

138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 4. (a) Schematic of the low-frequency extended, large-signal network analyzer (LSNA) set-up used for the experiments. At the RF ports (port 1 and port 2) and , while the supply the waves are measured through RF couplers. At the supply port, a resistive sensing board captures the alternate components of (Agilent 4142) measures the dc component. (b) Photo of the setup.

consequence, the output RF envelope and the supply current will be (26) (27) At each sweep point of in the bandwidth of interest, the modand are measured. The kernels are thus ulations of straightforwardly identified with the same procedure previously followed

an offline model implementation and validation in MATLAB has been performed, and the dynamic deviations formulation in (17) was applied in analytical way for periodic excitations. For a real-time implementation, the time-domain formulation in (11) shall be considered, in which the dynamic deviations can be straightforwardly mapped into standard finite impulse response (FIR) nonlinear filters topologies. Such an implementation of the proposed three-port model, however, is out of the scope of this work. IV. MEASUREMENT SETUP

(28) (29) and

(30) Further details about the measurements performed will be given in the next Section. Indeed, the more the frequency sweep step around each LSOP is small, the longer would be the memory modeled through the kernels in (17). Although the model is identified by small perturbations around LSOPs, it should be clear that the range of applicability of the model exceeds the set of identification signals, and spans wider due to the features of the modified Volterra series, as it has been described in Section II. The kernels are structured as nonlinear filters by implementing the transfer functions , , , , which nonlinearly change versus time. It is , and worth underlining that the model in (11) as well as the dynamic deviations in (17) are presented through a baseband formulation (low-pass equivalent for the RF equation). As such, the approach can be directly mapped into the discrete-time domain. The topology of the model is thus similar to the ones in literature [11], and can be easily implemented in either time or frequency domain in modern CAD tools. For this work,

The necessity to acquire in a coherent way the incident and scattered waves requires wave amplitude and phase measurements. Moreover, the characterization has to be carried out at both the supply and output ports in a synchronous way. As a third aspect, the measurements have to be done over a modulation bandwidth around both the RF carrier and the dc. The measurement setup (Fig. 4) used for the experiments is a largesignal network analyzer (LSNA) suitably extended in the lowfrequency range, in order to capture the signals at the third port. This is not the only possible choice and the measurements for the model identification can also be performed with other instruments which can capture input and output RF complex envelopes. However, the chosen set-up should have two fundamental features: first, the modulated RF envelopes and the baseband voltage and current at the supply node should be coherently measured and synchronized; as a second aspect, both the RF and baseband paths should be calibrated, and their calibration should be time-aligned. The RF part of the LSNA is a sampling-based network analyzer able to measure incident and scattered waves applied to the DUT at RF (600 MHz to 50 GHz). The modulation bandwidth of the system around each harmonic is 20 MHz. The low-frequency extension is based on a module which features a low-frequency bias-tee to combine the dc with LF excitation used to modulate the supply [34]. To capture the instantaneous supply current, the set-up also includes an LF, high-power resistive sensing board. In this way, the dc component of the current is obtained from the source measurement unit (SMU), while the ac components are captured by the LF sensing board and then sampled by analog-to-digital

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

139

Fig. 5. Dynamic deviation functions due to the RF input excitation at port 1, measured in frequency domain. [(a) and (b)] and [(c) and (d)] are measured at port 2. [(e) and (f)] is measured around dc at port 3. Plots correspond to a . The input RF power is indicated in the figure. Frequency around step: 100 kHz.

converters (ADCs). An LF and RF combined calibration procedure [34] implementing magnitude and phase calibration at both RF and LF frequencies, allows for the synchronized measurement of the waves at the two RF ports as well as at the LF supply port. The DUT used in this work is a GaAs-based custom handset amplifier. The carrier frequency used in the experiments is . All tests have been performed by biasing the PA at a nearly class AB operation . The PA features an RF output power of 28 dBm and a compression point with a constant . V. EXPERIMENTAL RESULTS By means of the described setup, measurements for the full model identification have been performed, and the identification procedure has been implemented following the description reported in the previous sections. First, a static characterization of the PA at the various supply voltages has been obtained (Fig. 2). Then, multiple measurement sweeps have been programmed to obtain the model kernels. Off-line postprocessing in MATLAB allowed to determine the dynamic deviations functions, following the procedure in Section III. The model identification was performed over [2,6] V with step 0.5 V and [ 20,12] dBm. The chosen frequency step for the frequency identification is 100 kHz, which over a 20 MHz bandwidth means 200 frequency points. The RF small signal for the identification applied around the RF carrier was set to 20 dB with respect to the large-tone. At the supply port, the small signal dynamic voltage (10 mV) is applied through

a 50 -terminated signal source. All experimental data were then stored in look-up tables and suitably interpolated through spline functions. Third and fifth order polynomial interpolation of data has also been tested, without critical difference with respect to the spline interpolation. No redundant measurements were taken, nor other processing on the acquired data has been performed apart from the identification steps outlined in Section III. In order to improve the interpolation accuracy, more dense measurement grids or other interpolation techniques may be considered, depending on the application. In case of measurement noise in the obtained results, redundant measurements coupled with optimization techniques (e.g., least-square methods) may be used. The extracted kernels are reported as function of the mod(at fixed ) in ulation frequency and of the RF Figs. 5 and 6 and as function of RF and supply voltage (at fixed modulation ) in Fig. 7. Such plots are the direct results of LSNA acquisitions. As expected, all kernels are equal to zero at zero-frequency; in fact, under quasi-static operation the PA is completely described through and . As the modulating the purely-algebraic functions frequency increases, various sources of memory effects arise and mix together. These are for instance due to matching and bias networks, as well as thermal or other dispersive effects. A straightforward interpretation of the contribution due to each dynamic phenomenon to the individual kernels is not possible. However, the kernels allow describing in a separate way the purely-dynamic effects involved in each path between an input

140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 6. Dynamic deviation functions due to the dynamic supply excitation at port 3, measured in frequency domain. [(a) and (b)] is measured around at [(c) and (d)] is measured around dc at port 3. Plots correspond to a . The input RF power is indicated in the figure. Frequency step: 100 kHz. port 2.

Fig. 7. Absolute values of the dynamic deviation functions 8 MHz of modulating frequency.

,

,

and an output port of the PA. The kind of dependency of each kernel on the independent variables gives also critical information. For instance, a mild dependency on RF input power indicates that an almost linear kind of dynamics is involved in the input/output path considered. Kernel represents the direct RF amplification in large-signal conditions; as such, its plot (see . Kernel is the Fig. 7) resembles a gain curve versus RF RF-to-RF third-order (also referred as conjugate contribution) side product of a complex modulation under large-signal operation. It sharply increases versus RF input power; eventually, both and compress for larger values of the RF and smaller values of supply voltage. Kernel models the effects of the RF output power on the supply current, which results in a few mA for the PA considered in this work. The baseband-to-RF transfer function is maximum at the maximum level of compression, similarly to what is observed in a “mixer” operation. This kernel is proportional to the RF and inversely proportional to the supply voltage. Finally, is flat as far as transistor threshold and knee-voltage are not touched by the RF load line; then, it decreases as soon as the threshold voltage is reached,

,

plotted versus RF input power and dc supply voltage. Plots correspond to

and increases again when reaching the knee-voltage, thus depending on the supply voltage. To validate the performance of the model, the PA has been tested with various excitations by means of vector signal generator, which allows applying modulated signals. In particular, the supply port has been excited by the baseband output of the vector signal generator. In this way, a simultaneous modulated excitation can be applied at both the RF input and the LF supply port of the PA. Since the LSNA measures periodic waveforms, random phase multitones, widely used to stimulate high-order PA nonlinearities [35], have been generated for testing (Fig. 8). Furthermore, given the limitation of 20 MHz as measurable bandwidth, signals with maximum bandwidth of 5 MHz could be tested. This has been a choice of compromise between the need of properly reconstructing the distorted signal while keeping a signal bandwidth large enough to test the dynamic capability of the model. It is worth noticing, however, that unlike many locally-tuned behavioral modeling techniques, the validation signals are completely independent from the identification sets. Once the model kernels are identified, they are saved

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

141

Fig. 8. Example of RF and LF combined excitation obtained by means of the vector signal generator (RF Input is 25-tone with 250 kHz frequency spacing, ) The dynamic supply is generated by the baseband output of the signal generator.

and used for all other excitation sets. While the model identification only requires small perturbation around a quasi-static LSOP, the model capabilities are tested under full-bandwidth large-amplitude modulated signals and supply modulation, within the amplitude and frequency limits imposed by the used set-up. Even though tuned models may, in theory, provide very accurate results for specific excitations, the proposed approach targets improved predictions on a larger signal application range, without the need to update the model coefficients. This should be kept in mind when evaluating the model accuracy. In order to highlight the nonlinear—dynamic behavior of the PA and assess the specific prediction capability of the model, the undistorted behavior of the PA (i.e., small signal behavior) has been isolated by defining , with

Fig. 9. Two-tone test for three average RF input powers: (top) 0, (central) 4, and (bottom) 8 dBm; the frequency spacing between the two input large tones is swept from 20 kHz to 5.5 MHz. Step: 500 kHz.

by means of a normalized mean square error (NMSE) between the measured and the modeled instantaneous output distortions

(36)

(31) with

(32)

is the nominal supply voltage and where to guarantee small-signal operation. Then, the instantaneous output distortion can be defined as (33) the deviation from the small-signal operation. Thus, being the prediction of the instantaneous output distortion obtained by using only the quasi-static approximation and the one obtained by using also the dynamic kernels are defined analogously as (34) (35) is the RF output envelope predicted by the quasiwhere is the RF output envelope predicted static model and by the full nonlinear-dynamic model. Thus, the static and dynamic models capabilities to predict the RF output are assessed

(37) In addition, the prediction of the supply current has been measured in terms of relative percentage error, and the power-added efficiency (PAE) prediction as an absolute error from the measured PAE. Three kinds of experiments with different excitation signals have been performed and will be described in the following. A. Two-Tone Validation The first test consists in applying a two-tone signal with varying frequency spacing around the carrier. As widely known [33], this is a measurement to characterize the memory effects by checking the asymmetries and frequency-dependency of the third order intermodulation distortion (IM3). For this measurement, the supply has been modulated with a CW tone corresponding to the frequency-spacing between the RF input tones. Accordingly, the RF frequency spacing has been swept at both ports to measure the IM3 profile over frequency within the bandwidth of interest. In Fig. 9 the IM3 profiles versus frequency are reported, showing good agreement between the measured and the predicted values by means of the implemented three-port model. This experiment has been performed at three RF input powers, and for between the two large-tones. The

142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE I SUPPLY EXCITATION TEST

Fig. 10. RF two-tone input, output and model predictions for average input power of 4 dBm and tone spacing swept from 20 kHz to 5.5 MHz. Step: 500 kHz.

CW waveform (constant RF power) is applied at . Voltage swing resulting by a multitone modulation Multitone signal applied at the supply port by the vector signal generator baseband output and through the low-frequency bias tee

Fig. 11. Average static and dynamic prediction error (%) under twotone RF excitation and single-tone modulation of the supply voltage (the three plots refer to the three RF input powers as in Fig. 8). Absolute PAE levels are (plot A) 9%, (B) 17%, and (C) 30% for average RF input power of 0, 4, and 8 dBm, respectively.

model predicts the imbalance between the left and right intermodulation tones (Fig. 9). In addition, the static model yields poor prediction as compared to the dynamic model. It is worth noting that slight differences in the amplitudes of the two tones were present at the PA input already, as it can be appreciated in Fig. 10. This was due to the absence of feedback control in the vector generator aimed at amplitude leveling. Furthermore, an error in the prediction of the average power-added efficiency (38) has been evaluated under these conditions. As can be seen in Fig. 11, the nonlinear-dynamic model shows an improved PAE prediction. B. Modulated Supply Under RF Compression To evaluate the impact of only and (i.e., the impact of a dynamic supply on the outputs, while excluding any RF modulated excitation), a specific test, similarly to [36], has been performed. It consists of using the PA as a mixer, where the supply port represents the modulated input, while the RF input is only driven by a single-tone to define the compression point at which the PA is operating. As a result, the envelope of the RF output signal is only due to the supply voltage modulation (as, for example, in envelope elimination and restoration PAs), while the RF input power boosts the PA into nonlinear operation. The

Fig. 12. Measured and modeled supply current under modulated supply voltage around a dc supply voltage of 3 V. The modulated signal applied to the supply is a down-converted random 25-tone signal with 5 MHz complex are shown bandwidth and 250 kHz of tone spacing. Two periods in the figure. The RF excitation is a CW single tone with constant input power of 8 dBm.

results are reported in Table I for 10 and 12 dBm of RF input power and for modulations around a set of dc supply voltages. The supply modulation consists of 5- and 25-tone random phase signals (with and , respectively). For this test, as well as for the ones that will be shown in Section V-C, tones with equal amplitude and equally-spaced in frequency have been used. A maximum relative error for the supply current and the NMSE of for the RF output have been calculated for both the static and dynamic prediction. In Fig. 12, the supply current waveform measured and predicted by static and dynamic models is reported, showing an improved precision for the dynamic extension. C. Three-Port Measurements An extensive characterization has been performed to test the behavioral model and the performance of the nonlinear dynamic

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

143

TABLE II EXPERIMENTAL RESULTS FOR PA EXCITED AT BOTH RF INPUT AND SUPPLY BY MULTITONE MODULATED SIGNALS

Voltage swing resulting by a multitone modulation of the supply The 5-tone signal has , and is applied at both at the RF input and the supply port by the vector signal generator baseband output through the low-frequency bias tee The 25-tone signal has , and is applied at both at the RF input and the supply port by the vector signal generator baseband output through the low-frequency bias tee

extension with simultaneous RF and LF excitations. A modulated RF input power and a modulated supply voltage (such as shown in Fig. 8) have been applied by means of the LF and RF outputs of the vector signal generator. The instantaneous modulated RF output power and supply current have been suitably captured and compared with the model prediction. The results of the characterization, performed for various average input powers, as well as dc voltages and supply voltage swings, are reported in Table II and shown in Figs. 13–15. In Fig. 13, the performance of the model in the presence of a 5 MHz, 5-tone random multisine excitation with 1.25 MHz spacing between the tones and featuring 8 dB of PAPR is shown. Fig. 13(a) and (b) report the time-domain, normalized RF output envelope and the RF output spectrum, respectively, along with the model predictions. Fig. 13(c)–(f) report the instantaneous distortion as defined in (33), as well as the quasi-static and nonlinear-dynamic predictions of in both the time [Fig. 12(c) and (e)], and the frequency [Fig. 12(d) and (f)] domains. The definition (33) of distortion allows a clearer demonstration of the prediction accuracy at increasing signal powers by taking into account the PA dynamics. An improvement of several dB in the distortion prediction is achieved at signal peaks (see Table II). Similar comments can be made for a 25-tone random multisine with 250 kHz spacing between the tones (Fig. 14). The presence of a higher number of tones increases the PAPR from 8 to 10 dB, and the narrower spacing stimulates longer memory effects. Still, the nonlinear-dynamic model outperforms the quasi-static model in all conditions, proving that such an excitation (not only independent, but also fundamentally different from the perturbations used in the identification phase) is suitably handled by the proposed approach. Table II also reports the average rela-

tive errors on the supply current predictions. The PA dynamics approximation results in several percentage points of improvement in the average current predictions in all cases. Fig. 15 reports the case of the instantaneous error on the supply current under an RF modulation of a 25-tone random multisine. For all testing conditions the prediction of the average PAE, calculated over the signal period, has also been reported. In all tests (Table II), the PAE calculated through the nonlinear-dynamic model formulation gives better predictions with respect to the quasi-static approximation. VI. CONCLUSIONS We presented in this work a three-port nonlinear-dynamic behavioral model for RF power amplifiers. The model is based on a modified Volterra series formulation including also the effects of the modulation at the supply port of the power amplifier. The proposed technique includes the nonlinear-dynamic deviations around the quasi-static model. The identification steps in frequency-domain have been reported, along with the experimental procedure performed by means of a low-frequency-extended large-signal network analyzer. Experimental results show an increased model accuracy with respect to the case of classic two-input quasi-static model in predicting the RF output signals, the supply current and the PAE. This has been verified by extensive validation performed in presence of multitone modulations at both RF and supply inputs. The possibility to obtain dynamic kernels related to the RF input and to the supply port allows separately quantifying their impact on the outputs as well as their mutual dynamic interactions. Such an approach can be useful for targeted optimizations of supply-modulated PAs affected by memory effects.

144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 13. Measured and modeled RF output under concurrent modulated supply (swing 2 V, ) and RF input (5-tone, , 1.25 MHz spacing, , ) plotted versus (a) time and (b) frequency . The modulated supply is a down-converted version of the RF signal, both applied RF are shown in the Figure. Both static and dynamic predictions of the deviation from the ideal PA with the vector signal generator. Two periods in (c) time and (d) frequency domain, imaginary part of in (e) time and (f) frequency. behavior are shown: real part of

APPENDIX A The three-port model proposed is structured as a 1st order nonlinear-dynamic approximation around a large-signal operating point (LSOP) and a superposition of dynamic effects due to all considered ports. For a mismatched RF PA, we can write

(A1) , and are the quasi-static functions, depenwhere dent on the LSOP. In (A1), a suitable notation in terms of incident and scattered voltages waves at the RF input and output ports (port 1 and port 2, respectively) has been adopted. Instead, the equation of the third port (supply) has been written in terms of voltages and currents. Around the LSOP, a superposition of dynamic effects due to the excitation signals at the three ports [1, is considered. All the dynamic deviations 3], are dependent on the specific LSOP. Without loss of generality, we can assume the RF input envelope signal and as the two controlling variables at port the supply voltage 1 and port 3, respectively. The LSOP can be set by and , since the phase can be arbitrarily chosen equal to 0 due to time-invariance. If the PA is perfectly matched at port 2, and terms [1, 3] can be discarded. If also

port 1 is perfectly matched, to

and (A1) can be reduced

(A2) terms depend on the LSOP set by where the four . It is convenient to exploit the first equation to express in terms of instead of . By using (3), can be rewritten as (A3) where (A4) (A5) The three-port model can be written as (A6) The terms are neglected in the quasi-static model (4), while they are evaluated in Section II-A and II-B through (9), (10), (12), and (13) in the dynamic model (11).

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

145

Fig. 14. Measured and modeled RF output under concurrent modulated supply ( , swing 2 V) and RF input (25-tone, , 250 kHz spacing, , ) plotted versus time (a) and frequency (b). The modulated supply is a down-converted version of the RF signal, both RF are reported in the Figure. Both static and dynamic predictions of the deviation from the applied with the vector signal generator. Two periods in time (c) and frequency (d) domain, imaginary part of in time (e) and frequency (f). ideal PA behavior are shown: real part of

By expressing in frequency the dynamic deviation of the RF input envelope , we obtain

Fig. 15. Instantaneous supply current absolute prediction errors under con) and RF input (25-tone, current modulated supply (swing 1.8 V, , 250 kHz spacing, RF , ). The are 14.6% for mean percentage errors calculated over the period the static model and 10.3% for the dynamic-model, respectively.

(A8) The two additive terms in (A7) are hereafter considered separately. By using (A8), the first one results in

APPENDIX B The details for the frequency domain expression of , , and are hereafter explicitly calculated [29]. As from (9)

(A7)

(A9)

146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

The time-domain integral in (A9) can be considered as the dif, evaluated at ference between two values of a function [29] the incremental frequencies

(A10) By addressing now the second term in (A7), the same procedure can be applied for

(A11) Analogously to the previous case

(A12) Thus, by calculating the complex conjugate

(A13) Using (A10) and (A13), one can write (A7) in the frequency domain

(A14) As for the other kernels, equivalent procedures can be followed to obtain

(A15)

REFERENCES [1] P. Lavrador, T. R. Cunha, P. Cabral, and J. C. Pedro, “The linearityefficiency compromise,” IEEE Microw. Mag., vol. 11, no. 5, pp. 44–58, Aug. 2010. [2] F. H. Raab, “Class-E, class-C, class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [3] B. Kim et al., “Push the envelope: Design concepts for envelope-tracking power amplifiers,” IEEE Microw. Mag., vol. 14, no. 3, pp. 68–81, May 2013. [4] J. Jeong, D. F. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Wideband envelope tracking power amplifiers with reduced bandwidth power supply waveforms and adaptive digital predistortion techniques,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3307–3314, Dec. 2009. [5] J. Hoversten, S. Schafer, M. Roberg, M. Norris, D. Maksimovic, and Z. Popovic, “Codesign of PA, supply, signal processing for linear supplymodulated RF transmitters,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 2010–2020, Jun. 2012. [6] J. Jeong, D. F. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Modeling and design of RF amplifiers for envelope tracking WCDMA base-station applications,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 9, pp. 2148–2159, Sep. 2009. [7] J. Kim et al., “Analysis of envelope-tracking power amplifier using mathematical modeling,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1352–1362, Jun. 2014. [8] H. Cao, H. M. Nemati, A. S. Tehrani, T. Eriksson, and C. Fager, “Digital predistortion for high efficiency power amplifier architectures using a dual-input modeling approach,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 2, pp. 361–369, Feb. 2012. [9] H. Jang, A. Zai, T. Reveyrand, P. Roblin, Z. Popovic, and D. E. Root, “Simulation and measurement-based X-parameter models for power amplifiers with envelope tracking,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2–7, 2013, pp. 1–4. [10] P. M. Asbeck, H. Kobayashi, M. Iwamoto, G. Hanington, S. Nam, and L. E. Larson, “Augmented behavioral characterization for modeling the nonlinear response of power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 135–138. [11] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [12] A. S. Tehrani, H. Cao, S. Afsardoost, T. Eriksson, M. Isaksson, and C. Fager, “A comparative analysis of the complexity/accuracy tradeoff in power amplifier behavioral models,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 6, pp. 1510–1520, Jun. 2010. [13] A. Zhu, P. J. Draxler, C. Hsia, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Digital predistortion for envelope-tracking power amplifiers using decomposed piecewise volterra series,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 10, pp. 2237–2247, Oct. 2008. [14] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [15] P. L. Gilabert and G. Montoro, “Look-up table implementation of a slow envelope dependent digital predistorter for envelope tracking power amplifiers,” IEEE Microw. Compon. Lett., vol. 22, no. 2, pp. 97–99, Feb. 2012. [16] D. Kim, D. Kang, J. Choi, J. Kim, Y. Cho, and B. Kim, “Optimization for envelope shaped operation of envelope tracking power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1787–1795, Jul. 2011. [17] I. Kim, Y. Y. Woo, S. Hong, and B. Kim, “High efficiency hybrid EER transmitter for WCDMA application using optimized power amplifier,” in Proc. Eur. Micr. Conf. (EuMC), Oct. 2007, pp. 182–185. [18] C. Hsia, D. F. Kimball, and P. M. Asbeck, “Effect of maximum power supply voltage on envelope tracking power amplifiers using GaN HEMTs,” in Proc. IEEE Topical Conf. Power Amp. Wireless Radio Appl. (PAWR), Jan. 2011, pp. 69–72. [19] E. McCune, “Operating modes of dynamic power supply transmitter amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2511–2517, Nov. 2014. [20] F. F. Tafuri, D. Sira, T. S. Nielsen, O. K. Jensen, J. H. Mikkelsen, and T. Larsen, “Memory models for behavioral modeling and digital predistortion of envelope tracking power amplifiers,” Microprocessors Microsyst., vol. 39, no. 8, pp. 879–888, Nov. 2015.

GIBIINO et al.: THREE-PORT NONLINEAR DYNAMIC BEHAVIORAL MODEL FOR SUPPLY-MODULATED RF PAs

[21] M. Hassan, L. E. Larson, V. W. Leung, and P. M. Asbeck, “Effect of envelope amplifier nonlinearities on the output spectrum of envelope tracking power amplifiers,” in Proc. IEEE 12th Topical Meet. Silicon Monolithic Int. Circ. RF Syst. (SiRF), Jan. 2012, pp. 187–190. [22] D. Kim, D. Kang, J. Kim, Y. Cho, and B. Kim, “Highly efficient dualswitch hybrid switching supply modulator for envelope tracking power amplifier,” IEEE Microw. Compon. Lett., vol. 22, no. 6, pp. 285–287, Jun. 2012. [23] Y. Fei, B. Zhang, Z. Hu, and S. He, “Analysis of a broadband high-efficiency switch-mode delta sigma supply modulator based on a class-e amplifier and a class-e rectifier,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2934–2948, Aug. 2013. [24] P. Augeau et al., “A new GaN-based high-speed and high-power switching circuit for envelope-tracking modulators,” Int. J. Microw. Wireless Tech., Special Issue, vol. 6, no. 1, pp. 13–21, Feb. 2014. [25] F. Filicori, G. Vannini, and V. A. Monaco, “A nonlinear integral model of electron devices for HB circuit analysis,” IEEE Trans. Microw. Theory Techn., vol. 40, no. 7, pp. 1456–1465, Jul. 1992. [26] D. Mirri, F. Filicori, G. Iuculano, and G. Pasini, “A nonlinear dynamic model for performance analysis of large-signal amplifiers in communication systems,” in Proc. 16th IEEE Instrum. Meas Tech. Conf. (IMTC), 1999, pp. 193–197. [27] F. Filicori, A. Santarelli, P. A. Traverso, A. Raffo, G. Vannini, and M. Pagani, “Nonlinear RF device modeling in the presence of lowfrequency dispersive phenomena,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 81–94, Jan. 2006. [28] N. Le Gallou, E. Ngoya, H. Buret, D. Barataud, and J. M. Nebus, “An improved behavioral modeling technique for high power amplifiers with memory,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 2, pp. 983–986. [29] D. Mirri, F. Filicori, G. Iuculano, and G. Pasini, “A nonlinear dynamic model for performance analysis of large-signal amplifiers in communication systems,” IEEE Trans. Instrum. Meas., vol. 53, no. 2, pp. 341–350, Apr. 2004. [30] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [31] A. Soury, E. Ngoya, J. M. Nebus, and T. Reveyrand, “Measurement based modeling of power amplifiers for reliable design of modern communication systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 795–798. [32] J. Verspecht et al., “Extension of X-parameters to include long-term dynamic memory effects,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 741–744. [33] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Systems and Circuits. Norwood, MA, USA: Artech House, 2003. [34] G. Avolio, G. Pailloncy, D. Schreurs, M. Vanden Bossche, and B. Nauwelaers, “On-wafer LSNA measurements including dynamic bias,” in Proc. Eur. Microw. Conf. (EuMC), Sep.–Oct. 2009, pp. 930–933. [35] J. C. Pedro and N. B. Carvalho, “On the use of multitone techniques for assessing RF components' intermodulation distortion,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 12, pp. 2393–2402, Dec. 1999. [36] W. Van Moer and Y. Rolain, “Measuring the sensitivity of microwave components to bias variations,” IEEE Trans. Instrum. Meas., vol. 53, no. 3, pp. 787–791, Jun. 2004.

Gian Piero Gibiino (S’13) received the M.Sc. degree (with honors) in electronic engineering from the University of Bologna, Bologna, Italy, in 2011, and is currently working toward the dual Ph.D. degree in electronic engineering at the University of Bologna, Bologna, Italy, and KU Leuven, Leuven, Belgium. Since 2012, he has been with the Department of Electrical, Electronic and Information Engineering (DEI) “Guglielmo Marconi,” University of Bologna. His research interests are electron devices and RF power amplifier modeling, nonlinear microwave measurements, and linearization techniques.

147

Gustavo Avolio (S’12–M’12) was born in Cosenza, Italy, in 1982. He received the M.Sc. in electronic engineering from the University of Calabria, Cosenza, Italy, in 2006, and the Ph.D. degree in electronic engineering from KU Leuven, Leuven, Belgium, in 2012. He is currently a Postdoctoral Researcher supported by FWO Vlaanderen Belgium. He was a Visiting Scientist with the University of Ferrara, Ferrara Italy, in 2009, 2011, and 2014. In 2013 and 2014, he was a Visiting Scientist with the National Institute of Standards and Technology (NIST), Boulder, CO, USA. His research work focuses on large-signal measurements and nonlinear modeling of active microwave devices. Dominique M. M.-P. Schreurs (S’90–M’97–SM’02 –F’12) received the M.Sc. degree in electronic engineering and the Ph.D. degree from the University of Leuven (KU Leuven), Leuven, Belgium. She is currently a Full Professor with KU Leuven. She has been a Visiting Scientist with Agilent Technologies, Eidgenössische Technische Hochschule Zürich (ETH Zürich), and the National Institute of Standards and Technology (NIST). Her main research interests concern the nonlinear characterization and modeling of active microwave devices and circuits, and system design for wireless power transfer and biomedical applications. Prof. Schreurs serves on the AdCom of the IEEE Microwave Theory and Techniques Society (MTT-S). She was an MTT-S Distinguished Microwave Lecturer (2012–2014). She is Editor-in-Chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. She also serves on the Executive Committee of the ARFTG Organization. She was General Chair of the 2007 and 2012 Spring ARFTG Conference. She also was Co-Chair of the European Microwave Conference in 2008. Alberto Santarelli (M’97) received the Laurea degree (cum laude) in electronic engineering and the Ph.D. degree in electronics and computer science from the University of Bologna, Italy, in 1991 and 1996, respectively. He was a Research Assistant from 1996 to 2001 with the Research Centre for Computer Science and Communication Systems of the Italian National Research Council (IEIIT-CNR) in Bologna. In 2001, he joined the Department of Electrical, Electronic and Information Engineering (DEI) “Guglielmo Marconi”, University of Bologna, where he currently is an Associate Professor. During his academic career he has been a Lecturer of Applied Electronics, Industrial Electronics, and Electronics for Communications. His main research interests are related to the nonlinear characterization and modeling of electron devices and to the nonlinear microwave circuit design. Prof. Santarelli is a Member of the European Microwave Association (EuMA) and the Italian Association of Electrical, Electronics, Automation, Information, and Communication Technology (AEIT). Fabio Filicori was born in Imola, Italy, in 1949. He received the M.S. degree in electronic engineering from the University of Bologna, Bologna, Italy, in 1974. In 1974, he joined the Department of Electronics, Computer Science and Systems, University of Bologna, initially as Research Assistant, and then became an Associate Professor of Applied Electronics. In 1990, he became a Full Professor of Applied Electronics with the University of Perugia. In 1991, he joined the Faculty of Engineering, University of Ferrara, where he was a Full Professor responsible for the degree course in electronic engineering. He is currently a Full Professor of Electronics with the Faculty of Engineering, University of Bologna. During his academic career, he has held courses on computer-aided circuit design, electron devices and circuits, and power electronics. His main research activities are in the areas of computer-aided design (CAD) techniques for nonlinear microwave circuits, electron device nonlinear modeling, sampling instrumentation, and power electronics.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Coupling Matrix Extraction and Reconfiguration Using a Generalized Isospectral Flow Method Stefan Pflüger, Christian Waldschmidt, Senior Member, IEEE, and Volker Ziegler, Senior Member, IEEE

Abstract—The coupling matrix model is a helpful tool during synthesis and analysis of coupled resonator filters. Application of the coupling matrix for filter synthesis often requires the designer to reconfigure the coupling matrix to yield a specific matrix structure matching the topology of the filter. Currently, there is no closed-form solution known to this matrix reconfiguration problem. This paper proposes a new approach to solve this problem for coupling matrix representations of general lossy filters. The method is based on infinitesimal matrix rotations and can be considered as a continuous analog to the well-known coupling matrix similarity transforms. The results shown indicate good convergence behavior of the reconfiguration algorithm, as well as the ability of the method to always keep the desired scattering parameter goal. Based on this algorithm, a method for coupling matrix extraction of lossy filters is presented and successfully evaluated using simulated and measured scattering parameter data. Index Terms—Coupling matrix, coupling matrix extraction, filtering theory, infinitesimal rotation, lossy filters, microwave filters, similarity transform.

I. INTRODUCTION

T

HE COUPLING matrix is a mathematical filter model that is often employed in modern filter synthesis and analysis procedures. Advanced synthesis methods for coupling matrix descriptions of filters incorporating cross-couplings [1] and losses [2] have been described in the literature. However, these methods often result in coupling matrices with a structure that is inconvenient for hardware implementation. Depending on the technology used, some structures may be more suitable for realization than others. A fully populated coupling matrix, for example, would require direct coupling between all of the resonators. Clearly, this topology is not convenient for realization within, for example, planar microstrip technology. An additional step, transforming the synthesized coupling matrix into a matrix that realizes the same scattering parameters with a simpler topology, is required for reasonable implementation of the filter.

Manuscript received March 10, 2015; revised July 19, 2015 and October 18, 2015; accepted October 23, 2015. This work was supported in part by the German Federal Ministry of Education and Research under Contract KUSZ 16BU1226. (Corresponding author: S. Pflüger.) S. Pflüger and V. Ziegler are with AIRBUS Group Innovations, 81663 Munich, Germany (e-mail: mail@stefanpflueger.info). C. Waldschmidt is with the Institute of Microwave Techniques, University of Ulm, 89081 Ulm, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2498188

Besides its importance for filter synthesis, finding a transformation step between two arbitrary coupling matrix structures is also of relevance for filter analysis. Following fabrication of a filter, the designer is usually interested in extracting unloaded quality factors and resonator coupling values from measured scattering data. These parameters are essential for filter diagnosis and subsequent filter tuning. A correlation between the entries of a coupling matrix extracted from measurement data and the physical coupling elements of the filter can only be established easily if the coupling matrix is transformed to fit the topology of the filter. Several methods are known for reconfiguration of fully populated coupling matrices into a better suitable form. These are often based on mathematical similarity transforms that change the coupling values, but leave the eigenstructure of the matrix unaffected. Therefore, these transformations modify the coupling values without influencing the scattering parameters as seen by the I/O ports. Reference [1] gives sequences of transformation steps that transform a general coupling matrix into a specific realizable topology like the folded form or the cul-de-sac configuration. However, the authors of [3] note that it seems that “there is no simple closed form equation” for calculating transformation sequences that transform into any general prescribed structure. In [3], a method is given for exhaustively calculating all possible alternative coupling matrix structures to a given coupling matrix. Whereas this method has the advantage of finding all possible solutions to the problem, the algorithm is computationally highly complex, as it involves symbolic calculation of the matrix inverse of the coupling matrix. Besides analytical solutions, optimization methods are often used. These try to simultaneously meet conditions on the resulting scattering parameters as well as on the topology of the matrix. However, local optimization methods as used in [4] and [5] are not guaranteed to converge to a solution that meets all goals. If the goals cannot be met simultaneously, the results will not match the desired scattering parameters. To avoid convergence to local minima, the authors of [6] have used a genetic algorithm that tries to find a coupling matrix that simultaneously meets the requirements on scattering parameters and structure. Genetic algorithms are successfully used for solving optimization problems with complex boundary conditions, but the time for convergence to a suitable solution can be high. In this paper, a novel approach for transformation of a general coupling matrix into an arbitrary desired topology is presented in Section II. This new approach avoids direct optimization of the values within the coupling matrix. Instead, the basis of the vector space defined by the coupling matrix is changed iteratively in small steps, i.e., each iteration result is another per-

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

spective on the same starting matrix with its given eigenvalues. In each iteration, a gradient can be determined that gradually changes the basis into a direction that better fulfills the desired matrix structure target. As only the matrix basis is changed, there is no accumulation of numerical inaccuracies throughout the iterations. It is intrinsic to the method that the spectral properties of the matrix and the resulting scattering parameters do not change throughout the iterations. Whereas the referenced direct optimization methods will compromise on the scattering parameter data to meet the given topology goal, the proposed method will give a coupling matrix that realizes the desired scattering parameters exactly and matches the desired structure as good as possible in a least squares sense. This is especially advantageous for coupling matrix extraction if parasitic couplings between resonators have to be considered. Section III focuses on the use of the proposed reconfiguration method for coupling matrix extraction of lossy filters and gives several examples for verification of the method. II. RECONFIGURATION OF COUPLING MATRICES coupling matrix Throughout this paper, the normalized is used that includes the I/O coupling values within the matrix. The rows and columns associated with these I/O couplings are marked with a light gray background for better readability of the matrices. The scattering parameters are given by the normalized impedance matrix and can be calculated as if

(5) . The scalar funcwith the Frobenius norm tion , called the “structure difference” below, can be understood as a measure of how good the coupling matrix fits the desired structure determined by . By using the eigenvalue decomposition theorem, the coupling matrix can be decomposed into an transversal coupling matrix , also known as a global eigenmode representation [12], and a similarity transformation given by the orthogonal matrix as [1] (6) The structure difference

in (5) can then be written as (7)

A. Basic Coupling Matrix Model

if

contains a zero for each element of that is desired to vanish in the final reconfigured result and a one for all other elements. Using these definitions, the transformation of to yield the matrix structure defined by can be formulated as a minimization problem [11]

(1)

maps the th port to the corresponding line and where column in the coupling matrix. The inverse of the matrix can be expressed using the adjoint and determinant of as [7] (2) The impedance matrix can be determined from the coupling matrix with the low-pass frequency domain variable , , and , (3) Within this model, the matrix in general describes losses and to can be combined with the real-valued coupling matrix . For form a complex valued coupling matrix a detailed description of the model and its derivation, see, for example, [2] and [8]–[10].

A comprehensive discussion of the concept of similarity transforms within the coupling matrix model can be found in [12]. Instead of directly modifying the matrix , the proposed optimization approach changes the similarity transformation matrix . The location of the filter poles and zeros is invariant with respect to this modification as long as the following two aspects are observed. First of all, the generalized eigenvalues of the matrix pencil , which are equal to the transmission poles of the filter, must not be changed throughout the transformation. This requirement is fulfilled by definition if describes a similarity transformation because similar matrices share the same eigenvalues. Additionally, transmission and reflection zeros also need to remain unchanged by the transformation. The position of these zeros is influenced by the eigenvalues of the corresponding matrix minors, as can be seen by calculating the elements of the adjoint matrix in (2). Hence, the transformations carried out on the submatrices corresponding to these matrix minors are also required to be similarity transforms. These requirements are all met if the transformation matrix is chosen as follows with an arbitrary similarity transformation matrix :

B. Description of the Reconfiguration Method An coupling matrix is given. Following [11], a projection is defined that suppresses all undesired matrix entries (4) The operator denotes the Hadamard elementwise product and is a binary matrix defining the desired final matrix structure.

It is assumed that the first and last rows and columns of the coupling matrix describe the input and output ports of the filter. The corresponding elements of have been marked gray in (8). These have been set to 0, except for the diagonal elements, which have been set to 1. This avoids transformations involving the outer matrix elements, as this would change the eigenvalues of the matrix minors and thereby change the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PFLÜGER et al.: COUPLING MATRIX EXTRACTION AND RECONFIGURATION USING GENERALIZED ISOSPECTRAL FLOW METHOD

filter zeros as stated before. As long as fulfills the condition shown, the filter poles and zeros automatically remain unchanged throughout the minimization process. The scalar function is to be minimized. To simplify the following calculations, the matrix is first multiplied by the term with the identity matrix and an antisymmetric matrix with infinitesimally small elements. Note that the term is equivalent to the description of an additional infinitesimal rotation if [13]. Adding an infinitesimal rotation does not affect the orthogonality of . As discussed before, this is a desired property for the minimization of . Thus, this condition shall be assumed to hold true below. The optimization problem of (5) can then be stated as (9) with respect to the infinitesimal The partial derivatives of rotations found in the matrix elements of can then be calculated as

(10) (11)

(12) The remaining derivative terms in this expression can be written as follows using the single entry matrix [7]:

(13) (14) Replacing the derivative terms in (12) by the results shown in (13)–(14) finally gives the following expression for the partial derivatives of :

(15) with the matrix

defined as (16)

Using the partial derivatives given by (15), the gradient of with respect to an infinitesimal rotation matrix can be written as (17) The subtraction of the second term in (17) is due to the antisymmetric structure [7] of the matrix .

3

Subsequently, with the gradient known, the gradient descent method can be employed to follow the gradient to a solution of the minimization problem. During this process, the transformation matrix needs to keep the structure defined by (8) with being an orthogonal matrix. The orthogonality property is not affected by adding infinitesimal rotation steps as shown before. To keep the outer values of fixed, as described in (8), the boundary elements of the gradient matrix in (17) are set to zero. With these considerations, the gradient descent method can be formulated by the iteration (18) . A possible with small enough and a given starting point . With this choice, starting point is the identity matrix is directly known without any prior calculations as can be seen from (6). The expressions in (18) lead to two different interpretations of the algorithm. The first expression, , can be understood to describe a sequence of infinitesimally that are applied to move towards the small rotations optimization goal. In the limit of infinitesimally small steps, these rotations then describe a continuous path from the starting point to the final optimization goal. From this perspective, the algorithm proposed in this work can be seen as a continuous analogy to the discrete rotation steps of the well-known classical similarity transformations. Following the transformation path continuously is advantageous for a robust optimiziation algorithm because, contrary to classical matrix rotations, the order in which subsequent infinitesimal rotation steps are applied is not relevant for the result [13]. The second expression, , gives rise to an interpretation of the . Each step along the algorithm in terms of a vector field direction of this vector field moves the algorithm further towards the optimization goal while retaining the spectral properties—the poles and zeros—of the underlying coupling matrix. A particle starting at the initial point and flowing along the direction of this vector field would eventually reach the optimization goal. As such, the algorithm is similar to the isospectral flows, which are discussed in [11], for example. In general, choosing a sufficiently small step size leads to a solution, but results in a considerable number of iterations. To speed up the algorithm, we chose to select adaptively. After is evaluated. each iteration step, the structure difference did not decrease with respect to the previous iteration, If the iteration is continued from the previous step with smaller . If is not infinitesimally small, the application of (18) will cause a loss of orthogonality between the columns of the matrix . If is chosen small enough, this effect can be neglected, accepting a small error in the final result. However, when selecting adaptively and not necessarily small, the orthogonality of the matrix needs to be restored. This has been accomplished by subsequent application of the Gram–Schmidt orthonormaliza. Additionally, (18) foltion procedure on the columns of lowed by the Gram–Schmidt algorithm can be applied multiple times without recalculation of the gradient to speed up the algorithm further. Algorithm 1 summarizes the steps of the reconfiguration method using a pseudo-code notation.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Initial coupling matrix

and reconfigured coupling matrix

in folded form of example 1.

Algorithm 1 Steps of the reconfiguration algorithm in pseudocode notation. identity matrix matrix to reconfigure repeat score1

The iterative optimization algorithm described before has been applied to this example. The matrix to be reconfigured is , the matrices and are shown in Fig. 1. The set to identity matrix has been chosen as initial transformation matrix . is the only matrix that changes during the iterations of the algorithm, and are not modified in any way. The step for this example. To size has been constant with provide a reference for implementation, the matrix within the first iteration step is shown,

, see (5)

Gradient matrix given by (15) and (17)

GramSchmidt score2 if score2

, see (5) score1 then

Decrease value of Continue loop from previous step end if until score2

limit or

Using the transformation matrices of the subsequent iterations, the concept of eigenvalue conservation during the reconfiguration process can be demonstrated. The finite general, ized eigenvalues of the matrix pencil i.e., the solutions to the equation , can be calculated as

limit

C. Examples 1) Example 1: To demonstrate the application of the method, the transversal coupling matrix from [1], shown in Fig. 1, is used. This matrix describes a fully canonical filter with four transmission poles and four transmission zeros. This coupling matrix shall be reconfigured into the folded form [1] that is given by the following structure matrix:

These eigenvalues are found to be equal to the filter poles, as has been explained in Section II. After completing the first are iteration step, the eigenvalues of the pencil found to be unchanged,

It can be seen from the plot of the absolute value of the difference between the eigenvalue vectors of the first and the th iteration in Fig. 2 that the eigenvalues remain invariant throughout all iterations. The same is true for the eigenvalues of the matrix minors, which determine the zeros of the filter transfer functions, as has been explained in Section II. Additionally, the eigenvalue variation plot in Fig. 2 demonstrates that small numeric errors caused by limited machine precision and finite step size do not add up throughout the iterations.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PFLÜGER et al.: COUPLING MATRIX EXTRACTION AND RECONFIGURATION USING GENERALIZED ISOSPECTRAL FLOW METHOD

Fig. 2. Convergence behavior of the algorithm shown in terms of structure difand variation of the eigenvalues over number of iterations. ference

For more information about eigenvalue decompositions in the context of coupling matrices, the reader shall be referred to [12] for further reading. For the example shown, the optimization process has been defined in (5) continued until the structure difference was smaller than a limit of 10 . The convergence behavior of the minimization in terms of the structure difference is plotted in Fig. 2. The algorithm has been implemented using the Python scripting language, calculation took 0.31 s of time on an Intel Core i5 processor with 2.4 GHz. The resulting couafter reaching the stopping criterion is given pling matrix in Fig. 1. The transformation from transversal to folded form has been deliberately chosen as an example because it is one of the special cases where a sequence of classical similarity transforms can be given explicitly to solve the problem. Therefore, the results of the proposed algorithm can easily be compared to the results of the classical similarity transforms that are well documented in the literature. In [1], this known sequence of similarity transforms has been used to derive the solution. The solution given there is identical to the solution derived by the method shown in this work for all decimal places given. A comparison of the scattering parameters of the original transversal coupling matrix and the reconfigured coupling matrix in folded form is shown in Fig. 3. The excellent agreement between the scattering parameters of the original matrix in transversal form and the transformed matrix in folded form shows the validity of the method. 2) Example 2: To demonstrate the applicability of the proposed method for more complex filter structures, a transformation from arrow form to a ten-pole extended box topology has been chosen. The coupling matrix in arrow form, which has been generated using the methods included in Dedale-HF [14] from given poles and zeros, is shown in Fig. 4. This matrix is to be transformed into the ten-pole extended box topology described by the structure matrix that is as well printed in Fig. 4. In general, there may be multiple solutions to the reconfiguration problem that are identical in terms of poles and zeros of the filter. The conditions required for a coupling matrix reconfiguration problem to possess a unique, multiple, or even an infinite number of solutions has been assessed in [3]. From this work, it is known that the extended box topology chosen for this example has a total number of 384 distinct complex solutions.

5

Fig. 3. Comparison of scattering parameter magnitude of original matrix in transversal form and after transformation to folded form.

The algorithm proposed in this work is based on gradient optimization. The optimization problem in the example is ill posed in the sense that is has multiple solutions. Therefore, the convergence to a specific solution depends upon the starting point of the optimization. Instead of starting the algorithm with equal has to the identity matrix, the initial transformation matrix to been chosen as a sequence of random matrix rotations discover multiple solutions (20) with

defined as the transformation matrix

.. .

.. .

(21)

To study the properties of the the proposed coupling matrix reconfiguration algorithm, this procedure has been repeated 10.000 times with the randomly transformed starting point . In 47% of these runs an exact solution to the reconfiguration problem has been found. Fig. 5 depicts a histogram of the number of runs that converged to a solution within a given number of iterations. On average, it took 10 294 iterations for the algorithm to converge to a solution. To validate the results, a comparison with the software package Dedale-HF [14] has been carried out. Dedale-HF is able to determine the solution set of the coupling matrix reconfiguration problem exhaustively by symbolic calculation. The authors of Dedale-HF have found a way of pre-calculating the results of the time-consuming symbolic matrix inversion and storing the results in a reference file that is specific to a given filter topology. As soon as this reference file has been created, further calculations for the specific filter topology can be carried out within little time. For the ten-pole extended box topology, a total number of 384 solutions exist, not including sign symmetries [3]. Using Dedale-HF, it has been found that for the example considered in this section, 44 out of these 384 solutions, have a real-valued

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 4. Structure matrix

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

and initial coupling matrix

in folded form of the ten-pole extended box example.

Fig. 6. Process of coupling matrix extraction from scattering parameter data, extending the method proposed in [2].

Fig. 5. Histogram of the number of runs out of 10.000 runs that completed within a given range of iterations for the ten-pole extended box reconfiguration problem.

coupling matrix solution, which is required for physical implementation. These solutions have been compared with the results of the proposed reconfiguration method. All exact solutions found by Dedale-HF also have been found using the method proposed in this work, which does not require the complex creation of a reference file and is comparatively easy to implement. There is a remaining number of runs that did not converge to an exact solution. The solution set of these runs includes solutions that do not exactly reach the desired filter topology, but are near optimum in terms of the structure difference criterion stated in (5). These solutions cannot be found using the approach taken by Dedale-HF, but might also be considered for filter implementation, if small deviations from the requested scattering parameters are still within the filter specification. Examples of how it is useful to be able to find non-exact, but optimum solutions in terms of (5) are shown in Section III within the application of coupling matrix extraction.

Fig. 7. Comparison of the original set of scattering parameters to the result of vector fitting and the scattering parameters calculated from the extracted coupling matrix.

III. COUPLING MATRIX EXTRACTION As outlined in Section I, there are many fields of application for the reconfiguration algorithm presented in Section II. In combination with the synthesis procedure shown in [2], the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PFLÜGER et al.: COUPLING MATRIX EXTRACTION AND RECONFIGURATION USING GENERALIZED ISOSPECTRAL FLOW METHOD

7

Fig. 8. Coupling matrix used to generate scattering parameters for validation of the algorithm, coupling matrix extracted from these scattering parameters , and coupling matrix transformed into the desired topology .

calculation of a coupling matrix with desired structure from a rational function description of a general lossy filter is possible. This rational function description of the admittance parameters of the filter can be derived from scattering parameter data by transformation to -parameters followed by rational approximation. Recently, the vector-fitting algorithm has gained attention for rational approximation within different fields of engineering science. In [15], vector fitting has been used successfully to extract a rational model from measured admittance parameter data for use in computer-aided tuning of lossy filters. The vector fitting algorithm [16] has been found to give reliable results for rational approximation with order and has also been used in the example given below. As the rational function model with low order is unable to model a time delay at the filter ports, any potential time delay first has to be deembedded from the ports, as is also suggested in [17]. The order of the fit has to be chosen equal to the order of the coupling matrix model to be calculated from the synthesis procedure. The quality of the fit can be used as an indicator whether or not the given scattering parameter data can be described by a coupling matrix representation of order . This procedure is summarized in Fig. 6 in Steps 1–3. Generally, the result is a fully populated coupling matrix with complex values. The real part of these values describe classical capacitive and inductive coupling between the resonators, as well as self-coupling. Imaginary values on the diagonal entries describe losses within the resonator that can be related to an unloaded quality factor. The interpretation of imaginary values in off-diagonal matrix entries is discussed in [2] and [18]. The structure of the complex coupling matrix resulting from Step 3 of the process usually does not reflect the topology of the real filter being measured. To correlate the values of the extracted coupling matrix with the parameters of the measured filter, the coupling matrix first needs to be transformed to reflect

Fig. 9. Comparison of magnitudes of original scattering parameters and measured scattering parameters of a three-pole filter. A photograph of this filter is shown at the bottom of the graph.

the topology of the filter. This is achieved in Step 4 using the coupling matrix reconfiguration algorithm presented before. Below, the validity of this coupling matrix extraction process shall be demonstrated using two examples. The first example uses scattering parameter data generated from a given coupling matrix model to assess the accuracy of the approach. The second example uses measured data of a planar filter to demonstrate the ability of the procedure to deal with the imperfections of real data. A. Evaluation of Accuracy To validate the extraction process shown in Fig. 6, a set of scattering parameters has been calculated by applying (1) to a known complex lossy coupling matrix that represents a four-pole asymmetric filter with two transmission zeros near the passband edge. The corresponding scattering parameters are

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Coupling matrix extracted from measured scattering parameters.

shown in Fig. 7 using a solid gray line. Fig. 8 shows the coupling matrix . The filter topology is similar to the example given in [2]. Fitting the scattering parameters of the filter using the vector fitting algorithm (Step 1) and converting the resulting rational model to a coupling matrix model as described before results in the fully populated coupling matrix shown in Fig. 8. The scattering parameters derived from this coupling matrix match the original set of scattering parameters very well, as is shown in Fig. 7. However, the matrix structure of the extracted coupling matrix is substantially different to the original matrix , particularly with regard to the coupling between the second and third resonators. There is significant coupling between these resonators in the extracted coupling matrix although there is no direct coupling between these two resonators in the original filter topology. To be able to compare the coupling values within these two coupling matrices, the reconfiguration process derived in this paper has been carried out. The real part of , describing the coupling structure, has been used as input for the reconfiguration algorithm. The resulting transformation matrix has subsequently been applied to the complex matrix in Fig. 8 including losses by calculating (22) Hereby, the extracted lossy coupling matrix can be transformed into the coupling structure of the original coupling matrix. The result of this transformation is shown in Fig. 8. The coupling matrix values match the values of the original matrix closely. B. Extraction From Measured Scattering Parameters The ability to reconfigure an arbitrary coupling matrix to yield a prescribed structure has been found especially useful for the extraction of unloaded quality factors as well as coupling values from measured scattering parameters of filters. A filter based on three transversally coupled evanescent-mode resonators is used to demonstrate this application. The basic structure of this filter has been described before in [19]. A photograph of the filter is shown in Fig. 9. Essentially, a substrate integrated waveguide is formed using a via fence. This waveguide is operated below its cutoff frequency. By capacitively loading the evanescent waveguide at three places, three resonators are formed. These are coupled by the evanescent fields extending into the waveguide. Measured scattering parameters of the filter are shown in Fig. 9. For a better overview, only the scattering parameters and are shown in this figure. Nonetheless, the full set of scattering parameters has been used for analysis of the filter.

The analysis has been carried out by following the steps shown in Fig. 6 and described above. The target structure of the coupling matrix reconfiguration (Step 4) has been chosen to represent a transversally coupled filter without cross-couplings,

A non-resonant node, which is only coupled to the I/O ports, has been added to the model. The position of the corresponding coupling coefficients is marked with dark gray color in (23). These lossy non-resonant nodes add a shunt conductance [2], which allows the model to include additional losses at the ports. These may originate from an additional lossy transmission line used, for example, during measurement. Throughout the coupling matrix reconfiguration algorithm, these non-resonant nodes are handled in the same way as the I/O ports. The result of the extraction process is shown in Fig. 10. Before processing of the data, it has been transformed from the bandpass domain into the low-pass domain with a center frequency of GHz and MHz. Therefore, all values within the coupling matrix are normalized and can be unnormalized by a multiplication with the factor . The transversal coupling topology is clearly visible within the matrix in the secondary diagonal entries. Additionally, a slightly varying frequency offset between the resonators can be seen in the main diagonal matrix entries. If this frequency offset was not desired in the filter design, it could now be compensated for by post-production tuning. Knowing the magnitude and location of the deviation from the extracted coupling matrix facilitates this task. In addition, the unloaded quality factors of the resonators can be derived from this coupling matrix description (24) By measurement of a single weakly coupled resonator, the unloaded quality factor has been estimated as . For comparison, the unloaded quality factor of the inner resonator derived from the coupling matrix of the full filter is in excellent agreement with this result. The extracted values and of the outer resonators show a slightly lower quality factor, which is most certainly caused by

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PFLÜGER et al.: COUPLING MATRIX EXTRACTION AND RECONFIGURATION USING GENERALIZED ISOSPECTRAL FLOW METHOD

the coupling structures at the outer ports extending into these resonators. The cross-coupling values in the extracted matrix can be found to be non-zero, which can be plausibly explained by remaining evanescent fields within the waveguide that extend beyond the neighboring resonators. The calculation of these values is the result of a useful property of the proposed reconfiguration algorithm. In the first place, the algorithm ensures that the scattering parameters do not change throughout the reconfiguration. If the desired topology cannot be reached without compromising the scattering parameters, an optimized structure with respect to the least squares criterion defined in (5) is returned. IV. CONCLUSION AND OUTLOOK Within this work, an algorithm for reconfiguration of lossy coupling matrices with arbitrary topology has been proposed. Using several examples for verification, the applicability for lossy asymmetric filters has been demonstrated. The concept of infinitesimal rotations as an analog to the classical similarity transformations has been discussed as a foundation of the algorithm. Furthermore, the proposed algorithm has been used as an integral part of a process for coupling matrix extraction from scattering parameter measurement data of lossy filters. This process is able to extract coupling matrices whose matrix structure resembles the filter topology. As discussed within the paper, this property could enable the use of the coupling matrix extraction process for a wide range of applications including fast filter optimization during filter design as well as manual or automated post-production tuning. The coupling matrix extraction process has as well been successfully demonstrated and verified using simulation and measurement data of a lossy filter. As has been demonstrated using an example, the mathematical foundation of the method can be generalized to additional non-resonant nodes. It is expected that the method can also be generalized to filters with more than two ports. This would allow its use for further research in optimization of coupling matrices describing multi-port resonant structures.

9

[8] A. Atia, A. Williams, and R. Newcomb, “Narrow-band multiple-coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CAS-21, no. 5, pp. 649–655, Sep. 1974. [9] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 4, pp. 433–442, Apr. 1999. [10] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [11] M. T. Chu and K. R. Driessel, “The projected gradient method for least squares matrix approximations with spectral constraints,” SIAM J. Numer. Anal., vol. 27, no. 4, pp. 1050–1060, 1990. [12] S. Amari and M. Bekheit, “Physical interpretation and implications of similarity transformations in coupled resonator filter design,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 6, pp. 1139–1153, Jun. 2007. [13] E. W. Weisstein, “Infinitesimal rotation,” MathWorld—A Wolfram Web Resource [Online]. Available: http://mathworld.wolfram.com/InfinitesimalRotation.html [14] Dedale-HF v1.3c. INRIA, Sophia Antipolis, France, 2006 [Online]. Available: http://www-sop.inria.fr/apics/Dedale/ [15] H. Hu and K.-L. Wu, “A generalized coupling matrix extraction technique for bandpass filters with uneven-QS,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 2, pp. 244–251, Feb. 2014. [16] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [17] Z. Hao and G. Shi, “New approaches to interconnect macromodeling with explicit delay extraction,” in IEEE Asia–Pacific Circuits Syst. Conf., 2008, pp. 1546–1549. [18] V. Miraftab and M. Yu, “Advanced coupling matrix and admittance function synthesis techniques for dissipative microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2429–2438, Oct. 2009. [19] S. Pflüger, C. Waldschmidt, and V. Ziegler, “Coupled evanescent mode resonator filter using a defective ground structure to increase coupling,” in 44th Eur. Microw. Conf., Rome, Italy, Oct. 6–9, 2014, pp. 841–844. Stefan Pflüger received the Dipl.-Ing. degree (with honors) in information technology from the University of Ulm, Ulm, Germany, in 2011, and is currently working toward the Ph.D. degree at the University of Ulm. From 2006 to 2010, along with his studies, he was with Daimler AG, Ulm, Germany, where he was involved in the area of wireless telematic systems. From 2011 to 2012, he was with the Endress Hauser GmbH Co. KG, Maulburg, Germany, where he was involved in the development of radar-based level measurement sensors. Since May 2012, he has been with AIRBUS Group Innovations, Ottobrunn, Germany, where he is focused on design and applications of microwave filters in the field of microwave communication and sensor systems. His research interests include the design and theory of lossy microwave filters, millimeter-wave antennas, and microwave sensors. Mr. Pflüger was the recipient of the VDI Förderpreis Award of the German Association of Engineers (VDI) in 2012.

REFERENCES [1] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jan. 2003. [2] V. Miraftab and M. Yu, “Generalized lossy microwave filter coupling matrix synthesis and design using mixed technologies,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3016–3027, Dec. 2008. [3] R. J. Cameron, J.-C. Faugere, F. Rouillier, and F. Seyfert, “An exhaustive approach to the coupling matrix synthesis problem application to the design of high degree asymmetric filters,” Int. J. RF Microw. Comput.-Aided Eng., vol. 17, no. 1, pp. 4–12, Jan. 2007. [4] W. Atia, K. Zaki, and A. Atia, “Synthesis of general topology multiple coupled resonator filters by optimization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 2, pp. 821–824. [5] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [6] M. Uhm, S. Nam, and J. Kim, “Synthesis of resonator filters with arbitrary topology using hybrid method,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 10, pp. 2157–2167, Oct. 2007. [7] K. B. Petersen and M. S. Pedersen, “The matrix cookbook,” Nov. 2012.

Christian Waldschmidt (M’13–SM’13) received the Dipl.-Ing. (M.S.E.E.) and Dr.-Ing. (Ph.D.E.E.) degrees from the University Karlsruhe (TH), Karlsruhe, Germany, in 2001 and 2004, respectively. From 2001 to 2004, he was a Research Assistant with the Institut für Höchstfrequenztechnik and Elektronik (IHE), TH. From 2004 to 2012, he was with the business units Corporate Research and Chassis Systems, Robert Bosch GmbH, Stuttgart, Germany, where he headed different research and development teams in high-frequency engineering, electromagnetic compatibility (EMC), and automotive radar. In 2013, he returned to academia and was appointed Director of the Institute of Microwave Engineering, University Ulm, Ulm, Germany, as a Full Professor. He has authored or coauthored over 80 scientific publications. He holds mover 20 patents. His research topics have included integrated radar sensors, radar system design, millimeter-wave technologies, antennas and antenna arrays, ultra-wideband (UWB), and EMC. His current research topics focus on radar and RF sensing, millimeter-wave and submillimeter-wave engineering,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

antennas and antenna arrays, multiple input–multiple output (MIMO), array signal processing, modulation techniques, and wave propagation. Prof. Waldschmidt is a member of the ITG committee Microwave Engineering (VDE) and the IEEE MTT-27 Technical Committee (wireless enabled automotive and vehicular applications). He is a reviewer for multiple IEEE TRANSACTIONS and LETTERS.

Volker Ziegler (A’01–M’01–SM’09) received the Dipl.-Ing. degree in electrical engineering and Dr.-Ing. degree (with honors) from the University of Ulm, Ulm, Germany, in 1997 and 2001, respectively. From 2002 to 2003, he was member of the Knowledge Exchange Group for Research and Technology, DaimlerChrysler AG, Stuttgart, Germany. During this trainee period, he was with the University of Michigan, Ann Arbor, MI, USA, and also with United Monolithic Semiconductors, Orsay, France. He then joined AIRBUS Group Innovations, Otto-

brunn, Germany, where, in 2007, he became an AIRBUS Expert on Microwave Technologies and Systems. He is currently the Head of RF and Waveforms Team, responsible for the research performed in the field of key microwave technologies and waveforms for advanced radar and communication systems. He has authored or coauthored more than 70 papers. He served twice as an associate editor for the International Journal of Microwave and Wireless Technologies. He holds nine patents. Dr. Ziegler is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Coordinating Committee 21 on RF-MEMS and of the IEEE MTT-S Antennas and Propagation German Chapter Executive Board. He is also an industrial advisor for the ESA Component Technical Board on Microwaves.

158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Microfluidically Reconfigurable Metallized Plate Loaded Frequency-Agile RF Bandpass Filters Timothy Palomo, Student Member, IEEE, and Gokhan Mumcu, Senior Member, IEEE

Abstract—Microfluidically repositionable metallized plates have been recently introduced as a technique to realize frequency-agile bandpass filters with low insertion loss (IL) and wideband continuous frequency tunability. This paper utilizes a hybrid (circuit and electromagnetic simulation) model for time efficient simulations and introduces fourth-order bandpass filter designs for the first time to extend the applicability of the technique to higher order frequency-agile RF filter design. The paper introduces novel microfluidic channel and resonator configurations to demonstrate that the proposed filters can serve application specific footprint needs. By resorting to a selectively metallized plate approach, the reliability issue associated with synchronized movement of multiple metallized plates has been resolved. The filters are incorporated with micropumps to enable their automated control. Specifically, the concepts are demonstrated through design, fabrication, and testing of two fourth-order bandpass filters exhibiting different resonator arrangement layouts. The filters were measured to operate over 2:1 (60%) frequency tuning range (0.8 GHz –1.5 GHz) with better than 4.5 dB IL, 5% constant fractional bandwidth (FBW), and 40 dB out of band rejection implying a good agreement with the simulation based performance predictions. For the selected micropumps and investigated microfluidic channel layouts, the best tuning speed was measured to be 2.12 MHz per millisecond. Index Terms—Frequency-agile, microfluidics, open loop resonators, reconfigurable RF devices, RF filters.

I. INTRODUCTION

T

HE increasing demand for compact and multifunctional devices has placed stringent constraints for the size and performance of radio frequency (RF) filters causing frequency-agile filters to become attractive design choices. Several technologies based on ferromagnetic resonance in yttrium iron garnet (YIG) spheres [1], semiconductor varactor diodes [2], ferroelectric capacitors [3], RF micro-electromechanical systems (MEMS) varactors [4] and RF MEMS switches [5] were demonstrated for the design of frequency-agile filters. The frequency tunability and power handling capability of these techniques also determine the filter performance. For example, capacitance variation and loss of the varactor diodes provided a tuning range and insertion loss (IL) of 30% and Manuscript received May 21, 2015; revised October 07, 2015; accepted November 19, 2015. Date of publication December 09, 2015; date of current version January 01, 2016. This work was supported by the U. S. National Science Foundation under CAREER award ECCS-1351557. The authors are with the Center for Wireless and Microwave Information Systems (WAMI), Department of Electrical Engineering, University of South Florida, Tampa, FL 33620 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504473

3 dB, respectively, with a fractional bandwidth (FBW) of 16% in the second-order bandpass filters operating at GHz range [2]. Reference [6] showed that frequency tunability can be increased with higher capacitance ratio, however, due to the component availability and loss, the frequency tunable varactor loaded filter was designed at a lower frequency of 0.6 GHz. At higher operation frequencies, ferroelectric varactors were found attractive. As an example, [3] showed a filter with 6% tuning range with dB IL for a 1 dB . MEMS capacitors in [4]–[7] were shown to provide a high 50% frequency tuning range with an dB and a FBW between . [5] also utilized MEMS technology to obtain 50% tuning range, but it was accomplished in discrete steps. Evanescent mode cavity resonator filters loaded with MEMS capacitors have been shown to provide continuous tuning range, presenting a FBW and IL of 0.7% and 3.5 dB, respectively. The resonators of these filters are typically electrically large due to the volumetric construction [8]. More recently, reconfigurable RF devices employing liquid metals and microfluidics have been of interest due to their promise to handle increased RF power and achieve wide frequency tuning range from compact device sizes. References [9]–[19] have demonstrated the use of liquid metals and/or dielectrics for achieving frequency reconfigurable antennas, tunable frequency selective surfaces, beam-steering antennas, and reflectarrays. In addition, several microfluidic based reconfigurable resonators have been investigated. For example, [20] has used electrowetting of a liquid metal droplet to reconfigure the operation frequency of evanescent-mode cavity resonator. Liquid metal loadings over a coplanar waveguide (CPW) resonator have been used in [21] to realize a planar and compact frequency tunable device. In [22], liquid metal has been used to redefine the shape of broadside coupled split ring resonators of a second-order band-pass filter. Filling/emptying of resonators has been used in [23], [24] for frequency tuning. Despite being promising in terms of high power RF performance and wideband frequency tuning, liquid metals often suffer from oxidization related channel stiction issues and require advanced packaging techniques [25]. To achieve reversible and repeatable reconfiguration, oxide formation over the surface of the liquid metal has been tackled with repetitively evacuating channels to rinse with ethyl alcohol [11] or immersing the liquid metal into a strong acid or base carrier solution [18]. These approaches unfortunately limit the reconfiguration speed and/or decrease the efficiency of the RF device. In addition, lower conductivity of liquid metals are expected to be a major limiting factor of power handling

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

PALOMO AND MUMCU: MICROFLUIDICALLY RECONFIGURABLE METALLIZED PLATE LOADED FREQUENCY-AGILE RF BANDPASS FILTERS

in the proposed devices. As an alternative, in [26], a novel method has been introduced to design frequency-agile filters by replacing the liquid metal volumes with metallized plates that can be repositioned within microfluidic channels. Also, by resorting to thin film fabrication techniques, the capacitive loading of the metallized plates over the printed open loop resonators have been increased to achieve a wide frequency tuning range. However, [26] has not presented a convenient technique to model the resonators and provided a path for applying the design technique to higher order filters. The reliability issues associated with the need of synchronized movement of multiple plates have not been addressed and automated operation with tuning speed characterizations has not been presented. To address these issues, this paper provides a hybrid (circuit and electromagnetic simulation based) model to perform time efficient evaluation of the variations in coupling coefficients and external/unloaded quality factors as the metallized plate loaded resonators are reconfigured. This model is subsequently employed for the design of fourth-order bandpass filters to demonstrate the applicability of the technique for generic filter design. To the best of our knowledge, these are also the first examples of higher-order (second order) microfluidically reconfigurable bandpass filters. This paper also introduces novel microfluidic channel designs and the concept of selectively metallized plate to improve the reconfiguration speed and solve the synchronization related reliability issues observed in presence of multiple moving plates. Two different resonator arrangements are demonstrated to show that this technique can be customized for different applications and footprint requirements. Frequency tuning speed characterizations are also performed by incorporating the filters with micropumps to enable their automated control. Specifically, the two fourth-order bandpass filters exhibit a measured frequency tuning range of (1.5 GHz to 0.8 GHz), with an dB and dB out of band rejection ( dB improvement from [26]) while maintaining fractional bandwidth (FBW). For the selected micropumps and investigated microfluidic channel layouts, the best tuning speed was measured to be 2.12 MHz per millisecond. II. FILTER DESIGN A. Resonator Model Fig. 1(a) depicts the substrate stack-up used to construct the presented microfluidic based reconfigurable filters. A microfluidically repositionable metallized plate is placed in close proximity to the gap of a traditional open loop resonator to achieve a variable capacitance based frequency tuning mechanism. For a compact filter size, the open loop resonators were placed on a high permittivity 1.27 mm thick Rogers 6010.2LM board ( , ). The 0.3 mm thick microfluidic channel is located at the bottom surface of a 2 mm thick Polydimethylsiloxane (PDMS, , ) polymer. The microfluidic channel with the metallized plate is sealed by bonding a m thick liquid crystal polymer (LCP) based flexible Rogers ULTRALAM 3880 ( , ) pregrep with the PDMS layer. The Rogers 6010.2LM board and the microfluidic channel are brought together to form the filter. Depending on the position of the metallized plate, the amount

159

Fig. 1. Microfluidically reconfigurable metallized plate loaded resonator: (a) substrate stack-up; (b) resonator dimensions and its equivalent hybrid model ( mm, mm, mm, mm, mm, and ); (c) values obtained from simulation of hybrid and full wave models (solid lines mm, mm, mm, represent full wave model) for mm, and mm.

of capacitive loading varies and gets maximized when the plate is centered over the resonator's arm. Most importantly, this capacitive loading can be completely removed by retracting the metallized plate half way out of the gap of the open loop resonator. This allows for an extended tuning capability. The dimensions of the unloaded square open loop resonator are shown in Fig. 1(b). The resonator is designed to operate at 1.5 GHz by utilizing the Momentum suite of Keysight Advanced Design System (ADS) with the extraction approach outlined in [27]. Assuming that the metallized plate completely fills the microfluidic channel and overlaps with the entire arm of the resonator, the frequency tuning range can be determined to be from 0.61 GHz to 1.5 GHz. Designing the filter across this wide frequency range to exhibit a predefined fractional bandwidth (FBW) performance requires extensive number of full wave simulations to be carried out with changing plate position and results in a time consuming procedure especially for

160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

higher order filters. To alleviate this issue, a hybrid model that represents the metallized plate as a lumped circuit network is utilized for design purposes as shown in Fig. 1(b). Since the metallized plate can have a different overlap area on the open arms of the resonator, its interaction with the resonator is represented with two series capacitors (i.e., and ). Due to the selected resonator configuration, the metallized plate always completely covers the left arm of the open loop resonator resulting in , where is the width of the plate, is the side length of the resonator, is the width of the gap, is the separation between the plate and the resonator, and is the permittivity of the material between the plate and the resonator. depends on the position of the plate and can be expressed as , where denotes the distance between the plate and the right edge of the resonator. The parallel RLC circuit in series with and represents the RF parasitics of the metallized plate located between the open ends of the resonator. The values are initially approximated from the open ended transmission line resonator equations [28]. Subsequently, the values are optimized to match the response of the resonator simulated using full-wave analysis when the metallized plate completely overlaps with the resonator arm. The open loop resonator was full wave simulated without the metallized plate by placing ports to the open ends of the resonator. The hybrid model was formed in ADS schematic by combining the lumped circuit model with the open end ports of the full wave simulated resonator. Fig. 1(c) shows that the full wave simulations performed with metallized plate agree well with the equivalent hybrid model. Throughout this paper, to keep the discussion brief, filter performance simulations and experimental verifications are provided at 5 specific plate positions ( mm, mm, mm, mm and mm). B. External

and Coupling Coefficient

To proceed with design of a fourth-order Chebyshev bandpass filter with 5% FBW, the required external quality factor and coupling coefficient values were calculated from the corresponding low pass lumped element circuit prototype ( , ) as 15.308, , and , respectively [27] (where a subscript integer represents the resonator number). To maintain an almost constant FBW, it is necessary to keep the and relatively stable over the tuning range. For the filter implementation, the hybrid resonator model was utilized to extract the and using the approach explained in [27]. As shown in Fig. 2(a), decreases as the resonator is tuned to higher frequency and this behavior is independent of the tapping location . To stabilize the variation in over the tuning range, a lumped coupling inductor was introduced to the feed line as shown in Fig. 2(b) [22]. Through parametric studies, tapping location and the inductor value were determined as mm and nH, for mm, for a relatively flat response [Fig. 2(a)]. Two different resonator alignment configurations were found to provide stabilized variation over the wide tuning range as shown in Fig. 3. To prevent overlapping of the metallized plate with the adjacent resonators, resonators were offset with respect to each other by . The configuration in Fig. 3(a) was

Fig. 2. External quality factor: (a) as a function of frequency; (b) modified variation with respect to frequency ( resonator layout for stabilizing mm, mm).

by itself suitable to implement a fourth-order Chebyshev filter having its resonators linearly aligned. To accomplish the required and at 1 GHz, the separation between the resonators was set to 0.98 mm. The gap was 1.58 mm to obtain . The configuration in Fig. 3(b) was utilized to realize and in a fourth-order Chebyshev filter with resonators aligned in a diagonal form ( was realized again with the configuration in Fig. 3(a). The separation between the resonators was set to 0.1 mm for achieving the desired coupling at 1 GHz. These resonator configurations exhibit a mixture of electrical and magnetic couplings. It was observed that for the selected configurations, still varied as the frequency was tuned across the wide frequency range. The variation of these coupling curves could potentially be further stabilized by resorting to nonsquare resonator shapes. However, since this may potentially complicate the operation of the filters with the proposed selectively metallized microfluidically controllable plates, it was not investigated for the proof-of-concept filters implemented in this paper. The variations shown in Fig. 3(a) and (b) were, therefore, expected to change FBW around the desired 5% goal. According to equation , varying from 0.04 to 0.07 could generate a FBW variation from 3.36% to 5.8%. It is also important to mention that other resonator configurations that relied extensively on electrical or magnetic coupling were found to exhibit a null coupling coefficient at certain frequency within the desired wide frequency tuning range no matter how the resonators were spaced with respect each other. Consequently, these resonator configurations were not able to exhibit a continuous impedance matching across the tuning range. C.

Order Filter With Linear Resonator Arrangement

Fig. 4 depicts the fourth-order filter with linear resonator arrangement. This filter can be realized with the meandered mi-

PALOMO AND MUMCU: MICROFLUIDICALLY RECONFIGURABLE METALLIZED PLATE LOADED FREQUENCY-AGILE RF BANDPASS FILTERS

161

Fig. 3. Coupling coefficient for different resonator arrangements used in (a) linear and diagonal footprint filters; (b) diagonal footprint filter.

crofluidic channel approach introduced in our previous work [26] in order to achieve frequency tuning with a single bidirectional micropump unit. However, as will be explained in Section IV, this topology will be further improved with the selectively metallized plate approach to avoid possible movement synchronization issues. Since the simulated unloaded of the resonators varies from 190 at 1.5 GHz to 90 at 0.61 GHz, the worst case IL can be estimated as 5.04 dB using the equation . As shown in Fig. 4(b), the filter FBW is maintained between 3.5% and 7% across the tuning range. As expected, the IL increases from 3.03 dB to 4.8 dB as the filter is tuned from 1.5 GHz to 0.61 GHz. The overall footprint of the filter is mm . Fig. 4(c) shows the performance of the filter across 0.5 GHz to 5.5 GHz. An out of band rejection dB is achieved. D.

Order Filter With Diagonal Resonator Arrangement

The fourth-order filter designed with the diagonal resonator arrangement is shown in Fig. 5(a). The design is based on the and study discussed in Section II-B. Similar to the filter with the linear resonator arrangement, this layout could also be operated with a meandered microfluidic channel to use a single bi-directional pump unit as shown in Fig. 5(a). The filter FBW is maintained between 3.5% and 7.2% across the tuning range. The IL increases from 3.03 dB to 4.8 dB as the filter is tuned from 1.5 GHz to 0.61 GHz. The overall footprint of the filter is mm . Fig. 5(c) shows the performance of the filter across 0.5 GHz to 5.5 GHz. An out of band rejection dB is achieved.

Fig. 4. Filter with linear resonator arrangement: (a) layout ( , units in mm; (b) simuand ; (c) wideband and performance. lated

III. MICROFLUIDIC CHANNEL DESIGN Although the resonators of the designed filters can be simultaneously tuned with a single pump due to the meandered microfluidic channel layout, utilizing a single meandered channel presents potential reliability issues due to the synchronous movement needed from all the plates. As an alternative, in contrast to our previous work [26], two novel microfluidic channel layouts designed to operate with a selectively metallized plate were pursued for the device implementation as shown in Fig. 6. Specifically, a 14.05 mm wide microfluidic channel was placed over the filter footprint consisting of linearly arranged resonators. The microfluidic channel hosted a single 14 mm wide dielectric plate. This plate was selectively metallized on the areas that will overlap with the resonators. The initially selected dielectric fluid was Teflon solution obtained from

162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 6. Metallized plate and channel shapes investigated for the linear resmm, onator arrangement: (a) Rectangular microfluidic channel: mm, mm, and mm. (b) “Z” shaped mm, mm, mm, microfluidic channel: mm, mm, and mm.

thick Rogers 5880LZ g/cm substrates exhibiting m copper cladding. Several microfluidic channels having various heights (0.25 mm, 0.3 mm, and 0.35 mm) were fabricated to determine a height that will enable plate movement. Out of these, 0.3 mm was selected for the microfluidic channel depth. Since using a larger dielectric plate also required a larger liquid volume displacement, the tuning speed was expected to be lower in the new widened microfluidic channel implementation. To improve the speed, the channel layout and the plate shape was modified as shown in Fig. 6(b) to take a “Z” shape. This allowed for having the metallized areas in one common dielectric plate and required the same volume displacement as the meandered channel implementation. During the experiments, the tuning time of the filter in Fig. 6(a) was measured to be 2.1 s for the required 6 mm full motion range. As expected, the Z shaped channel and plate implementation decreased this time by a factor of 6.4 times to 0.33 s. Due to the success with the Z shaped channel and plate implementation, the filter design with the diagonal resonator arrangement was pursued only with this technique. Fig. 7 depicts the channel and plate dimensions. The measured tuning time was 0.38 s per 6 mm of full range movement. Fig. 5. Filter with diagonal resonator arrangement: (a) layout ( , ) units in mm; and ; (c) wideband and performance. (b) simulated

DuPont (400S2–100-1) which is made out of 1% Teflon dissolved in 3M FC-40 solution g/cm . However, it was found that utilizing only 3M FC-40 solution provided a higher speed due to its lower viscosity. Initially, glass was considered for implementing the metallized plates. However, glass plates required an extra step for the metal deposition and its mobility inside the channel was found to be limited possibly due to its higher density g/cm . To ease the plate movement inside the channel, the later plate implementations were carried out with readily available 0.254 mm

IV. FABRICATION The microfluidic channels were fabricated in PDMS utilizing the micromolding technique explained in [29] and [30]. Metallized plates were manually positioned inside the channels. The PDMS and LCP bond was then aligned with the PCB board using the alignment holes. Plastic screws were utilized to hold the PCB and microchannel layers together. Cubic pieces of PDMS were utilized as microfluidic connectors to interface PTFE tubes with the microchannel. To move the plates inside the microchannels, a two syringe system was implemented to flow 3M FC-40 solution. The fabricated filter exhibiting the Z shape microfluidic channel shape is shown in Fig. 8. It consisted of 1.27 mm thick PCB board, m thick LCP layer, and 2 mm thick PDMS substrate with 0.3 mm deep

PALOMO AND MUMCU: MICROFLUIDICALLY RECONFIGURABLE METALLIZED PLATE LOADED FREQUENCY-AGILE RF BANDPASS FILTERS

163

Fig. 7. “Z” shaped microfluidic channel implementation for the diagonal armm, mm, mm, rangement: mm, mm, mm, and mm, mm, mm, mm.

microchannel carrying the 0.25 mm thick metallized plate. 6.0 nH Coilcraft inductors from the 0302CS series were utilized at the input and output of the filter. To electronically control the filters, the syringes were substituted with two piezo-pumps, with dimensions of mm from Bartels® (mp-6). These micropumps were chosen due to their piezo actuation mechanism that allows for an accurate control of the liquid displacement via supply voltages. Two of these pumps were connected in series to generate bi-directional flow capability. The pumps were operated with the manufacturer supplied driver circuit that converts 5 V DC current to a 100 MHz 235 V peak to peak sinusoidal wave according to the highest flow rate specifications given for water. The power consumption of the pumps is less than 200 mW. V. EXPERIMENTAL VERIFICATION A.

Order Filter With Linear Resonator Arrangement

Fig. 8(a) and (b) depicts the fabricated filter and the microfluidic control assembly. The measured frequency tuning range was from 0.8 GHz to 1.5 GHz, close to being 2:1. Based on simulation studies, this frequency tuning range was identified to correspond to a physical gap of m between the plate metallization and the printed loop. Hence, it was concluded that the 0.254 mm thick plate with m thick metallization floated on the top of the channel due to the density difference of the dielectric fluid and the plate material. Future device fabrications should consider the possible location of the plate within the channel and try to minimize the difference between channel height and plate thickness through more finely sampled channel height characterizations. Optimizing channel height and matching the densities of the plate with the fluid will also minimize the orientation dependent tuning variation due to gravity. Simulated and data with the m gap is shown in Fig. 8(c) and agrees well with the measured data shown in Fig. 8(d). The worst case IL is 4.5 dB at the lowest frequency. 0.2 dB difference between simulations and measurements can potentially be due to the lower of the inductors (which was modeled as 80 in ADS simulations) and losses added due to dielectric solution. The FBW is measured to vary between 5% and 4% from 1.5 GHz to 0.8 GHz. Less

Fig. 8. Experimental characterization of the fourth-order bandpass filter with linear resonator arrangement: (a) fabricated filter board; (b) complete system and perassembly including pump and control units; (c) simulated m thickness; (d) measured and performances; formances with (e) measured frequency response up to third harmonic.

164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

variation in and due to the smaller frequency tuning range results in a more stable FBW performance. The overall footprint of the filter (excluding the pumps) is mm , which is ( free space wavelength) at the lowest frequency. Fig. 8(e) shows the wideband frequency response. An out of band rejection dB is realized up to the 2.6 GHz that is within the vicinity of the second harmonic of the unloaded open loop resonator. The frequency tuning time is 0.33 s, for the entire frequency range, with the “Z” shaped microfluidic channel and plate. The measured and performances for different channel implementations are identical and, therefore, not shown for brevity. B.

Order Filter With Diagonal Resonator Arrangement

Fig. 9(a) and (b) shows the filter implementation for the diagonal configuration. Simulated (with m plate and loop metallization separation) and measured results are in very good agreement as can be seen in Fig. 9(c) and (d). The worst case IL is 4.5 dB and identical to the filter with linear resonator arrangement. The tuning range is also identical and from 1.5 GHz down to 0.8 GHz. The measured FBW varies between 5% and 4% over the entire frequency tuning range. The overall footprint of mm , which is the filter (excluding the pumps) is at the lowest frequency. Fig. 9(e) shows the wideband frequency response. An out of band rejection dB is realized up to the 2.5 GHz that is within the vicinity of the second harmonic of the unloaded open loop resonator. The tuning time is slightly larger and 0.38 s for entire 6 mm plate motion. This is likely to be due to the implementation with a larger plate mass. VI. CONCLUDING REMARKS A novel approach for realizing a compact, low-loss, and highly tunable high order bandpass filter was presented by utilizing microfluidically controlled metallized plates. A thin film based fabrication technique was employed to increase the capacitive loading and associated frequency tuning range. The shape of the microfluidic channel and resonators are designed to operate the filter with a single micropump unit with near constant fractional bandwidth performance. Specifically, the presented bandpass filter example provided 60% tuning range with almost constant 5% FBW. The tuning range of the filter can be further improved by resorting to thinner insulators. Experimental characterizations showed that the plate location within the depth of the microfluidic channel must be accounted for in accurate modeling of these devices due to the use of thin insulators as microfluidic channel walls. By utilizing a selectively metallized single plate to simultaneously tune entire resonators of the filter, the issue of synchronization was solved and the reliability of the filters were improved. Electronically controllable micropumps were also successfully added into the system. The filter presented in this paper provides a low-cost and compact alternative to linear actuator based mechanically tuned filters. Hard substrate based implementations of these filters and their application to suspended lines can potentially provide lower loss and high power handling capabilities. Different configurations of microfluidic channel shapes, plate materials, thinner insulators, dielectric solutions, and minia-

Fig. 9. Experimental characterization of the fourth-order bandpass filter with diagonal resonator arrangement: (a) fabricated filter board; (b) complete system and perassembly including pump and control units; (c) simulated m thickness; (d) measured and performances; formances with (e) measured frequency response up to third harmonic.

turized resonators are currently being investigated to further improve device performance.

PALOMO AND MUMCU: MICROFLUIDICALLY RECONFIGURABLE METALLIZED PLATE LOADED FREQUENCY-AGILE RF BANDPASS FILTERS

REFERENCES [1] W. J. Keane, “Narrow-band YIG filters aid wide-open receivers,” Microwave J., vol. 17, p. 50, 1978. [2] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [3] S. Courreges, Y. Li, Z. Zhao, K. Choi, A. Hunt, and J. Papapolymerou, “A low loss X-band quasi-elliptic ferroelectric tunable filter,” IEEE Microw. Wirel. Compon. Lett., vol. 19, no. 4, pp. 203–205, Apr. 2009. [4] K. Chen, X. Liu, A. Kovacs, W. J. Chappell, and D. Peroulis, “Antibiased electrostatic RF MEMS varactors and tunable filters,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3971–3981, Dec. 2010. [5] K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–10 GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 3, pp. 1103–1110, Mar. 2005. [6] J. S. Sun, N. Kaneda, Y. Baeyens, T. Itoh, and Y.-K. Chen, “Multilayer planar tunable filter with very wide tuning bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2864–2871, Nov. 2011. [7] Y. Shim, Z. Wu, and M. Rais-Zadeh, “A high-performance continuously tunable MEMS bandpass filter at 1 GHz,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2439–2447, Aug. 2012. [8] X. Liu, L. Katehi, W. Chappell, and D. Peroulis, “High-Q tunable microwave cavity resonators and filters using SOI-based RF MEMS tuners,” J. Microelectromech. Syst., Feb. 2010. [9] D. Rodrigo, L. Jofre, and B. Cetiner, “Circular beam-steering reconfigurable antenna with liquid metal parasitics,” IEEE Trans. Antennas Propag., vol. 60, no. 4, pp. 1796–1802, Apr. 2012. [10] M. Kelley, C. Koo, H. McQuilken, B. Lawrence, S. Li, A. Han, and G. Huff, “Frequency reconfigurable patch antenna using liquid metal as switching mechanism,” IET Electron. Lett., vol. 49, no. 22, pp. 1370–1371, Oct. 2013. [11] A. King, J. Patrick, N. Sottos, S. White, G. Huff, and J. Bernhard, “Microfluidically switched frequency-reconfigurable slot antenna,” IEEE Antennas Wirel. Propag. Lett., vol. 12, pp. 828–831, 2013. [12] S. O'Keefe and S. Kingsley, “Tunability of liquid dielectric resonator antennas,” IEEE Antennas Wirel. Propag. Lett., vol. 6, pp. 533–536, 2007. [13] A. M. Morishita, C. K. Y. Kitamura, A. T. Ohta, and W. A. Shiroma, “Two-octave tunable liquid-metal monopole antenna,” IET Electron. Lett., vol. 50, no. 1, pp. 19–20, Jan. 2014. [14] A. Gheethan, M. C. Jo, R. Guldiken, and G. Mumcu, “Microfluidic based Ka-band beam-scanning focal plane array,” IEEE Microw. Wirel. Compon. Lett., vol. 12, pp. 1638–1641, 2013. [15] G. J. Hayes, J.-H. So, A. Qusba, M. Dickey, and G. Lazzi, “Flexible liquid metal alloy (EGaIn) microstrip patch antenna,” IEEE Trans. Antennas Propag., vol. 60, no. 5, pp. 2151–2156, May 2012. [16] M. Li and N. Behdad, “Fluidically tunable frequency selective/phase shifting surfaces for high-power microwave applications,” IEEE Trans. Antennas Propag., vol. 60, no. 6, pp. 2748–2759, Jun. 2012. [17] S. Long and G. Huff, “A fluidic loading mechanism for phase reconfigurable reflectarray elements,” IEEE Antennas Wirel. Propag. Lett., vol. 10, pp. 876–879, 2011. [18] R. C. Gough, J. H. Dang, A. M. Morishita, A. T. Ohta, and W. A. Shiroma, “Frequency-tunable slot antenna using continuous electrowetting of liquid metal,” in Proc. IEEE MTT-S Int. Microwave Symp., 2014, pp. 1–4. [19] A. P. Saghati, J. Batra, J. Kameoka, and K. Entesari, “A microfluidically-switched CPW folded slot antenna,” in Proc. IEEE Int. Symp. Antennas Propagation, 2014, pp. 557–558. [20] W. Irshad and D. Peroulis, “A 12–18 GHz electrostatically tunable liquid metal RF MEMS resonator with quality factor of 1400–1840,” in IEEE MTT-S Microwave Symp. Dig., 2011, pp. 1–4. [21] A. P. Saghati, J. Batra, J. Kameoka, and K. Entesari, “Microfluidically-tuned miniaturized planar microwave resonators,” in Proc. IEEE Wireless and Microwave Technol. Conf., 2014, pp. 1–3. [22] G. Mumcu, A. Dey, and T. Palomo, “Frequency-agile bandpass filters using liquid metal tunable broadside coupled split ring resonators,” IEEE Microw. Wirel. Compon. Lett., vol. 23, no. 4, pp. 187–189, Apr., 2013. [23] M. R. Khan, G. J. Hayes, S. Zhang, M. D. Dickey, and G. Lazzi, “A pressure responsive fluidic microstrip open stub resonator using a liquid metal alloy,” IEEE Microw. Wirel. Compon. Lett., vol. 22, no. 11, pp. 577–579, Nov. 2012.

165

[24] W. G. Tonaki, W. Hu, A. T. Ohta, and W. A. Shiroma, “A reconfigurable, liquid-metal-based low-pass filter with reversible tuning,” presented at the IEEE Int. Wireless Symp., 2013. [25] C. Koo, B. E. LeBlanc, M. Kelley, H. E. Fitzgerald, G. H. Huff, and A. Han, “Manipulating liquid metal droplets in microfluidic channels with minimized skin residues toward tunable RF applications,” J. Microelectromech. Syst., vol. 24, no. 4, pp. 1069–1076, 2015. [26] T. Palomo and G. Mumcu, “Highly reconfigurable bandpass filter using microfluidically controlled metallized glass plates,” presented at the IEEE MTT-S Microwave Symp. Dig., 2014. [27] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. Hoboken, NJ, USA: Wiley, 2001. [28] D. M. Pozar, Microwave Engineering. Hoboken, NJ, USA: Wiley, 2009. [29] M. Bahrami, M. M. Yovanovich, and J. R. Culham, “Pressure drop of fully-developed, laminar flow in microchannels of arbitrary crosssection,” J. Fluids Eng., vol. 128, pp. 1036–1044, 2006. [30] T. Fujii, “PDMS-based microfluidic devices for biomedical applications,” Microelectronic Eng., vol. 61–2, pp. 907–914, Jul. 2002. [31] A. Gheethan, M. Chan Jo, R. Guildiken, and G. Mumcu, “Microfluidic based Ka-band beam scanning focal plane array,” IEEE Antennas Wirel. Propag. Lett., vol. 12, pp. 1638–1641, 2013. Timothy Palomo (S’12) was born in Maracay, Venezuela, on October 5, 1990. He received the B.S. and M.S. degrees in electrical engineering from the University of South Florida (USF), Tampa, FL, USA, in 2011 and 2013, respectively, where he is currently pursuing the Ph.D. degree with an expected graduation date May 2016. He has been a Research Assistant with the Center for Wireless and Microwave Information Systems (WAMI), USF, since 2011, and his research has been focused on the development of highly reconfigurable RF filters through microfluidic techniques. From May 2015 to December 2015, he was a Design Engineer Intern with Qorvo. Mr. Palomo graduated from high school in 2007 at the top of his class. He was the Outstanding Student of USF’s Electrical Engineering Department in 2011. He received the Best Poster Award in the USF research day competitions three years in a row and was awarded conference travel grants. He also played tennis at the professional level in Venezuela and was awarded a full scholarship by West Virginia University, Morgantown, WV, USA, to pursue his career.

Gokhan Mumcu (S’03–M’09–SM’15) was born in Bursa, Turkey, on March 30, 1982. He received the B.S. degree in electrical engineering from Bilkent University, Ankara, Turkey, in 2003, and the M.S. and Ph.D. degrees in electrical and computer engineering from The Ohio State University (OSU), Columbus, OH, USA, in 2005 and 2008, respectively. He is currently an Associate Professor with the Electrical Engineering Department of the University of South Florida (USF), Tampa, FL, USA. From 2009 to 2015, he was an Assistant Professor with the Electrical Engineering Department, USF. His research interests are small antennas, engineered materials, THz technologies, and reconfigurable RF devices, antennas, and arrays using microfluidic reconfiguration techniques. Dr. Mumcu is the recipient of the 2014 CAREER award from the U.S. National Science Foundation. He is also the recipient of the 2014 Faculty Outstanding Research Award from USF. He ranked first on the national university entrance exam taken annually by over 1.5 million Turkish students in 1999. He received the 1999 international education fellowship from the Turkish Ministry of Education. He was a recipient of the Best Paper Award at the 2008 URSI National Radio Science Meeting and the 2008 outstanding dissertation award at ElectroScience Laboratory, OSU. He served as the technical program committee cochair of the 2013 IEEE International Symposium on Antennas and Propagation and the USNC/URSI National Radio Science Meeting. He currently serves as the technical program committee cochair of the 2016 International Workshop on Antenna Technology (iWAT).

166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

A Millimeter-Wave Tunable Hybrid-TransformerBased Circular Polarization Duplexer With Sequentially-Rotated Antennas Chuang Lu, Marion K. Matters-Kammerer, Member, IEEE, Abolghasem Zamanifekri, A. Bart. Smolders, Senior Member, IEEE, and Peter G. M. Baltus, Senior Member, IEEE

Abstract—This paper presents a millimeter-wave tunable hybrid-transformer-based duplexer concept with dual-antenna configuration. By using orthogonal sequentially-rotated linearly-polarized antennas and the hybrid-transformer-based duplexer, the transmitter and receiver duplexes the two antennas with orthogonal circular-polarized signals. An on-chip tuning technique using magnetic coupling is introduced to improve the isolation of the duplexer in case of impedance imbalances. An alternative duplexer with a similar principle using an on-board rat-race coupler is also proposed and designed for comparison. In order to demonstrate the isolation with practical antenna connections, prototypes have been developed to integrate the on-chip duplexer and the rat-race coupler with on-board aperture-coupled microstrip antennas with sequential rotation technique. Measurement results demonstrate the orthogonal circular polarizations for the receiver and transmitter modes on both prototypes. The achieved isolation by the on-chip tunable duplexer is better than 50 dB between 30.2 and 31.2 GHz, while the achieved isolation by the rat-race version is about 20 dB in the same bandwidth. Index Terms—Duplexer, hybrid-transformer, integrated circuits, isolation, millimeter-wave, polarization, SiGe BiCMOS, transmitter leakage, tunable circuits and devices.

I. INTRODUCTION

D

UPLEXERS are critical components in millimeter-wave (mm-wave, 30 to 300 GHz) communication and radar systems, in order to simultaneously transmit and receive while sharing the same antenna. Signal leakage from the transmitter's (TX's) high power output to the receiver (RX), due to the finite TX/RX isolation, can cause saturation and desensitization in the RX. Meanwhile, low insertion loss is desired. The duplexer is located between the antenna and the transceiver front-end, so its loss will directly degrade the RX's noise figure (NF) and TX's Manuscript received June 18, 2015; revised September 14, 2015; accepted November 19, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This paper was supported by the CATRENE-RF2THz project. C. Lu was with the Department of Electrical Engineering, Eindhoven University of Technology, 5612 AZ, Eindhoven, Netherlands. He is now with IMECHolst Centre, Eindhoven, 5656AE Netherlands (e-mail: chuang.lu@imec-nl. nl). M. K. Matters-Kammerer, A. Zamanifekri, A. B. Smolders, and P. G. M. Baltus are with the Department of Electrical Engineering, Eindhoven University of Technology, 5612 AZ, Eindhoven, Netherlands. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504476

output power and will, therefore, further deteriorate the system's link budget. As a result, high isolation and low loss is crucial for duplexers. Low-loss external ferrite-based circulators are traditionally used as duplexers [1]. However, they are relatively bulky, narrow-band, and the isolation is typically limited to 20 dB at mm-wave frequencies [2]. On the other hand, on-chip integrated duplexers can provide a compact and low-cost solution. An on-chip active quasi-circulator is an alternative to a duplexer. [3] and [4] demonstrate narrow-band TX/RX isolation of up to 26 dB. The isolation is achieved by an additional path from the TX that destructively combines with the leakage signal at the RX. However, they suffer from a high insertion loss ( dB) and high NF (17 dB), and the use of active devices can also cause linearity issues. An isolation of 35 dB is achieved by a passive quasi-circulator in [5] from 10.2 to 12.8 GHz with a good power handling capability. But it has 4.5 dB insertion loss for both TX and RX and it is area consuming. An alternative is the integrated tunable hybrid-transformerbased duplexer. It was first proposed for cellular applications in [6] and further extended in [7]–[11]. More than 50 dB of isolation was demonstrated around a center frequency of 2 GHz. However, it has 3 dB inherent loss for both TX and RX paths in case of a symmetric transformer, because half of the signal power is dissipated in the balance network. [7] adopts an asymmetric hybrid-transformer and achieves a 2.5 dB TX insertion loss and a 5 dB RX NF around 2 GHz. [10] demonstrates a prototype connected to a real antenna with an RX insertion loss of 11 dB. The high insertion loss will significantly degrade the already stringent link budget for mm-wave applications. A different approach for duplexing at mm-wave frequencies is transmitting and receiving orthogonal circular-polarized (CP) signals. Waveguide-based [12] and grating-based [13] circular polarization duplexers for radar applications have demonstrated high isolation as well as low insertion loss at mm-wave and submm-wave frequencies. However, the dedicated external hardware leads to higher cost and larger dimensions. In this paper, we introduce a high-isolation and low-loss on-chip integrated tunable duplexer [14] at mm-wave frequency that combines the aforementioned two techniques, i.e., hybrid-transformer-based duplexer and circular polarization duplexer. Replacing the antenna and the balance network in [7] and [8] by two orthogonally linear-polarized (LP) antenna's, the proposed configuration is capable of duplexing both antennas

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

LU et al.: MILLIMETER-WAVE TUNABLE HYBRID-TRANSFORMER-BASED CIRCULAR POLARIZATION DUPLEXER

167

Fig. 1. (a) Integrated transformer-based duplexer architecture [6], [7]; (b) dualantenna architecture with transformer-based duplexer using two identical antennas (Ant1 and Ant2); (c) the normalized TX and RX antenna patterns of the dual-antenna configuration in (b), assuming two isotropic antennas with half-wavelength spacing.

by the TX and RX, transmitting and receiving orthogonally CP signals. Furthermore, the 30-GHz on-chip duplexer is integrated with on-board aperture-coupled microstrip antennas with sequential rotation technique. The prototype proofs the the concept, and demonstrates the high isolation with real antenna connections for practical usage. The paper is organized as follows. Section II introduces the proposed dual-antenna architectures. An alternative using a ratrace coupler is introduced in Section III. The design details of the demonstrator are described in Section IV, including the duplexer chip and the antenna board. Measurement results and discussions on possible extensions are covered in Sections V and VI, respectively. Section VII concludes the paper. II. HYBRID-TRANSFORMER-BASED DUAL-ANTENNA DUPLEXER Fig. 1(a) shows the simplified schematic of a tunable transformer-based duplexer [6]–[9], which achieves isolation between TX and RX by balancing the impedance of the antenna and the tunable balance network. Here, we call the coil which is connected to the antenna the primary coil, while the coupled coil is the secondary coil. The isolation of a symmetric hybrid-transformer-based duplexer is derived as [8] (1) where

is the system impedance, and (2)

Fig. 2. (a) Dual-antenna architectures with transformer-based duplexer using two orthogonally LP antennas at the primary coil; (b) the two antennas are duplexed by both RX and TX with orthogonally circular polarizations towards the same direction. For the TX signal, the field vector in a fixed - plane rotates counter-clockwise seen from the propagation direction, which is defined as right-handed circular polarization (RHCP), and vice versa for the RX signal.

In Fig. 1(a), the dissipation in the balance network introduces a total inherent loss of more than 3 dB for both RX and TX, which degrades the total system link budget by 6 dB. To overcome these drawbacks for mm-wave applications, we propose to replace the tunable balancing load by an antenna, as shown in Figs. 1(b) and 2. Two dual-antenna architectures are proposed as follows. To avoid the inherent loss, one way is to replace the balancing network by an identical antenna as on the other side of the hybrid transformer. In this case, better impedance balance for the transformer-based duplexer is expected, due to the better matching between the identical antennas. In addition, both TX and RX can benefit from an extra antenna gain of 3 dB. However, the 3 dB antenna gain for RX and TX are obtained only at orthogonal directions when the two antennas are spaced by half a wavelength. This is because only in-phase signals from the two antennas can combine coherently into the RX, while the TX signals are out of phase at the two antennas. This can be useful for applications with multipath effects [15], e.g., indoor communication. For most mm-wave applications, e.g., point-to-point (P2P) and satellite communication, imaging and radar applications, identical TX and RX beam direction is desired. An alternative dual-antenna configuration is proposed in Fig. 2(a), which includes two orthogonal LP antennas, i.e., vertically (VP) and horizontally polarized (HP) antennas, and a quarter-wave transmission line (Tline) in one of the antenna paths.

168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 3. Isolation (in dB) of the duplexer in Fig. 2(a) versus the antenna ), due to the impedance transformation by the quarter-wave impedance ( ) at the VP Ant and HP Ant and an Tline. It assumes equal impedance ( ideal Tline.

It is well known that by applying a proper phase difference (either or ) between the HP and VP antennas, orthogonal circular polarizations (CP) can be generated [16], [17], i.e., either right-handed (RHCP) or left-handed circular polarization (LHCP). One example is shown in Fig. 2(b), in which two patch antennas with different orientation and feed locations operate in orthogonal linear polarizations (VP and HP). In the proposed configuration in Fig. 2(a), the differential TX signals coupled to the primary coil are out of phase at P1 and P2, i.e., . The RX connected at the center tap is isolated from the differential TX signal. After the 90 delay line, at the VP and HP antennas, we have . This results in a transmitted signal with RHCP, i.e., counter-clockwise seen from the direction of propagation. Reciprocally, in the RX direction, if LHCP signal is received, it will result in the same phase difference at the antenna, i.e., . In this case, after the 90 delay line, the received signals at P1 and P2 are in phase, i.e., . The common mode signals will further add up in the RX, and are isolated from the TX. Note that the position of RX and TX is swapped, as compared to Fig. 1(a). Although TX will experience extra loss due to the limited coupling coefficient of the transformer, the differential TX and single-ended RX avoid the common-mode coupling issue from the TX to the differential input of the RX if otherwise positioned [8]. In [8], a differential form could be implemented, but it requires a balun to interface to the single-ended antenna, which will again introduce extra loss. To summarize the properties of the architecture shown in Fig. 2(a): it is capable of duplexing the orthogonal LP antennas by both the TX and RX, while transmitting and receiving orthogonal circularly-polarized signals towards and from the same direction, as demonstrated in Fig. 2(b). We can also call it a circular polarization duplexer. This characteristic can be used

in various applications. For FDD communications, the circular polarization is more immune to misalignment. It is particularly useful for radar and imaging systems, in which the reflected and incident signals typically have orthogonal polarizations [12]. In practice, there can be both polarizations at the receiver after reflection, for example, some paths might go through double reflections. The undesired polarization for the RX can be rejected by the proposed duplexer, and will not degrade the isolation. This should be considered in the system design, since it degrades the system's link budget. Regarding the impact to the duplexer, the undesired CP will not cause problems to the isolation. For example, the TX is transmitting RHCP, and ideally LHCP will be received and further picked up by the RX. Practically, some RHCP components might also be received. However, the RX will reject the RHCP, similarly as rejecting the TX RHCP signal. It is worth noticing that the quarter-wave Tline in Fig. 2(a) can degrade the TX/RX isolation if the antennas impedance deviates from 50 . In Fig. 1(b), and do not have to be exactly equal to 50 , but as long as the , perfect isolation can be achieved. For the configuration in Fig. 2(a), however, the 50- quarter-wave Tline will transform by 180 around the center in the Smith chart, to [18] (3) and , imIf we assume balanced impedances will occur at the hybrid-transformer input, i.e., . From (1) and (3), the isolation can be derived as (4) which is plotted in Fig. 3 for a single frequency. The isoladeviates from 50 . As a retion is quickly degraded as sult, the configuration poses a high requirement on the antenna impedance and tuning capability of the duplexer is highly preferred. This will be covered in Section IV. To demonstrate the circular polarization duplexer concept, 30-GHz prototypes integrated with on-board antennas will be designed and verified in this paper. III. ALTERNATIVE DUAL-ANTENNA DUPLEXER USING RAT-RACE COUPLER Another way of understanding the hybrid-transformer-based dual-antenna duplexers is as follows: the TX and RX signals at the two transformer outputs [P1 and P2 in Fig. 2(a)] are differential-mode and common-mode, respectively, and the symmetry provides the isolation between TX and RX. Interestingly, a distributed alternative using a rat-race coupler can function in a similar manner as the lumped implementation using a hybrid-transformer. As shown in Fig. 4, with proper delays for each section in the rat-race coupler, the P1 and P2 ports are seen as common-mode and differential-mode ports for the RX and TX ports, respectively, and the RX and TX ports are isolated. Moreover, the impedance of the ring makes sure that each port is matched to 50 . In this paper, this alternative using the rat-race coupler in Fig. 4 is implemented on PCB board level, because the working

LU et al.: MILLIMETER-WAVE TUNABLE HYBRID-TRANSFORMER-BASED CIRCULAR POLARIZATION DUPLEXER

Fig. 4. Alternative dual-antenna duplexer using a rat-race coupler.

Fig. 5. Top diagram of the 30-GHz duplexer prototype. The duplexer will be implemented by a on-board rat-race coupler or an on-chip hybrid -transformerbased duplexer.

frequency is at 30 GHz and the size of the ring can take significant chip area. It will be used for comparison with the hybridtransformer-based duplexer. Notice that, for higher frequencies in the mm-wave range, the dual-antenna duplexer using the ratrace coupler can be potentially implemented on-chip, especially with on-chip antennas. IV. DESIGN DESCRIPTION The top level diagram of the prototypes is shown in Fig. 5. It consists of on-board antennas, the feed network and the duplexer. Instead of two LP antennas, the prototype utilizes a 2 2 array of sequentially rotated LP antennas. This configuration has better axial ratio response over a wide angular range [16]. Antennas at the diagonal (1 and 3, 2 and 4) are first coherently combined with a half-wavelength delay difference, and the two combined ports act effectively as the VP and HP antennas in Figs. 2 and 4. Two versions of the duplexers were designed and implemented in this paper, namely the on-chip tunable hybrid-transformer-based duplexer and the on-board rat-race coupler-based duplexer. The design details of the critical parts are discussed below. A. On-Chip Tunable Hybrid-Transformer-Based Duplexer As discussed in Section II, it is desired to have a tuning capability to compensate for the impedance imbalance caused by the quarter-wave Tline. Fig. 6 shows the proposed tunable transformer-based duplexer. Notice that the imbalance between and can be caused by both resistive and reactive imbalances. Accordingly, two compensation mechanisms are proposed. For the reactance, it can be simply tuned by shunt

169

Fig. 6. Proposed tunable transformer-based duplexer.

varactors at the antenna connections, i.e., and . For the resistance imbalance, a magnetic tuning, which is a technique used in VCO designs [19], [20] to improve the tuning range, is proposed to tune the isolation of the duplexer. Two additional coil loops closed by varactors are placed at the two sides of the transformer. Intuitively, when the real part of is larger than that of , the coupled current from the TX coil to the left side of the primary coil is lower than the coupled current on the right side. By tuning to a larger value, the right side of the TX coil becomes more coupled to the auxiliary coil with , and less current is induced on the primary coil on the right. This can compensate the reduced current on the left due to the higher , and no redundant TX current flows into the RX. The principle of the tuning can be analyzed using the schematic shown in Fig. 7(a). We assume here a symmetric transformer. In TX mode, there is a current flowing through and . In case of imbalance and without any tuning, i.e., , the coupled current is unequal to , the difference current flows into RX, so TX/RX isolation is degraded. By tuning the capacitances ( and ) in the auxiliary coils, and can be varied separately until they are equal. This can achieve isolation in case of imbalanced antenna impedances. When , the RX can be seen as a virtual ground for the differential TX signal, so we can simplify the schematic into half for the analysis, as shown in Fig. 7(b). In this case, we obtain (5) (6) (7) (8) , , are the mutual inductances between the where inductors shown in Fig. 7. Under the assumption that the coils have the same self inductance L, with a coupling coefficient of 1, i.e., , we can derive that (9) is a real value and is changed, it can We observe that if be compensated by varying the value of . Referring back to

170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 7. (a) Schematic model of the transformer-based duplexer with magnetic tuning; (b) simplified half schematic for analysis.

Fig. 9. Simplified layout of the tunable transformer-based duplexer.

Fig. 8. Isolation between TX and RX after compensation on the real part and are assumed. impedance imbalance. For the ideal case, same self-inductance of 180 pH and coupling factor of 1 are assumed. For the practical case, self-inductances and coupling factors are fF is assumed extracted from the EM simulation. For both cases, for the minimum value of the capacitance tuning range.

Fig. 7(a), the following equation should be satisfied in order to have :

(10) This is the criterion for and to compensate the real part imbalance of the antenna impedances in the ideal case. In a practical transformer with reduced coupling factor between coils, this real part imbalance compensation also works, while a larger tuning range on the and is needed for the same real part imbalance compensation. To demonstrate the operation of an ideal and a practical transformer, simulated isolations after compensating the real part imbalance are shown in Fig. 8. A significant imbalance is assumed on the real parts of the two impedances in Fig. 7(a), where and . For an ideal transformer, the calculated using (10) is 98 fF at 30 GHz. High isolation at 30 GHz is achieved under this condition. The component values in a practical transformer, as listed in the figure, are extracted from EM simulation. Notice not only the degraded coupling factor, but also the different values of the self-inductances and the coupling factors because of the lateral transformer used in this paper. In this case, a larger ,

i.e., 140 fF, is needed to achieve the high isolation, which means that a larger tuning range is required. It is also interesting to note that in case of impedance variations, the proposed tuning method not only compensates the impedance imbalance to improve isolation, but also can partly improve impedance matching. For example, if has a more capacitive or inductive imaginary part comparing to , by reducing or increasing the capacitance from the shunt varactor, not only the isolation can be improved, but it also compensates the matching on the imaginary part. The simplified layout of the tunable duplexer is shown in Fig. 9. The primary coil in the middle has the center tap connected to a co-planar waveguide (CPW) Tline with the ground line connected through the transformer in the middle. The CPW further connects to the LNA. The outer coil is the secondary coil connected to the TX. The coils, stacked by the top two metal layers, are 7 m wide with 3- m spacing. The varactors connected to the inner auxiliary coils and the shunt varactors at the input of the primary coil can be tuned to maximize the duplexer's isolation. The varactors used in the prototype design are reverse-biased junction diodes. The control voltages are dc coupled through large resistors, as shown in Fig. 9. The varactors in the auxiliary coils are in a differential configuration. Since the quality factor degrades for larger varactor capacitance, the TX and RX insertion losses vary for different tuning settings. Simulation shows a 2 dB variation on the insertion losses. The varactors can withstand a breakdown voltage of about 6 V. This corresponds to a maximum output power of 19.5 dBm with a 50 antenna impedance at P1 and P2 in Fig. 5, and the simulated output 1-dB compression point is higher than this, as shown in Fig. 10. Besides the output power, linearity of the isolation is also important. As shown in the simulation result in Fig. 10, below the breakdown level of the varactor, there is only minor degradation. In practice, to further increase the maximum output power

LU et al.: MILLIMETER-WAVE TUNABLE HYBRID-TRANSFORMER-BASED CIRCULAR POLARIZATION DUPLEXER

Fig. 10. Simulated linearity of the duplexer, including output power (at either ) and the isolation (between the RX and TX ports of the duantenna ports, ). The dashed plexer) versus the input power at the TX port of the duplexer ( is higher than 20 dBm, which might cause breakdown on parts are when the varactors and the simulation model might be not valid.

171

Fig. 12. Chip micrograph.

Fig. 11. Schematic of the LNA.

and the isolation linearity, a multistacked technique [21] can be used to reduce the voltage swing across a single varactor. After the duplexer, a low-noise-amplifier (LNA) is also implemented. Fig. 11 shows the schematic of the single-ended two-stage LNA . The LNA has a cascode stage as the input stage, which is optimized for simultaneous noise and gain matching [22]. The integrated duplexer makes it possible to optimize the impedance matching between the duplexer and the LNA, instead of a 50 matching. The required optimum source impedance is obtained by optimizing the transformer dimension, and no extra input matching network is necessary. The second stage is a common-emitter stage with higher voltage headroom to improve the output 1-dB compression point. The on-chip hybrid-transformer-based duplexer is designed in a 0.25- m SiGe:C BiCMOS technology [23]. Electromagnetic (EM) simulations are done to characterize and optimize the chip design. The die photo of the test chip is shown in Fig. 12, which consists of the transformer duplexer, the LNA, and a balun for single-ended TX port measurement. The total chip area is 1.2 mm 0.8 mm, while the duplexer occupies only about 0.25 mm 0.25 mm. B. Sequential-Rotated Circular-Polarized Patch Antenna An aperture-coupled microstrip antenna (ACMA) [17], [24]–[26] is used as a basic antenna element in the 2 2 array

Fig. 13. Stack-up of the antenna board.

with sequential rotation. The substrate stack-up with high frequency Rogers materials is shown in Fig. 13. The patch antennas are printed on the top metal layer, surrounded by a ground plane to reduce unwanted surface-wave propagation. The patch antennas are coupled through a slotted ground plane in the middle layer, and further to the feed lines on the back side of the board. The top and middle ground layers are connected by abundant vias around the antennas. Compared to a patch antenna with direct feed, the ACMA element can achieve a wider bandwidth [24]. The dimensions of the antenna structure and feed network are described in Fig. 14. The distance between the adjacent patch at around 30 GHz, antennas is 7.5 mm, corresponding to in order to obtain a compromise between antenna size, mutual coupling and pattern characteristic [27]. Impedance matching is achieved mainly on the feed layer, using a stub of 0.65 mm long (effectively as a shunt capacitance) in combination with an inductive line in series. The input matching fractional bandwidth ( dB) is more than 13% around 30 GHz in simulation. In the feed layer, the 50- microstrip Tline has a width of 0.45 mm in this stack-up. The lengths of the feed lines satisfy

172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 16. Interface between the microstrip lines and the chip using (a) wire-bond interface; (b) the matching network breakdown.

Fig. 14. Dimensions of the aperture coupled microstrip antenna and the feed lines, viewed from the top including all the metal layers.

Fig. 15. Simulated impedances at P1 and P2 seen from the duplexer are optimized so that they are close to each other around 31 GHz.

the length difference shown in Fig. 5. For simplicity, a nonisolated reactive power combiner is used in this design. It consists of two lines with length of . The dimensions of the final design are optimized around 31 GHz.1 The length of the ground slot is optimized to obtain a double tuning [24], which improves the bandwidth. And more importantly, the impedances at P1 and P2 in Fig. 14 are optimized to be close to each other around 31 GHz, which is important to achieve high isolation as explained in Section II-B. The simulated impedances of P1 and P2 are demonstrated in Fig. 15. C. Wire-Bond Interface The wire-bond interface between the chip and the antenna board is critical to maintain the impedance matching for the desired bandwidth. It is shown in Fig. 16. For the wire-bonding, a cavity is made in the board with a depth of about 0.2 mm (in the used stack, the bottom of the cavity is the ground plane of the board), and the silicon die is placed in it. The die has a substrate thickness of 0.22 mm. In this way, the required length of 1As will be shown in the measurement results on the duplexer chip, the peak gain is shifted to 31 GHz. The antenna board design is thereafter fine-tuned and optimized accordingly.

Fig. 17. Photograph of the demonstration boards with 2 2 linear-polarized ACMA with sequential rotattion. (a) Using a rat-race coupler as duplexer. (b) Using the wire-bonded hybrid-transformer-based duplexer chip covered with glob-top.

the wirebonds is minimized. Meanwhile, for the critical RF connections, the distance between the cavity and the die edges uses the minimum allowable distance in order to minimize the length of the wires for the critical 30-GHz signals. However, the bond wire with a diameter of 25 m still has more than 300 pH inductance in our case. The impedance matching to compensate the wire inductance on the RX port is explained in Fig. 16(b). The matching consists of a low impedance line ( ) and a high impedance line ( ) that match the impedance before the bond wire to , which is further matched to around 50 after the bond wire. Notice that the ground-signal-ground bond wires connects to a co-planar waveguide transmission line which is part of the impedance matching. A similar matching method is used for the antenna ports and TX port. The photographs of the manufactured and assembled prototypes are shown in Fig. 17. The 2 2 ACMA antennas have a size of 19 19 mm .

LU et al.: MILLIMETER-WAVE TUNABLE HYBRID-TRANSFORMER-BASED CIRCULAR POLARIZATION DUPLEXER

173

Fig. 19. Measured (coupling between the RX and TX ports) of the duplexer chip without tuning and with tuning for different center frequencies. The for 30 GHz. The measured include the dashed line is the simulated 20-dB gain from the standalone LNA. The isolation of the duplexer standalone ) around 30 GHz is calculated by subtracting the LNA's gain from ( . the

Fig. 18. (a) Measurement and simulation results for the RX mode, including RX path gain and noise figure, simulated LNA's gain and the input reflection. (b) Measured and simulated results for the TX mode, including TX path insertion loss and the input reflection.

V. MEASUREMENT RESULTS A. On-Chip Tunable Hybrid-Transformer-Based Duplexer To characterize the performance of the duplexer chip in Fig. 12, a full four-port on-wafer measurement was done, and the port assignment is annotated in Fig. 12. Fig. 18 shows the simulated and measured input reflections and gain/loss for and the NF for the RX/TX modes. For the RX mode, as shown in Fig. 18(a), a measured gain of 18.0 dB at 31 GHz is achieved when port 1 and port 2 are excited with common-mode signals with a 3-dB bandwidth from 27.5 to 34.5 GHz. The measured peak gain shifts slightly to higher frequency and degrades by less than 1 dB. Notice that the simulated gain of the standalone LNA without the duplexer at the input is 20.2 dB, which implies about 2.2-dB insertion loss from the duplexer for the RX mode. The measured NF is about 4.0 dB, and the simulated NF is 3.3 dB. For the TX mode, as shown in Fig. 18, the measured insertion loss is 3.1 dB at 31 GHz when driving port 1 and port 2 in differential mode. The 0.9 dB higher duplexer loss for the RX mode is due to the extra loss from the limited coupling factor in the transformer. In this design, the LNA consumes 60 mW.

The measured TX/RX coupling ( ) between port TX port (port 4) and LNA output (port 3) is shown in Fig. 19. It includes the isolation provided by the duplexer and the LNA gain. is about 3 dB around 30 GHz when no tuning is applied. This corresponds to a total isolation of only 23 dB by the standalone duplexer due to the 20 dB of gain of the standalone LNA. This degraded isolation with 50- port impedances is due to the asymmetry in the surrounding of the transformer. By applying the tuning, the isolation can be significantly improved. The can be tuned to be higher than 20 dB for a bandwidth of 2 GHz around 31 GHz, as shown in Fig. 19, and it corresponds to a duplexer isolation of more than 40 dB. The bandwidth of a 45-dB duplexer isolation is about 1 GHz. The aggregated bandwidth of the high isolation by the on-chip tunable duplexer is more than 7 GHz, from 27 to 34 GHz. Fig. 20 shows the tuning range of the on-chip tunable duplexer. The measurement is done using a source-pull at Ant1 port (port 1 in Fig. 12) and calibrated 3-port measurements are done on the other three ports for different source impedances at the Ant1 port. The calibrated 3-port measurement can measure the isolation between the RX and TX port when the Ant2 port is terminated by 50 . The discrete points are the measured source impedances at Ant1 that can be tuned for more than 50-dB isolation at 31 GHz by the on-chip duplexer. The interpolated impedances within the range are tunable for high isolation. This range is sufficient for typical radar applications. For cellular applications where the antenna impedance can vary significantly, the tuning range can be extended by increasing the capacitance tuning ratio of the varactors, and even antenna tuning units might be necessary to confine the antenna impedance [7]. Note that the tuning range in Fig. 20 is shifted towards the capacitive part of the Smith chart. This is due to the imbalance in the complete layout of the on-chip hybrid-transformer. It is also the main reason for the low isolation before tuning in Fig. 19. Thanks to the on-chip tunability, the impact can be compensated and high isolation can be achieved.

174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 21. Measured isolation of the antennas with rat-race coupler as duplexer.

Fig. 20. Impedance range at the input of Ant1 port (port 1 in Fig. 12) that can be tuned by the on-chip tunable duplexer for an isolation of more than 50 dB at 31 GHz.

TABLE I PERFORMANCE SUMMARY ON THE DUPLEXER AND BENCHMARKING

Fig. 22. Measured normalized antenna patterns of the antennas with rat-race coupler as duplexer, including the co-polarization and cross-polarization patterns at both RX and TX ports. The measurement is at 31 GHz in the plane.

A performance summary of the duplexer chip and a comparison to other state-of-art standalone duplexers are listed in Table I. The presented on-chip tunable hybrid-transformer-based duplexer demonstrates high isolation at mm-wave frequencies with good NF for the RX and relatively low TX insertion loss. B. Demonstrators The measurement of the demonstration boards in Fig. 17 consists of two parts. First, we measure the isolation between the RX and TX ports on both the rat-race coupler version and the on-chip duplexer version. Next to the isolation, the impact on the antenna patterns and the purity of the CP are also crucial, especially after tuning for high isolation for the on-chip duplexer case. So secondly, we measured the antenna patterns and the corresponding axial ratio. The CP antenna patterns in the prin) are characterized using an LP reference ciple plane (

Fig. 23. Measured axial ratio of the RX and TX patterns of the antennas with rat-race coupler as duplexer. The measurement is at 31 GHz in the plane.

antenna which measures the - and -component of the total and . The used coordinate definition is electric field, i.e.,

LU et al.: MILLIMETER-WAVE TUNABLE HYBRID-TRANSFORMER-BASED CIRCULAR POLARIZATION DUPLEXER

175

shown in Fig. 17. The RHCP and LHCP patterns in the principle plane are

(11) (12) and the axial ratio (in decibels) is (13) 1) With Rat-Race Coupler: The measured isolation between the RX and TX ports in the prototype using a rat-race coupler is shown in Fig. 21. It can achieve an RX/TX isolation of around 20 dB around 31 GHz. For the antenna performance, both the RX and TX mode have an antenna gain of about 6.5 dBi at 31 GHz at broadside, and the 3-dB beamwidth is about 34 , as shown in Fig. 22. The desired patterns of the RX and TX mode are in orthogonal polarizations, i.e., the co-polarizations of RX and TX mode are in LHCP and RHCP, respectively. The cross-polarization ratios of both modes are more than 18 dB at broadside direction. This corresponds to an AR of lower than 2 dB, as shown in Fig. 23. 2) With On-Chip Tunable Hybrid-Transformer: For the demonstrator with on-chip duplexer, the RX/TX isolation at 31 GHz is about 9 dB before tuning. As mentioned in Section V.A, this isolation includes the gain of the on-chip LNA (about 20 dB) and the loss from the interface and feed lines at the LNA output and TX port input (about 0.5 dB each). As a result, this will correspond to an isolation of 28 dB from the on-chip duplexer. Note that this isolation is achieved in a more practical scenario with real antenna connections, while the on-wafer measurement in Section V.A assumes 50- ports at the antenna inputs. After applying the on-chip tuning, the isolation can be improved by more than 20 dB around 31 GHz, as shown in Fig. 24. The achieved isolation from the on-chip duplexer is more than 50 dB between 30.2 and 31.2 GHz, and more than 40 dB between 30.1 and 32.6 GHz. It can be observed that there is a dual-notch around 31 GHz, thanks to the optimized impedance from the antenna shown in Fig. 15. This implies that we can improve the isolation bandwidth by further optimizing the antenna impedance to 50 . The antenna pattern for the prototype with on-chip duplexer shows a similar 3-dB beamwidth for both RX and TX patterns, before and after tuning, as shown in Fig. 25. The co-polarizations of the RX and TX are in orthogonal circular polarizations. The cross-polarization by the TX is more than 19-dB below the co-polarization, and an AR of below 2-dB is achieved as demonstrated in Fig. 26. The TX AR is not influenced much after the tuning. For the RX, the AR is about 3.8 dB at broadside, which is degraded by about 1.2 dB after the tuning is applied. The impact of the relatively high RX AR can be two-fold in practice. First, it can increase polarization loss. In case of receiving a pure LHCP signal, an RX AR of 5 dB leads to a polarization loss of less than 0.35 dB, which is acceptable for most applications. Second, it has only a rejection ratio of about

Fig. 24. Measured RX/TX port isolation of the antennas with on-chip hybridtransformer-based duplexer, before and after tuning for high isolation. It includes gain from the LNA.

Fig. 25. Measured normalized antenna patterns of the antennas with on-chip hybrid-transformer-based duplexer, including the co-polarization and cross-polarization patterns at both RX and TX ports, before and after tuning for high isolation. The initial patterns are shown as solid lines, while the patterns after tuning for high isolation are denoted as dashed lines. The measurement is at plane. 31 GHz in the

Fig. 26. Measured axial ratio of the RX and TX patterns of the antennas with on-chip hybrid-transformer-based duplexer, before and after tuning for high isoplane. lation. The measurement is at 31 GHz in the

dB to an interference signal with RHCP. This RHCP interference can be from its own TX, e.g., the LHCP signal from

176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

the TX mode ( dB lower than the RHCP signal as shown in Fig. 25) will be RHCP after reflection for the RX. Including the path loss in air (e.g., 42 dB for 0.1 m at 31 GHz), the received RHCP signal from the reflected TX signal can be attenuated by more than 78 dB in total, which is not going to degrade the duplexer isolation. However, if the received RHCP signal is from other systems, this might give interference problems. The degraded RX AR in Fig. 26 is probably due to undesired coupling between the wire-bonds in this paper. We believe that the AR can be improved by increasing the distance between the high frequency wire-bonds and/or by using more advanced packaging technologies, e.g., using flip-chip. VI. DISCUSSIONS AND EXTENSIONS Other than the particular implementation in this paper, the duplexer concept in Figs. 1 and 2 can be varied and extended for different applications, a few of which are discussed below. • The on-board quarter-wave Tline can be replaced by lumped on-chip components for higher integration, especially for applications at higher frequencies with on-chip antennas [28]. This can be done by using a high-pass LC filter with phase shift and a low-pass LC filter with phase shift on the two sides of the primary coil of the hybrid-transformer. In this way, a wide-band 90 phase difference can be achieved, with a more balanced ohmic loss on the two sides. Notice, however, that the impedance transformation still exists in this case just as in the configuration in Fig. 2(a). • A rat-race coupler potentially can replace the hybrid-transformer, as in Fig. 4, and can be extended to on-chip implementation at higher frequency. Furthermore, the rat-race coupler can be extended with tuning capabilities, in order to achieve high isolation in practice. One possible implementation of the tunability is the distributed tunable Tlines [29]. • For applications which are area-limited, a dual-feed single-patch antenna [30] is an alternative for the sequential rotated 2 2 array. The configuration in Fig. 1 with a dual-feed single-patch antenna can be very compact and might be interesting for lower frequency applications, e.g., WLAN and cellular communication. • It is valuable to have tunable dual notches by the tunable duplexer for FDD communication applications [9], [10]. This is necessary for such applications not only to isolate the large blocker at the TX band, but also to isolate the noise generated by the TX around the RX band. This might be achieved by extra degrees-of-freedom and complexity in the tuning circuitry which, however, will inevitably increase insertion loss. • A tunable duplexer with a larger bandwidth is another interesting topic in order to make better use of the available wide bandwidth at mm-wave frequencies. The most obvious solution to this in the proposed configuration is a wide-band antenna design with an input impedance sufficiently close to 50 , so that the impedance transformation by the quarter-wave Tline is minimized. A tunable duplexer with dual or even multiple notches is also a potential solution to increase the isolation bandwidth.

VII. CONCLUSION This paper presents a novel mm-wave circular polarization duplexer concept with on-chip hybrid-transformer. The proposed technique duplexes the orthogonally linear-polarized antennas by the circularly-polarized TX and RX signals with high TR/RX isolation. An on-chip tuning mechanism is further proposed for optimizing the isolation in case of impedance imbalance. The on-chip duplexer demonstrates high isolation with low NF for the RX path and low insertion loss for the TX path. Two antenna prototypes integrated with the on-chip duplexer and with an on-board rat-race coupler have been designed and implemented. Orthogonal circular polarizations are demonstrated for the TX and RX. The on-chip tunable duplexer protype achieves a high isolation around 31 GHz. The proposed circular polarization duplexer concept is especially suitable for radar and imaging applications, offering the possibility of full integration in combination with low loss and high isolation. ACKNOWLEDGMENT The authors would like to thank D. van den Elshout and M. Geurts from NXP for the support on the chip fabrication; P. Sanders from NEWTEC and R. Mahmoudi from Eindhoven University of Technology for the technical discussions; A. van Dijk from NXP for his help on the assembly; and A. R. van Dommele and A.C.F. Reniers from Eindhoven University of Technology for their help on the measurements. REFERENCES [1] A. Tessmann, S. Kudszus, T. Feltgen, M. Riessle, C. Sklarczyk, and W. Haydl, “Compact single-chip W-band FMCW radar modules for commercial high-resolution sensor applications,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 12, pp. 2995–3001, Dec. 2002. [2] Microstrip Drop-in Devices Dorado International Corporation [Online]. Available: http://dorado-intl.com/CatalogImages/File/Microstrip.pdf [3] D.-J. Huang, J.-L. Kuo, and H. Wang, “A 24-GHz low power and high isolation active quasi-circulator,” in Proc. IEEE Int. MTT-S, Jun. 2012, pp. 1–3. [4] H.-S. Wu, C.-W. Wang, and C.-K. Tzuang, “CMOS active quasi-circulator with dual transmission gains incorporating feedforward technique at K-band,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2084–2091, Aug. 2010. [5] S. Cheung, T. Halloran, W. Weedon, and C. Caldwell, “MMIC-based quadrature hybrid quasi-circulators for simultaneous transmit and receive,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 3, pp. 489–497, Mar. 2010. [6] M. Mikhemar, H. Darabi, and A. Abidi, “A tunable integrated duplexer with 50 dB isolation in 40 nm CMOS,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2009, pp. 386–387. [7] M. Mikhemar, H. Darabi, and A. Abidi, “A multiband RF antenna duplexer on CMOS: Design and performance,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2067–2077, Sep. 2013. [8] S. Abdelhalem, P. Gudem, and L. Larson, “Hybrid transformer-based tunable differential duplexer in a 90-nm CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1316–1326, Mar. 2013. [9] S. Abdelhalem, P. Gudem, and L. Larson, “Hybrid transformer-based tunable integrated duplexer with antenna impedance tracking loop,” in Proc. IEEE Custom Integrated Circuits Conf.=, Sep. 2013, pp. 1–4. [10] B. van Liempd, R. Singh, S. Malotaux, P. Reynaert, J. Long, and J. dBm TX-power electrical-balance duCraninckx, “A dual-notch plexer,” presented at the ESSCIRC, Sep. 2014. [11] M. Elkholy, M. Mikhemar, H. Darabi, and K. Entesari, “A 1.6–2.2 GHz 23 dBm low loss integrated CMOS duplexer,” presented at the IEEE Custom Integrated Circuits Conf., Sep. 2014. [12] C. Leal-Sevillano, K. Cooper, J. Ruiz-Cruz, J. Montejo-Garai, and J. Rebollar, “A 225 GHz circular polarization waveguide duplexer based on a septum orthomode transducer polarizer,” IEEE Trans. THz Sci. Technol., vol. 3, no. 5, pp. 574–583, Sep. 2013.

LU et al.: MILLIMETER-WAVE TUNABLE HYBRID-TRANSFORMER-BASED CIRCULAR POLARIZATION DUPLEXER

[13] K. Cooper, N. Llombart, G. Chattopadhyay, B. Dengler, R. Cofield, C. Lee, S. Filchenkov, and E. Koposova, “A grating-based circular polarization duplexer for submillimeter-wave transceivers,” IEEE Microw. Compon. Lett., vol. 22, no. 3, pp. 108–110, Mar. 2012. [14] C. Lu, M. Matters-Kammerer, R. Mahmoudi, and P. G. Baltus, “A millimeter-wave tunable transformer-based dual-antenna duplexer with 50 dB isolation,” presented at the IEEE Custom Integrated Circuits Conf., Sep. 2014. [15] E. Janssen, D. Milosevic, M. Herben, and P. Baltus, “Increasing isolation between colocated antennas using a spatial notch,” IEEE Antennas Wirel. Propag. Lett, vol. 10, pp. 552–555, 2011. [16] J. Huang, “A technique for an array to generate circular polarization with linearly polarized elements,” IEEE Trans. Antennas Propag., vol. 34, no. 9, pp. 1113–1124, Sep. 1986. [17] A. Smolders, R. Mestrom, A. Reniers, and M. Geurts, “A shared aperture dual-frequency circularly polarized microstrip array antenna,” IEEE Antennas Wirel. Propag. Lett, vol. 12, pp. 120–123, 2013. [18] D. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [19] M. Demirkan, S. Bruss, and R. Spencer, “Design of wide tuning-range CMOS VCOs using switched coupled-inductors,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1156–1163, May 2008. [20] J. Yin and H. Luong, “A 57.5–90.1 GHz magnetically tuned multimode CMOS VCO,” IEEE J. Solid-State Circuits, vol. 48, no. 8, pp. 1851–1861, Aug. 2013. [21] C. Huang, K. Buisman, L. Nanver, F. Sarubbi, M. Popadic, T. Scholtes, multiH. Schellevis, L. Larson, and L. de Vreede, “A 67 dBm stacked junction varactor,” IEEE Microw. Compon. Lett., vol. 18, no. 11, pp. 749–751, Nov. 2008. [22] C. Lu, M. K. Matters-Kammerer, R. Mahmoudi, and P. G. Baltus, “A 20 GHz 1.9 dB NF LNA with distributed notch filtering for VSAT applications,” in Proc. IEEE Int. Microwave Symp., Jun. 2014, pp. 1–4. [23] W. van Noort, A. Rodriguez, H. Sun, F. Zaato, N. Zhang, T. Nesheiwat, F. Neuilly, J. Melai, and E. Hijzen, “BiCMOS technology improvements for MICROWAVE application,” in Proc. IEEE Bipolar/BiCMOS Circuits and Technology Meet., Oct. 2008, pp. 93–96. [24] D. M. Pozar, “Microstrip antennas,” Proc. IEEE, vol. 80, no. 1, pp. 79–91, Jan. 1992. [25] S. D. Targonski and D. M. Pozar, “Design of wideband circularly polarized aperture-coupled microstrip antennas,” IEEE Trans. Antennas Propag., vol. 41, no. 2, pp. 214–220, Feb. 1993. [26] D. M. Pozar and S. D. Targonski, “A shared-aperture dual-band dualpolarized microstrip array,” IEEE Trans. Antennas Propag., vol. 49, no. 2, pp. 150–157, Feb. 2001. [27] U. Kraft, “An experimental study on 2 2 sequential-rotation arrays with circularly polarized microstrip radiators,” IEEE Trans. Antennas Propag., vol. 45, no. 10, pp. 1459–1466, Oct. 1997. [28] Z. Wang, P.-Y. Chiang, P. Nazari, C.-C. Wang, Z. Chen, and P. Heydari, “A CMOS 210-GHz fundamental transceiver with OOK modulation,” IEEE J. Solid-State Circuits, vol. 49, no. 3, pp. 564–580, Mar. 2014. [29] T. LaRocca, S.-W. Tam, D. Huang, Q. Gu, E. Socher, W. Hant, and F. Chang, “Millimeter-wave CMOS digital controlled artificial dielectric differential mode transmission lines for reconfigurable ICs,” in Proc. IEEE Int. Microwave Symp. Dig., Jun. 2008, pp. 181–184. [30] C. Tsao, Y. Hwang, F. Kilburg, and F. Dietrich, “Aperture-coupled patch antennas with wide-bandwidth and dual-polarization capabilities,” in Proc. Int. Antennas and Propagation Society Symp., Jun. 1988, vol. 3, pp. 936–939. Chuang Lu was born in Chengwu, China in 1986. He received the B.Sc. degree from the Zhejiang University, Hangzhou, China, in 2008, and the M.Sc. degree from the Eindhoven University of Technology, Eindhoven, Netherlands, in 2010. From 2010 to 2014, he was a Ph.D. candidate in the Mixed-Signal Microelectronics Group, Eindhoven University of Technology. In 2009, he was with Philips Research, Eindhoven, Netherlands, as an intern. In 2012, he was with Catena Microelectronics, B.V., Delft, Netherlands, as an exchange researcher. Since 2014, he has been with the IMEC-Holst Centre, Eindhoven, Netherlands. His current research interests include mm-wave IC design and low-power RFIC design.

177

Marion K. Matters-Kammerer (M’98) received the M.S. degree in physics from the Ecole Normale Supérieure, Paris, France, in 1998, the Physikdiplom degree from TU Berlin, Berlin, Germany, in 1999, and the Ph.D. degree in physics from RWTH Aachen, Aachen, Germany, in 2006. From 1999 until 2011, she was with Philips Research, Aachen,Germany, and Eindhoven, Netherlands. In 2009 and 2010, she was a Lecturer and Guest Researcher with the Faculty of Electrical Engineering, RWTH Aachen. Since 2011, she has been an Associate Professor with the Eindhoven University of Technology in the area of electronic modules for terahertz imaging and spectroscopy. Her research interests include RF and mm-wave design, ultralow power mm-wave sensor nodes, system-in-package and system-on-chip technologies, as well as ultrahigh-speed circuits for THz electronics.

Abolghasem Zamanifekri was born in Iran in 1985. He received the B.S. degree in communication systems from the Khajeh Nasir Toosi University of Technology (KNTU), Iran, in 2008, and the M.Sc. degree in electrical engineering from the Chalmers University of Technology, Sweden, in 2010. He is currently pursuing the Ph.D. degree in the field of focal plane array design for next-generation TV satellite receivers in the EM Group, Eindhoven University of Technology, Eindhoven, Netherlands. For his M.S. research, he participated in the square kilometre array project (SKA). During this period, he also worked part-time at the Onsala Space Observatory, Gothenburg, Sweden.

A. Bart. Smolders (SM’11) was born in Hilvarenbeek, Netherlands, in 1965. He received his M.Sc. and Ph.D. degree in electrical engineering from the Eindhoven University of Technology (TU/e), Eindhoven, Netherlands, in 1989 and 1994, respectively. From 1989 to 1991, he was an IC Designer at FELTNO, The Hague, Netherlands. From 1994 to 1997, he was a Radar System Designer with Thales, Netherlands. From 1997 to 2000, he was project leader of the Square Kilometer Array (SKA) with the Netherlands Foundation for Research in Astronomy (ASTRON). From 2000 to 2010, he was with NXP (formerly Philips) Semiconductors, Netherlands, responsible for the innovation in the RF business line. In 2010, he was appointed as full-time professor at TU/e in the Electromagnetics Group with a special interest in antenna systems and applications. He is Chairman of the NERG (Nederlands Radio- en Elektronica Genootschap), Vice-Chair of IEEE-Benelux, and Vice-Chair of the EuCAP 2014 organizing committee.

Peter G. M. Baltus (M’08–SM’11) was born in Sittard, Netherlands, on July 5, 1960. He received the M.Sc. degree in electrical engineering from Eindhoven University of Technology, Eindhoven, Netherlands, in 1985, and the Ph.D. degree from Eindhoven University of Technology in 2004. He worked for 22 years at Philips and later NXP in Eindhoven, Nijmegen, Tokyo, and Sunnyvale in various functions, including Research Scientist, Program Manager, Architect, Domain Manager, Group Leader, and Fellow. In 2007, he started his current job at the Eindhoven University of Technology as a Professor in high-frequency electronics and Director of the Centre for Wireless Technology, Eindhoven. He holds 16 patents and has coauthored more than 100 papers.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Ultra-Low-Power Cryogenic SiGe Low-Noise Amplifiers: Theory and Demonstration Shirin Montazeri, Student Member, IEEE, Wei-Ting Wong, Student Member, IEEE, Ahmet H. Coskun, Student Member, IEEE, and Joseph C. Bardin, Member, IEEE

Abstract—Low-power cryogenic low-noise amplifiers (LNAs) are desired to ease the cooling requirements of ultra-sensitive cryogenically cooled instrumentation. In this paper, the tradeoff between power and noise performance in silicon–germanium LNAs is explored to study the possibility of operating these devices from low supply voltages. A new small-signal heterojunction bipolar transistor noise model applicable to both the forward-active and saturation regimes is developed from first principles. Experimental measurements of a device across a wide range of temperatures are then presented and the dependence of the noise parameters on collector–emitter voltage is described. This paper concludes with the demonstration of a high-gain 1.8–3.6-GHz cryogenic LNA achieving a noise temperature of 3.4–5 K while consuming just 290 W when operating at 15-K physical temperature. Index Terms—Cryogenic low-noise amplifier (LNA), low power, radio astronomy, silicon–germanium (SiGe) heterojunction bipolar transistor (HBT).

I. INTRODUCTION

C

RYOGENICALLY cooled microwave low-noise amplifiers (LNAs) are a critical component in a number of important applications requiring very high sensitivity receivers. State-of-the-art cryogenic LNAs employ InP high-electron mobility transistors (HEMTs) or silicon–germanium (SiGe) heterojunction bipolar transistors (HBTs) and, when cooled to 15-K physical temperature, regularly achieve sub-5-K noise temperatures over the 1–10-GHz frequency range (e.g., [1]–[4]). While this level of performance has been sufficient to enable the success of many high-impact instruments, limited research has focused on determining and achieving the fundamental limits for the power consumption of these amplifiers. Consequentially, typical cryogenic LNAs require at least 4 mW of dc power to operate with nominal performance [2]–[8]. The maximum power that can be consumed by cryogenic electronics is ultimately limited by the heat removal capabilities of the cooling system, which, for typical closed-cycle coolers, ranges from hundreds of microwatts at a physical temperature Manuscript received June 03, 2015; revised September 25, 2015; accepted October 26, 2015. This work was supported by the National Science Foundation (NSF) under CAREER Grant CCCS-1351744 and by the Office of Naval Research (ONR) under Grant N00014-12-1-0991. The authors are with the Department of Electrical and Computer Engineering, University of Massachusetts at Amherst, Amherst, MA 01003-9292 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2497685

of 100 mK [9] to 1.5 W at 4.2-K physical temperature [10] and 12 W at 20-K physical temperature [11]. Today, there are a number of emerging applications in which the power dissipation of currently available cryogenic LNAs places serious constraints on system design. These applications include terahertz heterodyne cameras, where IF amplifiers are required for large arrays of superconductor–insulator–superconductor (SIS) [12]–[14] or hot-electron bolometer (HEB) [15] mixers, microwave quantum computing, in which large numbers of (potentially multiplexed) qubits must be read out [16], [17], balloon-based instruments, in which the evaporation rate of precious liquid cryogens is directly proportional to the power dissipation of the cryogenic electronics [18], and various experiments in fundamental physics, in which cryogenic amplifiers must be closely interfaced with devices at milli-kelvin temperatures [19], [20]. For each of these fields, the development of amplifiers with greatly reduced power consumption would enable significant advances in the associated instrumentation. The noise and small-signal properties of an HBT are a strong function of the quiescent collector current density , and the realization of optimum system noise performance requires biasing a device near the current density associated with the global minima of its cascaded noise temperature, [4], [21], [22], where K is the reference temperature, is the noise measure, is the noise temperature, and is the available gain. Moreover, in broadband applications, the device periphery is typically constrained to a relatively narrow range of values for which the optimum generator resistance is close to 50 . Therefore, in trying to minimize the power consumption of HBT-based cryogenic LNAs, it is important to determine the minimum collector–emitter voltage that can be used. The idea of employing a weakly saturated1 SiGe HBT to achieve ultra-low-power amplification was proposed based on experimental observations in [23] and an X-band room-temperature LNA running from a 0.5-V supply and consuming 2.5 mW was later reported [24]. However, to the best of the authors’ knowledge, no detailed study of the noise performance of SiGe HBTs at lowhas previously been carried out, nor have the theoretical performance limitations for low operation been reported. In this paper, the tradeoff between noise performance and collector–emitter voltage is examined over a wide range of temperatures and, leveraging the resulting theoretical and experimental conclusions, a high-gain octave-bandwidth cryogenic 1The weakly saturated regime describes the range of collector–emitter voltages between approximately 0.5 V and the onset of strong saturation.

0018-9480 © 2015 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/ redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

LNA consuming less than 300 W is demonstrated. This paper is organized as follows. 1) The theoretical implications of operating at loware discussed and, based on first principles, a small-signal noise model is developed. 2) The small- and large-signal terminal characteristics of an example device are presented as a function of collector–emitter voltage and the expected impact on noise performance is discussed. 3) The small-signal noise model of an example device is extracted and the noise parameters are studied as a function of collector–emitter voltage. 4) A discrete transistor cryogenic LNA is presented and the measured performance is compared to simulation and other published cryogenic amplifier results. II. THEORETICAL NOISE PERFORMANCE HBTs AT LOW

OF

Reducing has three important consequences on device performance. First, the base–collector junction capacitance will increase with the narrowing of the base–collector depletion region. This change must be quantified and incorporated into designs, but is not expected to be detrimental to performance at frequencies below , where is the maximum frequency of oscillation of the transistor. Secondly, as the device enters the weakly saturated regime, base–collector diffusion current will result in a further increase in . As the transistor enters strong saturation, this capacitance will dominate and will ultimately limit the RF performance of the device. The final consequence of operating at low is the generation of additional shot-noise due to the diffusion of carriers across the collector–base junction. The impact of this excess shot noise can be quantified by studying the expressions for the total base and collector currents (1) and (2) and are the base current components flowing where from the base to the emitter and from the base to the collector, respectively, and and are the forward and reverse collector current components, respectively. Expressions for the base and collector shot noise components in the weakly saturated regime can be readily written from (1) and (2), (3)

(4) and (5)

Fig. 1. General HBT small-signal noise model. In the forward-active mode of operation, and and the model simplifies to the standard HBT noise model.

These expressions are valid in both the forward-active and saturation regimes and at frequencies well below , where standard shot-noise correlation effects between the forward-active mode currents are small and can be neglected [25], [26]. A small-signal noise model for a SiGe HBT on a semiconducting substrate is shown in Fig. 1. The model can be used to describe the performance of modern SiGe HBTs in both the forward-active and saturation regimes and to frequencies in the 40-GHz region. While this frequency range is sufficient for the design of broadband microwave amplifiers, a higher order model, including base–collector capacitance splitting and forward-active mode shot noise correlation is required at millimeter-wave frequencies [27]. Approximate expressions for the noise parameters and associated gain have been derived in the high dc current gain limit and for and . The resulting expressions (6)–(10), shown at the bottom of the following page, are in terms of the forward-active transconductance , ideality factor , and dc current gain so that the only parameters that depend upon are the unity-current gain cutoff frequency , , , , and . Of these parameters, is expected to only display a weak dependence on . In the limiting case of and , these expressions simplify to the equations corresponding to the forward-active mode of operation. Inspection of equations (6)–(10) reveals several mechanisms through which the noise performance may degrade at low collector–emitter voltages. • The low-frequency value of is strongly dependent upon the dc current gain, . As the device enters the saturation regime, is expected to rapidly decrease, thereby resulting in a sharp degradation to the noise performance. • The high-frequency value of is proportional to . While is not expected to display a significant dependence on , is expected to drop significantly as the device enters the weakly saturated regime. Therefore, a rapid rise in the high-frequency limit of is anticipated for values of for which a significant decline in is observed. • The optimum generator impedance will tend toward a short circuit as the device enters the deep-saturation regime due to a sharp rise in base current and the associated shot noise.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONTAZERI et al.: ULTRA-LOW-POWER CRYOGENIC SiGe LNAs: THEORY AND DEMONSTRATION

• The noise resistance, , is expected to be independent of until saturation currents begin to flow, at which point will rapidly rise as tends to zero. • The associated gain is proportional to and inversely proportional to . Therefore, as the device enters the weak saturation regime, an increase in and the related drop in will result in a significant drop in . From the discussion above, it is evident that the terminal characteristics of a SiGe HBT can be studied to gain insight into the dependence of the noise performance on the applied collector–emitter voltage. In the following section, the dc, ac, and intermodulation characteristics of a representative HBT are reported.

3

Fig. 2. Collector/base current densities as a function of collector–emitter voltage at 300 K (left), 77 K (center), and 7 K (right).

III. TERMINAL CHARACTERISTICS AT LOWAn 18 0.12 m IBM BiCMOS8HP2 transistor was characterized at 7, 77, and 300 K using a cryogenic wafer probe station. Measurements of the dc characteristics were carried out with the transistor terminated at RF to prevent oscillation. Scattering parameters were measured from 0.01 to 67 GHz using an Agilent N-5247A vector network analyzer. Parasitic effects related to the bondpads and feed-lines were removed using a pad/open/short de-embedding algorithm [28]. From previous studies, it is known that the nominal bias point for low-noise SiGe microwave amplifiers lies in the range of 0.1–2 mA m [4]. Therefore, the range of current densities presented here was selected within this range. A. DC Characteristics The base and collector current densities of the device were . Example meameasured as a function of for fixed surement results appear in Fig. 2. These bias points cover an order of magnitude of current densities ranging from low- to 2While this technology was chosen due to its maturity, measurements of devices from other advanced technology platforms (e.g., TowerJazz SBC18H3 and ST BiCMOS9MW) indicate that the general results apply to other aggressively scaled SiGe HBTs.

medium-injection. The collector current demonstrated a transition from saturation to the forward-active region for collector voltages in the 50–100-mV range. Slight to moderate slopes were observed in the forward-active region at all temperatures, indicating a dependence of on through the Early effect [29], [30]. While this is expected to have only a small effect for current densities below 0.5 mA m , the dependence of on should be considered when modeling the noise performance using (4). For subsequent discussion, the variable is used to represent the value of the forward collector–current density at V. At each temperature, the base current exhibited a clear transition from forward-active mode operation to saturation as the base–collector junction became forward biased. The onset of reverse base current occurred for base–collector voltages in the range of 100–150 mV below the applied base–emitter voltages, which is explained by the high Ge content at the collector edge of the base and the comparatively lighter doping of the intrinsic collector, both of which contribute to a lower built-in potential across the base–collector space-charge region (SCR) in comparison to that of the base–emitter SCR. An interesting feature is that the sharpness of the base–collector junction turn-on

(6)

(7)

(8) (9) (10)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Output-referred third-order inter-modulation intercept at 300 K (left), 77 K (center), and 7 K (right). Measurement data are taken at 3 GHz and referenced to the bondpads of the test structure. The general behavior is only weakly equal to 0.22 mA m (solid frequency dependent. Data are plotted for blue line), 0.46 mA m (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line). Fig. 3. Unity current gain cutoff frequency/maximum frequency of oscillation versus collector–emitter voltage at 300 K (left), 77 K (center), 7 K (right). Data equal to 0.22 mA m (solid blue line), 0.46 mA m are plotted for (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line).

demonstrated a strong temperature dependence, with significantly larger deep-saturation base currents flowing at cryogenic temperatures. B. AC Terminal Characteristics The de-embedded scattering parameters of the 18 0.12 m SiGe HBT were obtained over a wide range of biases and used to find the unity current gain cutoff frequency and the maximum frequency of oscillation as a function of . The base–emitter voltage was held constant during the sweeps. Example results are shown in Fig. 3. The bias points for these data correspond to those shown in Fig. 2. For a fixed value of , a significant enhancement of was observed with cryogenic cooling. This result is consistent with previously reported results [4], [31]–[33] and is explained by an improved transconductance at cryogenic temperatures. In the forward-active mode of operation, the curves exhibit a positive slope, which can be explained by the dependence of on . In comparison to the dc terminal characteristics, the knee voltages for the curves demonstrate a significantly stronger dependence on collector current density. This relationship is explained by the increased base voltage required to support a larger current density, resulting in a proportionally larger base–collector voltage. A significant increase in the maximum frequency of oscillation was also observed with cryogenic cooling (Fig. 3). Again, a slope was observed in the forward-active region of the curves due to an increase in as the base–collector voltage increased. The observed knee voltage was found to be weakly dependent on temperature and, as expected, was proportional to . C. Nonlinearity The impact of lowoperation on dynamic range is an important consideration for devices used in practical LNAs, which often must operate over a wide range of input signal amplitudes. The 50- output-referred third-order intermodulation intercept of the 18 0.12 m SiGe HBT was measured as a function of current density and collector–emitter voltage at 7, 77, and 300 K and example results appear in Fig. 4. At low-current densities, the nonlinearity was only weakly dependent upon the

collector–emitter voltage, provided the device was in the forward-active region. However, when the device was biased towards the medium-injection region, corresponding to collector current densities above 1 mA m , a significant degradation to linearity was observed for collector–emitter voltages as high as 400 mV. D. Discussion Based upon the results presented in Sections III-A–III-C, the following general conclusions can be made. • From an aggregate analysis of dependence of the terminal characteristics on the collector–emitter voltage, it appears feasible to operate SiGe HBTs in the low-injection region with collector–emitter voltages on the order of 100–200 mV without degradation to any of the terminal characteristics. • In general, as the current density is increased towards the medium-injection regime, a larger collector–emitter voltage is required to maintain nominal performance. • Even in the medium-injection regime, it appears to be possible to operate with collector–emitter voltages on the order of 200 mV if linearity is not critical. IV. DEPENDENCE OF NOISE PERFORMANCE ON For an HBT to be used in a robust LNA, its noise parameters should be insensitive to the applied collector–emitter voltage. Therefore, the minimum permissible value of for a device targeted for a low-noise application is ultimately determined by the range of voltages for which the noise parameters and associated gain are stable. Conceptually, this range corresponds to that within which the variables appearing in (6)–(10) are insensitive to . From the results presented in Section III, it is clear that this range is dependent upon current density and extends as low as 100 mV for bias points corresponding to the low-injection regime. To validate this intuition, the full noise model (see Fig. 1) was extracted as a function of both collector current density and collector–emitter voltage. These experimentally based models were then used to study the noise parameters and associated gain. A. Noise Model Extraction and Verification The small-signal model parameters were extracted across a wide range of current densities and collector–emitter voltages using standard parameter extraction techniques. Specifically, the emitter and collector resistances were determined using

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONTAZERI et al.: ULTRA-LOW-POWER CRYOGENIC SiGe LNAs: THEORY AND DEMONSTRATION

5

TABLE I EXTRACTED MODEL PARAMETERS AT SELECTED BIAS POINTS

the open-collector method [34], the collector–substrate and base–collector capacitances were found using cold-bias measurements [35], and the remaining parameters were found using active-bias measurements [35], [36]. The complete set of extracted model parameters appear for selected bias points in Table I. In all cases, the bias dependence of the parameters was consistent with expectation.

Example plots comparing the de-embedded 7-K measurements with extracted models appear in Fig. 5. Excellent agreement was observed between the modeled and measured scattering parameters over a wide range of bias conditions. Similar agreement was observed at both 77 and 300 K. For verification of the noise model, the 50- noise figure of the HBT in the saturation regime was measured at room temperature using an Agilent N-5247A vector network analyzer with the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 5. Comparison of measured and modeled scattering parameters at 7-K mA m at: (a) mV, physical temperature for mV, and (c) mV. Solid lines and markers corre(b) spond to the model and measurement, respectively. Data provided from 0.01 to 40 GHz.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Minimum noise temperature at 1 and 10 GHz for physical temperatures equal of: (left) 300 K, (center) 77 K, and (right) 7 K. Data are plotted for to 0.22 mA m (solid blue line), 0.46 mA m (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line).

Fig. 6. Room-temperature 50- noise figure referenced to the bondpads of the mA m . (left) HBT test structure. For these measurements, mV and (right) mV. Solid and dashed lines correspond to the model and measurement, respectively.

Fig. 9. Optimum generator resistance at 1 and 10 GHz for physical tempeartures of: (left) 300 K, (center) 77 K, and (right) 7 K. Data are plotted for equal to 0.22 mA m (solid blue line), 0.46 mA m (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line). Fig. 7. Minimum noise temperature as a function of frequency at: (left) 300-K, (center) 77-K, and (right) 7-K physical temperature. These data correspond to mA m . Data are plotted for equal to a bias point of 75 mV (solid blue line), 100 mV (green dashed–dotted line), 200 mV (red dotted line), and 500 mV (purple dashed line).

vector-corrected cold source method [37]. A comparison of the measured and modeled noise figure results, referenced to the test structure bondpads, appears in Fig. 6. Excellent agreement was observed between the predicted and measured 50- noise performance for values of as low as 50 mV. B. Noise Parameters Using the complete noise model of Fig. 1, the noise parameters were computed using standard techniques [38] over a wide range of bias and at 7, 77, and 300 K. 1) Minimum Noise Temperature: The minimum noise temperature is plotted as a function of frequency in Fig. 7 and as a function of in Fig. 8. By cooling the transistor from 300 to 7 K, the minimum noise temperature in the forward-active regime improved by a factor of approximately 15. This improvement is consistent with previously reported results [4], [22]. As expected, the minimum noise temperature was nearly independent of until the device became weakly saturated. It is also interesting to note that, in the saturation regime, a much sharper degradation was observed at cryogenic temperatures in comparison to at room temperature. This is related to a significantly sharper collapse in the saturation mode dc current gain and unity current gain cutoff frequency at cryogenic temperatures.

Fig. 10. Optimum generator reactance at 1 and 10 GHz for physical temperatures of: (left) 300 K, (center) 77 K, and (right) 7 K. Data are plotted for equal to 0.22 mA m (solid blue line), 0.46 mA m (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line).

2) Optimum Generator Impedance: The optimum generator resistance and reactance are plotted as a function of in Figs. 9 and 10. As predicted in Section II, both and were found to have only weak dependence upon in the forward-active regime and to rapidly decrease in the saturation regime. The saturation-mode behavior was found to be more extreme in the case of cryogenic operation due to the increased base current. 3) Noise Resistance: The degradation in the noise performance of an amplifier that was designed for operation in the forward-active mode, but is operated in saturation, will be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONTAZERI et al.: ULTRA-LOW-POWER CRYOGENIC SiGe LNAs: THEORY AND DEMONSTRATION

Fig. 11. Noise resistance at 1 and 10 GHz for physical temperatures of: (left) equal to 300 K, (center) 77 K, and (right) 7 K. Data are plotted for 0.22 mA m (solid blue line), 0.46 mA m (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line).

7

Fig. 13. Schematic diagram of demonstration amplifier. Discrete transistors fabricated in the IBM BiCMOS8HP process were used. All inductors were realized using bond wires. The 1- F capacitors were realized using thick-film surface mount devices, whereas all other capacitances were implemented with thin-film bond-able metal–insulator–semiconductor capacitors. Standard surface mount resistors were employed for biasing and damping purposes.

Fig. 14. Photographs of the: (a) assembled LNA module and (b) first stage transistor (Q1). The transistors were mounted in a cutout in the printed circuit board (PCB) for well-controlled wirebond lenghts. The dark regions in (b) are the PCB.

Fig. 12. Associated gain at 1 and 10 GHz for physical temperatures of: (left) equal to 300 K, (center) 77 K, and (right) 7 K. Data are plotted for 0.22 mA m (solid blue line), 0.46 mA m (green dashed–dotted line), 0.92 mA m (red dotted line), and 1.67 mA m (purple dashed line).

even greater than that of since the optimum generator impedance depends upon . The magnitude of this effect depends upon the behavior of the noise resistance as a function of . is plotted at 1 and 10 GHz as a function of in Fig. 11. From (9), the forward-active value of is expected to be frequency independent and proportional to the physical temperature. These expectations are clearly confirmed by Fig. 11. Moreover, since the base resistance is insensitive to , the noise resistance is expected to be independent of collector–emitter voltage until the device enters deep saturation. Referring to Fig. 11, this expectation is also confirmed as is constant for those values of where negligible reverse currents flow. However, as the device enters deep saturation, a rapid increase in was observed due to the associated drop in . Coupled to the rapid drop in , this implies that the noise temperature of an amplifier designed for operation in the forward-active mode will rapidly deteriorate if operated well-into saturation. Fortunately, experimental measurements indicate that this behavior can be avoided by operating the transistors with greater than 100–200 mV, where the exact threshold depends upon the operational collector current density. 4) Associated Gain: The associated gain was also determined as a function of collector–emitter voltage and example

Fig. 15. Cryostat block diagram. Everything within the dashed box is heatsunk to the 15-K cold plate using indium foil and OFHC copper heat straps. Channel A was used to measure the gain and noise temperature using a noise figure analyzer. Channel B was used for scattering parameter measurements. Temperature sensors were mounted on the device-under-test (DUT) and attenuator.

results appear in Fig. 12. At each temperature, is nearly constant for values of above approximately 200 mV. Since the observed forward-active values of are well above 10 dB, these results indicate that , where is the minimum cascaded noise temperature as a function of generator impedance. Since the minimum cascaded noise temperature quantifies the system noise performance that is achievable by an amplifier with no passive losses, this means that it is practical to realize a high-gain cryogenic amplifier with performance approaching [22]. As the device entered the saturation regime, a rapid decline in was observed. As discussed in Section II, this effect is related to an increase in and a decrease in as the device becomes saturated and is therefore more pronounced for devices operating at cryogenic temperatures.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 16. Amplifier performance at 290- W power consumption and at 15-K physical temperature. (a) Gain and noise temperature. (b) Input reflection coefficient. (c) Output reflection coefficient. The blue solid lines correspond to measurement whereas the red dashed lines correspond to model.

C. Discussion Based upon the overall sensitivity of the noise parameters and associated gain to , the following broad statements can be made. • The noise parameters and associated gain displayed little dependence upon provided that the device was in the forward-active region of operation. Depending upon the operational current density, the minimum collector–emitter voltage required to keep the HBT in the forward-active mode was in the range of 100–200 mV. Thus, it appears feasible to achieve optimum noise performance while operating with such values of . • All of the noise parameters deteriorate in the saturation regime. That is, and increase, whereas and shrink. This will result in a significant increase in the noise temperature of an amplifier operated in deep saturation. Thus, it is wise to set the operational point a bit above the threshold of saturation to improve robustness. V. PROOF-OF-CONCEPT LOW NOISE AMPLIFIER A two-stage 1.8–3.6-GHz amplifier was designed leveraging the small-signal noise models presented in Section IV. A schematic diagram of the amplifier appears in Fig. 13. The circuit was fabricated in a hybrid approach using discrete transistors fabricated in the IBM BiCMOS8HP technology platform. Photographs of the amplifier module and a close-up of one of the discrete transistors appear in Fig. 14. The noise and small-signal performance of the amplifier were evaluated at 15-K physical temperature in a closed-cycle cryostat that is configured to measure the noise and small-signal performance of cryogenic amplifiers. A block diagram of the cryostat appears in Fig. 15. The gain and noise were measured using channel A of the cryostat, which is configured to support the cold attenuator method [39]. The cryogenic noise measurement system has been calibrated to a measurement accuracy that is believed to be better than 1 K. The reference plane for these measurements was at the coaxial terminals of the amplifier. Input and output return losses were measured using channel B of the cryostat, with the calibration referenced to the coaxial feedthroughs at the cryostat wall. Initial measurements were made at the amplifier’s nominal bias point of mV, mA, and

Fig. 17. Noise temperature and gain of ultra-low-power amplifier as a funcat 15-K physical temperature and at: (left) tion of 2.5 GHz, (center) 3 GHz, and (right) 3.5 GHz. The blue solid lines correspond to measurement whereas the red dashed lines correspond to model.

mA. The corresponding power consumption was below 290 W. The measured gain and noise performance of the amplifier are plotted alongside the predicted performance in Fig. 16(a). The gain was greater than 27 dB and the noise temperature was between 3.4 and 5 K over the entire 1.8–3.6-GHz frequency range. These data were also found to be consistent with those predicted by simulation. The input and output reflection coefficients were measured and the results appear in Fig. 16(b) and (c). Good agreement between measurement and simulation was observed. A small discrepancy in the output return loss at higher frequencies is explained by the fact that the measurement was referred to the coaxial feedthrough at the cryostat wall and therefore included the losses of a long output cable.3 To confirm the results presented in Section IV, the noise and gain of the amplifier were measured as a function of , while and were held at their nominal values. Measurement and simulation results are plotted in Fig. 17 at 2.5, 3.0, and 3.5 GHz. In each case, the gain and noise were insensitive to until the supply voltage reached a value of approximately 125 mV. This corner corresponds to a power dissipation of less than 180 W and is considerably below the nominal power consumption of 290 W. Moreover, the excellent agreement between simulation and measurement 3A considerably shorter input cable was employed so this effect was not as measurement. pronounced in the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MONTAZERI et al.: ULTRA-LOW-POWER CRYOGENIC SiGe LNAs: THEORY AND DEMONSTRATION

TABLE II STATE-OF-THE-ART CRYOGENIC LNAs

offers strong support of the theoretical performance limitations discussed in Sections II and IV. The measured performance is compared to state-of-the-art cryogenic amplifier results in Table II. In comparison to other published results, the proof-of-concept amplifier has comparable gain and noise performance. However, by operating with mV, the power consumption of the amplifier is nearly an order of magnitude lower than the closest published result.4 VI. CONCLUSIONS It has been shown that SiGe HBTs can operate with collector–emitter voltages in the range of 200 mV with little to no impact on the noise and small-signal performance. As a proof-of-concept, a cryogenic amplifier was demonstrated with nearly an order-of-magnitude lower power consumption compared to the state of the art. The demonstrated power savings are expected to translate to a large increase in the scalability of cryogenically cooled scientific instruments that require microwave amplifiers. For instance, the measured power consumption of just 290 W is sufficiently low to enable a practical 1000 element dual-polarization terahertz receiver system—complete with 2000 IF amplifiers—to be cooled using a single 1.5-W capacity 4.2-K coldhead. While the bandwidth of the demonstration amplifier was limited to an octave due to the use of purely reactive tuning networks, ultra-low-power amplifiers achieving much wider bandwidths can also be realized using resistive loading and capacitively coupled feedback, albeit at a small increase in dc power consumption due to potential drops across the load resistors. Logical next steps include the development of ultra-low-power SiGe cryogenic LNAs with improved bandwidth as well as the design and implementation of integrated circuit amplifiers. ACKNOWLEDGMENT The authors thank S. Weinreb and E. Tong for the loan of the amplifiers used to calibrate the cryogenic measurement system and S.-W. Chang for performing the cryogenic noise calibration. 4It should be recognized that the frequency range of the reported amplifier is lower than that of several of the amplifiers shown in Table II. However, based on the results of Section IV, it should be feasible to achieve similar performance at frequencies up to 10 GHz while requiring little additional power.

9

REFERENCES

[1] J. Schleeh et al., “Ultralow-power cryogenic InP HEMT with minimum noise temperature of 1 K at 6 GHz,” IEEE Electron Device Lett., vol. 33, no. 5, pp. 664–666, May 2012. [2] Low Noise Factory, Göteborg, Sweden, “LNF-LNC4_8A data sheet,” 2014 [Online]. Available: http://www.lownoisefactory.com/index.php/ download_file/view/19/100/ [3] J. Bardin and S. Weinreb, “A 0.1-5 GHz cryogenic SiGe MMIC LNA,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 6, pp. 407–409, Jun. 2009. [4] J. C. Bardin, “Silicon–germanium heterojunction bipolar transistors for extremely low-noise applications,” Ph.D. dissertation, Dept. Elect. Eng., California Inst. Technol., Pasadena, CA, USA, 2009. [5] Low Noise Factory, Göteborg, Sweden, “LNF-LNC7_10A data sheet,” 2014 [Online]. Available: http://www.lownoisefactory.com/index.php/ download_file/view/21/85/ [6] J. Schleeh, N. Wadefalk, P. Nilsson, J. Starski, and J. Grahn, “Cryogenic broadband ultra-low-noise MMIC LNAs for radio astronomy applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 871–877, Feb. 2013. [7] B. Aja Abelan et al., “4–12 and 25–34 GHz cryogenic mHEMT MMIC low-noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4080–4088, Dec. 2012. [8] G. Moschetti et al., “Cryogenic InAs/AlSb HEMT wideband low-noise IF amplifier for ultra-low-power applications,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 3, pp. 144–146, Mar. 2012. [9] Oxford Instrum., Oxfordshire, U.K., “Triton specification sheet,” Data Sheet [Online]. Available: http://www.oxford-instruments.com/OxfordInstruments/media/nanoscience/PDFs/Triton/Cryogen-Free-Helium-3-Refrigerator-Specification-Sheet.pdf, Accessed 2015. [10] Sumitomo Cryogen., Allentown, PA, USA, “Rdk 415d capacity map,” Data Sheet, 2012 [Online]. Available: http://www.shicryogenics.com/ wp-content/uploads/2012/11/RDK-415D_Capacity_Map.pdf [11] CTI Cryogen., Mansfield, MA, USA, “CTI-Cryogenics Cryodyne refrigeration system: Model 22, 350, 1020 and 1050,” Data Sheet, 2002 [Online]. Available: http://www.janis.com/Libraries/10K_Coldheads/ CTI-CryogenicsCryodyneRefrigerationSystemDataSheet.sflb.ashx [12] C. Groppi et al., “Supercam: A 64 pixel heterodyne array receiver for the 350 GHz atmospheric window,” in 20th Int. Space Terahertz Technol. Symp., 2009, pp. 90–96. [13] C. Groppi et al., “The kilopixel array pathfinder project (kappa), a 16 pixel integrated heterodyne focal plane array,” in SPIE Astronomical Instrumentation. Amsterdam, The Netherlands: Int. Telescopes Soc. Opt. Photon., 2012, p. 84520Y. [14] W. Shan et al., “Development of superconducting spectroscopic array receiver: A multibeam 2SB SIS receiver for millimeter-wave radio astronomy,” IEEE Trans. THz Sci. Technol., vol. 2, no. 6, pp. 593–604, Nov. 2012. [15] C. Walker et al., “Integrated heterodyne array receivers for submillimeter astronomy,” in Astronomical Telescopes and Instrumentation. Waikoloa, HI, USA: Int. Soc. Opt. Photon., 2003, pp. 349–354. [16] A. Wallraff et al., “Strong coupling of a single photon to a superconducting qubit using circuit quantum electrodynamics,” Nature, vol. 431, no. 7005, pp. 162–166, Sep. 2004. [17] C. Rigetti et al., “Superconducting qubit in a waveguide cavity with a coherence time approaching 0.1 ms,” Phys. Rev. B, Condens. Matter vol. 86, Sep. 2012 [Online]. Available: http://link.aps.org/doi/10.1103/ PhysRevB.86.100506, Art. ID 100506 [18] M. Bersanelli et al., “A coherent polarimeter array for the large scale polarization explorer balloon experiment,” ArXiv Preprint ArXiv:1208. 0164, 2012. [19] M. Jung, M. Schroer, K. Petersson, and J. Petta, “Radio frequency charge sensing in InAs nanowire double quantum dots,” Appl. Phys. Lett., vol. 100, no. 25, 2012, Art. ID 253508. [20] M. Shaw, J. Bueno, P. Day, C. Bradford, and P. Echternach, “Quantum capacitance detector: A pair-breaking radiation detector based on the single cooper-pair box,” Phys. Rev. B, Condens. Matter, vol. 79, no. 14, 2009, Art. ID 144511. [21] H. A. Haus and R. B. Adler, Circuit Theory of Linear Noisy Networks. New York, NY, USA: Wiley, 1959. [22] J. Bardin and S. Weinreb, “Experimental cryogenic modeling and noise of SiGe HBTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 459–462.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[23] S. Seth, L. Najafizadeh, and J. Cressler, “On the RF properties of weakly saturated SiGe HBTs and their potential use in ultralow-voltage circuits,” IEEE Electron Device Lett., vol. 32, no. 1, pp. 3–5, Jan. 2011. [24] S. Seth, C. Poh, T. Thrivikraman, R. Arora, and J. Cressler, “Using saturated SiGe HBTs to realize ultra-low voltage/power X-band low noise amplifiers,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2011, pp. 103–106. [25] G. Niu, J. D. Cressler, S. Zhang, W. Ansley, C. S. Webster, and D. L. Harame, “A unified approach to RF and microwave noise parameter modeling in bipolar transistors,” IEEE Trans. Electron Devices, vol. 48, no. 11, pp. 2568–2574, Nov. 2001. [26] M. Rudolph and P. Heymann, “On compact HBT RF noise modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1783–1786. [27] K. H. K. Yau, P. Chevalier, A. Chantre, and S. Voinigescu, “Characterization of the noise parameters of SiGe HBTs in the 70–170-GHz range,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 1983–2000, Aug. 2011. [28] L. Tiemeijer, R. Havens, A. B. M. Jansman, and Y. Bouttement, “Comparison of the pad-open-short and open-short-load deembedding techniques for accurate on-wafer RF characterization of high-quality passives,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 723–729, Feb. 2005. [29] J. Early, “Effects of space-charge layer widening in junction transistors,” Proc. IRE, vol. 40, no. 11, pp. 1401–1406, Nov. 1952. [30] E. Prinz and J. Sturm, “Analytical modeling of current gain-earth Ge /Si heterojunction bipolar transisvoltage products in Si/Si tors,” in Int. Electron Devices Meeting Tech. Dig., Dec. 1991, pp. 853–856. [31] R. Krithivasan, Y. Lu, J. Cressler, J.-S. Rieh, M. Khater, D. Ahlgren, and G. Freeman, “Half-terahertz operation of SiGe HBTs,” IEEE Electron Device Lett., vol. 27, no. 7, pp. 567–569, Jul. 2006. [32] P. Chevalier, N. Zerounian, B. Barbalat, F. Aniel, and A. Chantre, “On the use of cryogenic measurements to investigate the potential of Si/SiGe:C HBTs for terahertz operation,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2007, pp. 26–29. SiGe HBT operating at 4.3 [33] P. Chakraborty et al., “A 0.8 THz K,” IEEE Electron Device Lett., vol. 35, no. 2, pp. 151–153, Feb. 2014. [34] M. Rudolph, Introduction to Modeling HBTs. Norwood, MA, USA: Artech House, 2006. [35] K. Lee, K. Choi, S.-H. Kook, D.-H. Cho, K.-W. Park, and B. Kim, “Direct parameter extraction of SiGe HBTs for the VBIC bipolar compact model,” IEEE Trans. Electron Devices, vol. 52, no. 3, pp. 375–384, Mar. 2005. [36] T.-R. Yang, J. M.-L. Tsai, C.-L. Ho, and R. Hu, “SiGe HBT’s smallsignal pi modeling,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 7, pp. 1417–1424, Jul. 2007. [37] Keysight Technol., Santa Rosa, CA, USA, “High-accuracy noise figure measurements using the PNA-X series network analyzer,” 2014 [Online]. Available: http://literature.cdn.keysight.com/litweb/pdf/ 5990-5800EN.pdf?id=1961132 [38] H. Hillbrand and P. Russer, “An efficient method for computer aided noise analysis of linear amplifier networks,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 4, pp. 235–238, Apr. 1976. [39] J. Fernandez, “A noise-temperature measurement system using a cryogenic attenuator,” TMO Progr. Rep., pp. 42–135, 1998. [40] Low Noise Factory, Göteborg, Sweden, “LNF-LNC1_12A, 1–12 GHz cryogenic low noise amplifier,” Data Sheet, Aug. 2012 [Online]. Available: http://www.lownoisefactory.com/index.php/download_file/view/89/115/ [41] N. Wadefalk et al., “Cryogenic wide-band ultra-low-noise IF amplifiers operating at ultra-low DC power,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 6, pp. 1705–1711, Jun. 2003. [42] D. Russell and S. Weinreb, “Low-power very low-noise cryogenic SiGe IF amplifiers for terahertz mixer receivers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1641–1648, Jun. 2012. [43] S. Weinreb, J. Bardin, H. Mani, and G. Jones, “Matched wideband lownoise amplifiers for radio astronomy,” Rev. Sci. Instrum., vol. 80, no. 4, pp. 044702–044702–5, Apr. 2009.

[44] H. Mani and P. Mauskopf, “A single-stage cryogenic LNA with low power consumption using a commercial SiGe HBT,” in 11th Int. Low Temperature Electron. Workshop, Jul. 2014, pp. 17–20. Shirin Montazeri (S’14) was born in Ahvaz, Iran, on April 7, 1991. She received the B.S. degree in electrical engineering from the University of Tehran, Tehran, Iran, in 2013, and is currently working toward the Ph.D. degree in electrical engineering at the University of Massachusetts at Amherst, Amherst, MA, USA. Since 2013, she has been a Research Assistant with the Radio Frequency Nanoelectronics Group, University of Massachusetts at Amherst. Her research interests include low-power low-noise amplifiers, RF transceivers, device modeling, and monolithic microwave integrated circuit (MMIC) design for radio astronomy applications. Wei-Ting Wong (S’14) was born in Tainan, Taiwan, on October 3, 1982. He received the B.S. and M.S. degrees in electrical engineering from Chung Hua University, Hsinchu, Taiwan, in 2004 and 2006, respectively, and is currently working toward the Ph.D. degree at the University of Massachusetts at Amherst, Amherst, MA, USA. From 2007 to 2011, he was a Microwave Engineer with the Academia Sinica Institute of Astronomy and Astrophysics (ASIAA). His current research interests include the design of RF integrated circuits and cryogenic low-noise amplifiers. Ahmet H. Coskun (S’12) received the B.Sc. degree from Yeditepe University, Istanbul, Turkey, in 2011, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Massachusetts at Amherst, Amherst, MA, USA. His research interests include nonlinear device modeling at cryogenic temperatures and RF integrated circuit (RFIC) design for millimeter-wave applications.

Joseph C. Bardin (S’01–M’10) received the B.S. degree in electrical engineering from the University of California at Santa Barbara, Santa Barbara, CA, USA, in 2003, the M.S. degree in electrical engineering from the University of California at Los Angeles, Los Angeles, CA, USA, in 2005, and the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 2009. From 2003 to 2005, he was with the Jet Propulsion Laboratory, California Institute of Technology, where he was involved in the demonstration of an array-based downlink for the NASA deep-space network. In 2010, he joined the University of Massachusetts at Amherst, Amherst, MA, USA, as an Assistant Professor with the Department of Electrical and Computer Engineering. His current research interests include reconfigurable millimeter-wave integrated circuits and built-in self test, ultra-sensitive cryogenically cooled low-noise amplifiers, transistor modeling, and novel applications of silicon integrated circuit technology for low-temperature scientific applications. Prof. Bardin has served on the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Technical Program Review Committee since 2012. He was a recipient of a 2011 Defense Advanced Research Projects Agency (DARPA) Young Faculty Award, a 2014 National Science Foundation (NSF) CAREER Award, and a 2015 Office of Naval Research (ONR) YIP Award.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design of a Fully Integrated Two-Stage Watt-Level Power Amplifier Using 28-nm CMOS Technology Patrick Oßmann, Student Member, IEEE, Jörg Fuhrmann, Student Member, IEEE, Krzysztof Dufrêne, Senior Member, IEEE, Jonas Fritzin, Member, IEEE, José Moreira, Harald Pretl, Senior Member, IEEE, and Andreas Springer, Member, IEEE

Abstract—We present a linear two-stage power amplifier (PA) for UMTS terrestrial radio access (UTRA) applications. The PA has been designed using a standard 28-nm complementary metal–oxide–semiconductor process. It includes an on-chip input matching network, a predriver stage, and an on-chip output matching network. Additional process-voltage-temperature compensation circuits and electrostatic discharge protection have been implemented on-chip. A differential triple-stack transistor array acts as transconductance circuit and generates watt-level RF output power. Measured saturated output power is more than 31 dBm and peak power-added efficiency is 33% for sinusoidal operation at 1.8 GHz. When applying memoryless digital predistortion (DPD) for 3rd Generation Partnership Project (3GPP) UTRA test vectors, an adjacent-channel leakage ratio of 33 dBc at 5 MHz for 26.5-dBm output power is achieved. A corresponding error-vector magnitude of 1.7% can be measured when using memoryless DPD. Index Terms—CMOS RF power amplifier (PA), 3rd Generation Partnership Project (3GPP) mobile communications handset applications, two-stage amplifier.

I. INTRODUCTION

C

OMPLEMENTARY metal–oxide–semiconductor (CMOS) technology has been proven as well suited for integrating digital and analog low-power circuits on a single die by inherently providing both positive and negative charge carrier devices. Due to advances in back-end-of-line (BEOL)

Manuscript received July 06, 2015; revised October 20, 2015; accepted November 14, 2015. This work was supported in part by the Linz Center of Mechatronics (LCM) under the framework of the Austrian COMET-K2 program. P. Oßmann and A. Springer are with the Institute for Communications Engineering and RF-Systems (NTHFS), Johannes Kepler University, 4040 Linz, Austria (e-mail: [email protected]; [email protected]). J. Fuhrmann is with the Institute for Electronics Engineering, FriedrichAlexander University Erlangen–Nuremberg, 91054 Erlangen–Nuremberg, Germany, and also with Danube Mobile Communications Engineering (DMCE) GmbH & Co. KG, 4040 Linz, Austria. K. Dufrêne is with Danube Mobile Communications Engineering (DMCE) GmbH & Co. KG, 4040 Linz, Austria. J. Fritzin and J. Moreira are with Intel Deutschland GmbH, 85579 Munich, Germany. H. Pretl is with the Research Institute for Integrated Circuits (RIIC), Johannes Kepler University, 4040 Linz, Austria, and also with Danube Mobile Communications Engineering (DMCE) GmbH & Co. KG, 4040 Linz, Austria (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2503343

properties, aggressive feature size scaling according to Moore’s law [1], and smart circuit and physical-design solutions [2], CMOS technology has matured to a successful competitor for integrated low-cost applications in wireless front-end products. Especially for low-power circuits each new technology node is beneficial in terms of integration level, chip area, and power consumption [3]. Consequently, it is desired to integrate the entire transceiver in the latest CMOS technology to benefit from those properties and achieve a low-cost single-chip solution. This means all RF front-end building blocks need to be implemented in the same technology as the digital front-end [4]. Especially for the implementation of the power amplifier (PA), however, the use of deep nanometer CMOS technology poses several challenges [5], even though a successful integration into a complete transceiver chain has been proven by Moreira et al. [4]. With a device gate–oxide thickness in the order of 1.5 nm and below for 28-nm CMOS technology [6], the transistor gate–drain/source breakdown voltages are reduced. This requires reduced supply voltages in order not to damage the devices. Moreover, since the transistor output power limit is proportional to the square of the device supply, the required load impedance to reach a desired power level needs to be reduced as well. Consequently, parasitic resistance-aware circuit design is becoming more critical and challenging in deeply scaled CMOS technologies. The increased resistive losses in the back-end due to scaled metal layers mainly impact the PA output power and efficiency [7]. To fulfill output power requirements for typical 3rd Generation Partnership Project (3GPP) UMTS terrestrial radio access (UTRA) operation, peak voltages exceeding tens of volts might drop across the PA output. In order to be compliant with the reduced breakdown voltages in nanometer technologies, stacking of transistors to handle the large voltage swings and to be able to generate the desired RF output power without destroying the devices is mandatory [8]. Therefore, various circuit techniques have been proposed to overcome the limited power capability of scaled CMOS devices [9]–[11] or to make the PA robust versus high voltage swing [12], [13]. Furthermore, due to specifications of modern communication schemes incorporating high peak-to-average ratio (PAR) signals and stringent out-of-band requirements [14], the efficiency versus linearity tradeoff in PAs becomes even more important than it has been in the past. Large back-off requirements in order to achieve the desired PA linearity generally results in poor system efficiency.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

This paper is an extension of [15]–[17] and describes the design and analysis of a linear two-stage watt-level PA implemented in a 28-nm standard CMOS process. Unlike [10], [12], and [18], no additional external components like off-chip predrivers or matching networks are required for operation. The PA has a small-signal gain of more than 28 dB, therefore it can act as a standard building block to be integrated into modern low-power digital RF transceivers. This paper particularly addresses the challenges and design tradeoffs of integrating high-power circuitry using deep-nanometer CMOS technology. Circuit techniques to overcome the problem of limited transistor power capability in scaled CMOS technology are presented and verified by measurements. Section II presents the overall system concept and emphasizes problems to be solved for a successful PA implementation when utilizing such a deep-nanometer CMOS process. In Section III, we show the design of predriver and interstage matching circuits. A key element of the proposed PA is a triple-stack transistor array to generate watt-level RF power, which is introduced in Section IV. In Section V, the biasing concept is presented. The physical implementation of the output matching network (OMN) including details of electromagnetic (EM) analysis using the Sonnet field simulator is explained in Section VI. Sections VII and VIII show sign-off simulations, the silicon implementation, and experimental results for dc and small-signal measurements. Measurements using sinusoidal stimulus have been included and 3GPP operation has been proven using RMC12k2 test signals. A comparison with state-of-the-art implementations of recently published CMOS handset PAs is shown in Section IX, which also concludes this work. II. SYSTEM ARCHITECTURE For UTRA applications 3GPP specifies maximum channel transmit (TX) power at the antenna to be 24 dBm [14]. For a generic multi-mode/multi-standard W-CDMA/UMTS transceiver architecture, as proposed by Holma and Toskala in [19], the key building blocks in the TX path are the PA followed by a duplex filter and isolator. The isolator output is attached to an antenna switch, which is the final element in the TX chain. When adding typical insertion loss (IL) of the antenna switch dB , duplex filter dB , and isolator dB , the PA has to deliver roughly 27-dBm linear RF output power to overcome the TX chain losses. At this power level it still has to fulfill 3GPP requirements. For a signal PAR of up to 5 dB, a peak power requirement of to dBm at the PA output results [14]. This translates to a peak-to-peak voltage swing of mW (1) across a single-ended load at the PA output. For the above equation, a peak-to-peak voltage of approximately 25 V results when using the aforementioned values and assuming a pure resistive load of . Besides power specification, coexistence is another crucial issue in 3GPP communications. That is, the signal transmission

Fig. 1. PA block diagram including chip boundary and reflection coefficients in impedance Smith chart. (a) Proposed PA system architecture block diagram. . (b) Reflection coefficients

of the PA in a dedicated TX channel must not interfere with any adjacent or alternating channel. Therefore, an adjacent-channel leakage ratio (ACLR), which must not exceed 33 dBc at 5-MHz spacing from carrier frequency has been defined by 3GPP for UTRA applications [14]. In order to fulfill such stringent linearity requirements, a highly linear PA transfer characteristic is mandatory. However, due to the strong nonlinear behavior of CMOS PAs, the use of techniques to linearize the gain stage such as analog or digital predistortion (DPD) are usually required [20] to fulfill UTRA linearity specifications. Recently Breschel et al. presented a solution for a multi-standard second-generation (2G)/third-generation (3G)/fourth-generation (4G) cellular modem in 28-nm CMOS technology [21]. This single-chip radio supports multiple radio access technologies like time division duplex (TDD)/frequency division duplex (FDD) long-term evolution (LTE), TDD/FDD high-speed packet access (HSPA), and Global System for Mobile Communications (GSM)/General Packet Radio Service (GPRS); however, it does not feature an integrated PA. For a possible future merge of similar digital baseband/low-power RF architectures, a PA exhibiting high linear gain and utilizing low-power deep nanometer CMOS devices is required. A classical approach to overcome the problem of limited linear gain of CMOS PAs is applying a two-stage topology, which is proposed in Fig. 1(a). From left to right there is a differentially driven input transformer connected to a predriver stage. The interstage matching network connects the predriver with the power stage. This block generates more than one watt of peak RF output power and is directly attached to an on-chip output transformer. Electrostatic discharge (ESD), process-voltage-temperature (PVT) compensation, biasing, and control networks have been omitted in this visualization. In total, six different reflection coefficients can be identified from input to output, which are shown in Fig. 1(b). The largest transformation ratio is from predriver

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. OßMANN et al.: DESIGN OF FULLY INTEGRATED TWO-STAGE WATT-LEVEL PA USING 28-nm CMOS TECHNOLOGY

Fig. 2. Simplified circuit diagram of the two-stage PA. The predriver amplifier (dashed–dotted frame ), the interstage matching (dashed frame ) are highlighted. In the schematic, the circuit’s key parameters are annotated. transconductance stage (dashed–dotted–dotted frame

Fig. 3. Sonnet model of the input matching network used for EM simulations. ) and co-calibrated ports are shown. Metal trace width Reference planes ( , spacing , and distance for selected path segments is shown.

output to -stage input . Fig. 2 depicts a simplified PA circuit diagram, where ESD, PVT compensation, biasing, and control circuits again are not drawn for brevity. For future investigations on back-off and low battery voltage operation, the transistor array can be scaled down to and by means of two control bits and in combination with a thin-oxide 28-nm transistor that is switched to either high or low impedance. Its gate voltage has been generated using an on-chip low drop-out (LDO) regulator. III. PREDRIVER AND INTERSTAGE MATCHING A. Predriver Amplifier Fig. 3 shows an EM model for the input matching network including bump connection pads. The reference planes (dashed lines) have been chosen in such a way that the model is slightly too pessimistic since here the current distributes from the left pad edge instead of the pad center, where actually the tin-silver (SnAg) bumps are physically connected. A capacitively tuned transformer acts as input impedance matching network and provides the transistor bias voltage utilizing its secondary center tap. It transforms to , which is an optimum input impedance for maximum small-signal gain. The predriver stage optimum input impedance has been determined utilizing a small-signal analysis and then applying a conjugate matching. To ensure low IL for low and medium input power, the transformer metal

3

), and

Fig. 4. Simulated input matching small-signal performance. (a) Inductance and coupling. (b) Matching and IL. TABLE I SUMMARY OF INPUT TRANSFORMER PERFORMANCE AT 1.8 GHz

traces have been designed as wide as possible using only thick metal layers. Simulated self-inductance , mutual inductance , and coupling coefficient of the input transformer’s EM model shows Fig. 4(a), whereas matching and IL is shown in Fig. 4(b). Given the transformer IL, its efficiency can be calculated as . The transformer performance has been summarized in Table I for operation at GHz. A differential cascode circuit, which is shown within the dashed–dotted frame in Fig. 2, serves as an on-chip predriver. Transistor acts as a common source (CS) and transistor is connected as a common gate (CG) device. The RF signal is applied to the gate of . Gate of is RF grounded with a dc value of V. A cascoded structure has been applied since it is beneficial to reduce the Miller effect by lowering the coupling between input and output because of an intrinsic low amplification from the gate of to source node of due to a small load resistance seen by the CS stage. Transistor geometry is m nm to generate saturated output power of mW dBm. The predriver biasing

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II SUMMARY OF INTERSTAGE TRANSFORMER PERFORMANCE AT 1.8 GHz

Fig. 5. Sonnet model of interstage matching network with co-calibrated ports . The ground plane underneath the predriver structure has been included to improve model accuracy. Metal trace width , spacing , and distance for selected path segments is shown.

Fig. 6. Simulated interstage transformer small-signal performance. (a) Inductance and coupling. (b) Matching and IL.

is in a class-A configuration using V and V. The dc gate voltage has been generated on-chip by means of a source degenerated diode-connected transistor (not shown in Fig. 2 for brevity). B. Interstage Matching Fig. 5 shows the physical design of the interstage matching network including a predriver ground plane. Tuning capacitors at the transformer’s input and output are in the order of 1 pF and have been omitted in this visualization. To minimize interstage matching network related IL, careful layout techniques and placement of the inductors are mandatory. To optimize the inductors’ position, the interstage transformer metal traces are slightly smaller when compared to the input matching transformer . Therefore the inductors’ distance to each other can be reduced, which turned out to be beneficial due to increased differential coupling. Simulated self-inductance , mutual inductance , and coupling coefficient of the interstage transformer’s EM model shows Fig. 6(a), whereas matching and IL is shown in Fig. 6(b). Values evaluated at GHz are summarized in Table II, where again . EM simulations furthermore showed octagonal spiral inductors being beneficial in terms of quality factor versus squared inductors. Fig. 7(a) presents the final simulation results of the coils’ on-chip implementation. At frequency of interest a peak in the quality factor of and an inductance of

Fig. 7. Simulated interstage matching small-signal performance. (a) Quality and . (b) Full interstage matching network S-pafactor and inductance for rameter simulation.

nH can be noticed. Due to the high impedance transformation ratio from predriver to -stage, a multistage matching architecture overcomes the problem of limited bandwidth. As indicated by the Smith chart representation given in Fig. 1(b), total impedance transformation ranges from to . Fig. 7(b) shows the simulation results for the full interstage matching network. Whereas the 3-dB bandwidth is approximately 500 MHz, matching to the PA remains below 8 dB in this interval. Total IL is mainly dominated by the inductors’ -factor and by the transformer’s IL. IV. DIFFERENTIAL TRIPLE-STACK PA CORE Core element of the proposed two-stage PA is the -stage, which is shown in Fig. 8(a) in more detail (single-ended for brevity), where the drain of transistor is connected to the OMN. The fundamental concept has been introduced by Leuschner et al. [12], [13] for a stack of four transistors. The authors call this a stacked-cascode architecture, which leads to good robustness and efficiency for gigahertz operation. It has been optimized for an implementation in a 65-nm standard CMOS process. For the proposed PA, we apply a triple-stack concept [22] utilizing a floating cascode to reduce the overall stack on-resistance. This is beneficial in terms of efficiency and RF performance to overcome the increased parasitic losses caused by scaled metals in the 28-nm CMOS process BEOL stack-up. The triple-stack transistors are realized in a p-well within a deep n-well to avoid breakdown of the drain diode. The deep n-well is biased to the supply voltage and the bulk connection of the upper cascode to its source. Hence, the p-well swings together with the top cascode circuit, thereby forcing the bulk-to-source potential to zero what eliminates the inherent body-effect of standard CMOS transistors. This can be also derived using (2), (2) where is the device threshold voltage, is the bulk–source voltage, is the surface potential, is the threshold voltage of a long-channel device at zero substrate bias, and is the body bias coefficient. The impact of this effect when comparing

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. OßMANN et al.: DESIGN OF FULLY INTEGRATED TWO-STAGE WATT-LEVEL PA USING 28-nm CMOS TECHNOLOGY

Fig. 8. Triple-stack schematic and simulated transient waveforms. Gate volt), drain voltages are solid lines ( ). Gate–drain/source ages are dashed ( voltage stress must not exceed the SOA, which is 3.5-V RF stress for each device. Critical HCI transitions are highlighted. (a) Simplified triple-stack. (b) Transient terminal waveforms.

5

for . By properly choosing the capacitive part of the OMN and the value of , where the parasitic gate–drain capacitance of must not be neglected, the transient waveforms according to Fig. 8(b) result. Since reliability is crucial in CMOS circuits with large voltage swings, it is important to analyze the transient voltages on possible sources of hot-carrier injection (HCI) [24], [25]. RF stress with high drain and low gate voltage might create electron-hole pairs, which then can accelerate in the channel electric field. This injection mechanism has been reported to be the most stringent device degradation in submicrometer technology since a large amount of hot electrons are injected into the gate oxide at the same time [26]. In Fig. 8(b), the most critical transitions are highlighted. Given the simulated conditions, the RF stress level is not expected to pose any HCI injections. Unlike the solution proposed by Sowlati and Leenaerts [27] we apply the capacitive feedback to the gate of the upper cascode from its drain rather than its source, which facilitates the physical design of the capacitor array. For this situation, however, it is worth noting that and should be tuned in such a way that, on the one hand, the gate-drain/source stress does not exceed the safe operating area (SOA) at the top transistor, and on the other hand, the low-pass filter time constant fulfills (4) in order not to cause biasing related memory effects within the modulation bandwidth , (4) Single-ended impedance level seen at the drain side of

is (5)

Fig. 9. Impact of the floating bulk cascode on PA performance. (a) Triple-stack performance when using ideal matching networks. (b) Bulk–source voltage for common ground cascode architecture.

to a common grounded cascode shows Fig. 9 for the proposed triple-stack architecture. Simulations utilize ideal (lossless) matching networks and no distributed parasitic effects in the transistor array. Whereas there is no difference in saturated output power for the floating bulk cascode when compared to a common ground cascode, in terms of peak power-added efficiency (PAE) there is an improvement of roughly . Recalling the root-mean-square (RMS) output power and a typical 3GPP signal PAR, a voltage swing of up to V drops across a 50- load, as demonstrated in (1). This translates to a differential voltage swing of (3) with being the at the drain of the positive transistor ratio between the number of primary to secondary windings of transformer . In order to comply with the transistors’ breakdown voltage, which is in the order of 3.5-V RF stress between any terminal [23], stacking of three transistors can be derived

which translates to a minimum requirement for the transistor array size of m nm to generate the desired PA drain current. Gate length of the triple-stack transistors is the minimum feature size for thick-oxide devices. In the output stage, thick-oxide transistors are required since the gate-drain/source voltage RF stress would exceed the SOA for thin-oxide devices, which is much less than 3.5 V. The operating point for the CS transistor is in the class-AB configuration using V. Supply voltage at the top cascode transistor is V. V. BIASING AND PVT COMPENSATION In order to generate the required biasing voltages/currents on-chip and simultaneously being PVT independent, special care has been taken of the triple-stack CS transistor bias voltage generation. The proposed biasing scheme relies on a controlled current mirror and a PA replica stage. Fig. 10 shows a high-level conceptual view, where the actual PA stage is framed by a dashed line, and the scaled PA replica is within a dashed–dotted frame. For simplicity, the circuit is analyzed single-ended in this section. Due to its cascoded nature, the current flowing through the triple-stack CS transistor essentially dominates the PA operating point. A first-order approximation to describe this current is well known and repeated in (6), where the electron

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Proposed biasing concept. Key elements are PA core (dashed ) ). Both blocks are connected transistors and a scaled replica (dashed–dotted , respectively. to a unity current mirror and an error amplifier

mobility voltage

Fig. 11. Simulation of biasing concept. Nominal (dotted ), slow ) and fast (dashed ) corners are shown over tempera(dashed–dotted ture.

, oxide capacitance , and the device threshold are major contributors for on-chip PVT variations, (6)

To compensate side effects of bias point fluctuations for different dies and wafers, an accurate PVT independent reference is being injected externally into the drain of a current scaled CS replica transistor labeled . The reference current is chosen as . Major issue for compensating PVT variations are the drain-to-source voltages of and . To overcome this problem, a scaled PA replica stack has been introduced in close physical proximity to the actual PA stage. Thereby the assumption of equal temperature and silicon conditions for the PA and replica stage is valid. The replica stage consists of transistors , , and . Given the reference current , the appropriate drain voltage at will settle for nominal conditions. To compensate PVT variations at this node, a high gain error amplifier connects the drain terminals of and , which are in 1:1 current mirror configuration. In case of a divergence between the drain terminal voltages of and , the input stage of adjusts the gate terminal of both transistors to compensate it accordingly. As a consequence, this method allows the control of the actual PA drain current in absence of RF stress by modifying the drain-to-source voltage of replica transistor properly. To fix the bias drain voltage of the PA CS device , a diode connected transistor has been introduced. In conjunction with a source resistance , the gate voltage can be adjusted using a reference current . After unity gain buffering, is applied to and to . Low output impedance of the and unity gain buffers within the RF modulation bandwidth has been a major design criterion to minimize bias related memory effects. Transistor is in diode-connected configuration and directly attached to an off-chip supply voltage without being RF stressed. Simulations show the dc operation point variation for the (un-)compensated amplifier. While under nominal conditions a quiescent current of mA at C is desired,

Fig. 12. MC simulation for the uncompensated PA for

Fig. 13. MC simulation for the proposed biasing scheme for

runs.

runs.

a maximum spread of roughly 290 mA, i.e., more than 100%, can be observed over worst case PVT corners. For the compensated PA, however, the operation point is nearly independent from PVT variation, which is shown in Fig. 11. To ensure being independent from statistical uncertainties of the proposed biasing solution, the actual variation of over process corners and mismatch has been observed utilizing Monte Carlo (MC) simulations. Shown for runs, Figs. 12 and 13 verify the proposed concept since the PA drain current is nearly constant over worst case corners and mismatch contrary to the uncompensated PA. The key parameters are summarized in Table III, which shows that the standard deviation of can be reduced by almost a factor of 10 (44.3 mA/5 mA). Due to PVT operation point stabilization the amplifier’s large-signal output power and small-signal gain have been

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. OßMANN et al.: DESIGN OF FULLY INTEGRATED TWO-STAGE WATT-LEVEL PA USING 28-nm CMOS TECHNOLOGY

STANDARD DEVIATION

TABLE III EXPECTATION VALUE

AND

7

OF

TABLE IV PA PERFORMANCE DEGRADATION DUE TO PVT VARIATIONS

Fig. 14. Sonnet model for on-chip output transformer . BEOL tuning capacand (not shown in this visualizaitors are connected between ports tion). Port is the center tap supply connection.

stabilized as well. Table IV compares harmonic-balance simulation results for nominal (N), slow (S), and fast (F) NMOS/PMOS devices. Simulation temperature is 40 C and 120 C, respectively. For the uncompensated PA, a deviation of dB in terms of saturated output power and dB in terms of linear gain can be observed. These numbers reduce to dB and dB, respectively, when applying the proposed compensation technique.

Fig. 15. Simulated output transformer small-signal performance. (a) Efficiency and IL. (b) Coupling and quality factor.

VI. OMN AND DIFFERENTIAL TO SINGLE-ENDED CONVERSION By performing large-signal load–pull analysis for the proposed transistor triple-stack, the optimum output reflection coefficient of was determined, as it has been indicated in Fig. 1(b).1 This translates to a de-normalized optimum output impedance of . A maximum efficiency of can be simulated when applying this load impedance to the transistor stack and assuming realistic OMN losses. However, implementing such a low-impedance level on-chip has several drawbacks. It requires a transformer with very small form factor in order to have a low ohmic metal resistance. A large tuning capacitor is then required since a small-sized transformer has a low self-inductance. Additionally, a higher turning ratio is required, what results in higher losses due to reduced coupling between primary and secondary winding. Therefore, we increased the real part of such that a single-ended resistive load of occurs, which is sufficient to generate the desired level of output power. Of course, this comes at the cost of efficiency degradation since the triple stack is not operating at its optimum load impedance anymore. In order to match the imaginary part, a capacitive tuning network has been introduced between ports and according to Fig. 2. The output transformer’s final physical design, which has been used for EM field analysis, is shown Fig. 14. Since the OMN essentially determines the overall PA efficiency, the transformer’s standalone efficiency has been identified as (7) A 1For

evaluation of constant efficiency contours.

Fig. 16. Output transformer performance metrics versus frequency. (a) Selfinductance. (b) Impedance.

where the power available from a differential source has been evaluated using a single-ended load. The transformer’s IL can be converted using the relationship . Simulation results of the EM model depicts Fig. 15 for efficiency and IL, and Fig. 16 for inductance and impedance. The primary impedance has been identified using (8). For all simulations, the secondary impedance is , except for determination of primary/secondary inductance, for which we used an open circuit. The output transformer figures-of-merit have been summarized in Table V when evaluating at GHz,

A

(8)

VII. SIGN-OFF SIMULATIONS During final sign-off simulations the major contributors of performance degradation have been identified. Table VI presents the PA performance, where parasitic effects have been taken into consideration. The contributions in terms of power loss and efficiency loss have been evaluated at GHz. Achievable saturated output power

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE V SUMMARY OF OUTPUT TRANSFORMER PERFORMANCE AT 1.8 GHz

TABLE VI SIMULATED PA PERFORMANCE DEGRADATION Fig. 17. Sign-off simulation results for stability and linearity. (a) Stability factor. (b) Two-tone stimulus.

Includes also coreboard losses and the interstage matching.

and efficiency have been determined at maximum input power when using the default biasing configuration ( V and V), and for nominal corner. As expected, the OMN is the dominant contributor of IL, followed by the input stage (input and interstage matching including coreboard losses). Interestingly, an extraction of supply networks (SPLY) shows less impact on total power losses as expected, where only approximately 0.3 dB of loss are predicted by simulations. Extraction of biasing stages and increased temperature hardly affects the overall performance. In total, roughly dB can be expected from coreboard input to PA output when comparing transistor-level simulations without any parasitic effects to those including parasitic effects. Efficiency reduces from to roughly 35% for equal operating conditions, when including parasitic effects. Especially in multi-stage architectures, where typically high power gain is available, stability of the amplifier has to be analyzed. For small-signal operation, stability can be guaranteed if and only if the amplifier has an input (output) referred stability factor greater unity according to [28]. However, it is worth noting that the stability factor ensures unconditional stability if and only if a single active device is used. For a two-stage stacked transistor amplifier, there might still be oscillations between the active devices, which are not covered in that kind of analysis. Nevertheless, the stability factor is a good indicator if special care has to be taken at certain frequencies, (9a) (9b) . Fig. 17(a) depicts where the simulated input and output referred stability factor, which has been derived by S-parameter simulations using (9). It shows the PA being unconditional stable over the whole simulated frequency range. Large-signal simulation results when using two-tone stimulus ( GHz and GHz) to estimate the expected PA linearity are presented in Fig. 17(b). For typical 3GPP output power, the PA has a third-order intercept-point (TOI) of roughly 5-dBm input referred and 30-dBm output referred.

Fig. 18. PA die photograph. Chip size is

m

m.

VIII. IMPLEMENTATION AND EXPERIMENTAL RESULTS A. Silicon Implementation This chip has been implemented in standard 28-nm CMOS technology. Only thick oxide transistors have been used in the output stage to ensure good reliability and high ruggedness. Digital logic circuitry has been implemented using thin-oxide transistors to benefit from technology scaling. The PA has been prepared for flip-chip (FC) packaging and has been soldered directly on the printed circuit board (PCB) for evaluation. Additional benefit of the FC packaging concept is reduced bump inductance since no bond wires are required. This also reduces simulation complexity since no special package model needs to be derived. The chip BEOL stack-up comprises five thin-metal, two thick-metal, and one aluminum layer on top. Fig. 18 shows a micrograph, where the input matching network (IMN), predriver (PRE), interstage (ITS) matching network, -stage (PA), and OMN are highlighted. Also, the PA replica stage (REPL) and placement of biasing circuitry (BIAS) is shown. B. DC Measurements Recalling that the replica current serves as input for the biasing stage, which determines the PA operating point, Fig. 19(a) shows the PA quiescent current being nearly independent from supply voltage variations. has been varied in the range of 1 V to its nominal value of 3 V. For a nominal replica current of A, the PA drain current has a variation of only A, as predicted by simulations in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. OßMANN et al.: DESIGN OF FULLY INTEGRATED TWO-STAGE WATT-LEVEL PA USING 28-nm CMOS TECHNOLOGY

Fig. 19. Measured dc characteristic of the proposed two-stage PA. (a) Input referred. (b) Output referred.

9

Fig. 22. Output power degradation versus temperature and supply voltage.

Fig. 23. Measured PA performance for pulsed sinusoidal stimulus. (a) Performance versus input power at fundamental frequency. (b) Performance versus frequency at saturated output power.

Fig. 20. DC drain current and small-signal gain deviation over ambient temperature and supply voltage variation.

Fig. 24. Measured 3GPP output spectrum for a W-CDMA test vector. Channel dBm. power is

up to 1 V. As depicted in Fig. 20, a drain current deviation of mA referred to its nominal value can be observed. C. Small-Signal Measurements

Fig. 21. Measured S-parameter dataset for nominal biasing conditions. (a) Input reflection coefficient. (b) Output reflection coefficient. (c) Forward voltage gain. (d) Reverse voltage gain.

Section V. Fig. 19(b) shows a comparison between simulated and measured dc characteristics. Excellent matching between both datasets can be observed. The diode behavior for supply voltages below 0.5 V results from the diode-connected transistor (from a dc perspective). The PA dc characteristic has also been analyzed for an ambient temperature sweep of 90 C, and for supply variations of

A comparison between simulated and measured small-signal datasets has been carried out in the frequency range between 0.5 and 5 GHz. The results are shown in Fig. 21, where the magnitudes of input/output reflection coefficients and forward/ reverse voltage gains are plotted. Whereas there is good agreement for low frequencies, a deviation for mid- and high-frequency range can be noticed. Especially the measured matching at 2.9 GHz for the input, and the measured matching at 3.6 GHz for the output reflection coefficient are not covered properly in simulations. Also there is a roughly 400-MHz mismatch between simulated and measured frequency of maximum gain, which is shifted towards higher

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE VII PERFORMANCE COMPARISON OF RECENTLY REPORTED CMOS HANDSET PAs

Pulsed measurements. For 26-dBm output power. With DPD. At 1-dB compression.

frequencies in measurements. Two explanations can be found for this behavior. 1) The circuit models have been extracted with focus on large-signal operation, i. e., lumped-element models for interconnections do not sufficiently cover small-signal effects. Especially parasitic elements in the input matching network and interstage matching network are sensitive to S-parameter simulations and need to be slightly adjusted according to the measurement results. 2) Measurements of an unpopulated PCB showed significant frequency dependency of the input/output transmission lines and the SMA connector, which are not covered in simulations. Furthermore, the SMA connector footprint introduces a mismatch to the 50- input/output transmission line, which has also not been de-embedded in simulations. D. Sinusoidal Measurements For sinusoidal stimulus, a gain difference of dB can be noticed over temperature and supply voltage variations, as shown in Fig. 20. Output power degradation over temperature and supply changes have been observed as well. Fig. 22 shows a power drop of dB for typical 3GPP output power levels over a temperature range of 90 C and 0.5-V supply variation. For circuit model validation, sinusoidal measurements have been carried out at room temperature. To eliminate undesired temperature effects of PA self-heating, a pulsed measurement setup according to [15] has been utilized, where detailed investigations between continuous wave (CW) and pulsed measurements are presented. For all measurements following next, the pulse duty-cycle is 10%. Pulsed measurements have been applied since in 3GPP operation the probability density function of the signal magnitude typically shows a probability for peak

output power of less than 0.1%. Thus, performing a CW input power sweep means unrealistic temperature conditions for the PA, which can be mitigated as described in detail in [15]. For the measurements following next, the PA biasing is in class-AB operation, and center frequency is at GHz. The measured PA output power, PAE, and power gain shows Fig. 23. The transfer characteristic given in Fig. 23(a) has a smooth nonlinear behavior, which is typical for CMOS PAs. Saturated output power is 31.2 dBm and small-signal gain is 28 dB. In terms of efficiency the PA has a maximum of at nearly saturated output power. When operating at 28 dBm, i.e., at 3.2-dB output power back-off, the efficiency decreases to for CW operation. A comparison with large-signal simulation results verifies the analog EM and RC-extracted circuit models to be valid. Although the comparison shows the circuit models being slightly too pessimistic, still good agreement between simulated and measured data has been achieved. The deviations for low input power levels have been identified to be circuit related effects in simulations. For instance, a more complex model for the SnAg bumps needs to be derived, which might improve accuracy of simulated small-signal gain. The amplifier’s frequency response given in Fig. 23(b) is very broadband with a 1-dB bandwidth of approximately MHz. Within this range, output power remains above 30 dBm and efficiency remains above 27%. E. 3GPP Operation This chip has also been tested using different W-CDMA test vectors. In general, to achieve the required dBc at 5-MHz spacing from carrier frequency, the use of memoryless DPD is mandatory. Fig. 24 shows the output spectrum for 3GPP test vectors prior to (gray) and with (black) the use of a fifth-order memoryless polynomial DPD. For RMC12k2 signals (3G voice, dB), the spectrum is given in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. OßMANN et al.: DESIGN OF FULLY INTEGRATED TWO-STAGE WATT-LEVEL PA USING 28-nm CMOS TECHNOLOGY

Fig. 24. It is symmetric around the carrier frequency and adjacent-channel requirements can be achieved for the specified output power. Measured drain efficiency for this operating condition ( V and mA) is . IX. CONCLUSION The design and implementation of a two-stage PA using a standard 28-nm CMOS process has been presented. Table VII compares the proposed PA to the performance of recently reported CMOS implementations. The proposed amplifier exhibits state-of-the-art linearity performance. Efficiency degradation when compared to more matured technology nodes can be observed, which is the result of increased resistive losses in the back-end due to scaled metal layers. The amplifier delivers 31.2-dBm saturated output power with a small-signal gain of 28 dB. Maximum PAE is 33% at 1.75 GHz for pulsed sinusoidal stimulus. Adjacent-channel and output power requirements for 3GPP UMTS test patterns can be fulfilled when using fifth-order memoryless DPD. This paper has shown the feasibility of using the deep-nanometer CMOS technology node to generate watt-level RF power. To the authors’ best knowledge, this is the first implementation of a watt-level PA in 28-nm CMOS technology fulfilling W-CDMA/3GPP linearity and output power specifications. For the proposed solution, a differentially driven triple-stack approach in combination with a capacitive feedback path ensures the cascode transistors being in a safe operation area even for a voltage swing exceeding 25 V at typical 50RF load impedance. No external active/passive circuits are required for operation, which makes this PA topology a promising candidate for integration into modern digital RF transceiver architectures. ACKNOWLEDGMENT The authors would like to thank S. Leuschner, A. Saudi, V. Kisa, and other colleagues at Intel Deutschland GmbH and Danube Mobile Communications Engineering (DMCE) GmbH & Co. KG, for supportive work and constructive discussions. REFERENCES [1] G. E. Moore, “Cramming more components onto integrated circuits,” IEEE Newslett. Solid-State Circuits Soc., vol. 11, no. 5, pp. 33–35, Nov. 2006, reprinted from Electronics, vol. 38, no 8, Apr. 19, 1965, pp. 114 ff. [2] Z. Boos et al., “A fully digital multimode polar transmitter employing 17b RF DAC in 3G mode,” in Int. Solid-State Circuits Conf., 2011, pp. 376–378. [3] M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, and K. Bernstein, “Scaling, power, the future of CMOS,” in Int. Electron Devices Meeting, 2005, pp. 7–15. [4] J. Moreira et al., “A single-chip HSPA transceiver with fully integrated 3G CMOS power amplifiers,” in Int. Solid-State Circuits Conf., 2015, pp. 162–164. [5] T. Johansson and J. Fritzin, “A review of watt-level CMOS RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 111–124, Jan. 2014. [6] A. Bravaix et al., “Impact of the gate-stack change from 40 nm node SiON to 28 nm high-K metal gate on the hot-carrier and bias temperature,” in Int. Reliab. Phys. Symp., 2013, pp. 2D.6.1–2D.6.9. [7] J. Scholvin, D. R. Greenberg, and J. A. del Alamo, “Fundamental power and frequency limits of deeply-scaled CMOS for RF power applications,” in Int. Electron Devices Meeting, 2006, pp. 1–4.

11

[8] P. Asbeck, “Stacked si MOSFET strategies for microwave and mm-wave power amplifiers,” in Silicon Monolith. Integr. Circuits RF Syst., 2014, pp. 13–15. [9] I. Aoki et al., “A fully integrated quad-band GSM/GPRS CMOS power amplifier,” in Int. Solid-State Circuits Conf., 2008, pp. 570–636. [10] S. Pornpromlikit, J. Jeong, C. Presti, A. Scuderi, and P. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 57–64, Jan. 2010. [11] O. Degani et al., “A 90 nm CMOS PA module for 4G applications with embedded PVT gain compensation circuit,” in Power Amplifiers Wireless Radio Appl. Conf., 2012, pp. 25–28. [12] S. Leuschner, S. Pinarello, U. Hodel, J.-E. Mueller, and H. Klar, “A 31-dBm, high ruggedness power amplifier in 65-nm standard CMOS with high-eficiency stacked-cascode stages,” in RF Integr. Circuits Symp., 2010, pp. 395–398. [13] S. Leuschner, J.-E. Mueller, and H. Klar, “A 1.8 GHz wide-band stacked-cascode CMOS power amplifier for WCDMA applications in 65 nm standard CMOS,” in RF Integr. Circuits Symp., 2011, pp. 1–4. [14] User Equipment Radio Transmission and Reception (FDD), 3GPP TS 25.101, Third Generation Partnership Project. [15] P. Oßmann, J. Fuhrmann, J. Moreira, H. Pretl, and A. Springer, “A measurement method to mitigate temperature effects in nanometer CMOS RF power amplifiers,” in Austrochip Microelectron. Meeting, 2014, pp. 1–5. [16] P. Oßmann, J. Fuhrmann, K. Dufrêne, H. Pretl, and A. Springer, “A linear watt-level power amplifier implemented in 28 nm standard CMOS technology,” in Asia–Pacific Microw. Conf., 2014, pp. 674–676. [17] P. Oßmann, J. Fuhrmann, J. Moreira, H. Pretl, and A. Springer, “A circuit technique to compensate PVT variations in a 28 nm CMOS cascode power amplifier,” in German Microw. Conf., 2015, pp. 131–134. [18] P. Haldi, D. Chowdhury, P. Reynaert, G. Liu, and A. M. Niknejad, “A 5.8 GHz 1 V linear power amplifier using a novel on-chip transformer power combiner in standard 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1054–1063, May 2008. [19] H. Holma and A. Toskala, WCDMA for UMTS—HSPA Evolution and LTE, 5th ed. New York, NY, USA: Wiley, 2010. [20] J. Kim, C. Park, J. Moon, and B. Kim, “Analysis of adaptive digital feedback linearization techniques,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 2, pp. 345–354, Feb. 2010. [21] M. Breschel et al., “A multi-standard 2G/3G/4G cellular modem supporting carrier aggregation in 28 nm CMOS,” in Int. Solid-State Circuits Conf., 2014, pp. 190–191. [22] Y. Yin, X. Yu, Z. Wang, and B. Chi, “An efficiency-enhanced stacked 2.4-GHz CMOS power amplifier with mode switching scheme for WLAN applications,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 672–682, Feb. 2015. [23] L. Larcher, D. Sanzogni, R. Brama, A. Mazzanti, and F. Svelto, “Oxide breakdown after RF stress: Experimental analysis and effects on power amplifier operation,” in Int. Reliab. Phys. Symp., 2006, pp. 283–288. [24] J. Fritzin, C. Svensson, and A. Alvandpour, “A wideband fully integrated 30 dBm class-D outphasing RF PA in 65 nm CMOS,” in Int. Integr. Circuits Symp., 2011, pp. 25–28. [25] L. Kuang, B. Chi, H. Jia, W. Jia, and Z. Wang, “A 60-GHz CMOS dual-mode power amplifier with efficiency enhancement at low output power,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 62, no. 4, pp. 352–356, Apr. 2015. [26] E. Maricau and G. G. , Analog IC Reliability in Nanometer CMOS. New York, NY, USA: Springer, 2013. [27] T. Sowlati and D. M. W. Leenaerts, “A 2.4-GHz 0.18- m CMOS selfbiased cascode power amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1318–1324, Aug. 2003. [28] M. L. Edwards and J. H. Sinsky, “A new criterion for linear 2-port stability using a single geometrically derived parameter,” IEEE Trans. Microw. Theory Techn., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. Patrick Oßmann (S’14) was born in Tübingen, Germany, in 1984. He received the B.Eng. and M.Eng degrees in electrical engineering from Hochschule Konstanz (HTWG), Konstanz Germany, in 2010 and 2011, respectively, and is currently working toward the Ph.D. degree in microelectronics engineering from the Institute of Communications Engineering and RF-Systems (NTHFS), Johannes Kepler University Linz, Austria. In 2011, he joined the NTHFS. His research interests are integrated circuits with a focus on RF power amplifiers for mobile communications systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

Jörg Fuhrmann (S’13) was born in Nuremberg, Germany, in 1985. He received the Dipl.-Ing. (M. Sc.) degree in electrical, electronics and information technologies from the Friedrich-Alexander-University Erlangen–Nuremberg, Erlangen–Nuremberg, Germany, in 2012, and is currently working toward the Ph.D. degree at the Friedrich-Alexander-University Erlangen–Nuremberg. In June 2012, he joined Danube Mobile Communications Engineering (DMCE) GmbH & Co. KG (majority owned by Intel Austria GmbH), Linz, Austria, in cooperation with the Institute for Electronics Engineering, Friedrich-Alexander University Erlangen–Nuremberg. His research is focused on integrated circuits for CMOS power amplifiers for fourth-generation (4G) long-term evolution (LTE). Krzysztof Dufrêne (S’03–M’07–SM’13) was born in Warsaw, Poland, in 1979. He received the M.Sc. degree in telecommunications from the Warsaw University of Technology, Warsaw, Poland, in 2003, and the Ph.D. degree in electrical engineering from the University Erlangen–Nuremberg, Erlangen–Nuremberg, Germany, in 2007. In 2007, he joined Danube Mobile Communications Engineering (DMCE) GmbH & Co. KG (majority owned by Intel Austria GmbH), Linz, Austria, where has been involved in the development of several generations of cellular RF transceivers. His research interests are communication systems, RF integrated circuit design for wireless applications, as well as compensation and calibration techniques of RF imperfections in communications transceivers. Jonas Fritzin (S’07–M’12) recieved the M.Sc. degree in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2004, and the Ph.D. degree from Linköping University, Linköping, Sweden, in 2011. From January 2012 to May 2013, he was with Ericsson AB, Stockholm, Sweden, where he was involved with research and development of analog/RF integrated circuits (ICs) for base stations. Since June 2013, he has been an RF Circuit Design Engineer with Intel Deutschland GmbH, Munich, Germany. His research interests include CMOS RF power amplifiers (PAs), transmitters, and predistortion. José Moreira was born in Lisbon, Portugal, in 1971. He received the Electrical Engineering degree and Ph.D. degree from the Instituto Superior Técnico, Technical University of Lisbon, Lisbon, Portugal, in 1994 and 1999, respectively. He is currently with Intel Deutschland GmbH, Munich, Germany, where he has been contributing to several generations of cellular RF transceivers as a Circuit Designer and Technical Lead. His research interests are in the fields of analog and mixed-signal circuit design with a current focus on UMTS/LTE transmitters and power amplifiers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Harald Pretl (S’97–M’01–SM’08) was born in Linz, Austria, in 1972. He received the Dipl.-Ing. (M.Sc.) degree in electrical engineering from the Graz University of Technology, Graz, Austria, in 1997, and the Dr. techn. (Ph.D.) degree from Johannes Kepler University (JKU), Linz, Austria, in 2001. He is currently a Principal Engineer with Danube Mobile Communications Engineering (DMCE) GmbH & Co KG (majority owned by Intel Austria GmbH), Linz, Austria, where he has been contributing to several generations of cellular RF transceivers and mobile communications platforms as an Analog Circuit Designer, Project Lead, and RF Systems Architect. Since 2015, he has also been a Full Professor with the Institute of Integrated Circuits (RIIC), JKU, where he is heads the Energy-Efficient Analog Circuits Group. He has authored or coauthored more than 20 papers at international conferences in the area of RF transceivers. He holds or has filed more than 25 patents. His current research interests are focused on highly integrated GSM/UMTS/LTE/fifth-generation (5G) transceivers, integrated CMOS power amplifiers for mobile communications and Internet of Things (IoT), wireless sensor networks, and low-power RF system-on-chip (SoC). Dr. Pretl was a member of the Technical Program Committee (TPC) of the International Solid-State Circuits Conference (ISSCC) (2010–2012). Andreas Springer (S’90–A’97–M’99) received the Dr. Techn. (Ph.D.) degree and Univ.-Doz. (Habilitation) degree from Johannes Kepler University Linz (JKU), Linz, Austria, in 1996 and 2001, respectively. From 1991 to 1996, he was with the Microelectronics Institute, JKU. In 1997, he joined the Institute for Communications and Information Engineering, JKU, where in 2005, he became a Full Professor. Since July 2002, he has been Head of the Institute for Communications Engineering and RF-Systems (formerly the Institute for Communications and Information Engineering), JKU. With the Linz Center of Mechatronics (LCM), he serves as the coordinator for the “wireless system” research area. He has authored or coauthored more than 200 papers in journals and at international conferences, one book, and two book chapters. He has been engaged in research on GaAs integrated millimeter-wave TEDs, monolithic microwavwe integrated circuits (MMICs), and millimeter-wave sensor systems. His current research interests are focused on wireless communication systems, single- and multi-carrier communications, architectures and algorithms for multi-band/multi-mode transceivers, UMTS/HSDPA/LTE, and recently, wireless sensor networks. Dr. Springer is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Communications Society, and the IEEE Vehicular Technology Society. He is a member of OVE and VDI. From 2002 to 2012, he served as chair of the IEEE Austrian Joint COM/MTT Chapter. He is a member of the Editorial Board of the International Journal of Electronics and Communications (AEÜ). He serves as reviewer for a number of international journals and conferences. In 2006 he was a corecipient of the Science Prize of the German Aerospace Center (DLR).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A 2- m InGaP/GaAs Class-J Power Amplifier for Multi-Band LTE Achieving 35.8-dB Gain, 40.5% to 55.8% PAE and 28-dBm Linear Output Power U. R. Jagadheswaran, Member, IEEE, Harikrishnan Ramiah, Member, IEEE, Pui-In Mak, Senior Member, IEEE, and Rui P. Martins, Fellow, IEEE

Abstract—This paper describes the first linear multistage class-J power amplifier (PA) fabricated in a 2- m InGaP/GaAs HBT process for multi-band long-term evolution (LTE) applications. It includes a three-stage topology composed by a pre-driver, driver, and a class-J main stage, to optimize the output power and power-added efficiency (PAE) over 1.7–2.05 GHz, thus encapsulating the LTE bands 1 to 4, 9 to 10, 33 to 37, and 39. This is achieved through a novel analog pre-distorter linearizer, which features two sub-circuits for AM–AM and AM–PM linearization. The PA prototype meets the standard’s adjacent channel leakage ratio dBc at a maximum linear output power of 28 dBm. Tested at 2.05 GHz and for a 16-QAM scheme, the maximum error vector magnitude is 3.38% at a 28-dBm output power, which corresponds to a PAE of 40.5%–55.8% across bands. The input return loss is 15 dB and the maximum power gain is 35.8 dB, while demonstrating an unconditional stable characteristic from dc up to 5 GHz. The die area is 950 m 900 m. The performance metrics compare favorably with the state-of-the-art. Index Terms—Adjacent channel leakage ratio (ACLR), error vector magnitude (EVM), gallium–arsenide (GaAs), long-term evolution (LTE), power-added efficiency (PAE), power amplifier (PA), quadrature amplitude modulation (QAM).

I. INTRODUCTION

T

HE LONG-TERM evolution (LTE) wireless systems rely on spectrally efficient modulation techniques to meet the demand of high data-rate transmission such as the quadrature

Manuscript received April 03, 2015; revised August 02, 2015, September 17, 2015, and October 13, 2015; accepted October 31, 2015. This work was supported in part by the University of Malaya High Impact Research under Grant UM.C/HIR/MOHE/ENG/51, by the Macau FDCT SKL Fund, and by the University of Macau under Grant MYRG2015-00040-FST. U. R. Jagadheswaran is with Silterra Sdn Bhd, 09000 Kulim Kedah Darul Aman, Malaysia (e-mail: [email protected]). H. Ramiah is with the Faculty of Engineering, Department of Electrical Engineering, University of Malaya, 50603 Kuala Lumpur, Malaysia (e-mail: [email protected]). P.-I. Mak is with the State-Key Laboratory of Analog and Mixed-Signal VLSI and Faculty of Science and Technology–Electrical and Computer Engineering (ECE), State-Key Laboratory of Analog and Mixed-Signal VLSI, University of Macau, Macao, China (e-mail: [email protected]). R. P. Martins is with the State-Key Laboratory of Analog and Mixed-Signal VLSI and Faculty of Science and Technology–Electrical and Computer Engineering (ECE), State-Key Laboratory of Analog and Mixed-Signal VLSI, University of Macau, Macao, China, and also with the Instituto Superior Técnico, Universidade de Lisboa, 1649-004 Lisbon, Portugal (e-mail: rmartins@umac. mo). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org.

amplitude modulation (QAM) and the orthogonal frequency division multiplexing (OFDM) access. The OFDM results in a nonconstant signal envelope with a high peak-to-average power ratio (PAPR). This sets stringent specifications in terms of linearity for the power amplifier (PA). Besides linearity, the power-added efficiency (PAE) is another critical specification that is essential in extending the handset’s battery life. In order to transmit within the strict linearity specifications, PAs are forced to operate in a less efficient back-off region. This as well limits the wideband transmission capability of the PA, thus leading to the integration of multiple narrowband PAs for multiband operation. Yet numerous efforts have taken place to improve the LTE transmission bandwidth by introducing various efficiency enhancement techniques [1]–[5]. The Doherty technique has been explored to improve the back-off efficiency of the PA. This is achieved by modulating the load respective to the output power level [6]–[10]. The load modulation is achieved by realizing a quarter-wavelength delay at the input of the peaking amplifier, and at the output of the carrier amplifier. However, the sensitivity of the delays to the frequency, particularly the input delay of the peaking amplifier, which defines its turn ON time, limits its efficiency in broadband operation [11]. Despite such a limit, a wideband efficiency of 30% for LTE operation was proven by adding a phase compensation network and additional offset line with a supply voltage of 4.5 V [12]. In recent years, the envelope tracking (ET) methodology is gaining more popularity in the LTE PA design. ET involves the modulation of the supply voltage of the PA respective to its power level, thus enhancing the efficiency at the back-off power region [13]–[20]. This improvement is obtained as a result of reducing the supply voltage to the PA at low output power [21]. In ET methodology, the efficiency of the dynamic power supply does influence the overall PA performance. Thus, the supply modulator tends to become a bottleneck for wideband signals especially when the envelope signal has a wider bandwidth than the RF signals [22]. Nevertheless, using a complex supply modulator, LTE multi-band operation is proven even for a 300-MHz RF bandwidth with more than 30% PAE across bands [23]. In order to concurrently achieve wideband operation and a high PAE, this paper proposes a class-J PA fully integrated in a 2- m InGaP/GaAs HBT process for multi-band LTE. The conventional practices were to use the class-J topology Digital Object Identifier 10.1109/TMTT.2015.2498150

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Simplify schematic of an HBT class-J PA.

Fig. 1. ACLR and PAE plot for various biasing current for the single-stage amplifier.

in GaN and LDMOS processes due to their advantage of high supply voltage swing [24]–[28]. Yet, in this work by incorporating an optimum positive reactance component to the load impedance of the GaAs HBT device followed by an optimum output impedance network, class-J operation is achieved. To ensure the class-J PA operates in the linear mode, a novel analog pre-distorter (APD) linearizer is integrated at its input. The APD consists of two sub-circuits, which are responsible for amplitude-to-amplitude modulation (AM–AM) and amplitude-to-phase modulation (AM–PM) linearization, respectively, for improving the operating bandwidth without penalizing the efficiency. This paper is organized as follows. The design of the class-J PA is presented in Section II, and the operation of the APD is described in Section III. Section IV reports the measurement results, followed by conclusions in Section V. II. CLASS-J WIDEBAND PA DESIGN

the schematic of the HBT class-J PA. The transistor is biased in deep class-AB mode where the RF output current waveform is half-wave rectified. Hence, (1) The fundamental current component flowing in the matching network is given as (2) where is the phase deviation of the matching network and is the fundamental current. The current flowing into of Fig. 2 is (3) where the dc output current, is expressed as

. The output voltage

(4) Therefore, from the conduction angle of

,

A. Optimum Bias Point—Measurement Analysis The impact of the third-order component can be analyzed in the definition of the adjacent channel leakage ratio (ACLR) [29]. This is verified via measurements, where the final-stage amplifier is assessed by sweeping its biasing current to determine the optimum value for the best ACLR at the region close to the class-B biasing point. The resultant plot is shown in Fig. 1, where it is evident that the PA delivers the best ACLR at a quiescent current of 40 mA. The resulting PAE at the output power of 28 dBm is 28% where the measurement has been done at 1.98 GHz. Thus, this quiescent current is desirably chosen to bias up the final stage amplifier, which is later designed as the class-J PA main stage. B. Class-J Output Impedance Analysis The class-J PA was invented by Cripps [30]. It is capable of delivering the same efficiency and linearity as with the class-AB PA abstaining from the need of band limiting transmission line harmonic short [31]. Instead, it employs a reactance harmonic termination technique to improve the efficiency. Fig. 2 depicts

(5) The negative sign indicates that the voltage and current are outof-phase to each other. From the conduction angle of , in (3) is 0. Hence,

(6) Fourier analysis is conducted on the output voltage component and , which are given as and in (7) and (8), shown at bottom of the following page. From these equations, it can be observed that has a positive imaginary component, whereas has a negative imaginary component. Thus,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JAGADHESWARAN et al.: 2- m InGaP/GaAs CLASS-J PA

3

the output impedance of the designed amplifier has to present a complex output impedance with a positive reactance component instead of the conventional resistive output impedance. The second condition imposes that the impedance presented to the second harmonic of the device has to be purely capacitive. C. Class-J Second Harmonic Impedance Analysis The presence of reactive components in (7) and (8) shifts the voltage waveform of the amplifier in the time domain, thus initiating the transition from deep class-AB to class-J operation. Adding a capacitive component to the second harmonic shifts the voltage waveform by 45 , as illustrated in Fig. 3(a). The current and voltage waveform in Fig. 3 is represented in (9) and (10), respectively, (9)

(10) where represents the phase shift of the voltage waveform. To present a class-J operation, the ratio between the second-order harmonic-voltage and fundamental-voltage waveforms, is set to . Thus, if , then . Consequently, the second-order harmonic impedance is calculated as (11)

D. Simulation Analysis The final stage class-AB amplifier’s optimum output resistance is 5 , which gives the optimum tradeoff for PAE

Fig. 3. (a) Modification of the voltage waveform. (b) Half-wave rectified current waveform.

(7)

(8)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Class-J output matching network topology.

Fig. 4. Gain and PAE plot across output power for

.

and maximum output power prior operating as a class-J amplifier. For class-J operation, the initial second-order harmonic reactive termination capacitive value is given by (12) Since , , and , at the highest operating frequency of 2 GHz, is computed to be 13.5 pF. By setting to 13.5 pF, the fundamental load impedance is optimized to deliver the highest backed off PAE with saturated output power of 32 dBm. The above calculated load impedance is used as a base line for a load–pull simulation to determine the optimum for class-J operation where is varied from the initial value of 5 . The amplifier’s quiescent collector current is set to 40 mA. From the load–pull result, for the optimum fundamental load impedance in terms of maximum output power and PAE, is observed to be . The resultant plot is illustrated in Fig. 4. It can be observed that the maximum output power is indeed 32 dBm from 1.7 GHz up to 2 GHz, with a PAE 50% at 28 dBm of output power. E. Output Matching Network Design Fig. 5 illustrates the proposed output matching network to . transform the 50- load impedance to the desired The matching network can be divided into the following two sections. • Network I, which represents the T-network comprises , , and , where the 50- load is transformed to an intermediate impedance of 25 . • Network II, which represents the -network, consists of . and , which transform 25 to are determined , , and In Network I, the values of through the following equations [32]:

Fig. 6. Impedance transformation plot.

where and . In this work, and . Therefore, and is set to 2.2. For Network II, the value of and obtained through the following equations derived as:

are

(16) (17) in which is the center frequency at where 1.85 GHz. The impedance transformation plot is shown in Fig. 6. The solid oval plot in the Smith chart represents the corresponding of the network, which is 3. The respective simulation results are illustrated in Fig. 7. The corresponding output impedance, which consists of the fundamental and second harmonics at the operating frequency from 1.7 to 2 GHz, is illustrated in Fig. 8. The voltage and current waveforms at the saturated output power and backed-off output power of 28 dBm are illustrated in Fig. 9(a) and (b) for 1.7 and 2 GHz, respectively. Referring to these waveforms, it is evident that the designed class-J main stage is able to operate over a wide range of frequencies. The peak voltage achieved is at an output power 28 dBm.

(13) III. LINEARIZATION OF CLASS-J PA—SINGLE CHIP SOLUTION (14) (15)

Fig. 10 illustrates the complete schematic of the PA, where an APD linearizer is integrated at the input of the class-J amplifier. To increase the overall power gain of the PA, a pre-driver amplifier is added at the APD’s input. Conventional APDs work only

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JAGADHESWARAN et al.: 2- m InGaP/GaAs CLASS-J PA

5

Fig. 7. Simulated output power and PAE of the final stage class-J amplifier with integrated output matching network.

Fig. 8. Class-J output impedance and second-order harmonic plot across output power from 1.7 to 2 GHz. Second-order harmonic termination is purely reactive across the frequencies.

in narrowband operation [33], and in order to extend the operating LTE bandwidth, here the APD is divided in two sub-circuits, which are the phase and the amplitude pre-distorters. In the HBT, spectral regrowth is mainly originated by its base–collector parasitic capacitance, [34]. To mitigate this effect, a novel phase cancellation method is proposed by integrating a base collector diode at the input of the driver amplifier. The reverse bias capacitance – and forward bias capacitance – are expressed as follows [35]: –

(18)



(19)

is the collector–base capacitance when , where is the collector–base junction built-in voltage, and is the grading coefficient of the collector–base junction. In order to generate an opposite output phase response, the collector–base junction is forward biased as represented by (19).

Fig. 9. Class-J voltage and current waveform at (a) 1.7 and (b) 2 GHz.

and

of 28 dBm for:

Based on (18) and (19), the positive and negative phase insight in effect to cancels off the – with single forward biased base–collector diode integration, – . However, with the aid of two base–collector diodes – – , an opposite phase response (AM–PM) is observed at the output of the APD. The simulated AM–PM responses at the output of the APD and class-J main amplifier are illustrated in Fig. 11. It can be observed that the driver’s phase expansion and main amplifier’s phase compression cancel out each other, thus contributing to the improvement of the third-order intermodulation distortion (IMD3) performance. Generation of an opposite AM–PM response is achieved via the T section intermediate matching network that consists of , , , and . The Smith plot of Fig. 12 illustrates the location of the driver’s output impedance denoted at point . This impedance is potentially matched to , , or . Point describes the input impedance of the main amplifier. Point is the output impedance of the APD where else is the conjugate of . Based on the profile plot of Fig. 13, matching towards point observes a favorable gain compression, which compensates the gain expansion of the main amplifier. Matching towards point observes a gain expansion that begins from

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 10. Schematic of the designed PA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

denotes the output impedance of the APD and

is the output impedance of the class-J amplifier.

Fig. 11. Simulated AM–PM responses of the APD and main amplifier across operating band.

Fig. 12. Location of the impedance point of driver at 1.7 GHz. and APD

the lower output power, which would not result into a desirable IMD3 cancellation. Point observes a flat profile until the 1-dB compression point, which results into a similar effect as with point matching. Fig. 14 illustrates the IMD3 and PAE load–pull contours before and after linearization. is the output impedance of the main amplifier. These contours are plotted at an output power of 28 dBm. With the optimum biasing of 40 mA, is located close to the optimum IMD3 point at 2 GHz. Nevertheless, it is still located almost 8 dB away from the optimum IMD3 point at 1.7 GHz, as described in Fig. 14(a) and (b), respectively. The effect of AM–AM and AM–PM cancellation between the APD and main amplifier in the PA is illustrated in Fig. 14(c) and (d). The IMD3 optimum impedance moves to the location for 1.7 and 2 GHz, while the PAE degrades slightly due to the current consumption of the APD.

Fig. 13. Gain compression at various matching point.

, main amplifier

,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JAGADHESWARAN et al.: 2- m InGaP/GaAs CLASS-J PA

7

Fig. 14. IMD3 and PAE contour of the PA at: (a) 1.7 GHz prior linearization, (b) 2.1 GHz prior linearization, (c) 1.7 GHz after linearization, and (d) 2.1 GHz after linearization. The PAE contour is plotted in 1% step, whereas the IMD3 contour is plotted in a 2-dB step.

Fig. 17. PA has K-factor

1 from dc up to 5 GHz.

Fig. 15. Die microphotograph of the fabricated PA, where the die size is less than 1 mm .

Fig. 18. Power gain plot across output power.

IV. EXPERIMENTAL RESULTS

Fig. 16. Simulated and measured S-parameters of the PA with supply headroom of 3.3 V.

Fig. 15 illustrates the chip photograph of the PA fabricated in a 2- m InGaP/GaAs HBT process, measuring 950 m 900 m. The class-J PA is integrated into a single chip solution, along with the driver and pre-driver amplifiers. The simulated and measured S-parameter of the proposed PA is shown in Fig. 16. and are well matched from 1.7 to 2.1 GHz with a corresponding power gain, dB, across the 300-MHz bandwidth. The PA maintains unconditionally stability for a gain 35 dB, as shown in Fig. 17 where the -factor 1 from dc to 5 GHz. Fig. 18 illustrates the power gain plot across the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 19. ACLR and PAE performance of PA from 1.7 to 2.05 GHz.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 22. Constellation diagram illustrating the OFDM measurement of the proposed LTE PA. TABLE I PERFORMANCE SUMMARY OF THE IMPLEMENTED PA

Fig. 20. ACLR and spectral mask at output power of 28 dBm.

at an output power of 28 dBm, with a maximum corresponding ACLR reading out to be 30 dBc, satisfying the requirement for the ACLR as stated in 3GPP specifications (3GPP TS 36.101), release 10.5 (2012). Fig. 20 illustrates the ACLR spectrum at an output power of 28 dBm. The PA meets the regulated spectral mask and an error vector magnitude (EVM) 4% is achieved across the operating bands, as depicted in Fig. 21. The corresponding constellation diagram is given in Fig. 22. Finally, Tables I and II summarize the proposed PA’s measured performances and performance benchmark with other recently reported designs, respectively. Fig. 21. EVM plot of the PA. The input signal is LTE 20-MHz 16-QAM.

output power for the three frequencies, which covers the LTE bands 1 to 4, 10, 33 to 37, and 39, in which it can be observed that the maximum output power of the PA is 32 dBm across the entire frequency range. For LTE operation, the designed PA is characterized with a 16-QAM modulated signal, which has a 20-MHz channel bandwidth. The PAPR of the signal is 7.88 dB (at 0.001%), and the resulting ACLR and PAE plots are shown in Fig. 19. With a supply voltage of 3.3 V, the PA is capable of delivering a PAE of 40% from 1.7 to 2.05 GHz

V. CONCLUSIONS A novel wideband high-efficiency LTE PA has been presented. The stringent linearity specifications are met via insightful analysis and the use of a novel APD linearizer. The class-J PA core provides a wideband efficiency from 1.7 to 2.05 GHz at a low backed-off output power. At an output power of 28 dBm, the PA delivers a high PAE of 56%, while complying with the ACLR and EVM specifications for a 20-MHz channel bandwidth. The small die area 1 mm also benefits the cost of production. The result highlights the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. JAGADHESWARAN et al.: 2- m InGaP/GaAs CLASS-J PA

9

TABLE II PERFORMANCE COMPARISON OF THE PUBLISHED LTE PAs

potential applications of the proposed PA in handset transmitter systems, where it is capable of delivering a high linear output power at low supply voltage, when compared with the reported works in Table II, thus prolonging the battery’s life. REFERENCES [1] W. C. E. Neo et al., “Adaptive multi-band multi-mode power amplifier using integrated varactor-based tunable matching networks,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2166–2176, Sep. 2006. [2] D. Kim, D. Kang, J. Choi, J. Kim, Y. Cho, and B. Kim, “Optimization for envelope shaped operation of envelope tracking power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1787–1795, Jul. 2011. [3] J. Moon, J. Son, J. Lee, and B. Kim, “A multimode/multiband envelope tracking transmitter with broadband saturated amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3463–3473, Dec. 2011. [4] Y. Cho, D. Kang, J. Kim, D. Kim, B. Park, and B. Kim, “A dual powermode multi-band power amplifier with envelope tracking for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1608–1619, Apr. 2013. [5] G. Hau, S. Caron, J. Turpel, and B. MacDonald, “A 20 mA quiescent current 40% PAE WCDMA HBT power amplifier module with reduced current consumption under backoff power operation,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2005, pp. 243–246. [6] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [7] F. H. Raab, “Efficiency of Doherty RF power amplifier systems,” IEEE Trans. Broadcast., vol. BC-33, no. 3, pp. 77–83, Sep. 1987. [8] M. Iwamoto, A. Williams, P. F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [9] D. Kang, J. Choi, D. Kim, and B. Kim, “Design of Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2134–2142, Aug. 2010. [10] M. W. Lee, S. H. Kam, Y. S. Lee, and Y. H. Jeong, “A highly efficient three stage Doherty power amplifier with flat gain for WCDMA applications,” J. Electromagn. Waves Appl., vol. 24, pp. 2537–2545, 2010. [11] K. Smith, K. W. Eccleston, P. T. Gough, and S. I. Mann, “The effect of soft turn-on on a Doherty amplifier,” Microw. Opt. Technol. Lett., vol. 50, no. 7, pp. 1861–1864, Jul. 2008. [12] D. Kang, D. Kim, Y. Cho, B. Park, J. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3474–3483, Dec. 2011. [13] F. Wang, D. F. Kimball, D. Y. C. Lie, P. M. Asbeck, and L. E. Larson, “A monolithic high-efficiency 2.4-GHz 20-dBm SiGe BiCMOS envelope-tracking OFDM power amplifier,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2666–2676, Dec. 2007. [14] J. Choi, D. Kim, D. Kang, and B. Kim, “A new power management IC architecture for envelope tracking power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1796–1802, Jul. 2011. [15] M. Hassan, L. E. Larson, V. W. Leung, D. F. Kimball, and P. M. Asbeck, “A wideband CMOS/GaAs HBT envelope tracking power amplifier for 4G LTE mobile terminal applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1321–1330, May 2012. [16] J. Kim et al., “Analysis of envelope-tracking power amplifier using mathematical modeling,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1352–1362, Jun. 2014.

[17] R. Wu, Y. Liu, J. Lopez, C. Schect, Y. Li, and D. Y. C. Lie, “High efficiency silicon-based envelope tracking power amplifier design with envelope shaping for broadband wireless applications,” IEEE J. SolidState Circuits, vol. 48, no. 9, pp. 2030–2040, Sep. 2013. [18] Y. Li, J. Lopez, P.-H. Wu, W. Hu, R. Wu, and D. Y. C. Lie, “A SiGe envelope tracking power amplifier with an integrated CMOS envelope modulator for mobile WiMAX/3GPP LTE transmitters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2525–2536, Oct. 2011. [19] J. Kim et al., “Envelope tracking two stage power amplifier with dual mode supply modulator for LTE applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 543–552, Jan. 2013. [20] M. Hassan, P. M. Asbeck, and L. E. Larson, “A CMOS dual-switching power supply modulator with 8% efficiency improvement for 20 MHz LTE envelope tracking RF power amplifiers,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2013, pp. 366–367. [21] U. Eswaran, H. Ramiah, and J. Kanesan, “Power amplifier design methodologies for next generation wireless communications,” IETE Tech. Rev., vol. 31, no. 3, pp. 241–248, May 2014. [22] V. Yousefzadeh, E. Alarcon, and D. Maksimovic, “Three level buck converter for envelope tracking application,” IEEE Trans. Power Electron., vol. 21, no. 3, pp. 549–552, Mar. 2006. [23] D. Kang, D. Kim, J. Choi, J. Kim, Y. Cho, and B. Kim, “A multimode/multiband power amplifier with a boosted supply modulator,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 9, pp. 2598–2608, Sep. 2010. [24] X. Fu, D. T. Bespalko, and S. Boumiza, “Novel dual-band matching network for effective design of dual-band amplifiers,” IEEE Trans. Circuits Syst., vol. 61, no. 1, pp. 293–301, Jan. 2014. [25] S. Rezaei, L. Belostotski, F. M. Ghannouchi, and P. Aflaki, “Integrated design of a class-J power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1639–1648, Apr. 2013. [26] C. M. Andersson et al., “Theory and design of class-J power amplifiers with dynamic load modulation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3778–3786, Dec. 2012. [27] K. Mimis, K. A. Morris, S. Bensmida, and J. P. McGeehan, “Multichannel and wideband power amplifier design methodology for 4G communication systems based on hybrid class-J operation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2562–2570, Jul. 2012. [28] J. H. Kim, S. J. Lee, B. H. Park, S. H. Jang, J. H. Jung, and C. S. Park, “Analysis of high efficiency power amplifier using second harmonic manipulation: Inverse class-F/J amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 2024–2036, Aug. 2011. [29] N. Carvalho and J. C. Pedro, “Compact formulas to relate ACPR and NPR to two tone IMR and IP3,” Microw. J., pp. 70–75, 1990. [30] S. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA, USA: Artech House, 2006. [31] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency class-J in linear and broadband power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [32] I. Bahl, Lumped Elements for RF and Microwave Circuits. Norwood, MA, USA: Artech House, 2003. [33] A. Grebennikov, RF and Microwave Power Amplifier Design. New York, NY, USA: McGraw-Hill, 2005. [34] W. Kim, S. Kang, K. Lee, M. Chung, J. Kang, and B. Kim, “Analysis of nonlinear behavior of power HBTs,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 7, pp. 1714–1722, Jul. 2002.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[35] P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits. New York, NY, USA: Wiley, 2005. [36] S. Jin et al., “CMOS saturated power amplifier with dynamic auxiliary circuits for optimized envelope tracking,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3425–3435, Dec. 2014. [37] M. L. Lee, C. Y. Liou, W. T. Tsai, C. Y. Lou, H. L. Hsu, and S. G. Mou, “Fully monolithic BiCMOS reconfigurable power amplifier for multi-mode and multi-band applications,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 614–624, Feb. 2015.

(2010–2011, 2014–present); associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS (2010–2013), and guest editor of the IEEE RFIC VIRTUAL JOURNAL (2014). He was the recipient of the IEEE DAC/ISSCC Student Paper Award (2005), the IEEE CASS Outstanding Young Author Award (2010), the National Scientific and Technological Progress Award (2011), and Best Associate Editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS (2012–2013). In 2005, he was bestowed the Honorary Title of Value for scientific merits by the Macau Government.

U. R. Jagadheswaran (M’14) was born in Penang, Malaysia. He received the B.Eng degree (Hons) from the University Sains Malaysia, Penang, Malaysia, in 2004, the M.Eng degree in telecommunications from Malaysia Multimedia University, Penang, Malaysia, in 2011, and the Ph.D. degree in analog integrated circuit (IC) design from the University of Malaya, Kuala Lumpur, Malaysia, in 2015. He is currently a Design Engineer with Silterra Sdn. Bhd, Kulim Kedah Darul Aman, Malaysia, where he is involved with RF integrated circuit (RFIC) design. He has authored or coauthored more than ten papers in the field of RFIC design. He hold two patents. Dr. Jagadheswaran was the recipient of the IEEE Circuit and System Outstanding Doctoral Dissertation Award in 2015.

Rui P. Martins (M’88–SM’99–F’08) was born on April 30, 1957. He received the Bachelor (five-year degree), Masters, and Ph.D. degree as well as the Habilitation for Full-Professor in electrical engineering and computers from the Department of Electrical and Computer Engineering, Instituto Superior Técnico (IST), Technical University of Lisbon (TU of Lisbon), Lisbon, Portugal, in 1980, 1985, 1992, and 2001, respectively. Since October 1980, he has been with the Department of Electrical and Computer Engineering (DECE)/IST, TU of Lisbon. Since 1992, he has been on leave from IST, TU of Lisbon (since 2013, the University of Lisbon), and is also with the Faculty of Science and Technology (FST), Department of Electrical and Computer Engineering, University of Macau (UM), Macao, China, where since August 2013 he has been a Chair-Professor . With FST, from 1994 to 1997, he was the Dean of the faculty, and since 1997, he has been Vice-Rector of the University of Macau. Since September 2008, after the reform of the UM Charter, he was nominated after open international recruitment, and reappointed (in 2013), as Vice-Rector (Research) until August 2018. Within the scope of his teaching and research activities he has taught 21 bachelor and master courses and has supervised (or co-supervised) 38 theses, Ph.D. degrees (17) and Masters degrees (21). He was a co-founder of Chipidea Microelectronics, Macao, China (now Synopsys) in 2001/2002. In 2003, he created the Analog and Mixed-Signal VLSI Research Laboratory, UM, which was elevated in January 2011 to the State Key Laboratory of China (the first in engineering in Macao, China), being its Founding Director. He has coauthored six books and four book chapters (refereed). He has authored or coauthored 306 papers in scientific journals (72) and in conference proceedings (234), as well as 47 additional academic works, totalling 378 publications. He holds 15 patents: US (13) and Taiwan (2). Prof. Martins was the founding chairman of the IEEE Macau Section (2003–2005), and of the IEEE Macau Joint-Chapter on Circuits And Systems (CAS)/Communications (COM) (2005–2008) [2009 World Chapter of the Year of the IEEE Circuits And Systems Society (CASS)]. He was the general chair of the 2008 IEEE Asia–Pacific Conference on CAS (APCCAS’2008), and the vice-president for Region 10 (Asia, Australia, the Pacific) of the IEEE Circuits and Systems (CAS) Society (2009–2011). Since then, he has been the vice-president (World) regional activities and has held a membership within the IEEE CAS Society (2012–2013) and associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS–II: EXPRESS BRIEFS (2010–2013), of which he was nominated as Best Associate Editor of the TRANSACTIONS (2012–2013). He was a member of the IEEE CASS Fellow Evaluation Committee (2013 and 2014) and the CAS Society representative in the Nominating Committee, for the election in 2014, of the Division I (CASS/EDS/SSCS)—director of the IEEE. He is currently the general chair of the Asia South Pacific Design Automation Conference (ASP-DAC’2016). He was the recipient of two government decorations: the Medal of Professional Merit from the Macao Government (Portuguese Administration) (1999) and the Honorary Title of Value from the Macao SAR Government (Chinese Administration) (2001). In July 2010 was unanimously elected as corresponding member of the Portuguese Academy of Sciences (in Lisbon, Portugal), being the only Portuguese Academician living in Asia.

Harikrishnan Ramiah (A’10–M’11) was born in Kuala Lumpur, Malaysia. He received the B.Eng (Hons), M.Sc., and Ph.D. degrees in electrical and electronic engineering (in the field of analog and digital integrated circuit (IC) design) from the Universiti Sains Malaysia, Penang, Malaysia, in 2000, 2003, and 2008, respectively. He is currently a Senior Lecturer with Department of Electrical Engineering, University of Malaysia, Kuala Lumpur, Malaysia, where he is involved in the area of analog and RF integrated circuit (RFIC) design. He has authored or coauthored various technical publications. His main research interest includes analog IC design, RFIC design, and very large scale integrated (VLSI) systems. Dr. Ramiah is a Chartered Engineer of the Institute of Electrical Technology (IET). He is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the Intel Fellowship Grant Award (2000–2008). Pui-In Mak (S’00–M’08–SM’11) received the Ph.D. degree from the University of Macau (UM), Macao SAR, China, in 2006. He is currently an Associate Professor with the UM, and Associate Director (Research) of the State Key Laboratory of Analog and Mixed-Signal VLSI, UM. His research interests are analog and RF circuits and systems for wireless, biomedical, and physical chemistry applications. Prof. Mak has served the IEEE in numerous capacities, including: Distinguished Lecturer (2014–2015) and Member of Board-of-Governors (2009–2011) of the IEEE Circuits and Systems Society (CASS); Editorial Board member of IEEE Press (2014–2016); senior editor of the IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS (2014–2015); associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS–I: REGULAR PAPERS

210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

A W-Band Injection-Locked Frequency Doubler Based on Top-Injected Coupled Resonator Haikun Jia, Student Member, IEEE, Lixue Kuang, Member, IEEE, Zhihua Wang, Senior Member, IEEE, and Baoyong Chi, Member, IEEE

Abstract—A W-band injection-locked frequency doubler in 65-nm CMOS is proposed. By using the coupled resonator, a 69.2–94.6-GHz locking range has been achieved with a 3.44.1-dBm injected power. The second-order harmonic current is injected from the top of the resonator, which avoids the source degeneration issue. The measured phase-noise deterioration from that of the injected signal at 100-kHz offset is only 6.2 dB, which is close to the theoretical calculation. The doubler occupies a die area of 0.16 mm , including the buffers, and draws 9.7- 11.4-mA current from a 1.0-V power supply excluding the buffers. Index Terms—Coupled resonator, frequency doubler, injectionlocked, W-band.

I. INTRODUCTION

W

-BAND applications in passive imaging systems or frequency-modulated continuous-wave radar systems have drawn a lot of attention. A phase-locked loop (PLL) frequency synthesizer [1], [2] with fundamental frequency outputs can be used to provide the required local oscillating (LO) signals for W-band systems. However, it is challenging for a W-band fundamental voltage-controlled oscillator (VCO) to achieve both good phase noise and low power consumption due to high loss varactors and on-chip passive devices. The frequency tuning range of the W-band VCOs is also a problem. Wide frequency tuning range requires larger size varactors, which would degrade the quality factor of the resonator in return. Alternatively, a PLL with lower frequency output cascaded with a frequency multiplier [3]–[5] could be adopted to achieve good phase noise and power consumption compromise. The theoretical phase-noise degradation of a frequency multiplier with a multiplication factor of is . Many types of millimeter-wave frequency multipliers have been developed to generate the required high-frequency signal [6]–[17], in which the injection-locked frequency multipliers [11]–[17] have drawn a lot of interest due to their superior

Manuscript received February 15, 2015; revised July 14, 2015 and September 24, 2015; accepted October 31, 2015. Date of publication November 19, 2015; date of current version January 01, 2016. This work was supported in part by the National Natural Science Foundation of China under Grant 61222405 and Grant 61331003. The authors are with the Institute of Microelectronics, Tsinghua University, Beijing 100084, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2498600

Fig. 1. Schematic of the millimeter-wave frequency tripler proposed in: (a) [4] and (b) [5].

conversion gain performance and frequency stabilization. A 60-GHz injection-locked frequency tripler was reported in [11], and Fig. 1(a) shows its schematic. It can be seen that the input signal was injected through the transistors in series with the cross-coupled pair. The injection transistors cannot be optimally biased since their dc currents are coupled with that of the cross-coupled pair. In [11], the injection transistors are biased at a conduction angle of about 250 instead of the theoretically optimized conduction angle of about 100 to maximize the third harmonic in order to satisfy the oscillation startup condition. It results in a limited frequency locking range, and the introduced source degeneration by the injected transistors further worsens the performance. In [12], a transformer-based injection-locked frequency tripler was developed. As shown in Fig. 1(b), the dc paths of the cross-coupled pair and the injection transistor are separated by the transformer at the source nodes of the cross-coupled pair, thus the injection transistor can be biased to maximize the third harmonic. The transformer also lowers the impedance seen by the source nodes of the cross-coupled pair. In [13], a coupled resonator is used to further extend the frequency locking range by utilizing the “phase plateau” feature. However, the signal is also injected in series so the source degeneration and dc current coupling problems still exist. In this work, a W-band injection-locked frequency doubler based on top-injected coupled resonator is proposed. The input signal is injected from the top of the coupled resonator. The

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

JIA et al.: W-BAND INJECTION-LOCKED FREQUENCY DOUBLER BASED ON TOP-INJECTED COUPLED RESONATOR

211

Fig. 3. Simplified equivalent half circuit of the frequency doubler.

enough negative resistance to compensate the loss of the resonator. Since the second-order harmonic current is injected from the top of the resonator, the source degeneration issue in [11]–[13] is avoided. Therefore, the cross-coupled pair can provide enough negative resistance with less power consumption. The output buffer and the dummy buffer are added to isolate the off-chip load from the resonator. Varactors are also added to the resonator to increase the locking range. A. Theoretical Analysis of the Coupled Resonator

Fig. 2. Schematic of the proposed frequency doubler.

source degeneration issue is eliminated, and the injected transistors can be biased independently. Furthermore, the relationship between the injection strength and the coupling coefficient is theoretically analyzed and the tradeoff between the injection strength and the phase-shifting characteristics of the resonator is discussed in detail. The proposed frequency doubler has been implemented in 65-nm CMOS and achieves a measured wide frequency locking range of 31.0%. II. CIRCUIT DESCRIPTIONS AND ANALYSIS The schematic of the proposed frequency doubler is shown in Fig. 2. The input single-ended fundamental signal is converted into differential by an on-chip balun. The second-order harmonic current is generated from the push–push pair , and then injected into the top of the coupled resonator. The push–push pair is necessary to generate the required second-order harmonic current and isolate the 50- impedance of the input signal source. The injected second-order harmonic current is converted into differential by the low coupling coefficient ( ) transformer. The transistors provide

Fig. 3 shows the simplified equivalent half circuit of the doubler, where , , , and are the parasitic capacitance and equivalent resistance at two sides of the coupled resonator, respectively. The electrical characteristics of the coupled resonator are described using -parameters. For simplification, it is assumed that , , and . The output voltage can then be expressed as a function of and , (1) where the expressions of and are shown in (2) and (3) at the bottom of this page, in which (4) (5) is also the free oscillating frequency of the freNote that quency doubler. If we assume in (2), the image part of would be zero. Equation (1) can be written in another way, (6) The equivalent model of the proposed frequency doubler can then be drawn as in Fig. 4(a) according to this equation. is the large-signal trans-conductance of the cross-coupled

(2)

(3)

212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

we then have (11) From (2) and (3), the injection enhancement factor is calculated as

(12) By substituting (11) and (12) into (7), the condition for the frequency doubler to be locked is (13)

The frequency locking range

is then given by (14)

Fig. 4. (a) Equivalent model and (b) phasor diagram of the frequency doubler. is the second-order harmonic transconductance of the push–push pair.

pair . The injection current generated from the push–push pair is multiplied by , and then combined with the current generated from the cross-coupled pair . The amplitude of can be defined as the injection enhancement factor. The phase of is irrelevant here since the phase of the injected signal is arbitrary. Similar to the analysis in [18], when the frequency doubler is injection locked, the angle between the total current and the oscillating current must equal the phase of at the injection frequency , which is assumed to lock the frequency doubler. According to the phasor diagram in Fig. 4(b), (7) is required for the frequency doubler to be locked, (7) relates to the characteristics of the resonator. For the The conventional RLC resonator, we have (8) , as shown in [18]. The case for the where proposed coupled resonator is much more complicated. Assume and ignore the high-order terms, the phase of can be derived as at the injection frequency (9) The detailed derivation is given out in the Appendix. If we define the effective quality factor as (10)

Equations (6), (10), (12), and (14) give out some helpful hints for the design of the frequency doubler. Firstly, (14) shows that at the given injection current and central frequency, the frequency locking range is mainly determined by two parameters: injection enhancement factor , shown in (12), and , shown in (10). Large and small are desired for wide frequency locking range. Secondly, (6) shows that the output voltage magnitude is mainly determined by . A large is desired for high output voltage magnitude. Since is usually much larger than , the term does not contribute the output power significantly. However, the above three parameters are tightly coupled through and , and cannot be optimized independently. The designer should take all these parameters into consideration when choosing the proper and . The detailed design procedure to determine and will be introduced in Section II-B. B. Design Procedure of the Frequency Doubler To provide an intuitive understanding of the coupled resonator and a better guideline on how to increase the frequency locking range, the calculated phase and amplitude of with different when and are plotted in Fig. 5, in which the amplitude is normalized to and the frequency is normalized to . The calculated injection enhancement factor is plotted in Fig. 6. The phase of is firstly studied. From the phase curves on the left side of Fig. 5, several features can be observed. On one hand, when and is chosen properly, namely, when , when and when , a “phase plateau” will exist at the zero-crossing point of the phase curve, which has been mentioned earlier in [13]. can be estimated by the gradient at the zero-crossing point. If we substitute these three groups of and into (10), we have when when when

and and and

JIA et al.: W-BAND INJECTION-LOCKED FREQUENCY DOUBLER BASED ON TOP-INJECTED COUPLED RESONATOR

Fig. 5. Calculated phase and amplitude of

213

side of Fig. 5 are normalized to . Since the parasitic capacitance of the cross-coupled pair and the injection transistors are split into two parts in the coupled resonator, a larger inductance can be used compared with the conventional resonator, which is desired for high output power. However, cannot be too small, otherwise the around would be too small to sustain the oscillation. Now the injection enhancement factor is studied. Fig. 6 shows the calculated with different when . As predicted by (12), it increases when increases. When , the injection current strength is enhanced in a frequency range of centered at . When , the injection enhancement factor at is 1.04. From the above discussions, it can be seen that the tradeoff exists between the locking range and the output power. In this design, is firstly chosen to be around 5, thus a wide frequency locking range can be achieved while the output power is not sacrificed too much. is then determined to be around 0.2 according to the “phase plateau” condition or . Finally, the injection strength is checked at the given and . To better illustrate the advantages of the coupled resonator, it is compared with the conventional RLC resonator with the same and oscillation frequency. To fairly compare the performance, it is assumed the total capacitance in the coupled resonator and the conventional RLC resonator is also the same. Thus, the inductance in the conventional RLC resonator equals to . The input impedance of the conventional RLC resonator is calculated as

with different .

(15)

Fig. 6. Calculated amplitude of

with different when

.

is greatly reduced compared with , which is consistent with the “phase plateau” observation from the phase curves on the left side of Fig. 5. On the other hand, for the same , a smaller will result in a flatter phase curve. The “phase plateau” and the flat phase curve are both favorable to a wider frequency locking range. The amplitude of is then observed, which is shown on the right side of Fig. 5. There are two peaks in the amplitude curves with a large , and they begin to merge when is reduced. When the “phase plateau” exists in the phase curve, the corresponding normalized amplitude at is around 0.5, and is larger than 0.5 over a wide frequency range. Especially, at when and . It should be kept in mind that the amplitude curves on the right

The calculated phase and amplitude from (2) and (15) are shown in Fig. 7. The and are 0.2 and 5 in the calculation, respectively. It can be seen that the frequency locking range of the coupled resonator is greatly enlarged. When the inductance difference is considered, of the coupled resonator is also larger than the input impedance of the conventional RLC resonator, which means the coupled resonator can enlarge the frequency locking range without sacrificing the output power too much. The tradeoff relationship between the frequency locking range and the amplitude of the resonator is relaxed by utilizing the coupled resonator. C. Circuit Implementation The 3-D view of the low- transformer is shown in Fig. 8. It is implemented using the 3.4- m-thick top layer and the 0.9- m -thick second top metal layer. The inner coil is single-ended (with another port connected to ) and connected to the push–push pair. The middle coil is differential and connected to the cross-coupled pair, and the outside floating ring coil is used as a shield to reduce the . The width of all the coils is 4 m. The radii of the inner, middle, and outer coils are 24, 38, and 50 m, respectively. The low- transformer is simulated with a commercial 3-D electromagnetic simulator. The effective inductance (half coil), quality factor of the transformer and the effective are extracted from the simulated results and

214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 9. Simulated phase and amplitude of

Fig. 7. Comparison between of coupled resonator with and of conventional RLC resonator.

and

Fig. 8. 3-D view of the low- transformer and the simulated inductance, and coupling coefficient of the transformer.

are shown in Fig. 8. The is extracted from the using the following equation:

,

-parameters

(16)

of the coupled resonator.

The values of and are mainly chosen based on the parasitic capacitance of the cross-coupled pair and the push–push pair and the target operation frequency range of the frequency doubler. Note that the simulated equivalent inductance of the primary coil is a little higher than that of the secondary coil at 80 GHz although its area is smaller. The reason is that the self-resonated frequency of the single-ended primary coil is lower than that of the secondary coil. It is assumed that the coupled resonator is balanced in previous theoretical analysis. However, it may not be exactly the case in the real implementation. The parasitic capacitance and resistance at two sides of the coupled resonator may not be exactly the same. The primary inductance is designed to be higher slightly in order to compensate the capacitance imbalance between two sides of the coupled resonator. The in Fig. 8 is the quality factor of the standalone transformer, which is higher than the assumed of the resonator. The of the resonator is not solely determined by the -factor of the transformer. The output resistance of the push–push pair and the cross-coupled pair and the parasitic resistance of the varactors also contribute to the loss of the resonator. The simulated loaded of the primary coil is 4.9, while that of the secondary coil varies from 6.7 to 7.0 when the tuning voltage of the varactors varies from 0 to 1.2 V. Fig. 9 shows the simulated phase and amplitude of the of the coupled resonator. In the simulation, transistors with their extracted parasitics and the S-parameters of the low- transformer extracted from electromagnetic simulation are used. It can be seen that the simulated input impedance of the coupled resonator is consistent with the theoretical analysis in Section II. A 2:1 transformer is used as the on-chip input balun. Fig. 10(a) shows the 3-D view of the transformer. The primary and secondary coils are routed using the 3.4- m-thick top metal layer, and the cross is routed using the combination of two underneath layers. The simulated insertion loss of the input balun is shown in Fig. 10(b). The minimal insertion loss of the input balun is 3.3 dB at 42 GHz. The output of the doubler

JIA et al.: W-BAND INJECTION-LOCKED FREQUENCY DOUBLER BASED ON TOP-INJECTED COUPLED RESONATOR

215

Fig. 12. Measurement setup for the proposed frequency doubler.

Fig. 10. (a) 3-D view and (b) simulated insertion loss of the input balun.

Fig. 13. Measured and simulated frequency tuning range of the frequency doubler when free-running.

Fig. 11. Chip microphotograph of the frequency doubler.

is single ended. Inductors instead of transformer are used for output matching. III. MEASURED RESULTS The proposed wideband injection-locked frequency doubler has been implemented in 65-nm CMOS, and its microphotograph is shown in Fig. 11. The chip area excluding the pads is 0.39 0.41 mm . The frequency doubler has been measured using on-chip probing station system with an external W-band harmonic mixer, a signal generator, a spectrum analyzer, and a power meter. Fig. 12 shows the measurement setup. The supply voltage is 1.0 V. The simulated and measured frequency tuning range when the frequency doubler is free running is shown in Fig. 13. The

measured frequency tuning range is from 80.5 to 87.8 GHz. The measured output spectrums of the frequency doubler when it is free running and injection locked to 86 GHz are shown in Fig. 14(a) and (b). The insertion loss of the external harmonic mixer and the cables are all not calibrated in this figure. The measured input sensitivity curves with different are shown in Fig. 15(a). During this measurement, the frequency tuning voltage is set to zero. It can be seen that the locking range increases when is increased from 0 to 0.55 V. for the widest locking range is higher than the threshold voltage of the push–push pair (about 0.4 V), which is the optimal value predicted by the conduction angle theory. It may be caused by the output resistance variation. When increases, the output resistance of the push–push pair would drop, thus lowering the of the resonator and resulting in an extended locking range. However, the dc current consumption of the frequency doubler core (without the signals injected) also increases from 6.2 to 9.0 mA when is increased from 0 to 0.55 V. To reduce the power consumption, should be minimized while the locking-range requirement is satisfied. In the following measurement, is set to be 0.45 V. The input sensitivity curves with the different frequency tuning voltage are also measured. As shown in Fig. 15(b), the frequency locking range is between 21.2 and 23.0 GHz for different with a maximal 3.4 4.1 dBm injected power. (The maximal injected power varies along with the frequency due to the set-up insertion loss variation over the frequency.)

216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 16. Measured phase noise of the injected signal and output signal when the doubler is injection locked to 86 GHz.

Fig. 14. Measured output spectrum: (a) when the doubler is free running. The measurement resolution bandwidth is 3 MHz and (b) when the doubler is injection locked to 86 GHz. The measurement resolution bandwidth is 91 kHz. The loss of the measurement setup has not been calibrated.

Fig. 15. Measured input sensitivity of the frequency doubler with: (a) different and (b) different .

Fig. 17. Simulated and measured output power of the doubler when injection locked to a 3.4- 4.1-dBm input power. The loss of the measurement setup is calibrated.

A continuous locking range from 69.2 to 94.6 GHz is achieved by tuning the varactors. The core dc current consumption with the highest injected power at different frequencies varies from 9.7 to 11.4 mA in which and consume 3.6–5.8 mA. The measured phase-noise performance of the output signal when the frequency doubler is locked to 86 GHz is shown in Fig. 16 together with the phase noise of the 43-GHz injected signal. The phase noise of the output signal and injected signal at 100-kHz offset are 96.3 and 102.5 dBc/Hz, respectively. The phase-noise degeneration is 6.2 dB, which is very close to 6.0-dB theoretical calculation. The output power of the frequency doubler in the locking range is measured using a W-band power sensor and a power meter. The insertion loss of the ground–signal–ground (G–S–G) probe and the W-band waveguide has been calibrated. Fig. 17 shows the calibrated output power in the locking range when the doubler is injection locked to a 3.4- 4.1-dBm input power. The output power below 75 GHz cannot be measured due to the limitation of the power sensor and the waveguide. It can be seen that the maximal output power is 5.96 dBm at 82 GHz. The output power of the doubler drops much faster than the simulated result when the output frequency is higher than 84 GHz. It may be caused by the underestimated parasitic capacitance of the output buffer in the simulation, which makes the operation frequency of the output buffer drop. The harmonic rejection ratio is not measured due to the limitation

JIA et al.: W-BAND INJECTION-LOCKED FREQUENCY DOUBLER BASED ON TOP-INJECTED COUPLED RESONATOR

TABLE I PERFORMANCE SUMMARY AND COMPARISON

217

deterioration from that of the injected signal at 100-kHz offset is only 6.2 dB, which is close to the theoretical calculation. APPENDIX ANGLE OF INPUT IMPEDANCE OF COUPLED RESONATOR The input impedance of the coupled resonator is shown in (2). First, we replace with . If we assume and ignore the high-order minim of Taylor’s expansion, then (17) Substituting (16) into (2),

can be simplified to (18)

where (19) (20) (21)

of the measurement equipment (only work for 75–110 GHz). The simulated harmonic rejection ratio of the doubler at the fundamental, third, and fourth harmonics are 78, 70, and 25 dBc, respectively. The performance of the injection-locked frequency doubler is summarized and compared with the recently published frequency multipliers in Table I. It could be seen from Table I that the output power of the proposed frequency doubler is much higher than [12] and [14], close to [15], and only 3 dB lower than [16], while the frequency-locking range in this work is much wider than others. Especially the frequency locking range, which in this work is 31.0%, while the frequency locking range in [16] is only 13.1%. The comparison with the-state-of-the-art shows that the presented frequency doubler achieves superior performance and the proposed technique to implement the wideband frequency doubler has its own advantages, especially considering that the output power could be improved by utilizing the better output buffer while the frequency locking range is only determined by the doubler core. IV. CONCLUSIONS In this paper, a W-band frequency doubler based on the coupled resonator has been presented. The phase shift of the coupled resonator is designed to be flat at its zero-crossed point. Thus, the frequency locking range is widened. The second-order harmonic current is injected from the top of the resonator to avoid the source degeneration issue. The frequency doubler has been implemented in 65-nm CMOS. The chip core area is 0.16 mm including buffers. Drawing 9.7- 11.4-mA current from a 1.0-V power supply, the doubler achieves a 69.2–94.6-GHz continuous locking range with a 3.44.1-dBm injected power. The measured phase-noise

(22) The angle at the frequency of

then satisfies (23)

Substitute (18)–(21) into (22) and again ignore the high-order terms, we then have

(24) Using Taylor’s expansion for the denominator, (9) can then be derived. REFERENCES [1] K. Tsai and S. Liu, “A 43.7 mW 96 GHz PLL in 65-nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 276–278. [2] T. Y. Chang, C. S. Wang, and C. K. Wang, “A low power W-band PLL with 17-mW in 65-nm CMOS technology,” in IEEE Asian Solid-State Circuits Conf. Tech. Dig., Nov. 2012, pp. 81–84. [3] C.-C. Wang, Z. Chen, and P. Heydari, “W-band silicon-based frequency synthesizers using injection-locked and harmonic triplers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1307–1320, May 2012. [4] C. Y. Wu, M. C. Chen, and Y. K. Lo, “A phase locked loop with injection locked frequency multiplier in 0.18 m CMOS for V band application,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1629–1636, Jul. 2009. [5] J. O. Plouchart, M. Ferriss, B. Sadhu, M. Sanduleanu, and S. Reynolds, “A 73.9–83.5 GHz synthesizer with 111 dBc/Hz phase noise at 10 MHz offset in a 130 nm SiGe BiCMOS technology,” in Proc. IEEE RFIC Symp., Jun. 2013, pp. 123–126. [6] B. Cetinoneri, Y. A. Atesal, A. Fung, and G. M. Rebeiz, “W-band amplifiers with 6-dB noise figure and millimeter-level 170–220-GHz doublers in 45-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 692–701, Mar. 2012.

218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

[7] O. Momeni and E. Afshari, “A broadband mm-wave and terahertz traveling-wave frequency multiplier on CMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 2966–2976, Aug. 2011. [8] Y. Wang, W. L. Goh, and Y. Z. Xiong, “A 9% power efficiency 121to-137 GHz phase-controlled push–push frequency quadrupler in 0.13 m SiGe BiCMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2012, pp. 262–264. [9] R. Han and E. Afshari, “A high-power broadband passive terahertz frequency doubler in CMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1150–1160, Mar. 2013. [10] H. C. Lin and G. M. Rebeiz, “A 135–160 GHz balanced frequency doubler in 45 nm CMOS with 3.5 dBm peak power,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2014, pp. 1–4. [11] M. C. Chen and C. Y. Wu, “Design and analysis of CMOS subharmonic injection-locked frequency triplers,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 8, pp. 1869–1878, Aug. 2008. [12] Z. Chen and P. Heydari, “An 85–95.2 GHz transformer-based injection-locked frequency tripler in 65-nm CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 776–779. [13] G. Mangraviti et al., “A 52–66 GHz subharmonically injection locked quadrature oscillator with 10 GHz locking range in 40 nm LP CMOS,” in Proc. IEEE RFIC Symp., Jun. 2012, pp. 309–312. [14] Y. Yeh and H. Chang, “A w-band wide locking range and low dc power injection-locked frequency tripler using transformer coupled technique,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 860–870, Feb. 2013. [15] A. Vishnipolsky and E. Socher., “F-band injection locked tripler based on Colpitts oscillator,” in IEEE 12th Top. Silicon Monolithic Integr. Circuits RF Syst. Meeting, Jan. 2012, pp. 13–16. [16] E. Monaco, M. Pozzoni, F. Svelto, and A. Mazzanti, “Injection-locked CMOS frequency doublers for -wave and mm-wave applications,” IEEE J. Solid-State Circuits, vol. 45, no. 8, pp. 1565–1573, Aug. 2010. [17] A. Mazzanti, E. Monaco, M. Pozzoni, and F. Svelto, “A 13.1% tuning range 115 GHz frequency generator based on an injection locked frequency doubler in 65-nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2010, pp. 422–423. [18] A. Mirzaei, M. E. Heidari, R. Bagheri, S. Chehrazi, and A. A. Abidi, “The quadrature LC oscillator: A complete portrait based on injection locking,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1916–1932, Sep. 2007.

Haikun Jia (S’13) received the B.S. and Ph.D. degrees in electronics engineering from Tsinghua University, Beijing, China, in 2009 and 2015, respectively. His research interests are in the field of millimeter-wave circuit and system design, including power amplifiers (PAs), voltage-controlled oscillators (VCOs), and frequency-modulation continuous-wave (FMCW) radar.

Lixue Kuang (GSM’13–M’14) received the B.S. and Ph.D. degrees in electronics engineering from Tsinghua University, Beijing, China, in 2009 and 2015, respectively. Her research interests include millimeter-wave circuit and system design, particularly on 60-GHz wireless transceivers.

Zhihua Wang (M’99–SM’04) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Tsinghua University, Beijing, China, in 1983, 1985, and 1990 respectively. From 1992 to 1994, he was a Visiting Scholar with Carnegie-Mellon University, Pittsburgh, PA, USA, and the Katholieke University, Leuven, Belgium. He is currently a Professor of electronic engineering and Deputy Director of the Institute of Microelectronics, Tsinghua University. In his more than 24 years of academia experience, he has authored or coauthored over 170 academic papers, 3 books, and accomplished over 15 research projects. He has filed more than 25 patents. His research interests include design methodology of integrated circuits and systems and lower power analog and RF integrated circuits (ICs) for medical and communication high-speed real-time signal processing. Dr. Wang has been a member of the China National Commission, URSI since 2000. He is the founder of the IEEE Solid-State Circuit Society Beijing Chapter and has served as the Chapter chairman since 2000. He has served as a Technologies Program Member of the International Solid State Circuit Conference (ISSCC) (2005–2008). He is also the deputy chairman of the Beijing Semiconductor Industries Association and the ASIC Society, Chinese Institute of Communication, as well as deputy secretary general of the Integrated Circuit Society, China Semiconductor Industries Association.

Baoyong Chi (M’08) received the B.S. degree in microelectronics from Peking University, Beijing, China, in 1998, and the Ph.D. degree from Tsinghua University, Beijing, China, in 2003 . From 2006 to 2007, he was a Visiting Assistant Professor with Stanford University. He is currently a Professor with the Institute of Microelectronics, Tsinghua University. In his 9 years of academic experience, he has authored or coauthored over 90 academic papers and 2 books. He has been issued more than 10 patents. His research interests include RF/millimeter-wave integrated circuit design, analog integrated circuit design, and monolithic wireless transceiver analog front-ends. Prof. Chi has been a Technical Program Committee (TPC) member of the A-SSCC since 2005.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

219

Linearity Enhanced Wide-Bandwidth Pulse-Modulated Polar Transmitters for LTE Femtocell Applications Jau-Horng Chen, Member, IEEE, Chun-Wei Chang, Student Member, IEEE, and Hao-Shun Yang, Member, IEEE

Abstract—The pulse-modulated polar transmitter (PMPT) uses envelope information to pulse modulate phase information to implement highly linear and highly efficient polar transmitters. Previous implementations of the PMPT successfully achieved bandwidths up to 5 MHz. However, as the bandwidth of the signal increases, nonidealities of the PMPT limit the performance of this architecture. The nonidealities are predominantly aliasing from pulsewidth modulation (PWM) and distortions from switching, which degrade the spectral performance. By using aliasing-free digital PWM and digital pre-distortion concurrently, spectral performance of the PMPT can be improved for wide bandwidth applications. For validation, a prototype transmitter was tested with a 20-MHz bandwidth downlink long-term evolution (LTE) signal at 836.5 MHz. Using the proposed method, the prototype transmitter achieved an output power of 19.8 dBm and drain efficiency of 24.4% while passing the spectral requirements of the LTE standard for femtocell base stations. Index Terms—Femtocell, power amplifiers (PAs), PA linearization, polar transmitters, radio transmitters, microwave amplifiers.

I. INTRODUCTION

T

HE POLAR transmitter based on Kahn’s envelope elimination and restoration (EER) technique [1] uses a highly efficient power supply circuit to dynamically modulate a powerefficient RF power amplifier (PA). Since both the power supply circuit and RF PA can operate efficiently as switches, theoretical efficiency of 100% can be achieved. Implementation of polar transmitters using this technique is limited by delay mismatch between the two paths described in [2] and the reduced efficiency of the power supply circuit as the bandwidth of the modulated signal increases [3]. A modification of the conventional polar transmitter was proposed in [4]. The basic block diagram of this modified Kahn EER transmitter is shown in Fig. 1. This architecture uses a highly efficient RF PA to transmit modulated information in RF pulses. Since the PA is either transmitting at full power or fully turned off, the PA is always operating

Manuscript received July 17, 2015; revised November 03, 2015; accepted November 23, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This work was supported in part by the Ministry of Science and Technology, Taiwan, under Grant MOST 103-2221-E-002-271 and Grant MOST 103-3113-E-002-005. The authors are with the Department of Engineering Science and Ocean Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504498

Fig. 1. Basic block diagram of the modified Kahn EER transmitter proposed in [4].

under high efficiency. Most implementations of this pulse-modulated polar transmitter (PMPT) utilize pulsewidth modulation (PWM) to sample the RF envelope information because of its simplicity [5]–[9]. For ease of implementation and easy synchronization of the two signal paths, digital PWM was used in [8] and [9]. This architecture has been demonstrated for various mobile communication standards such as EDGE, cdma2000, and W-CDMA with signal bandwidths up to 5 MHz. However, as the bandwidth and peak-to-average power ratio (PAPR) increase for newer standards such as long-term evolution (LTE), the PMPT architecture faces several challenges. In [10], distortions in high-frequency RF pulses were identified and an envelope correction technique was used to implement PMPT for mobile LTE. However, for base-station applications, the spectral requirements are a lot higher. Therefore, the nonidealities of PMPT must be further studied and compensated. It was identified in [11] and [12] that this polar transmitter architecture suffers from aliasing inherently and an aliasing-free digital pulsewidth modulation (AFDPWM) was proposed. However, the AFDPWM would make the PWM pulses no longer a two-state signal and would require high-speed and high-resolution digital-to-analog converters (DACs) to implement. Moreover, the variation in envelope of the AFDPWM signal would cause the PA to suffer from amplitude and phase distortions. In this paper, nonidealities of the PMPT as the bandwidth increases are identified and discussed. An approximate four-stage model is proposed to model the behavior of the PMPT. Using the model, theoretical analysis are performed and show that conventional memoryless digital pre-distortion (DPD) can be used to linearize the PMPT architecture. For validation, a PMPT for femtocell base station is constructed and using the proposed scheme, spectral performance in terms of adjacent channel leakage ratio (ACLR) is significantly improved.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

II. NONIDEAL CHARACTERISTICS OF PMPT A complex modulated signal polar form as

can be written in the

(1) is the envelope information normalized to a maxwhere imum of 1, is the phase information of the complex modulated signal, and is the carrier frequency. For PMPTs, is normally pulsewidth modulated as using digital pulsewidth modulation (DPWM). Since is between 0 and 1, the duty cycle of would be from 0% to 100%. After power amplification, the output signal can be written as

(2)

Fig. 2. Comparison of AFDPWM and conventional DPWM for an arbitrary pulse train with PWM sampling frequency of 100 MHz.

where is the nonlinear complex gain of the RF PA, which is a function of the amplitude of the signal . Ideally for DPWM, only has two levels of 0 and 1. As a result, the nonlinear complex gain can be considered as a constant complex gain of and the PMPT is immune to the nonlinearity of the RF PA. It has been proven in [9] that this architecture can pass the spectral requirements of various communication standards with bandwidths up to 5 MHz without the use of DPD. A. Aliasing As the bandwidth increases, the previous assumptions do not hold anymore. In [11] and [12], it was identified that this architecture suffers from aliasing inherently. The aliasing problem can be solved by increasing the PWM sampling frequency or by using the multi-phase technique proposed in [8] to increase the effective sampling frequency. However, both methods lead to increased cost and complexity. In [11], an AFDPWM technique was proposed and was able to solve the aliasing problem without the need for increasing the PWM sampling frequency. The AFDPWM proposed in [11] has the form of

Fig. 3. Comparison of the wideband PMPT output spectra for a 20-MHz LTE downlink signal with a 100-MHz PWM sampling frequency between AFDPWM and conventional DPWM.

(3) where is the PWM sampling frequency and is the number of PWM harmonics considered in the calculation. In [11], was recommended and is also used in this work. Fig. 2 compares an arbitrary RF envelope pulse train using AFDPWM and conventional DPWM both using the MHz. It can be seen from the simulation results that in (2) is no longer a two-level signal if AFDPWM is used. Therefore, the complex gain in (2) is no longer a constant and would potentially lead to distortion. Also, the generation of the AFDPWM signal would require the use of DACs and cannot be generated with a simple switch as in [4]–[9]. To compare the spectral performance of conventional DPWM and the AFDPWM proposed in [11], simulations using

Fig. 4. Comparison of the narrowband PMPT output spectra for a 20-MHz LTE downlink signal with a 100-MHz PWM sampling frequency between AFDPWM and conventional DPWM.

a 20-MHz LTE downlink signal with MHz were performed. The wideband and narrowband spectra of the amplified signal for both cases are compared in Figs. 3 and 4. The simulated ACLRs are 43.8 and 51.7 dBc for the conventional DPWM and AFDPWM, respectively. The ACLR is defined as the power ratio between the adjacent 18-MHz channel that is 20 MHz away from the carrier frequency and the 18-MHz transmitting channel. The simulations show that using AFDPWM significantly improves the ACLR perfor-

CHEN et al.: LINEARITY ENHANCED WIDE-BANDWIDTH PMPTs FOR LTE FEMTOCELL APPLICATIONS

221

Fig. 6. Basic block diagram of the approximate four-stage PMPT model.

Fig. 5. Measured AM–AM and AM–PM characteristics of the RF PA reported in [8] at a center frequency of 836.5 MHz.

mance over conventional DPWM. Moreover, even with an ideal PMPT using conventional DPWM would fail the ACLR specifications of 45 dBc for the LTE standard defined in [13] and [14]. However, the simulations are performed under the assumption of a linear RF PA. When distortions in the RF PA are considered, performance would be further degraded. B. Distortion

matching network and the surface acoustic wave (SAW) filter prior to the antenna. The basic block diagram of this approximate four-stage model is shown in Fig. 6. The nonideal rise and fall times of an RF switch cause to no longer be a simple two-level function. In previous implementations reported in [7]–[9], this nonideal characteristic is negligible. However, as the modulated bandwidth of the signal increases, the PWM sampling frequency must increase accordingly and the finite rise and fall times must be considered. One solution would be using DACs to directly generate the pulse-modulated RF signal as in [11], which used a 16-bit DAC clocked at 500 MHz. Another solution would be shortening the rise and fall times with novel integrated switch designs as in [15] and [16]. The output of the first stage would be in the form of

(4)

To achieve high efficiency, RF PAs are often operated in the nonlinear region, which causes both amplitude and phase distortions. The measured AM–AM and AM–PM characteristics of the RF PA designed in [8] at a center frequency of 836.5 MHz are shown in Fig. 5. The input amplitude is normalized to an input power level of 12 dBm, while the output amplitude is normalized to a maximum output power level of 27 dBm. The transistor is biased in the class-C region with a gate voltage of 0.24 V, while the threshold voltage is 0.28 V. It can be seen that the PA is highly nonlinear, which makes it able to achieve a maximum efficiency of over 70%. Under an ideal situation where the PMPT fully turns on or off the RF PA, the distortions in Fig. 5 would have no effect to the output signal. However, in an actual implementation where an RF switch is used to implement the RF pulses and an RF PA has finite bandwidth, the distortions must be considered. In [10], it was identified that the finite RF rise and fall time from the switch and RF PA create amplitude errors. An envelope correction technique that required very little computation was proposed and made the PMPT able to pass LTE specifications for mobile terminals. III. APPROXIMATE PMPT MODEL The PMPT can be modeled approximately as a four-stage system. The first stage is an RF switch, which has finite rise and fall times limited by the speed that the transistor can turn on or off. The second stage is an input bandpass filter (BPF), which is composed of the input matching network and internal parasitics of the RF PA. The third stage is a nonlinear gain stage or RF PA that exhibits the nonlinear characteristics shown in Fig. 5. The fourth stage is an output BPF, which is composed of the output

The second-stage BPF of the aforementioned model attenuates the harmonic components of the pulsewidth modulated RF signal. The filtered signal can be written as

(5) where is the impulse response of a BPF, which can also be rewritten in the polar form as

(6) where is the envelope information and is the phase information of the filtered pulse modulated signal. Simulations were carried out using pulse-modulated RF signals with MHz and 50% duty cycle filtered with various BPF bandwidths and are compared in Fig. 7. It can be seen that as the bandwidth decreases the resulting rise and fall times would increase accordingly. The third stage is a nonlinear RF gain stage or RF PA. This third stage only considers the nonlinearity in terms of amplitude and phase distortions, while the frequency response of the RF PA is considered in the second-stage BPF and fourth-stage BPF. Therefore, the nonlinear complex gain can be written as (7) is the input envelope dependent gain, is the where input envelope dependent phase shift, and is the normalized

222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

phase signal is far less than the bandwidth of the PWM envelope signal , the phase shift between and can be considered as a constant such that (12) which suggests can be considered as a constant. Therefore, the signal at the output of the third stage can be redefined as (13) After sufficient filtering in the fourth stage to suppress the PWM harmonics, the output signal can be approximated by averaging over the time duration of a PWM sampling period such that Fig. 7. Simulated normalized envelope signal after input BPF with various bandwidths using an AFDPWM signal with 50% duty cycle and 100-MHz PWM sampling frequency.

input envelope. As a result, the signal at the output of the third stage can be written as (14) A new nonlinear complex gain can then be defined as (8) The fourth stage is another BPF that consists of the output matching network and the SAW filter in front of the antenna. When the PWM harmonics are sufficiently suppressed, the final output signal can be written in the polar form as (9) where is the output envelope and is the output phase. From the measurement results in [10], the relationship between the output signal and the input signal is not linear. The relationship between the two must be found to determine whether linearization is possible, and furthermore to perform DPD. To determine the relationship between the input and output signals of the PMPT, consider the time duration of one PWM sampling period starting from time . Since the sampling frequency of the PWM is several times higher than the signal bandwidth, the envelope signal and the phase signal can both be considered as constants and . Therefore, (3) can be redefined as

(10) where (11) Similarly, in (6) and (8) can also be redefined as during a PWM sampling period. Since the bandwidth of the

(15) and are the counterparts of and where for the overall PMPT. Therefore, the output signal during the time duration of a PWM sampling period starting from can be written as

(16) where the output envelope (17) and the output phase (18) The form of (15) is exactly the same as (7) of a nonlinear PA, which suggests that the PMPT can be linearized using the same memoryless DPD algorithms as conventional PAs. However, DPD can only be performed if (17) is monotonic such that an inverse function of can be found [17]. Simulations are performed with various input BPF bandwidths using the nonlinear PA gain characteristics shown in Fig. 5 as in (7). The resulting AM–AM and AM–PM characteristics of the PMPT for various input BPF bandwidths are

CHEN et al.: LINEARITY ENHANCED WIDE-BANDWIDTH PMPTs FOR LTE FEMTOCELL APPLICATIONS

223

Fig. 10. Basic block diagram of the implemented PMPT system.

Fig. 8. Simulated AM–AM characteristics of the PMPT using the proposed approximate model under various input BPF bandwidths.

Fig. 11. Photograph of PMPT prototype.

Fig. 9. Simulated AM–PM characteristics of the PMPT using the proposed approximate model under various input BPF bandwidths.

compared in Figs. 8 and 9. As the filter bandwidth decreases, the PMPT would exhibit more distortion. However, as long as the normalized output envelope increases monotonically with respect to the duty cycle or input envelope, the PMPT can be linearized using conventional DPD algorithms. IV. IMPLEMENTATION OF PMPT FOR LTE APPLICATIONS Wideband PMPTs suffer from aliasing and nonlinear distortions that were negligible in previous narrowband implementations. In this paper, concurrent AFDPWM and DPD is proposed for implementation of a wideband PMPT for LTE applications. DPD is performed on each PWM sample of the envelope, which has a sampling frequency of 100 MHz in this work. The bandwidth of the AFDPWM is very high and performing DPD for such bandwidth is computational intensive and impractical. Moreover, only the low-frequency data is important to the overall spectral performance of the system and the high-frequency portion will be filtered by the output filter prior to the antenna. The basic block diagram of the implemented PMPT system is shown in Fig. 10. The RF PA used was

a balanced PA constructed with a pair of Avago ATF-511P8 enhancement-mode pseudomorphic HEMT designed in [8] and a Mini Circuits RPQ-820 90 hybrid coupler. The balanced PA achieved a saturated output power level of 29.5 dBm and an efficiency of 70% measured at the output of the 90 hybrid coupler with a supply voltage of 3.6 V. The 90 hybrid coupler made the PMPT system less sensitive to the load. The PMPT prototype is shown in Fig. 11. An arbitrary waveform generator (AWG) was used to generate the RF pulses used to drive the pair of PAs. Instead of using a power splitter at the input of the PMPT, the inputs were directly driven by the two-channel AWG through a pair of preamplifiers. The output signal was fed back to an oscilloscope to perform down conversion and DPD. The DPD used in this work is a memoryless DPD based on lookup tables (LUTs) with 100 LUT entries using the least mean square (LMS) algorithm for updating the LUT [18]. Calculations of the DPD were performed offline with a computer. Recent advances in power consumption of high-speed DAC technology would make this architecture highly feasible as opposed to using power-consuming memory DPD on femtocell PAs [19], [20]. V. MEASUREMENT RESULTS AND DISCUSSIONS Measurements were performed on the proposed PMPT using a 20-MHz LTE downlink signal at 836.5 MHz. The histogram of the LTE envelope signal is compared with that of the W-CDMA signal in Fig. 12. It can be seen that the W-CDMA signal has far less probability for very small duty cycle. This is the result of the usage of hybrid phase-shift keying (HPSK)

224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 12. Comparison of the envelope signal histogram of a W-CDMA voice signal and the LTE downlink signal used in this work.

Fig. 13. Measured spectrum of the PMPT using the proposed concurrent AFDPWM and DPD with a 20-MHz LTE downlink signal at 19.8-dBm output power level using a resolution bandwidth of 100 kHz.

TABLE I PERFORMANCE COMPARISON OF PMPT UNDER VARIOUS CONDITIONS

in W-CDMA, which avoids zero crossing. By reducing zero crossing, the AM–PM distortion shown in Fig. 9 would be less severe. Moreover, previous implementation for the W-CDMA signal in [9] used a lower PWM sampling frequency. A low PWM sampling frequency would be less susceptible to the bandpass filtering caused by the input matching of the RF PA. The measured ACLR of the PMPT under various conditions are summarized in Table I. It can be seen that the proposed method can significantly improve the ACLR performance compared to only using either AFDPWM or DPD. Using the proposed concurrent AFDPWM and DPD, the PMPT system achieved ACLR performance surpassing 45 dBc, which meets the requirement for femtocell base stations defined in [13] and [14]. The proposed technique improved the ACLR performance by 17.1 dB compared to a conventional PMPT, while achieving drain efficiency of 24.4%. The measured output spectrum using the proposed method is shown in Fig. 13. After DPD converges, the LUT should be identical to the inverse of the nonlinear AM–AM and AM–PM characteristics of the PMPT shown previously in Figs. 8 and 9. Fig. 14 compares the AM–AM and AM–PM characteristics of the PMPT derived by inversing the converged LUT with simulation results shown in Figs. 8 and 9. It can be seen that the derived AM–AM and AM–PM characteristics from measurement data appear to have the same trend as the simulated characteristics for 300-MHz bandwidth shown in Figs. 8 and 9. The results suggest that the assumptions made for the proposed approximate PMPT model are likely valid and the distortions in the PMPT system are likely caused by

Fig. 14. Derived PMPT AM–AM and AM–PM characteristics using the converged DPD LUT compared with the simulated characteristics for 300-MHz bandwidth shown in Figs. 8 and 9.

the finite input bandwidth of the input matching network along with the distortion of the RF PA itself. VI. CONCLUSION The nonidealities of PMPTs in wideband applications such as LTE have been discussed in this paper. Unlike nonwideband applications, both aliasing and nonlinear distortions from RF PAs contribute in degrading the spectral performance of the PMPT. In this paper, an approximate model of the PMPT was proposed. Using the model, theoretical analysis was performed, which showed that the distortion characteristics of the PMPT is similar to a memoryless PA. The analysis results suggests that conventional memoryless DPD can be performed on the PMPT. Based on those assumptions, the use of concurrent AFDPWM and LUT-based DPD is proposed. Using a 20-MHz LTE downlink signal with both methods used concurrently, measurements showed the ACLR improved by 17.1 dB, while meeting the spectral requirements of LTE femtocell base stations. This results proved that memoryless DPD is compatible with wide bandwidth PMPT, which is important for low-output power applications like LTE femtocells. With output power specified at 20 dBm, memoryless DPD can be implemented with power consumption only a small fraction of the output power. Furthermore, the inverse of the converged DPD LUT appeared to be

CHEN et al.: LINEARITY ENHANCED WIDE-BANDWIDTH PMPTs FOR LTE FEMTOCELL APPLICATIONS

very similar to the simulated PMPT distortion characteristics suggesting the validity of the proposed model. REFERENCES [1] L. R. Kahn, “Single-sideband transmission by envelope elimination and restoration,” Proc. IRE, vol. 40, no. 7, pp. 803–806, Jul. 1952. [2] D. Rudolph, “Out-of-band emissions of digital transmissions using Kahn EER technique,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 8, pp. 1979–1983, Aug. 2002. [3] F. Wang et al., “Wideband envelope elimination and restoration power amplifier with high efficiency wideband envelope amplifier for WLAN 802.11g applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 645–648. [4] Y. Wang, “An improved Kahn transmitter architecture based on delta–sigma modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 1327–1330. [5] M. Taromaru, N. Ando, T. Kodera, and K. Yano, “An EER transmitter architecture with burst-width envelope modulation based on trianglewave comparison PWM,” in Proc. IEEE Int. PIMRC Symp., Sep. 2007, pp. 1–5. [6] A. Dupuy, Y. Chung, E. Y. Wang, and T. Itoh, “Digital pulse-width modulated microwave signal using a high efficiency class-E amplifier,” in Proc. Asia–Pacific Microw. Conf., Dec. 2003, vol. 3, pp. 1809–1812. [7] J.-H. Chen, H.-S. Yang, and Y.-J. E. Chen, “A multi-level pulse modulated polar transmitter using digital pulse-width modulation,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 5, pp. 295–297, May 2010. [8] J.-H. Chen, H.-S. Yang, H.-C. Lin, and Y.-J. E. Chen, “A polar-transmitter architecture using multiphase pulsewidth modulation,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 2, pp. 244–252, Feb. 2011. [9] H.-S. Yang, J.-H. Chen, and Y.-J. E. Chen, “A polar transmitter using interleaving pulse modulation for multi-mode handsets,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 2, pp. 244–252, Feb. 2011. [10] K.-F. Liang, H.-S. Yang, C.-W. Chang, and J.-H. Chen, “A wideband pulse-modulated polar transmitter using envelope correction for LTE applications,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 8, pp. 2603–2608, Aug. 2015. [11] K. Hausmair, S. Chi, P. Singerl, and C. Vogel, “Aliasing-free digital pulse-width modulation for burst-mode RF transmitters,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 60, no. 2, pp. 415–427, Feb. 2013. [12] U. Gustavsson, T. Eriksson, and C. Fager, “A general method for passband quantization noise suppression in pulsed transmitter architectures,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, vol. 3, pp. 1529–1532. [13] FDD Home eNode B (HeNB) Radio Frequency (RF) Requirements Analysis, 3GPP TS 36.921, The 3rd Generation Partnership Project Tech. Specification Group, Valbonne, France, 2014. [14] TDD Home eNode B (HeNB) Radio Frequency (RF) Requirements Analysis, 3GPP TS 36.922, The 3rd Generation Partnership Project Tech. Specification Group, Valbonne, France, 2014. [15] J. J. Lee and C. S. Park, “60-GHz gigabits-per-second OOK modulator with high output power in 90-nm CMOS,” IEEE Trans. Circuit Syst. II, Exp. Briefs, vol. 58, no. 5, pp. 249–253, May 2011. [16] C.-C. Ling, H.-S. Yang, J.-H. Chen, and Y.-J. E. Chen, “A 1.9 GHz CMOS high isolation absorptive OOK modulator,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 3, pp. 190–192, Mar. 2015. [17] S. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Boston, MA, USA: Artech House, 2006.

225

[18] G. Norris et al., “Application of digital adaptive pre-distortion to mobile wireless devices,” in IEEE RFIC Symp. Dig., Jun. 2007, pp. 247–250. [19] J. Xiao et al., “A 13-Bit 9 GS/s RF DAC-based broadband transmitter in 28 nm CMOS,” in VLSI Circuits Symp. Dig., Jun. 2013, pp. 262–263. [20] E. Olieman, A.-J. Annema, and B. Nauta, “A 110 mW, 0.04 mm , 11 GS/s 9-bit interleaved DAC in 28 nm FDSOI with 50 dB SFDR across Nyquist,” in VLSI Circuits Symp. Dig., Jun. 2014, pp. 206–207. Jau-Horng Chen (M’09) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 2001, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in 2002 and 2006, respectively. From 2006 to 2008, he was a Design Engineer with Freescale Semiconductor, Tempe, AZ, USA, where he was involved in designing dc–dc converters and predistortion linearizers for cell phone power amplifiers (PAs). In 2008, he joined National Taiwan University, where he is currently an Associate Professor with the Department of Engineering Science and Ocean Engineering. His research interests include analog/RF integrated circuit (IC) design and high-efficiency PAs. He holds five U.S. patents.

Chun-Wei Chang (S’15) received the B.S. degree in electrical engineering from National Taipei University of Technology, Taipei, Taiwan, in 2007, the M.S. degree in electrical engineering from National Chung Cheng University, Chiayi, Taiwan, in 2009, the M.S. degree in engineering science and ocean engineering from National Taiwan University, Taipei, Taiwan, in 2011, and is currently working toward the Ph.D. degree at National Taiwan University. . From 2011 to 2014, he was a Design Engineer with Richwave Technology, Taipei, Taiwan, where he was involved in the design of RF components and CMOS FM receivers. In 2014, he joined the Department of Engineering Science and Ocean Engineering, National Taiwan University. His research interests include analog and RF integrated circuit (IC) design.

Hao-Shun Yang (S’09–M’15) received the Ph.D. degree in electronics engineering from National Taiwan University, Taipei, Taiwan, in 2014. In 2006, he was with Compal Communication, Taipei, Taiwan, as a Hardware Engineer, where he was involved in the design of cellular phones. Since 2014, he has been a Postdoctoral Researcher with the Department of Engineering Science and Ocean Engineering, National Taiwan University. His research interests include power amplifier and analog/RF integrated-circuit design. Dr. Yang was the recipient of Second Runner-Up and Best Originality of the 2002 Macronix (MXIC) Golden Silicon Awards. He was a recipient of the Outstanding and Excellent Design Awards of the Chip Implementation Center Workshop in 2002 and 2003, respectively.

226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

A Low Switching-Loss W-Band Radiometer Utilizing a Single-Pole-Double-Throw Distributed Amplifier in 0.13- m SiGe BiCMOS Xiaojun Bi (毕晓君) , M. Annamalai. Arasu, Yao Zhu, Member, IEEE, and Minkyu Je, Senior Member, IEEE

Abstract—This paper presents a low switching-loss Dicke radiometer for W-band passive imaging systems. The equivalent switching loss introduced by the passive single-pole-double-throw (SPDT) switch in the conventional radiometer is significantly reduced by the proposed single-pole-double-throw distributed amplifier (SPDT-DA), which leads to radical improvement on the receiver’s noise performance. The Dicke radiometer consisting of a SPDT-DA, a four-stage low noise amplifier (LNA) and a power detector is fully integrated in a 0.13- m SiGe BiCMOS chip. With the 0.93-dB equivalent switching loss at 91 GHz of the SPDT-DA, the total noise figure (NF) of 8.4 dB at 91 GHz is achieved by the SPDT-DA followed by the LNA. With a power consumption of 28.5 mW, the radiometer obtains an overall RF gain of 42 dB and a noise equivalent temperature difference (NETD) of 0.21 K with 30-ms integration time. The two-dimensional imaging experiment with object distance of 0.7 m is successfully carried out with the radiometer chip.

have made possible the fully integrated, compact and low-cost W-band radiometers with remarkable performances [6]–[9]. However, until now, it is still challenging to achieve the required NETD by the silicon-based radiometer in low contrast environments, e.g., the typically quoted threshold NETD needs to be as low as 0.5 K for W-band radiometers to obtain a good quality image indoor [10], [11]. Assuming that the pre-detection gain of the receiver is high enough to make the noise contributions of the power detector negligible, the NETD is therefore dominated by the system noise temperature of the receiver ( ), RF bandwidth (BW), integration time ( ) and gain uncertainty ( ) caused by the flicker noise of the detector [12] and can be quantitatively given by

Index Terms—BiCMOS, cascaded noise figure, single-poledouble-throw (SPDT) switch, sub-terahertz imaging, transmission line loss.

(1)

I. INTRODUCTION

I

N a millimeter-wave imaging system, sensitivity of the frontend is the key parameter which ultimately limits the image quality and acquisition time. Noise equivalent temperature difference (NETD) is a measure of the sensitivity of thermal radiation [1]–[3]. For imaging systems that require a large number of receivers [4], [5], the cost of the W-band radiometers fabricated in III-V technologies are extremely high. Meanwhile, silicon technologies with above 200 GHz Manuscript received April 16, 2015; revised August 11, 2015; accepted November 14, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This work was supported in part by A*STAR under Grant 1021290051, in part by the National Natural Science Foundation of China under Grant 61501196, and in part by the Fundamental Research Funds for the Central Universities of China under Grant 0118182091. X. Bi was with the Institute of Microelectronics, Agency for Science, Technology, and Research (A*STAR), Singapore, 117685. He is now with the School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074, China. (e-mail: [email protected]; [email protected]). M. A. Arasu and Y. Zhu are with the Institute of Microelectronics, Agency for Science, Technology and Research (A*STAR), Singapore, 117685 (e-mail: [email protected]; [email protected]). M. Je is with the Daegu Gyeongbuk Institute of Science and Technology, Daegu 711873, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504499

In the Dicke radiometer [2], [13], a single-pole-double-throw (SPDT) switch is inserted in between the antenna and the low noise amplifier (LNA) as demonstrated in Fig. 1(a). By periodically switching between the antenna and a reference load at a rate higher than the gain fluctuation frequency ( noise corner frequency), the gain uncertainty in (1) can be eliminated. Since the Dicke radiometer only receives the input noise for one half of the time, the NETD can be simplified [13] as (2) is the receiver noise temperature, which includes where the effect of the switching loss of the SPDT switch and noise figures of LNA blocks followed by the power detector. Equation (2) is based on the assumption that the inputs of the Dicke radiometer are balanced. As the minimum achievable noise figure of the LNA is dominated by the fabrication process, the most straight forward way to reduce is to reduce the switching loss. There are mainly two categories of passive millimeter-wave SPDT switches: one is using transmission lines; the other one deploys travelling-wave switching lines. The SPDT switch using transmission line is intrinsically narrow band and the parasitic capacitance introduced by the switch causes imperfect termination which further increases the switching loss. Current W-band passive switches based on transmission line or inductor are reported to obtain a minimum insertion loss of 4.0 dB in 65 nm CMOS [9], 2.0 dB in 120 nm SiGe BiCMOS

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

BI et al.: LOW SWITCHING-LOSS W-BAND RADIOMETER UTILIZING A SPDT-DA IN 0.13- m SIGE BICMOS

227

Fig. 1. Architectures of (a) the conventional Dicke radiometer and (b) the proposed Dicke radiometer.

[14], 1.3 dB in GaAs [15] and 2.8 dB/1.7 dB in 100-nm metamorphic HEMT [16]. On the other hand, the travelling wave switches have requirement on minimum number and length of the transmission line sections, in order to achieve the desired bandwidth. The travelling wave switch features an insertion loss of 3.3 dB from 50 to 94 GHz in [17]; however, the loss is still considerable in W-band and will degrade the system performance if they are integrated in the radiometer. As such, off-chip W-band switch is preferred to be used in the current Dicke radiometer [8]. Beside the efforts on minimizing passive loss of the SPDT switches, novel receiver architectures have also been proposed to address the switching loss issue in receivers. Active SPDT architectures deploying two separate LNAs before the passive switch [18], [19] or using two separate switchable amplifying units [20] were implemented to overcome the SPDT loss. However, the uncorrelated noise and unavoidable imbalance caused by process variation between the two branches may increase the calibration uncertainty thus render this method less attractive for the radiometer. A novel architecture embedding the Dicke switch in a balanced LNA was utilized in [6] and [21]. The imbalance existing in [18] and [19] can be eliminated and switching loss can be alleviated. However, this architecture needs at least three gain blocks which occupy larger chip area and consume a large amount of power. Moreover, the loss of the hybrid at the first stage may deteriorate the NF of the receiver to some extent. As demonstrated in Fig. 1(b), to achieve a low switching-loss and a low NETD of the Dicke radiometer, this work proposes a single-pole-double-throw distributed amplifier (SPDT-DA) to seamlessly embed the switching function into the low-noise amplifying block. By deploying this SPDT-DA as the first block in the receiver, the implemented W-band Dicke radiometer achieves a NF of 8.4 dB at 91 GHz and a switching loss of 0.93 dB at 91 GHz. The typical switching loss is from 0.31 to 1.63 dB in the frequency range from 85 to 105 GHz. By using this radiometer, a W-band imaging system prototype has been successfully developed and validated. This paper is organized as follows: in Part II, the analysis and design of the SPDT-DA are elaborated; in Part III, the design of the other blocks in the receiver including the LNA and detector are described; in Part IV, the chip characterization together with the imaging system testing results are presented; the conclusion is drawn in the final part.

Fig. 2. Schematic of the proposed single-pole-double-throw distributed amplifier (SPDT-DA).

II. SPDT-DA DESIGN A. Operation Principle of the Proposed SPDT-DA As illustrated in Fig. 2, in order to realize the switching function without introducing extra loss and redundancy, the distributed lines of the SPDT switch are reused as the input baseline network of the distributed amplifier. The balance is maintained between the calibration and signal branches as they share the same active amplifying units. Since the distributed amplifier can achieve a similar noise figure as that of the resonant LNA [22], the turned on branch is designed to be a distributed amplifier to achieve an acceptable noise figure in a wide bandwidth. The SPDT-DA consists of a two-stage distributed cascode amplifier connecting to two switchable transmission lines (TLs) by distributed-line sharing, as shown in Fig. 2. Through proper sizing of the heterojunction bipolar transistors (HBTs), and , the minimum achievable noise figure ( ) and gain per stage can be optimized. The inductors, together with the parasitic capacitances at collectors, introduce peakings at the frequency where the LC phase delay perfectly matches with the phase delay caused by corresponding TL sections connected to the base. For example, as shown in Fig. 2, the phase matching between and introduce a peaking at and the phase matching between and introduces a peaking at . Therefore, the inductances of and are optimized to control these peaking frequencies to synthesize the desired passband characteristics in W-band. The upper switch array, consisting of to , is controlled by while the bottom array is controlled by . By applying two out-of-phase and equal-amplitude pulses for and , either the reference resistor or the antenna is connected to the input of the SPDT-DA periodically. As a result, the modulation of the input signal is realized and noise can be eliminated. Since the targeted bandwidth covers W-band (slightly more than 20% bandwidth), LC type input and output matching networks

228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 4. Circuit model of base-switching-TL network at off-state.

Fig. 3. Detailed diagrams of (a) the proposed receiver and (b) conventional Dicke-radiometer (only the turned-on branch in the SPDT-DA is demonstrated).

are more adequate for impedance matching [23]. More specifically, the LC type matching networks are designed to target for minimum NF at Port 1 and Port 2, and maximum gain at Port 3 respectively. B. Design Considerations of the SPDT-DA Switching TLs The input transmission line network is the critical part in the SPDT-DA. As derived in Appendix I, by decomposing the proposed radiometer and the conventional radiometer into essential noisy and lossy blocks as shown in Fig. 3, the noise temperature of the proposed radiometer ( ) and conventional radiometer ( ) can be derived as (3) and (4). Note that, in (3), (4) and all the derived equations in Appendix I, gain and noise figure values are absolute values and insertion loss are positive as follows:

passive loss of the TL which is proportional to the physical length and also related to equivalent capacitance of TLs to the ground, the passive loss of the switching TL can be reduced by optimizing the TL lengths and . When designing the TLs, a constant phase velocity should also be maintained by satisfying the following equation [22]: (5) and are the per-unit-length inductance and capacwhere itance, is the input capacitance of the cascode stage and is the length of the base-switching-TL section. Besides the above TL loss, the signal amplitudes at Port 2 and Port 3 are susceptible to their loadings and can lead to different signal strength according to the port locations. This loading effect is normally ignored in the theoretical analysis in the previous distributed amplifier literatures in order to simply the analysis, and the conventional gain expression of the distributed amplifier based on lumped circuit model considering capacitive load is derived as (6)

(3) (4) where is the minimum achievable NF of the cascode stage (assuming that the SPDT-DA and the LNA use the same cascode stage); and denote the losses of the input matching networks; is the loss of the base-switching-TL network; is the loss of the passive SPDT switch. As and are comparable, the gap between and mainly lies in the difference between the passive loss of the input switching TLs ( ) in Fig. 3(a) and the loss of the passive SPDT switches ( ) in Fig. 3(b). This reveals that achieving a low loss input switching TL network of the SPDT-DA is critical for obtaining a low noise temperature of the system. Two main loss mechanisms in this network, including TL loss and insertion loss between ports have been analyzed as following, together with the design considerations. The equivalent circuit of the base-switching-TL network is shown in Fig. 4, which has ignored the turned-off switching branch. The baseswitching TL network consists of four TL sections, including two sections and two sections. denotes the equivalent capacitance of the switches at the off-state, is the equivalent capacitance looking into the cascode stage. As the

is the trans-conductance of the gain stage, and where are the impedance of the base and collector TL, respectively, and is the number of the gain stages. In practice, resistive elements always present at the input of the cascode stage. Due to the different loading impedance between the ports, the power flowing into Port 2 and Port 3 are not equal. If the power delivered from Port 1 to Port 2, Port 3 and Port 4 are denoted as P2, P3, and P4, respectively, P2 undertakes the passive loss caused by one ; while P3 undertakes the passive loss caused by one and two . To fully quantify the loss experienced by P2, P3, and P4, even- and odd-mode analysis of the base-switching-TL network are conducted. The equivalent circuits of the base-switching-TL network under even-mode excitation and an odd-mode excitation are illustrated in Fig. 5(a) and (b). Since the TL is a linear network, the actual response can be obtained from the sum of the responses to the even- and odd-mode excitations. As elaborated in the Appendix II, the -parameter of the four-port base-switching-TL network with 50 loads can be represented as (7)

BI et al.: LOW SWITCHING-LOSS W-BAND RADIOMETER UTILIZING A SPDT-DA IN 0.13- m SIGE BICMOS

229

Fig. 7. Calculated loss (MATLAB) at the four ports at 94 GHz when the load impedance of Port 2 and Port 3 varies. TABLE I DIMENSIONS OF THE MAIN COMPONENTS IN THE SPDT-DA

Fig. 5. Even- and odd- mode analysis of the base-switching-TL network.

Fig. 6. Calculated -parameters (in MATLAB) and simulated -parameters of the four-port base-switching-TL network at 94 GHz.

Since the characteristic impedance of Port 2 and Port 3 are not always uniformly 50 , the four-port -parameter based on varied impedance is generalized as

(8) where

is a diagonal matrix which can be represented as, and is the loading impedance. Fig. 6 shows the calculated and simulated -parameters of the base-switching-TL network. It should be noted that, in Fig. 5(a), the and are loads for and , respectively, and they are not equal under either the even- or odd- mode excitation. Therefore, to maintain a constant , the widths of and are different according to different loading capacitors. As illustrated in Fig. 6, the minimum and maximum at 94 GHz occur simultaneously when the electrical length of approaches 45 degrees. In this case, intuitively, majority of the input power flowing from Port 1 is “driven” into Port 2 and therefore the input power undertakes less passive loss (only

experiencing ). In the meantime, the power dissipated at the load of Port 4 can be minimized. The total passive loss, , can be minimized to be less than 0.71 dB if is around 50 degrees. As demonstrated in Fig. 7, in order to reduce the reflection loss at Port 1 ( ) and thermal dissipation loss at Port 4 ( ), the real part of the optimum load impedance is around 33 . Based on the above analysis, a cascode stage consists of two HBTs both with an emitter size of 4 0.13 m 0.84 m are utilized. The supply voltage for the SPDT-DA is 1.5 V and the base voltage of is around 0.89 V, which leads to mA collector current of each cascode stage. The three inductor values are all around 290 nH, while the one in the middle is optimized to be slightly larger to control the peaking frequency as aforementioned. The inductor has the physical width of 4 m and the diameter is around 65 m. The achieved -factor has a peak value of 12.3 at around 100 GHz. Through the layout verification in ANSYS HFSS, the optimum electrical length is 40 degrees and the corresponding total passive loss, , is around 0.83 dB. Meanwhile, due to the limited -factor of the on-chip inductor at W-band, smaller gain fluctuation is resulted which helps cover the 3 dB bandwidth of 20 GHz. Table I list the finalized dimensions of the main components used in the SPDT-DA. III. RECEIVER DESIGN A. LNA Design In order to overcome the noise from the detector and thus reduce the NETD in the total power radiometer, a large gain and bandwidth is preferable for the LNA [11]. Meanwhile, a bandpass filter is required to prevent the saturation of the radiometer by signals from the interfering bands [2], [24]. High gain [25]–[30] and low noise [31] obtained by a considerable number of silicon-based millimeter-wave amplifiers have been reported recently. As shown in Fig. 8, a -enhanced cascode

230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 8. LNA schematic.

stage is employed in the LNA design to achieve gain and selectivity improvement simultaneously [30]. The input matching network uses the L-type LC matching networks, aiming to minimize the NF. The inter-stage matching networks are implemented using simple shunt-series matching networks. The HBTs ( and ) in the four cascode stages are both constructed by two parallel 0.12 m 0.84 m 4 transistors. Each cascode stage can obtain the minimum and maximum stable gain (MSG) at a biasing current density of 1.19 mA m and 1.79 mA m, respectively. In this receiver, the SPDT-DA can provide around 8-dB gain and the receiver’s NF is dominated by the NF of the SPDT-DA. Therefore, the gain requirement of the LNA is alleviated. As a result, lower biasing current density compared to [30] is supplied for each stage to boost the LNA’s dynamic range and stability. An optimum base biasing voltage of 0.86 V is deployed, resulting in a current density of 0.89 mA m for each cascode stage. Moreover, customized passive shielding structures, cascode shielding structures and dc biasing tubes [32] are used to reduce the parasitic coupling effects and increase the LNA’s stability. After being optimized in ANSYS HFSS, the finalized component values and dimensions of the LNA are listed in Fig. 8. B. Detector Design The detector design targets for a low-noise equivalent power (NEP), which can be interpreted as achieving low output noise voltage and high responsivity concurrently. Since the noise can be eliminated by the switching performed by the SPDT-DA, the of the HBT can be lower which corresponds to a low biasing current. Moreover, a low biasing current facilitates the use of a large load resistor, which increases the detector’s responsivity. Therefore, the detector is biased in class B. As demonstrated in Fig. 9, the detector consists of a common emitter pair, which is similar as the detector topology proposed in [6], [33]. The HBTs ( and ) in the differential pair are both constructed by one 2 0.12 m 0.84 m transistor. L-type LC input matching networks are used to match the input impedance to 50 at 94 GHz. senses the amplified W-band signal at the input, while provides a reference dc voltage at the output for subtraction purpose. By subtracting the output voltage, the dc voltage at the output contributed by the second order component in Taylor series can be extracted. The load resistance is chosen to be around 3 and the collector biasing current is 142 A (when base bias voltage is around 0.8 mV and collector

Fig. 9. Detector schematic.

voltage is 1.5 V). After optimization in ANSYS HFSS, the finalized component values and dimensions of the detector are listed in Fig. 9. C. Receiver Design The proposed receiver consists of a SPDT-DA followed by a LNA and a differential detector. To make a fair comparison with the prior arts of the W-band radiometers, simulations are performed for both the proposed SPDT-DA followed by a common LNA and the conventional passive SPDT switches cascaded with the same LNA. The conventional circuit topology utilizing TLs and its design values are indicated in Fig. 10(a). The passive switch used for comparison mainly consists of a transmission line and a pair of HBT switches. The simulation setup and the technology used are the same for the above two simulations. The simulated minimum loss of the passive SPDT (with ANSYS HFSS verification) at W-band is above 2 dB. The NF and gain of the conventional radiometer and the proposed radiometer are both shown in Fig. 10(b). As shown in Fig. 10(b), the proposed receiver demonstrates significant improvement in NF comparing to the one using passive SPDT. The simulated NF difference between the two receivers is around 1.9 dB at 80 GHz and 1.1 dB at 94 GHz. Note that the advantage on NF of the proposed receiver reduces when the frequency goes up to 100 GHz and beyond. This is due to that the LC matching network in the SPDT-DA has a limited bandwidth and it is centered at 90 GHz to cover W-band. The matching network also can be tailed for the other band with similar bandwidth. IV. EXPERIMENTAL RESULTS OF THE RADIOMETER A. SPDT-DA The SPDT-DA is implemented in IHP 0.13- m SiGe BiCMOS technology, featuring a of 240 GHz and a of 290 GHz. The top 3- m-thick metal layer (TM2) is employed for signal lines and the 0.4 m thick bottom metal layer (M1) is used for the ground plane. The measured transmission line loss is 1.2 dB/mm at 94 GHz. All capacitors used are MIM capacitors with a capacitance density of 1.5 f F m . resistors with a sheet resistance of 250 and precision of 10% are used for the loading resistors. The chip area of the SPDT-DA is around 1.2 1.16 mm and the micrograph of the SPDT-DA are demonstrated in Fig. 11. The VNA system used for measurements below 110 GHz is Anritsu ME7808B which mainly consists of an Anritsu 65 GHz

BI et al.: LOW SWITCHING-LOSS W-BAND RADIOMETER UTILIZING A SPDT-DA IN 0.13- m SIGE BICMOS

231

Fig. 10. (a) Schematic of the conventional receiver using a passive SPDT. (b) Simulated performance comparison between the receivers using a passive SPDT and this receiver.

Fig. 12. (a) On-state and off-state S31, (b) reflection coefficients, and (c) noise figure of the standalone SPDT-DA.

Fig. 11. Microphotograph of the SPDT-DA.

VNA and an OML mmWave module. The input power at the power tips of the VNA system is around 31 dBM (verified by VDI Erickson Instruments PM4 power meter). The simulated and measured -parameters of the SPDT-DA are shown in Fig. 12(a). Fig. 12(a) shows the gain and isolation from the input port to the output port for the two different states. When the RF input branch is turned on, the input signal is amplified for 8.3 dB @ 90 GHz while the reference signal is suppressed for more than 10 dB in the whole band. Conversely,

when the switch control signal is in the opposite phase, the reference input is amplified, while the input signal is suppressed. The above off-state forward isolation is typically higher than the isolation of the switched low-noise amplifiers presented in [6], which could be because that the proposed topology does not rely on two balanced amplifying path to cancel each other at the off-state. The input and output reflection coefficients are shown in Fig. 12(b), fairly good matching can be observed from 80 to 100 GHz. Thanks to the deployed cascode stage and passive shielding structure [30], the measured reverse isolation is above 27 dB. For measurement of the NF, the -factor technique [34] is used for noise characterization. The measuring frequency range is extended to 110 GHz by a 67-GHz spectrum analyzer (R&S FSU) through an external down converter. A commercial isolator and preamplifier are connected in between the

232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 13. LNA Microphotograph. Fig. 15. Responsivity versus current density of the detector.

Fig. 16. Measured and simulated reflection coefficient of the standalone detector.

-factor technique (ENR of the noise source is around 12 dB) is used for the noise characterization and gain measurement. As shown in Fig. 14, the implemented amplifier has obtained an in-band gain of 35 to 40 dB, NF of no more than 7.5 dB from 79 to 97 GHz and power consumption of 14.3 mW. With the similar linearity measurement setup as the SPDT-DA, the measured OP1dB of this LNA is around 0.5 dBM and the corresponding IP1dB is around 36.7 dBM at 83 GHz. The core chip area is around 0.68 1.12 mm . Fig. 14. (a) -parameters of the standard alone LNA and (b) noise figure of the standard alone LNA.

chip and the down converter to protect the noise source and minimize the measurement uncertainty (The uncertainty of the same measuring method is below 0.2 dB at relatively lower frequency measurements, with a total DUT gain above 30 dB [34]). As plotted in Fig. 12(c), the NF of the SPDT-DA achieves a minimum 7.6 dB at around 88 GHz. The NF is 7.6 dB to 8.9 dB from 80 to 100 GHz. Millimeter-wave signal generator (R&S SMF 100A), multiplier (RPG AFM6-110), W-band attenuators (RPG WTA-110) and millimeter-wave power meter (Erickson Instrument PM4) are used to characterize the linearity performance. The measured IP1dB is 6.4 dBM which is enough for the radiometer application. B. LNA The LNA chip micrograph is demonstrated in Fig. 13. In this LNA, a biasing current of 3 mA is supplied for each stage. The

C. Detector The detector occupies an area of 0.58 0.83 mm . The measured responsivity versus current density of the detector operating at 90 GHz is as demonstrated in Fig. 15. More than 20 kV/W responsivity is obtained by this detector using a biasing current density around 200 A m. At this biasing current density, the peaking responsivity of the standalone detector is around 27.2 kV/W and its minimum NEP is around 2.5 pW Hz at 91 GHz. The NEP is calculated based on the measured output noise without any input and measured responsivity. The standalone detector features a high- input shifting from 95.3 matching with the center frequency of to 91.6 GHz as shown in Fig. 16. From 89.2 to 94.1 GHz, the input reflection coefficient is below 15 dB. The input matching bandwidth is limited by the high- of the L-C input matching. There generally exists tradeoff between the peak responsivity and bandwidth. As shown in [35], by deploying an on-chip balun in the input matching network for the differential detector design, the bandwidth can be improved.

BI et al.: LOW SWITCHING-LOSS W-BAND RADIOMETER UTILIZING A SPDT-DA IN 0.13- m SIGE BICMOS

233

Fig. 17. Microphotograph of the W-band receiver..

D. Receiver Performance The W-band receiver has integrated the SPDT-DA, the LNA and the differential detector. The chip micrograph of the whole receiver is illustrated in Fig. 17. Characterization for individual block is performed by cutting connections in between individual adjacent blocks using focused ion beam (FIB). 46 m wide metal-stacks ( and ), from top metal down to in the substrate, are deployed to isolate SPDT-DA, LNA and the detector. GSG pads are buried in the metal-stacks which can also facilitate the characterization for the individual blocks. The layout of the matching network has been adjusted to compensate around 35 fF capacitance introduced by the testing GSG pads. The whole chip dimension is 1.2 2.85 mm . The NF and gain of the proposed receiver are measured using the aforementioned same setup based on -factor method. The performances of the SPDT-DA and LNA finally result in a typical system NF from 7.1 to 9.5 dB in band (except that NF is around 10 dB from 87 to 89 GHz, the above results are summarized from three different chips) as shown in Fig. 18. The NF of the receiver is around 8.4 dB @ 91 GHz. The measured gain is above 40 dB in W-band and the observed gain discrepancy between simulation and measurement is several dB around the center frequency of 80–100 GHz, and one of the gain peaking is around 5 GHz (5%) higher than the desired frequency. This can be mainly attributed to the band broadening of SPDT-DA and LNA. Considering the biasing PAD (PGPPGP) connection for the combination of SPDT-DA and LNA are much longer than the standalone blocks as shown in Fig. 17, the resistivity of passive connections connected to the was purposely set larger in HFSS simulation to maintain a larger than desired gain and BW. As the exact voltage potential at the collector is higher than the simulated value, the base-collector junction capacitance of the common-base HBT is lower than simulated, which results in gain peaking shifting to higher frequency. An Agilent 35670A Dynamic Signal Analyzer is used for the output noise measurement. To obtain high resolution, 1600 resolution lines are selected in the measurement. The average number is set to be 20. The supported highest frequency is 51.3 kHz. 1.5-V dry cell batteries and low dropout regulators (LDOs) are used to provide the required power supply voltage as well as the base bias voltages in each block. The 50-Hz spurs typically introduced by the dc voltage source is therefore eliminated and the average output noise voltage of the receiver is measured to be around 0.45 V Hz for above 100 KHz as shown in Fig. 19.

Fig. 18. Noise figure and gain of the SPDT-DA + LNA.

Fig. 19. Output noise of the receiver.

As depicted in Fig. 20, a signal generator, a multiplier, a 40-dB tunable W-band attenuator, a 19 dB coupler, a millimeter-wave power meter and a spectrum analyzer are used to characterize the responsivity of the receiver. The input signal could be adjusted down to 70 dBM using this setup. The average responsivity is calculated according to the following equation [36], [9] : (9) The NETD after considering a factor of 2 penalty caused by the switching has been accounted. The equivalent switching loss of each receiver is defined as following: (10) Therefore, for a conventional Dicke radiometer using a passive SPDT, the is the insertion loss of the passive SPDT. In this radiometer, the and are measured to be 8.40 and 7.47 dB at 91 GHz, respectively, with a 3 dB bandwidth from 92 to 102 GHz. According to (10), the switching loss peaks at 87 and 99 GHz with a value of 2.53 and 2.12 dB, 0.93 dB at 91 GHz and from 0.31 to 1.63 dB at other frequencies from 85 to 105 GHz. The switching loss of this SPDT-DA and the other passive W-band SPDT switches are summarized in Table II. Thanks to the minimized switching loss, the receiver features significant enhancement in radiometer sensitivity. Fig. 21 shows the measured responsivity and NEP. The average responsivity is around 166.1 MV/W. Simulation results are in reasonable agreement with measurements, with a peaking frequency approximately 5 GHz (5.3%) lower than in

234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 20. Testing setup of the responsivity measurement. TABLE II INSERTION-LOSS COMPARISON OF W-BAND SPDTS

Fig. 21. (a) Responsivity and (b) NEP versus frequency with input power of 61.5 dBM. (c) Responsivity and NEP versus input power @ 90 GHz. The biasing current per LNA stage is 3 mA.

measurements. As the combination of the SPDT-DA and LNA has a wide bandwidth ( GHz), the frequency response shape of the responsivity and NEP are influenced by of the detector which is based on a high- matching. The unmodeled capacitive parasitic effect introduced by the input matching network and testing pad of the detector shifts the valley frequency of the detector’s as well as the peaking frequency of the responsivity and NEP. In the meantime, there is several dB difference between the simulated and measured peaking responsivities and NEP. This could be caused by the unmodeled resistive effects of the passive connection at detector’s base in HFSS simulation. The resulted responsivity’s BW is around 8 GHz according to the definition in [12]. The average NEP

across the whole band is 2.7 f W Hz and the lowest NEP is around 1.9 f W Hz at 90 GHz. The NETD is 0.21 K based on average NEP and 30-ms integration time, assuming that the Dicke radiometer is balanced. Meanwhile, the RF gain of this receiver is around 42 dB with 28.5-mW power consumption, which is comparable to W-band imagers in GaAs or InP. The performance of this W-band radiometer is summarized and compared with the other state-of-the-art silicon-based Dicke radiometers in Table III. The NETD performance of the proposed W-band Dicke radiometer in 0.13 m SiGe BiCMOS is among the best of current silicon-based Dicke radiometers to date. E. Imaging Testing Experiment An imaging system prototype is built up based on the fabricated chip as depicted in Fig. 22(a). WR-10 horn antennas (with 20-dB gain) are used to transmit and receive W-band signals. The object is placed in between the transmitting and receiving antenna (70-cm distances between the two antennas). The movement of the objects is realized by a two-dimensional mechanical scanning system. The object is formed by two characters made by aluminum (with a total size of 40 75 mm ) mounted on foam sheets. The moving step is 0.25 mm in both and axis which corresponds to a scanning resolution of 300 160 pixels. Due to the limited illumination setup, W-band source is used to illuminate the objects. The output voltage can vary from 0.019 to 1.2 V with different input W-band source power level. A laptop with a program is used to synchronize

BI et al.: LOW SWITCHING-LOSS W-BAND RADIOMETER UTILIZING A SPDT-DA IN 0.13- m SIGE BICMOS

235

TABLE III PERFORMANCE COMPARISON OF W-BAND DICKE RADIOMETERS ON SILICON

Fig. 22. (a) Setup of the imaging experiment. (b) Photograph of the imaging test and the obtained images (on the right-hand side).

the step moving and the data collection from the analog-to-digital converter. Based on the imager prototype in Fig. 22(b), two high definition images are successfully acquired as shown in the same graph. V. CONCLUSION A single-pole-double-throw distributed amplifier (SPDT-DA) has been proposed to improve the NF of the Dicke-radiometer. The design methodology and techniques of the SPDT-DA have been elaborated in detail. The implemented radiometer in 0.13 m BiCMOS has realized an equivalent

switching loss of around 0.93 dB and NF of 8.4 dB at 91 GHz. The proposed radiometer has achieved an NETD of only 0.21 K with an integration time of 30 ms. Meanwhile, the total power consumption of the radiometer is 28.5 mW. The proposed concept could be widely adopted by systems where integrating the front-end switch is a necessity. APPENDIX I As has been shown in Fig. 1(b), the proposed radiometer consists of an SPDT-DA, a high gain LNA and a power detector.

236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

The SPDT-DA realizes the input signal modulation, which eliminates the noise caused by the detector and overcomes the noise contribution from the LNA. The high gain ( 35 dB) provided by the LNA can overcome the noise contribution from the detector. Therefore, the NF of the receiver is dominated by the NF of the SPDT-DA. By decomposing the proposed radiometer and the conventional radiometer into essential noisy and lossy blocks as shown in Fig. 3, the noise temperature of the proposed radiometer ( ) and conventional radiometer ( ) can be derived as

(15) (16)

(17) At odd mode, we have

(18) (19)

(11)

(20)

(12)

is the minimum achievable NF of the cascode where stage (assuming that the SPDT-DA and the LNA use the same cascode stage); and denote the loss of the input matching network and output matching network, respectively; and are the losses of the input distributed base line and output distributed collector line, respectively; stands for the loss of the passive SPDT switch; and are the gain of each cascode stage and the LNA, respectively; is the room noise temperature; is the noise temperature of the detector. With above 35 dB, above 8 dB, and , , , , and below 1 dB, the third term of can be neglected, and

(21)

(22)

(23)

(24) Similarly, the reflected voltage waves at the two ports can be derived as

Hence, (13) In the meantime, the second term of glected due to the large . The as

also can be necan be approximated

(25)

(14) (26) APPENDIX II Assume sented as sented as

,

of the open stub can be repre. of the open stub can be repre. At even mode, we have

ACKNOWLEDGMENT The authors would like to thank M. W. Phoon, J. Fong, S. C. Low, F. Wong, S.M. Hu, L. Liao with the Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore and Y. Shang with Nanyang

BI et al.: LOW SWITCHING-LOSS W-BAND RADIOMETER UTILIZING A SPDT-DA IN 0.13- m SIGE BICMOS

Technological University, Singapore for CAD, chip characterization and imaging testing. REFERENCES [1] F. T. Ulaby, R. K. Moore, and A. K. Fung, Microwave Remote Sensing: Microwave Remote Sensing Fundamentals and Radiometry. Boston, MA, USA: Addison-Wesley, 1981, vol. 1. [2] N. Skou, Microwave Radiometer Systems: Design and Analysis. Norwood, MA, USA: Artech House, 1989, pp. 35–37. [3] M. S. Hersman and G. A. Poe, “Sensitivity of the total power radiometer with periodic absolute calibration,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 1, pp. 32–40, Jan. 1981. [4] P. F. Goldsmith, C.-T. Hsieh, G. R. Huguenin, J. Kapitzky, and E. L. Moore, “Focal plane imaging systems for millimeter wavelengths,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1664–1675, Oct. 1993. [5] V. Krozer, T. Loffler, J. Dall, A. Kusk, F. Eichhorn, R. Olsson, J. Buron, P. Jepsen, V. Zhurbenko, and T. Jensen, “Terahertz imaging systems with aperture synthesis techniques,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 7, pp. 2027–2039, Jul. 2010. [6] L. Gilreath, V. Jain, and P. Heydari, “Design and analysis of a W-band SiGe direct-detection-based passive imaging receiver,” IEEE J. SolidState Circuits, vol. 46, no. 10, pp. 2240–2252, 2011. [7] J. W. May and G. M. Rebeiz, “Design and characterization of W-band SiGe RFICs for passive millimeter-wave imaging,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1420–1430, 2010. [8] L. Zhou, C. C. Wang, Z. Chen, and P. Heydari, “A W-band CMOS receiver chipset for millimeter-wave radiometer systems,” IEEE J. SolidState Circuits, vol. 46, no. 2, pp. 378–391, Feb. 2011. [9] T. P. Garcia and S. P. Voinigescu, “A passive W-band imaging receiver in 65-nm bulk CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 10, pp. 1981–1991, Oct. 2010. [10] C. D. Dietlein, A. Luukanen, F. Meyer, Z. Popovic, and E. N. Grossman, “Phenomenology of passive broadband terahertz images,” in Proc. 4th ESA Millimeter Wave Technol. Applicat. Workshop, Espoo, Finland, 2006. [11] J. J. Lynch, H. P. Moyer, J. H. Schaffner, Y. Royter, M. Sokolich, B. Hughes, Y. J. Yoon, and J. N. Schulman, “Passive millimeter-wave imaging module with pre-amplified zero-bias detection,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1592–1600, Jul. 2008. [12] M. Tiuri, “Radio astronomy receivers,” IEEE Trans. Antennas Propag., vol. AP-12, no. 7, pp. 930–938, Dec. 1964. [13] R. H. Dicke, “The measurement of thermal radiation at microwave frequencies,” Rev. Sci. Instrum., vol. 17, pp. 268–275, 1946. [14] F. Golcuk, T. Kanar, and G. M. Rebeiz, “A 90–100 GHz 4 4 SiGe BiCMOS polarimetric transmit/receive phased array with simultaneous receive-beams capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 3099–3114, Aug. 2013. [15] F. Steinhagen, H. Massler, W. H. Haydl, A. Hulsmann, and K. Kohler, “Coplanar W-band SPDT and SPTT resonated PIN diode switches,” in Proc. Eur. Microw. Conf., 1999, vol. 2, pp. 53–56. [16] A. Dyskin, N. Peleg, S. Wagner, D. Ritter, and I. Kallfass, “Anasymmetrical 60–90 GHz single-pole double throw switch MMIC,” in Proc. Eur. Micro. Integr. Circuits Conf., Oct. 2013, pp. 145–148. [17] S. F. Chao, H. Wang, C. Y. Su, and J. G. J. Chern, “A 50 to 94-GHz CMOS SPDT switch using traveling-wave concept,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 130–132, Feb. 2007. [18] A. Dyskin, S. Wagner, D. Ritter, and I. Kallfass, “An active 60–90 GHz single pole double throw switch MMIC,” J. Infrared Milli. Terahz. Waves, vol. 35, pp. 412–417, 2014. [19] I. Gresham, “A 24 GHz SiGe DPST switch with 30 dB gain control for multi-channel receiver integrated circuits,” in IET Seminar RF Microwave IC Design, Feb. 28–28, 2008, pp. 1–7. [20] D. Zito and A. Fonte, “Dual-input pseudo-switch RF low noise amplifier,” IEEE Trans. Circuits Syst. II, vol. 57, no. 9, pp. 661–665, Sep. 2010. [21] D. C. W. Lo, H. Wang, B. R. Allen, G. S. Dow, K. W. Chang, M. Biedenbender, R. Lai, S. Chen, and D. Yang, “Novel monolithic multifunctional balanced switching low-noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 12, pp. 2629–2634, Dec. 1994. [22] C. S. Aitchison, “The intrinsic noise figure of the MESFET distributed amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1985, pp. 460–466.

237

[23] A. Arbabian, S. Callender, S. Kang, M. Rangwala, and A. M. Niknejad, “A 94 GHz mm-wave-to-baseband pulsed-radar transceiver with applications in imaging and gesture recognition,” IEEE J. Solid-State Circuits, vol. 48, no. 4, pp. 1055–1071, 2013. [24] R. Gawande et al., “W-band heterodyne receiver module with 27 K noise temperature,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3. [25] A. Y.-K. Chen, Y. Baeyens, Y.-K. Chen, and J. Lin, “An 83-GHz highgain SiGe BiCMOS power amplifier using transmission-line current combining technique,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1557–1569, Apr. 2013. [26] D. L. Lu et al., “A 75.5-to-120.5-GHz, high-gain CMOS low-noise amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3. [27] T. Mury, M. Tiebout, N. B. Buchanan, V. F. Fusco, and F. Dielacher, “A 76–84 GHz SiGe power amplifier array employing low-loss four-way differential combining transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 931–938, Feb. 2013. [28] A. Y.-K. Chen, Y. Baeyens, Y.-K. Chen, and J. Lin, “A low-power linear SiGe BiCMOS low-noise amplifier for millimeter-wave active imaging,” IEEE Microw. Compon. Lett., vol. 20, no. 2, pp. 103–105, Feb. 2010. [29] X. J. Bi, Y. X. Guo, and M. K. Je, “Analysis and design of gain enhanced cascode stage utilizing a novel passive compensation network,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2892–2900, Aug. 2013. [30] X. J. Bi, Y. X. Guo, Y. Z. Xiong, M. A. Arasu, and M. K. Je, “A 19.2 dB gain and high-selectivity 94 GHz LNA in 0.13 m SiGe mW, BiCMOS,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 5, pp. 261–263, May 2013. [31] Y. Yang, S. Cacina, and G. M. Rebeiz, “A SiGe BiCMOS W-band LNA with 5.1 dB NF at 90 GHz,” in Proc. IEEE Compound Semicond. Integr. Circuits Symp., 2013, pp. 1–4. [32] X. J. Bi, Y. X. Guo, Y. Z. Xiong, M. A. Arasu, M. S. Zhang, and M. K. Je, “Passives design for a high performance W-band amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2013, pp. 1–3. [33] F. Alimenti, S. Leone, G. Tasselli, V. Palazzari, L. Roselli, and D. Zito, “IF amplifier section in 90 nm CMOS technology for SoC microwave radiometers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 770–773, Nov. 2009. [34] “Noise figure measurement accuracy – The -factor method,” Agilent Technologies, Application Note 57-2. [35] E. Dacquay, A. Tomkins, K. H. K. Yau, E. Laskin, P. Chevalier, A. Chantre, B. Sautreuil, and S. P. Voinigescu, “D-band total power radiometer performance optimization in an SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 813–826, Mar. 2012. [36] H. P. Moyer, R. L. Bowen, J. N. Schulman, D. H. Chow, S. Thomas, J. J. Lynch, and K. S. Holabird, “Sb-heterostructure low noise W-band detector diode sensitivity measurements,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2006, pp. 826–829. [37] M. Case, M. Matloubian, H.-C. Sun, D. Choudhury, and C. Ngo, “High-performance W-band GaAs PIN diode single-pole triple-throw switch CPW MMIC,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. 2, pp. 1047–1051. [38] R. L. Schmid, P. Song, C. T. Coen, A. C. Ulusoy, and J. D. Cressler, “On the analysis and design of low-loss single-pole double-throw W-band switches utilizing saturated SiGe HBTs,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2755–2767, Nov. 2014.

Xiaojun Bi received the B.S. and M.S. degrees from Huazhong University of Science and Technology (HUST), Wuhan, China, in 2005 and 2007, respectively, and the Ph.D. degree from the National University of Singapore (NUS), Singapore, in 2013. From 2007 to 2008, he was a Research Associate in the Institute of Microelectronics, Chinese Academy of Sciences (IMECAS), Beijing, China, engaged in RFIC design and developed the first power amplifier MMIC for TD-SCDMA handsets in China. From 2009 to 2013, he was a Research Scholar with NUS and Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore, engaged in silicon-based millimeter-wave ICs for THz imaging and Gb/s wireless communication. From 2013 to 2015, he was a Research Scientist with IME, A*STAR and worked on high-speed IC design including a 4 25 Gb/s trans-impedance amplifier array in digital CMOS for active optical cable. Since 2015, he has been an Associate Professor in the School of Optical and Electronic Information, Huazhong University of Science

238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

and Technology, Wuhan, China. His current research interests include IC design for high-speed communications and mmWave/THz imaging. Dr. Bi was a recipient of the Best Student Paper Award in the International Conference on Microwave and Millimeter-Wave Technology (ICMMT), Chengdu, China, in 2010. He was the student paper finalist in the International Microwave Symposium (IMS), Seattle, USA, 2013. He was a recipient of IEEE MTT/AP Singapore chapter best student paper award 2014. He is a technical reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

M. Annamalai. Arasu received the B.Eng. degree in electronics and communication from the National Institute of Technology, Tiruchirappalli, India, in 1992. From 1993 to 2000, he was with the Centre for Development of Telematics, India, working on discrete RF circuits for C/Ku-band satellite terminals. From 2000 to 2003, he was with Wipro Technologies, India, engaged in the design of Analog/RF ICs. From 2003 to 2004, he was with Intel Corp., India, designing CMOS RF transmitters for MBOA-UWB. In 2004, he joined the Institute of Microelectronics (IME), Singapore, as a RFIC Designer and has led the development of CMOS RF transceivers for MB-OFDM and pulse-based UWB, Ku-band LNB, and SOI-CMOS high-temperature ICs. Currently, as Principal Research Engineer he leads the Integrated circuits and systems lab of IME.

Yao Zhu (S’12–M’15) received the B.Eng. and Ph.D. degrees in electrical and electronic engineering from Nanyang Technological University (NTU), Singapore, in 2009 and 2015, respectively.

He has been a Research Scientist in the Institute of Microelectronics (IME), A*STAR, Singapore, since August 2014. His research interests include acoustic wave micro-resonators, sensor and filter design and fabrication, as well as CMOS wireless transceivers and sensor circuits and systems design.

Minkyu Je (S’97–M’03–SM’12) received the M.S. and Ph.D. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1998 and 2003, respectively. In 2003, he joined Samsung Electronics, Giheung, Korea, as a Senior Engineer and worked on multimode multiband RF transceiver SoCs for cellular standards. From 2006 to 2013, he was with the Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), Singapore. From 2011 to 2013, he led the Integrated Circuits and Systems Laboratory at IME as a Department Head. He was also a Program Director of NeuroDevices Program under A*STAR Science and Engineering Research Council (SERC) from 2011 to 2013, and an Adjunct Assistant Professor in the Department of Electrical and Computer Engineering at National University of Singapore (NUS) from 2010 to 2013. Since 2014, he has been an Associate Professor in the Department of Information and Communication Engineering at Daegu Gyeongbuk Institute of Science and Technology (DGIST), Daegu, Korea. His main research areas are advanced IC platform development including smart sensor interface ICs and ultra-low-power wireless communication ICs, as well as microsystem integration leveraging the IC platform for emerging applications such as intelligent miniature biomedical devices, ubiquitous wireless sensor nodes, and future mobile devices. He is an author of four book chapters, and has more than 230 peer-reviewed international conference and journal publications. He also has more than 30 patents issued or filed.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

239

Nondestructive Determination of the Permittivity Tensor of a Uniaxial Material Using a Two-Port Clamped Coaxial Probe Milo W. Hyde IV, Senior Member, IEEE, Michael J. Havrilla, Senior Member, IEEE, and Andrew E. Bogle, Member, IEEE

Abstract—A two-port coaxial probe is introduced to nondestructively determine the permittivity tensor of a uniaxial material. The proposed approach possesses several advantages over existing techniques, e.g., only a single sample is required, the sample does not need to be rotated, and only a single measurement system is needed. The derivation of the theoretical scattering parameters is shown. This is accomplished by applying Love’s equivalence theorem and the continuity of transverse magnetic fields to formulate a system of coupled integral equations. A necessary step in this approach is the derivation of the magnetic-current-excited uniaxial parallel-plate Green’s function. The development of this Green’s function is presented here using a new scalar potential formulation, which significantly reduces the difficulty of the probe’s theoretical development. The system of coupled integral equations is solved using the method of moments to yield the theoretical scattering parameters. The permittivity tensor is found by minimizing the two-norm of the vector difference between the theoretical and measured scattering parameters via nonlinear least squares. To validate the probe, measurement results of a uniaxial absorber are presented and compared to those obtained using a focused-beam (free-space) measurement system. The probe’s sensitivity to uncertainties in measured scattering parameters, sample thickness, and coaxial line properties is also investigated. Index Terms—Anisotropic materials, coaxial, complex permittivity measurement methods and calibration techniques, electromagnetic (EM) material characterization, Green’s functions, guided waves, instrumentation and measurement techniques, measurement of dielectric and magnetic materials, method of moments (MoM), parameter extraction, TEM waveguides, waveguide theory.

While much of the published waveguide probe research deals with one-port (single-probe) geometries [1]–[6], [13]–[20], [23]–[30], recently, several two-port measurement systems have been proposed [7]–[12], [21], [22]. Unlike their more common one-port counterparts, two-port probes possess the significant advantage that they can collect reflection and transmission coefficient measurements simultaneously. From these two independent scattering parameter ( -parameter) measurements, two material under test (MUT) parameters can be unambiguously determined at each measured frequency, e.g., the relative permittivity and permeability of an isotropic MUT or, applicable to this work, the tensor elements of a dielectric uniaxial material . Critically, and in contrast to the numerous one-port probe techniques, which have been developed to provide two or more independent measurements [23]–[30], this can be achieved with a single MUT specimen, measured at a single orientation (i.e., do not need to rotate the sample), and with a single measurement apparatus. In this paper, a new two-port probe technique is presented to nondestructively determine of a uniaxial material. A diagram of the two-port probe is shown in Fig. 1. The figure depicts two coaxial transmission lines (inner conductor radius , outer conductor radius , and filled with isotropic material and ) attached to infinite perfect electric conductor (PEC) flange plates sandwiching an unknown uniaxial MUT of thickness with permittivity and permeability tensors

I. INTRODUCTION

(1)

M

ICROWAVE probes have been extensively researched over the past 50 years due to their applicability in nondestructive inspection/evaluation (NDI/NDE). A cursory review of the literature yields numerous rectangular/circular waveguide [1]–[13] and coaxial waveguide [14]–[22] probe NDI techniques.

Manuscript received April 17, 2015; revised August 26, 2015; accepted November 14, 2015. Date of publication November 25, 2015; date of current version January 01, 2016. M. W. Hyde IV and M. J. Havrilla are with the Department of Electrical and Computer Engineering, Air Force Institute of Technology, Wright-Patterson AFB, OH 45433 USA (e-mail: milo.hyde@afit.edu). A. E. Bogle is with the Sensor Systems Division, University of Dayton Research Institute, Dayton, OH 45469 USA. Digital Object Identifier 10.1109/TMTT.2015.2502242

Note that the two-port coaxial probe depicted in Fig. 1 was first introduced by Baker-Jarvis and Janezic [21] for characterizing isotropic materials. To the authors’ knowledge, no two-port probe device has been developed to characterize anisotropic materials. Only a single reference is known in which a one-port probe was used to characterize an anisotropic material. Chang et al. [27] used a rectangular waveguide probe to characterize a free-space-backed biaxial material. The authors rotated the sample to obtain the requisite number of independent measurements. In Section II, the two-port clamped coaxial probe (CCP) depicted in Fig. 1 is analytically developed. This entails deriving expressions for the theoretical reflection and transmission coefficients. These relations are derived by applying

U.S. Government work not protected by U.S. copyright.

240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 2. Magnetic-current-excited uniaxial parallel-plate geometry.

A. Scalar Potential Development of the Uniaxial Parallel-Plate Green’s Function

Fig. 1. CCP measurement geometry.

Love’s equivalence theorem [31] to replace the coaxial line apertures with equivalent magnetic currents. The magnetic field in the parallel-plate region of the structure can be determined by convolving these currents with the magnetic-current-excited uniaxial parallel-plate Green’s function. Traditionally, this Green’s function has been derived using a field-based approach [27], [32], [33]. In this paper, a new scalar potential formulation [34], [35] is used to derive this Green’s function. The scalar potential formulation significantly reduces the difficulty of the Green’s function and subsequent parallel-plate magnetic field derivations. Enforcing the continuity of transverse magnetic fields at the coaxial line apertures ( and in Fig. 1) produces a system of coupled magnetic field integral equations (MFIEs), which when solved using the method of moments (MoM) [36], [37], yields the desired theoretical -parameters. With and , the permittivity tensor elements of the MUT can be determined by minimizing the -norm difference between the theoretical and measured coefficients using nonlinear least squares. Experimental validation of the proposed approach is presented in Section III, where tensor permittivity results of a carbon-loaded honeycomb absorber are presented comparing the new CCP technique to results obtained using a traditional focused-beam (free-space) measurement system. Error analysis is performed to quantify the new technique’s sensitivity to uncertainties in measured -parameters, MUT thickness, and coaxial line properties. II. THEORY In this section, the CCP depicted in Fig. 1 is theoretically analyzed. The derivation of the magnetic-current-excited uniaxial parallel-plate Green’s function is presented first, followed by the development of the theoretical -parameters. It should be noted that while the objective of this paper is to present a nondestructive technique for characterizing dielectric uniaxial materials, the analysis presented here proceeds as if both the permittivity and permeability of the MUT were tensors. This is done for generality. To characterize a dielectric and magnetic uniaxial material, a minimum of four independent measurements are required. Thus, the measurement technique presented here (capable of two independent measurements) would need to be augmented with one of the one-port probe multiple measurement methods cited in Section I.

In this section, vector Green’s functions for a magnetic-current-excited uniaxial parallel-plate environment are derived. The geometry for this derivation is shown in Fig. 2. The figure depicts a parallel-plate waveguide uniformly filled with a homogenous uniaxial medium. The structure is excited by a general magnetic current located inside the structure. To find the Green’s functions for such a geometry, the solutions to the following forced and unforced scalar wave equations, subject to the boundary conditions at the PEC interfaces, must be found:

(2) where , , , and is the angular frequency. Note that these scalar wave equations were derived in [34]. Physically, the solutions to the forced scalar wave equations above, denoted by superscript “ ,” represent the principle waves emanating from the magnetic source in unbounded space. The solutions to the unforced scalar wave equations, denoted by superscript “ ,” represent the waves scattered from the boundaries at and in the absence of the source. Thus, via superposition, and . The field-based ( and ) and current-based ( and ) scalar potentials are related to the electric field, magnetic field, and magnetic current by [34]

(3) where

(4)

HYDE IV et al.: NONDESTRUCTIVE DETERMINATION OF PERMITTIVITY TENSOR OF UNIAXIAL MATERIAL USING TWO-PORT CCP

The analysis in [34] showed that and (as well as and ) are associated with and fields, respectively. Due to the symmetry of the incident field and the CCP (see Fig. 1), only -invariant modes are excited in the coaxial lines and the parallel-plate/MUT region of the structure [15], [16], [21]. Thus, the remainder of this section focuses on the derivation of the vector Green’s function for ; the vector Green’s function for is ultimately not needed. The vector Green’s functions for and (the development) can be found in an analogous manner. The geometry depicted in Fig. 2 is invariant along the - and -directions, thus prompting transformation of those variables using the 2-D Fourier transform,

(5) where . Fourier transforming the equations in (2) produces

wave

(6) where . The solution to the forced spectral-domain wave equation was found in [34]

241

Before progressing to the derivation of the theoretical -parameters, it is worth noting that by using the scalar potential approach, the Green’s function relevant to the problem at hand (in particular, the magnetic-current-excited uniaxial parallel-plate Green’s function) was directly derived. As is shown in Section II-B, this leads to a very simple derivation for the transverse magnetic field in the parallel-plate/MUT region of Fig. 1. Directly deriving the dyadic Green’s function using the traditional field-based approach would be very challenging. This is because, traditionally, the field-based dyadic Green’s function contains both the and contributions in a single analytical form. Of course, an equivalent expression for to that shown below would result from using the field-based dyadic Green’s function; however, the analysis required to arrive at that expression would be quite cumbersome. The significant reduction in the required mathematical analysis demonstrates the power of the scalar potential approach employed here. B. Derivation of Theoretical -Parameters The first step in deriving the theoretical -parameters is to find expressions for the transverse fields in the coax and parallelplate/MUT regions of Fig. 1. In the port 1 coax region (i.e., ), the incident field is assumed to be a mode. Due to the symmetry of the incident mode and the measurement apparatus, only -invariant higher order modes (i.e., modes) are excited at the coaxial line apertures [15], [16], [21]. Thus, the transverse fields in the coax regions are

(7) The solution to the unforced spectral-domain wave equation is readily found to be (8) are the unknown positive - and negative -directed where complex wave amplitudes, respectively. The general solution is . To find the unknown complex wave amplitudes and obtain the solution for , the boundary conditions of the problem must be enforced. The applicable field boundary conditions are at and . In terms of the spectral-domain scalar potential , these boundary conditions are at and . Applying the boundary conditions and simplifying yields the desired spectral-domain vector Green’s function for ,

(9) The spectral-domain vector Green’s function given above can be transformed to the spatial domain using the inverse Fourier transform relation given in (5). This analysis is not performed here because it is computationally advantageous to use the provided spectral-domain Green’s function. This is discussed further in Section II-B.

(10) and are the unknown where and transmission coefficients, respectively, ,

modal reflection ,

, and

(11) and are first- and second-kind Bessel functions of Here, order , respectively. The last remaining undefined symbol is the -directed wavenumber . It is found by solving the eigenvalue equation (12) numerically. Note that the mode; thus, , , and .

mode is equivalent to the , ,

242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

The transverse magnetic field in the parallel-plate/MUT region is found by applying Love’s equivalence theorem [31] to replace the coaxial line aperture fields with equivalent magnetic currents, and . These currents maintain the fields in the equivalent environment, i.e., a parallel-plate waveguide filled with a uniaxial material. The transverse magnetic field in terms of the scalar potentials discussed above is [34] (13) where the

potential

and

potential

are

(14) Here, , , and and are the magnetic-current-excited vector Green’s functions for and derived in Section II-A, respectively. Since only modes exist in the coaxial lines, only -invariant fields are excited in the parallel-plate/MUT region of the measurement structure. Thus, and simplifies to (15) magnetic-current-excited dyadic Green’s function The for -invariant can be found with relative ease by substituting (14) into (15), resulting in (16) As one would physically expect, this relation states that in a parallel-plate waveguide, a transverse rotational magnetic current maintains a transverse rotational magnetic field. Being able to easily identify and derive electric and magnetic field and dyadic Green’s functions in the manner just described is a very powerful trait of the scalar potential formulation utilized here. Enforcing the continuity of the transverse magnetic fields at the coaxial line apertures produces a system of coupled MFIEs,

(17) The unknowns in (17) are , , , and . The coupled MFIEs are solved using the MoM [36], [37]. The unknown equivalent magnetic currents are expanded using the transverse electric field distributions given in (10), namely,

(18)

where . After substitution of (18) into (17) and subsequent simplification, the resulting MFIEs are tested using the transverse magnetic field distributions given in (10). The end result is a block 2 2 matrix equation, (19) where

,

,

, and are matrices, , , and is a vector, which contains the incident field excitation. The matrices and are the “self” matrices of the block 2 2 MoM matrix. They predict the scattered fields at apertures 1 and 2 due to sources located at apertures 1 and 2, respectively. Due to the symmetry of the measurement geometry, . The matrices and are the “coupling” matrices of the MoM matrix. They predict the scattered fields at apertures 1 and 2 due to sources located at apertures 2 and 1, respectively. Since and are symmetric tensors, reciprocity holds [32], and thus, . The elements of , , , and are most easily computed by utilizing the spectral form of given in (9). Upon substituting (9) into (14) and ultimately into (17), one notes that all expansion and testing integrals can be computed in closed form—as in the isotropic case, they are Hankel transforms of given in (11)[15], [16], [21]. The lone remaining spectral integral over is computed numerically. III. EXPERIMENT A. Experimental Setup For the experimental validation, measurements were made of a mm thick, 10.16 cm 10.16 cm sample of Cuming Microwave Corporation’s C-RAM HC. C-RAM HC is a carbon-loaded honeycomb radar absorber, which behaves as a lossy dielectric uniaxial material due to its hexagonal structure. C-RAM HC was measured from 8.2 to 12.4 GHz using an Agilent E8362B PNA. A photograph of the CCP is shown in Fig. 3. The CCP consisted of two SGMC Microwave Teflon-filled ( and ) 7-mm connector hole flange receptacles connected via screws to locally machined aluminum flange plates 15.24 cm in diameter and mm thick. To ensure good alignment between the port 1 and port 2 coaxial line apertures, 3.18-mm alignment holes were machined on the periphery of the flange plates. Precision indexing pins were then inserted into the alignment holes when measurements were being made. In addition to this, locking pliers were used to ensure good contact between the flange plates and the MUT to minimize the possibility of air gaps. The presence of air gaps between the MUT and the flange plates is a major source of potential error for the CCP. BakerJarvis et al. [15] quantified this error for a single coaxial probe measuring an isotropic MUT. To quantify this error for the CCP would require the derivation of the three-layer parallel-plate Green’s function (see Section II-A), which is a demanding analytical undertaking. Due to the significant complexity involved, this analysis is not performed here and is left to future work.

HYDE IV et al.: NONDESTRUCTIVE DETERMINATION OF PERMITTIVITY TENSOR OF UNIAXIAL MATERIAL USING TWO-PORT CCP

243

Uncertainty analysis was conducted to quantify the CCP’s sensitivity to common measurement errors. The errors in and , considering uncertainties in measured -parameters, MUT thickness, and coaxial line properties, were computed using

(21)

Fig. 3. (a) CCP components and (b) complete CCP measurement setup.

Before material measurements were made, the CCP apparatus was calibrated using a thru-reflect-line (TRL) calibration [38]. A custom-made 5.08-mm-thick coaxial shim and shorting plate served as the line and reflect standards, respectively. These coaxial probe standards are shown in Fig. 3(a) and (b). The and of C-RAM HC [also shown in Fig. 3(a)] were determined by minimizing the -norm difference between the theoretical and measured -parameters using the trust-regionreflective method [39] subject to the constraints for natural passive materials, i.e.,

with similar expressions for , , and [40]. Note that the and calculated in this manner are worst case estimates [40]. The measured -parameter uncertainties, and , were obtained from the Agilent E8362B PNA Technical Specifications Manual. The -parameter errors due to imperfect TRL calibration standards were included in the uncertainties obtained from the Agilent manual. The uncertainty in sample thickness was set equal to the digital caliper uncertainty of 0.050 mm. The coaxial line inner and outer radii uncertainties ( and , respectively), given by the manufacturer, were 0.064 mm. Lastly, the uncertainty in the relative permittivity of the Teflon filling was assumed to be 0.1. Due to the fact that carbon-loaded absorbers are generally inhomogeneous [41]–[44], measurements were collected of the sample in five positions/orientations. The uncertainty analysis detailed in the previous paragraph was conducted on and obtained using all five measurements, i.e., a total of 20 measured -parameters were used in (20). Also, to provide some measure of how the specimen varied with respect to position, the mean and standard deviation of and were computed over the five orientation measurements. These results, along with the uncertainty analysis results, are presented below. To validate the new technique, the CCP C-RAM HC results were compared to those obtained using a traditional focused-beam (free-space) measurement system [45]–[48]. The free-space and of C-RAM HC were obtained by minimizing the -norm difference between the theoretical and measured gathered at two different incident angles ( and ), i.e., (22) where

takes the form [48]

(20) . The theoretical -pawhere rameters were found by solving (19) using modes. Note that and because of symmetry and reciprocity, respectively.

(23)

244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 4. C-RAM HC relative permittivity, and , results. The light gray solid traces, labeled “CCP (21),” are the and results obtained using all and computed using (21). The darker gray dashed traces, labeled five position/orientation measurements. The bars on those traces represent ) of and computed over the five position/orientation measurements. The black “CCP Position,” show the mean and standard deviation (bar widths are and results obtained using the focused-beam measurement system. dashed–dotted traces, labeled “Free Space,” are the

Here,

,

, , cm is the thickness of the C-RAM HC specimen, and the subscript signifies parallel polarization. Since producing two orthogonally “cut” C-RAM HC samples was impractical, the free-space measurements were made using parallel polarization and angle diversity, otherwise would not have been implicated. The complex exponential term multiplied by the Nicolson–Ross–Weir [49], [50] expression accounts for the additional path traversed by the wave when the MUT is measured at oblique angles. As is common with free-space measurements, a simple response (i.e., response only) calibration and time-domain gating were performed on the raw PNA to form [45]. B. C-RAM HC Results Fig. 4(a) and (b) shows the C-RAM HC relative permittivity and ) results, respectively. The light gray solid traces, ( labeled “CCP (21),” are the and results obtained using all five position/orientation measurements. The bars on those traces represent and computed using (21). The darker gray dashed traces, labeled “CCP Position,” show the mean and standard deviation (bar widths are ) of and computed over the five position/orientation measurements. The black dashed–dotted traces, labeled “Free Space,” are the and results obtained using the focused-beam measurement system. These results are included to serve as a reference. With the exception of the parameter, the agreement between the CCP and free-space measurement results is quite good. Several points must be considered when assessing these results. First, no “truth” data is available from the manufacturer for this material. Second, because of sample size requirements and availability, a different thicker (1.02 cm) C-RAM HC specimen was used to obtain the free-space reference results. This likely accounts for the discrepancy in the results and the minor disagreements in the , , and parameters due to the well-documented inhomogeneity and

inconsistency of carbon-loaded absorbers [41]–[44]. Last, the large and errors reported in the CCP (21) results are due to the and uncertainties. For the results presented here, . Low-magnitude transmission measurements have large measurement uncertainties. This explains the wide CCP (21) bars in Fig. 4(a) and (b). Difficulty in obtaining accurate characterization results with coaxial probes for low-permittivity materials has been widely noted [15]–[18], [21], [51], [52]. This difficulty occurs because of weak field coupling into the parallel-plate/MUT region of the probe resulting in . The reflection coefficient does not appreciably change until the electrical size of the coaxial line becomes large. When measurement errors are considered, this large unchanging results in many different low-permittivity materials being experimentally indistinguishable. BakerJarvis et al. [15], Baker-Jarvis and Janezic [21], De Langhe et al. [17], [18], and Olmi et al. [52] propose that to ensure sufficient field coupling into the MUT, the coaxial probe should satisfy (24) is the wavelength in the MUT and is a constant where that varies from 1 to 2.5 depending on the reference. Of course, the actual amount of field coupling into the parallel-plate/MUT region is a complicated function of the MUT ( , , and ) and coaxial line ( , , and characteristic impedance) properties. From experimental experience, one can generally expect good results from the CCP if . This criterion is easy to test in the laboratory and does not require prior knowledge of the MUT like (24). Note that accurate CCP results may still be obtained even if . The above criterion is included to provide an easy test, which gives the laboratory technician a qualitative idea of what to expect from the CCP. IV. CONCLUSIONS In this paper, a two-port CCP was introduced to nondestructively determine the permittivity tensor of a dielectric uniaxial

HYDE IV et al.: NONDESTRUCTIVE DETERMINATION OF PERMITTIVITY TENSOR OF UNIAXIAL MATERIAL USING TWO-PORT CCP

material. In contrast to previously published techniques, this new approach required only a single specimen, measured at a single orientation, with a single measurement apparatus. The CCP was analytically developed in Section II. This entailed derivation of the theoretical -parameters, which when numerically inverted, yielded estimates for the unknown permittivity tensor elements. A requisite step in this derivation was the development of the magnetic-current-excited uniaxial parallel-plate Green’s function. A new scalar potential formulation was used to derive this Green’s function. This scalar potential formulation significantly reduced the difficulty of the Green’s function derivation. Lastly, measurement results of a uniaxial carbon-loaded honeycomb absorber were presented in Section III to validate the CCP. Relative permittivity results obtained using the probe were compared and contrasted to those obtained using a traditional focused-beam (free-space) measurement system. Overall, the agreement between the two sets of results was quite good with the exception being the parameter. Reasons for the discrepancy in the and the minor disagreements in , , and results were discussed. Recent advancements in manufacturing technology have made the development of anisotropic media with designer properties a reality. The CCP presented here is a good alternative to experimentally validate these new materials when sample availability or size make traditional destructive techniques less viable. ACKNOWLEDGMENT The views expressed in this paper are those of the authors and do not reflect the official policy or position of the U.S. Air Force, the Department of Defense, or the U.S. Government. REFERENCES [1] B. Sanadiki and M. Mostafavi, “Inversion of inhomogeneous continuously varying dielectric profiles using open-ended waveguides,” IEEE Trans. Antennas Propag., vol. 39, no. 2, pp. 158–163, Feb. 1991. [2] M. Mostafavi and W.-C. Lan, “Polynomial characterization of inhomogeneous media and their reconstruction using an open-ended waveguide,” IEEE Trans. Antennas Propag., vol. 41, no. 6, pp. 822–824, Jun. 1993. [3] S. I. Ganchev, S. Bakhtiari, and R. Zoughi, “A novel numerical technique for dielectric measurement of generally lossy dielectrics,” IEEE Trans. Instrum. Meas., vol. 41, no. 3, pp. 361–365, Jun. 1992. [4] K. J. Bois, A. Benally, and R. Zoughi, “Multimode solution for the reflection properties of an open-ended rectangular waveguide radiating into a dielectric half-space: The forward and inverse problems,” IEEE Trans. Instrum. Meas., vol. 48, no. 6, pp. 1131–1140, Dec. 1999. [5] M. Kempin, M. Ghasr, J. Case, and R. Zoughi, “Modified waveguide flange for evaluation of stratified composites,” IEEE Trans. Instrum. Meas., vol. 63, no. 6, pp. 1524–1534, Jun. 2014. [6] C.-W. Chang, K.-M. Chen, and J. Qian, “Nondestructive determination of electromagnetic parameters of dielectric materials at X-band frequencies using a waveguide probe system,” IEEE Trans. Instrum. Meas., vol. 46, no. 5, pp. 1084–1092, Oct. 1997. [7] M. W. Hyde, J. W. Stewart, M. J. Havrilla, W. P. Baker, E. J. Rothwell, and D. P. Nyquist, “Nondestructive electromagnetic material characterization using a dual waveguide probe: A full wave solution,” Radio Sci., vol. 44, no. 3, Jun. 2009, Art. ID RS3013. [8] M. W. Hyde and M. J. Havrilla, “A clamped dual-ridged waveguide measurement system for the broadband, nondestructive characterization of sheet materials,” Radio Sci., vol. 48, no. 5, pp. 628–637, Sep. 2013.

245

[9] M. W. Hyde and M. J. Havrilla, “A nondestructive technique for determining complex permittivity and permeability of magnetic sheet materials using two flanged rectangular waveguides,” PIER, vol. 79, pp. 367–386, 2008. [10] M. Hyde, M. Havrilla, A. Bogle, and E. Rothwell, “Nondestructive material characterization of a free-space-backed magnetic material using a dual-waveguide probe,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 1009–1019, Feb. 2012. [11] M. D. Seal, M. W. Hyde, and M. J. Havrilla, “Nondestructive complex permittivity and permeability extraction using a two-layer dual-waveguide probe measurement geometry,” PIER, vol. 123, pp. 123–142, 2012. [12] M. W. Hyde, IV, A. E. Bogle, and M. J. Havrilla, “Nondestructive characterization of Salisbury screen and Jaumann absorbers using a clamped rectangular waveguide geometry,” Measurement, vol. 53, pp. 83–90, Jul. 2014. [13] O. Tantot, M. Chatard-Moulin, and P. Guillon, “Measurement of complex permittivity and permeability and thickness of multilayered medium by an open-ended waveguide method,” IEEE Trans. Instrum. Meas., vol. 46, no. 2, pp. 519–522, Apr. 1997. [14] M. S. Boybay and O. M. Ramahi, “Open-ended coaxial line probes with negative permittivity materials,” IEEE Trans. Antennas Propag., vol. 59, no. 5, pp. 1765–1769, May 2011. [15] J. Baker-Jarvis, M. D. Janezic, P. D. Domich, and R. G. Geyer, “Analysis of an open-ended coaxial probe with lift-off for nondestructive testing,” IEEE Trans. Instrum. Meas., vol. 43, no. 5, pp. 711–718, Oct. 1994. [16] C.-L. Li and K.-M. Chen, “Determination of electromagnetic properties of materials using flanged open-ended coaxial probe—Full-wave analysis,” IEEE Trans. Instrum. Meas., vol. 44, no. 1, pp. 19–27, Feb. 1995. [17] P. De Langhe, K. Blomme, L. Martens, and D. De Zutter, “Measurement of low-permittivity materials based on a spectral-domain analysis for the open-ended coaxial probe,” IEEE Trans. Instrum. Meas., vol. 42, no. 5, pp. 879–886, Oct. 1993. [18] P. De Langhe, L. Martens, and D. De Zutter, “Design rules for an experimental setup using an open-ended coaxial probe based on theoretical modelling,” IEEE Trans. Instrum. Meas., vol. 43, no. 6, pp. 810–817, Dec. 1994. [19] T. Athey, M. Stuchly, and S. Stuchly, “Measurement of radio frequency permittivity of biological tissues with an open-ended coaxial line: Part I,” IEEE Trans. Microw. Theory Techn., vol. MTT-30, no. 1, pp. 82–86, Jan. 1982. [20] M. Stuchly, T. Athey, G. Samaras, and G. Taylor, “Measurement of radio frequency permittivity of biological tissues with an open-ended coaxial line: Part II—experimental results,” IEEE Trans. Microw. Theory Techn., vol. MTT-30, no. 1, pp. 87–92, Jan. 1982. [21] J. Baker-Jarvis and M. D. Janezic, “Analysis of a two-port flanged coaxial holder for shielding effectiveness and dielectric measurements of thin films and thin materials,” IEEE Trans. Electromagn. Compat., vol. 38, no. 1, pp. 67–70, Feb. 1996. [22] T. Bird, “Mutual coupling in arrays of coaxial waveguides and horns,” IEEE Trans. Antennas Propag., vol. 52, no. 3, pp. 821–829, Mar. 2004. [23] C.-P. Chen, Z. Ma, T. Anada, and J.-P. Hsu, “Further study on twothickness-method for simultaneous measurement of complex EM parameters based on open-ended coaxial probe,” in Eur. Microw. Conf., Oct. 2005, 4 pp. [24] S. Wang, M. Niu, and D. Xu, “A frequency-varying method for simultaneous measurement of complex permittivity and permeability with an open-ended coaxial probe,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2145–2147, Dec. 1998. [25] G. D. Dester, E. J. Rothwell, M. J. Havrilla, and M. W. Hyde, “Error analysis of a two-layer method for the electromagnetic characterization of conductor-backed absorbing material using an open-ended waveguide probe,” PIER B, vol. 26, pp. 1–21, 2010. [26] J. W. Stewart and M. J. Havrilla, “Electromagnetic characterization of a magnetic material using an open-ended waveguide probe and a rigorous full-wave multimode model,” J. Electromagn. Waves Appl., vol. 20, pp. 2037–2052, Apr. 2006. [27] C.-W. Chang, K.-M. Chen, and J. Qian, “Nondestructive measurements of complex tensor permittivity of anisotropic materials using a waveguide probe system,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 7, pp. 1081–1090, Jul. 1996. [28] N. Maode, S. Yong, Y. Jinkui, F. Chenpeng, and X. Deming, “An improved open-ended waveguide measurement technique on parameters and of high-loss materials,” IEEE Trans. Instrum. Meas., vol. 47, no. 2, pp. 476–481, Apr. 1998.

246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

[29] G. D. Dester, E. J. Rothwell, and M. J. Havrilla, “Two-iris method for the electromagnetic characterization of conductor-backed absorbing materials using an open-ended waveguide probe,” IEEE Trans. Instrum. Meas., vol. 61, no. 4, pp. 1037–1044, Apr. 2012. [30] M. Hyde, M. Havrilla, A. Bogle, E. Rothwell, and G. Dester, “An improved two-layer method for nondestructively characterizing magnetic sheet materials using a single rectangular waveguide probe,” Electromagnetics, vol. 32, no. 7, pp. 411–425, Oct. 2012. [31] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York, NY, USA: IEEE Press, 1991. [32] W. Chew, Waves and Fields in Inhomogenous Media. New York, NY, USA: IEEE Press, 1995. [33] M. Havrilla, “Electric and magnetic field dyadic Green’s functions and depolarizing dyad for a magnetic current immersed in a uniaxial dielectric-filled parallel plate waveguide,” in XXXth URSI Gen. Assembly/ Sci. Symp., Aug. 2011, pp. 1–4. [34] M. J. Havrilla, “Scalar potential depolarizing dyad artifact for a uniaxial medium,” PIER, vol. 134, pp. 151–168, 2013. [35] W. S. Weiglhofer, “Scalar Hertz potentials for nonhomogeneous uniaxial dielectric-magnetic mediums,” Int. J. Appl. Electromagn. Mech., vol. 11, no. 3, pp. 131–140, Jan. 2000. [36] R. Harrington, Field Computation by Moment Methods. New York, NY, USA: IEEE Press, 1993. [37] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. New York, NY, USA: IEEE Press, 1998. [38] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [39] T. Coleman and Y. Li, “An interior trust region approach for nonlinear minimization subject to bounds,” SIAM J. Optim., vol. 6, no. 2, pp. 418–445, Jul. 1996. [40] J. Baker-Jarvis, E. Vanzura, and W. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Techn., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [41] M. Hyde, M. Havrilla, and P. Crittenden, “A novel method for determining the R-card sheet impedance using the transmission coefficient measured in free-space or waveguide systems,” IEEE Trans. Instrum. Meas., vol. 58, no. 7, pp. 2228–2233, Jul. 2009. [42] B. B. Glover, K. W. Whites, M. W. Hyde, IV, and M. J. Havrilla, “Complex effective permittivity of carbon loaded dielectric films with printed metallic square rings,” in 2nd Int. Congr. Adv. Electromagn. Mater. Microw. Opt., Sep. 2008, pp. 762–764. [43] F. Costa, “Surface impedance measurement of resistive coatings at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 62, no. 2, pp. 432–437, Feb. 2013. [44] J. Massman, M. Havrilla, K. Whites, and M. Hyde, “A stepped flange waveguide technique for determining tapered R-card sheet impedance,” in Proc. Asia–Pacific Microw. Conf., Dec. 2010, pp. 1769–1772. [45] J. W. Schultz, Focused Beam Methods: Measuring Microwave Materials in Free Space. Lexington, KY, USA: CreateSpace Independent Publishing, 2012. [46] D. Ghodgaonkar, V. Varadan, and V. Varadan, “Free-space measurement of complex permittivity and complex permeability of magnetic materials at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 387–394, Apr. 1990. [47] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and V. K. Varadan, Microwave Electronics: Measurement and Materials Characterization. West Sussex, U.K.: Wiley, 2004. [48] J. Munoz, M. Rojo, A. Parrefio, and J. Margineda, “Automatic measurement of permittivity and permeability at microwave frequencies using normal and oblique free-wave incidence with focused beam,” IEEE Trans. Instrum. Meas., vol. 47, no. 4, pp. 886–892, Aug. 1998. [49] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970.

[50] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [51] M. Hyde and M. Havrilla, “Design of an open-ended coaxial probe for broadband, low-footprint nondestructive characterization of PECbacked materials,” in IEEE Int. Instrum. Meas. Technol. Conf., May 2012, pp. 1599–1602. [52] R. Olmi, M. Bini, R. Nesti, G. Pelosi, and C. Riminesi, “Improvement of the permittivity measurement by a 3D full-wave analysis of a finite flanged coaxial probe,” J. Electromagn. Waves Appl., vol. 18, pp. 217–232, Apr. 2004.

Milo W. Hyde IV (S’10–M’10–SM’12) received the B.S. degree in computer engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in 2001, and the M.S. and Ph.D. degrees in electrical engineering from the Air Force Institute of Technology, Wright-Patterson Air Force Base (AFB), Dayton, OH, USA, in 2006 and 2010, respectively. From 2001 to 2004, he was a Maintenance Officer with the F-117A Nighthawk, Holloman AFB, Alamogordo, NM, USA. From 2006 to 2007, he was a Government Researcher with the Air Force Research Laboratory, Wright-Patterson AFB, OH, USA. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, Air Force Institute of Technology. His current research interests include electromagnetic material characterization, guided-wave theory, scattering, and optics. Dr. Hyde is a member of the International Society for Optical Engineering, the Optical Society of America, the American Geophysical Union, the Directed Energy Professional Society, and the Applied Computational Electromagnetics Society. Michael J. Havrilla (S’85–M’86–SM’05) received the B.S. degrees in physics and mathematics, M.S.E.E degree, and Ph.D. degree in electrical engineering from Michigan State University, East Lansing, MI, USA, in 1987, 1989, and 2001, respectively. From 1990 to 1995, he was with General Electric Aircraft Engines, Evendale, OH, USA, and Lockheed Skunk Works, Palmdale, CA, USA, as an Electrical Engineer. He is currently a Professor with the Department of Electrical and Computer Engineering, Air Force Institute of Technology, Wright-Patterson AFB, OH, USA. His current research interests include electromagnetic and guided-wave theory, electromagnetic propagation and radiation in complex media and structures, and electromagnetic materials characterization. Dr. Havrilla is a member of URSI Commission B and Eta Kappa Nu. Andrew E. Bogle (S’04–M’07) received the B.S., M.S., and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, MI, USA, in 2001, 2004, and 2007, respectively. From 2007 to 2009, he was with Niowave Inc., Lansing, MI, USA, where he was an Electrical Engineer. He is currently an Research Engineer with the Sensor Systems Division, University of Dayton Research Institute, Dayton, OH, USA. His current research interests include electromagnetic materials characterization, electromagnetic and guided-wave theory, electromagnetic propagation and radiation in complex media and structures.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

247

Noncontact Measurement of Complex Permittivity and Thickness by Using Planar Resonators Chin-Lung Yang, Member, IEEE, Chieh-Sen Lee, Associate Member, IEEE, Kuan-Wei Chen, Student Member, IEEE, and Kuan-Zhou Chen

Abstract—This paper presents a novel noncontact measurement technique that entails using a single-compound triple complementary split-ring resonator (SC-TCSRR) to determine the complex permittivity and thickness of a material under test (MUT). The proposed technique overcomes the problem engendered by the existence of air gaps between the sensor ground plane and the MUT. In the proposed approach, a derived governing equation of the resonance frequencies is used to estimate the thickness and complex permittivity of the MUT by calculating the resonant frequency and magnitude response in a single-step noncontact measurement process. This study theoretically analyzed and experimentally verified a simple and low-cost SC-TCSRR measurement method for assessing materials in a noncontact method. For a 0.2-mm air gap, the experiments yielded average measurement errors of 4.32% and 5.05% for the thickness and permittivity, respectively. The proposed SC-TCSRR technique provides excellent solutions for reducing the effect of air-gap conditions on permittivity, thickness, and loss tangent in noncontact measurements. Index Terms—Complementary split-ring resonators (CSRRs), noncontact measurement, permittivity and thickness measurement, triple rings.

I. INTRODUCTION

C

HARACTERIZING the dielectric properties and structural composition of materials is essential for numerous applications in manufacturing, materials science [1], [2], and biomedical sensing [3], [4]. Microwave measurement is an excellent detection technique because of its noninvasive characteristics, penetration-sensing capability, as well as real-time and highly accurate detection methods. Previous studies have investigated planar-resonator-based complementary split-ring resonator (CSRR) methods for measuring the electrical properties of materials [5]–[10]. The implementation of such methods does not necessitate reshaping the test sample. Various CSRR techniques are available for extracting particular parameters such as permittivity [5] and thickness [6] from a single-layer material. Among resonator methods, the CSRR techniques are highly accurate in extracting properties, easily integrated with other microwave components, Manuscript received July 25, 2015; revised September 27, 2015 and November 18, 2015; accepted November 19, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. The authors are with the Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (e-mail: [email protected]. edu.tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2503764

and feasibly integrated with on-chip systems [7]. In addition, material loss is a critical factor that must be considered in determining the properties of materials and device performance. A precise estimation of the complex permittivity of dielectric materials at microwave frequencies is one of the most critical parameters for characterizing the material composition, thus facilitating the accurate design of passive and active microwave devices. Regarding complex permittivity, a previous study proposed a planar CSRR method for measuring the dielectric constants and loss tangents of materials, and this method requires calculating two parameters, namely, the resonant frequency and magnitude response [8]. Most current technologies enable detecting a single parameter from multiple measurements or an aggregate of independent measurements for obtaining information about multiple parameters. Various physical parameters must be extracted individually, with a separate measurement for each type of information. A simple measurement step improves the processing procedure, thus enabling convenient quick measurements and high throughput. Simultaneously and independently measuring the thickness of a coating layer and the percentage of ionic conductivity of a filler concentration (i.e., dielectric constant and loss) is required for regular maintenance functions [11]. Most studies on planar-resonator-based sensors [5]–[10] have not addressed the problem of air gaps, which are typically assumed to be minimized by a careful measurement setup, although they exist during measurement processes. The gaps between a material under test (MUT) and a probe plane area or a sensor plane substantially reduce measurement accuracy. In practice, tiny gaps may exist between a sensor and a MUT because of surface roughness or plane unevenness. Such air gaps must be considered in planar sensing to minimize possible systematic errors. Studies have proposed several methods that involve compressing a MUT to minimize air gaps or using a particular medium between the MUT and the detection probe plane to reduce the effect of the gaps during sensing processes. However, a noncontact measurement technique is a favorable solution to such an air-gap problem. In addition, regarding wearable technology, feasible products require noncontact measurement, which can also be comfortable for users. In the current study, a new single-compound triple complementary split-ring resonator (SC-TCSRR) method was developed for accurately determining the complex constitutive parameters of nonmagnetic materials at microwave frequencies. The resonator must ensure an appropriate interaction between the materials, thus providing the necessary information for extracting the estimated parameters. This study proposes

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 1. Illustration of the triple resonance frequency SC-TCSRR sensor used for detecting the permittivity and thickness of a MUT layer under noncontact conditions.

a noncontact measurement technique that entails using the SC-TCSRR for simultaneously circumventing the problem of the air gap and improving measurement precision. The proposed technique facilitates estimating an undetermined air gap quantitatively by determining the equivalent permittivity. Three resonance frequencies, namely, , , and , are generated using three distinct resonator current lengths in a single triple complementary split-ring resonator (TCSRR). Furthermore, the magnitude (dB) response at resonance can be used for measuring loss tangents. The size of the three-square concentric ring of an SC-TCSRR sensor must be precisely designed. A specific ratio range is required in the square length of the three concentric rings ( , , and ) for generating the three nonidentical frequency responses required for the measurement. Fig. 1 illustrates the proposed triple-squared SC-TCSRR sensor with an unknown MUT and an unknown air-gap layer between the sensing area and the MUT surface, which is used for sensing the permittivity. The air gap and sample sheet can be considered a dual-layer structure. Layer 2 is the air-gap layer, , and Layer 3 is the MUT layer . In such structures, the proposed technique can be similar to multilayer measurement problems, thus circumventing the problem of considering the air gap as Layer 2 and the MUT sheet as Layer 3. In contrast to previous techniques, the proposed technique does not require predetermining the MUT information or calibrating the air-gap influence. This study expands the preliminary results of [12]. In [10], the SC-CSRR of a dual ring was used to solve two-layer measurement problems, and the air-gap problem was resolved by regarding the thin air-gap as part of the unknown layer of a MUT. In [13], the effect of the air gap on the measurement was cancelled by introducing an additional ring to the SC-CSRR [13], which was adopted in this study for detailed and complete analysis and applications. When a MUT is measured using an SC-TCSRR sensor, three responses at distinct operational frequencies are produced. A single-step measurement process is thus proposed for obtaining the dielectric constant , air-gap distance loss tangent , and thickness of a sample with a complex permittivity of under noncontact conditions. The resonator radiation from a slot ring with a singlesquare loop is analyzed to facilitate the process of establishing electrical field lines in dual-layer structures and extracting the parameters. The field distribution of the resonator is analyzed

to obtain the equivalent permittivity , which, in turn, enables determining the thickness of the air gap, thus permitting the MUT to be measured in a simple process. A viable and nondestructive method is required for measuring the layer thicknesses, permittivity, and loss tangent simultaneously and accurately during manufacturing stack-up. Implementing a capacitance circuit model of two MUT layers is an effective method for extracting the loss-tangent information from S-parameter measurements. MUT loss varies according to frequency responses. A circuit model facilitates extracting the MUT loss by determining the frequency response and adopting a noncontact measurement method. The proposed resonator technique requires calculating three parameters (i.e., three resonant frequencies) and , thus substantially reducing the cost and computation time. ANSYS HFSS software was used for determining the relationship between the resonant frequency and MUT permittivity or thickness, and experiments were conducted to verify the results. In this study, the air gap was included in the analytical model. According to the proposed technique, the air-gap thickness can be detected quantitatively. In summary, CSRRs are designed for compact highly sensitive microwave sensors. Using multiple-ring compound architecture can facilitate simultaneously extracting multiple parameters including thickness, permittivity, and loss-tangent parameters. Furthermore, the proposed SC-TCSRR sensor addresses unknown thicknesses and solves the air-gap problem for practical measurement. This paper is organized as follows. Section II describes the principle of the proposed SC-TCSRR, which is based on the theory of conformal mapping, a methodological model for determining the details of electrical field distribution. Section III describes the frequency response of the SC-TCSRR sensor. The measurement procedure entails single-layer MUT detection. Section IV details the procedures involved in measuring the MUT loss tangent. Section V presents the entire analysis procedure. Section VI provides the measurement results for a single-layered MUT. In addition, the results of determining simultaneously the thickness, permittivity, and loss tangent of an air-gap MUT model are presented. Finally, Section VII offers concluding remarks. II. THEORY OF MICROWAVE SENSOR MEASUREMENT A single CSRR can detect the equivalent permittivity of materials by analyzing the resonance frequency variation. An air gap can be considered an additional MUT layer with free-space permittivity and of unknown thickness. The effects of the air gap on the resonance frequencies can be evaluated. The resonance frequencies associated with the thickness and permittivity of the MUT layers, including the air gap, are influenced by the equivalent permittivity . The resonance frequency analysis is based on the frequency deviation of various operational frequencies produced using the corresponding resonator rings. Combining the results of the three rings yields a design for a compound triple ring that functions as an SC-TCSRR. In the CSRR-etched part, the electrical fields exhibit an elliptically polarized distribution and are closely confined to the metal edges adjacent to the etched slot, similar to a slot

YANG et al.: NONCONTACT MEASUREMENT OF COMPLEX PERMITTIVITY AND THICKNESS BY USING PLANAR RESONATORS

249

Fig. 3. (a) Final mapping dimension of the whole air gap and MUT layer in CSRR slot line. (b) Equivalent capacitance in final -plane. Fig. 2. MUT with air gap. (a) Conformal mapping of the air gap between the dielectric area and a slot line. (b) Final mapping of the entire one-layer slot line.

line emitting propagating waves [14]–[16]. The individual dielectric layers in the structures are assumed to be homogenous and isotropic, and the strips are assumed to have negligible thickness for calculating equivalent permittivity. In this procedure, the slot line is considered a generalized type of coplanar strip with an infinite strip width, allowing the implementation of a quasi-static approach [15]. Closed-form expressions are obtained using a conformal mapping method combined with semiempirical modeling [14], a procedure in which the CSRR is analyzed as having a generalized type of slot transmission line with effectively equivalent electrical fields. The detailed electrical fields are analyzed (Appendix A) to evaluate the effective electrical permittivity and to model an equivalent capacitance model. The substrate capacitance is obtained by applying the following formula:

(1) The quantity is the effective permittivity of a CSRR with a MUT under an air-gap condition. Regarding the derivation of , the cross-side of the CSRR is considered a slot line on a dielectric substrate [see Fig. 2(a)]. After undergoing the aforementioned transformations and possessing an air-gap layer, the MUT dielectric is converted from the -plane structure shown in Fig. 2(a) to the -plane structure shown in Fig. 2(b), which exhibits the following dimensions: First, the substrate, MUT, and air-gap layer dimensions are labeled on the -plane . A closed-form expression can be obtained for the equivalent capacitor through a sequence of conformal mapping steps (Appendix A). The transfer length from the -plane to the -plane is noted. The term is on the -axis of variable in the basic capacitance equation, and is on the -axis of variable in the basic capacitance equation. The lengths , , and denote the thickness , substrate thickness , air-gap thickness , and MUT thickness from the -plane to the -plane, respectively [see Fig. 2(a)]. According to the equivalent capacitor, , is the capacitance of Layer 2 (air gap), is the capacitance of Layer 3 (MUT), and is the capacitance of Layer 4 (under the MUT part). From the structure in Fig. 3(a),

. Therefore, the capacitor of the CSRR in Fig. 3(b) can be expressed as follows:

(2) (3)

According to the derivation, the structure illustrated in Fig. 2(a) clearly includes a sample sheet, an air-gap layer, and a radiation electrical field along the slot line. The line shown in Fig. 2(b) includes the field from both the dielectric substrate and the air-gap layer. Therefore, after the mapping process, the mapping in Fig. 2(a) yields the final mapping in Fig. 2(b), which is used to model the mapping illustrated in Fig. 3(b). Hence, because , the effective permittivity of a one-layer sample and an air-gap layer can be analytically derived by applying (4). For a practical numerical process, an exponent-based polynomial can be applied, and the corresponding coefficients can be extracted using calibrated measurement data

(4) When this closed-form expression is applied, the equivalent permittivity of the various detection cases are computed and, as shown in Fig. 4, compared with the simultaneous results of the HFSS analysis for values of 3.55–6.15, thicknesses of 0.4–0.8 mm, and air gaps of 0.01–0.3 mm. Analyzing the function in (4) enables determining the effective permittivity according to the equivalent width of the coplanar stripline, filling factor , and integers, , by using [10], where the filling factor is calculated from elliptic integers, . The coefficients in (4) can be obtained using the procedure and results in [10]. Fig. 4 indicates that the predicted equivalent permittivity adequately matches the simulated one. According to the results in this figure, the influence of the air-gap distance on the equivalent permittivity can be quantified, and this relationship can be applied to the uncertainty analysis.

250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 4. Equivalent permittivity characteristics of slot lines with a one-layer sample and an air gap computed using (4), and a comparison with the simulation results obtained from the HFSS analysis.

III. FREQUENCY RESPONSE OF THE TCSRR A. Resonator Containing a MUT Layer Without an Air Gap The basic topology of the TCSRR with the MUT can be modeled according to the total capacitance, which results from the substrate under the TCSRR and from the test sample, in the equivalent model of the RLC resonator circuit. The MUT layer influences the electrical field spread from the etched slots. The TCSRR detection structure comprises two parts: the sensor structure and MUT. The top part comprising the TCSRR substrate and the microstrip line is a fixed sensor that includes a constant capacitance from the substrate . The second part, under the ground plane, is the MUT layer, modeled as the unknown capacitance, . The upper and lower capacitances ( and , respectively) are shunt-connected and separated by a magnetic wall. Therefore, the total capacitance of the etched gap is the sum of each shunt capacitance and is expressed as , where is the coupled capacitance between the transmission line and the TCSRR. When the sum of these capacitances is derived, the total equivalent permittivity can be evaluated through conformal transformations, as shown in (5). The inverse-square resonance frequency and the equivalent permittivity of a sample demonstrate a linear relationship [5]. According to this relationship, the slope of the linear relationship function can be used to measure the thickness according to the procedures in [12]. In , is proportional to the value of the sample, implying that these parameters have a linear relationship that can be useful for measuring the permittivity. The value of the filling factor is used in the linear equation for calculating the thickness of the MUTs [17]. Similarly, the slope of the linear relationship determines the thicknesses of the MUTs. (“ ” indicates that the variable is proportional to the variable ), (5)

Fig. 5. Linear relationship between the resonance frequencies and concentric ring lengths with various air gaps under MUT measurement conditions.

B. Resonator With a MUT and Air-Gap Layers reFig. 5 shows the effect of various ring sizes on the sponse when an air gap exists, indicating that can be represented by a modified function of the sensor attached to the MUT (dashed line). The value of decreased when an air gap was included between the MUT and the sensor ground (dotted line). When the MUT was separated from the sensor ground by a substantial distance (i.e., the air gap became extremely wide), the resonance frequency exhibited no change (solid line). Specifically, the resonance frequency response in this situation is the same as that in an example without a MUT attached. On the basis of the results presented in Section II, the resonance frequency of the sensor attached with a MUT (in ) , thickness (mm), and air gap (mm) can be analyzed by fitting the data in a governing equation that is a linear polynomial, as a function of thickness for , for and for . is a modified term defined to calibrate the equation for detecting responses under air-gap conditions. The amount of reduction was modeled using with an initial resonance frequency. The variables and represent the three resonance frequencies created when the sensor probe contacts the MUT, whereas , , and represent the resonance frequencies created when the sensor does not contact the MUT. When the air gap was considered, the frequency response on in the square of the etched ring length exhibited a quasi-linear relationship. The linear relationship response enabled describing the variation as a modification by using the defined function , which was independent of the initial resonance frequency, where is a variable representing the air-gap distance between the MUT and the sensor. Due to the linear response on when the air gap was considered, the effect of the air gap on was quantified as . Furthermore, the terms , , and were quantified for the resonance frequencies and , respectively, as shown in (6)–(8). The functions , , and

YANG et al.: NONCONTACT MEASUREMENT OF COMPLEX PERMITTIVITY AND THICKNESS BY USING PLANAR RESONATORS

251

Fig. 7. (a) Sketch of the electrical field lines in the single TCSRR with duallayered structures. (b) Cross section of the slot rings and equivalent capacitance . models in the dual MUT layers

For the MUT, the -factor depends on the loss tangent of the MUT substrate, a relationship that can be expressed as follows: Fig. 6. -parameters of the sensor with various loss tangents of the sample and a 0.1-mm air gap. material with

represent the slope of the linear equation for the MUT thickness at the resonance frequencies , , and , respectively,

(6) (7) (8)

(9) The dielectric losses can be characterized by the loss tangent (for our proposed model in this study: ), which can be extra losses associated with the conductive loss at the resonance. According to the circuit theorem, the S-parameter measurement can be applied to calculate the loss conductance in the shunt RLC resonance circuit. At the resonance frequency of a resonating structure, the input impedance is equal to the equivalent loss conductance. According to (10), the loss conductance can be calculated using the magnitude (in dB) of the resonance frequency

IV. LOSS-TANGENT EXTRACTION A. Loss Conductance Model of According to resonator theory, the frequency response of the magnitude depends on the resonator loss [8]. The effective sample loss influenced by the frequency response, and it can be calculated on the basis of the -parameter (Fig. 6) This dual-layered structure and the TCSRR can be represented equivalently as a resonance circuit model for extracting loss parameters. The terms and represent the resonator capacitances resulting from the substrate above the TCSRR and from the test sample, respectively. The total equivalent loss conductance comprises the substrate, MUT, and metallization, which can be expressed as . When no MUT exists, the substrate loss and metallization loss of the TCSRR can be simplified and derived by conducting a measurement according to the expression . Moreover, can be extracted separately through a calibration according to the known substrate loss and an approximate linear frequency response. In the detection, the equivalent MUT loss resistance can be obtained by directly shunting with . The sum of and remains constant during the measurement process and can be calibrated before applying the MUT measurements. Therefore, the value of does not affect that of by itself. In the equivalent shunt circuit model, the total inductance of the metal trace is denoted as , which is not affected by the MUT.

(10) The equivalent loss conductance depends on the -factor and the loss tangent of the MUT substrate. The loss-tangent relationship between the equivalent loss resistance and the resonance frequency can be expressed according to . Therefore, a linear relationship between and in the resonator was determined. The value of the loss conductance of the RLC network can be extracted and used to derive the loss tangent of the sample for a particular frequency range. B. MUT Loss Conductance With an Air Gap In the single TCSRR, the etched part is similar to a slot line that emits propagating waves, with the electrical field spreading from the etched slot [18], [19]. The propagating wave in the TCSRR slot exhibits an elliptically polarized distribution that affects the MUT. Since the air-gap layer and the MUT sample sheet can be considered a dual-layered structure, a dual-layer approach was applied for solving the air-gap problem. An equivalent circuit model of shunt admittance at the TCSRR resonance was used to explain the effect of MUT loss on the frequency response. This equivalent circuit model is based on the field distribution in the MUT and the air-gap layer. Fig. 7 illustrates the procedures involved in detecting the dual layers of the dielectric material. Let Layer 2 be an air-gap layer and Layer 3 be the sample material. This structure enables demonstrating the results obtained by placing a low-permittivity material (air) between a high-permittivity MUT and the TCSRR sensor. The dual-layer model involves three unknowns

252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 8. Loss tangent compared with loss conductance for a MUT with an air gap of thicknesses 0.05–0.2 mm.

( , , and ). According to [10], the dual rings provide two governing equations for solving two unknowns simultaneously. In this paper, the TCSRR comprises three resonance frequencies that can potentially be used to address the problem of an unknown air-gap thickness after the complicated governing equations are solved. The proposed technique is expected to simplify the procedure because it obviates the requirement of solving the thickness of the low-permittivity material (i.e., air-gap thickness) when determining the MUT measurement. In such substrates comprising dual dielectric layers, for (MUT) 1 (Air) [see Fig. 7(b)], the electrical field distribution in Layer 2 is perpendicular to the interface spread and wider than the distribution parallel to the interface. The dual dielectric interface resembles an electric wall. The capacitance of the dielectric Layer 2 is decomposed into two components [see Fig. 7(b)]. The term represents the field lines that are perpendicular to the dielectric interface, and the term represents the field lines that are approximately parallel to the dielectric interface, which stores the electrical energy in Layer 2 [14]. The total capacitance of the equivalent circuit is the sum of a series of capacitances in the electrical field that extends from Layer 2 to Layer 1 and generates the capacitance . The electrical field in Layer 3 generates the capacitance (Fig. 7), and the shunt capacitances of the electrical field in Layer 2 generate ,

(11) Fig. 8 shows the lines of the equivalent circuit of shunt , composed of the partial capacitances admittance, and conductance. Consequently, the equivalent loss conductance can be obtained from the total capacitance (11). V. NUMERICAL ANALYSIS FOR EXTRACTION PERMITTIVITY AND THICKNESS

OF

The SC-TCSRR sensor is composed of three rings whose dimensions are , , and . A specific ratio range is required for generating three separated frequency responses for the measurement. The dimensions of the SC-TCSRR structures presented in this example are listed as follows: mm,

Fig. 9. Relationship function between the resonance frequencies and detecting the 0.8-mm thickness of a MUT with a 0.05-mm air gap.

for

mm, mm, mm, and the etched width mm. Therefore, the three resonance frequencies are not close to each other. The microstrip line is 40 mm long and 1.5 mm wide. Fig. 1 illustrates the sensing structure. In Fig. 9, and are plotted as linear functions of the value and the effects of the air gap. The slope of the linear relation function indicates the various MUT thicknesses. Slope measurements conducted for determining the deviation in resonance frequencies revealed an exponential trend. The term is a constant for , and is a constant for , as shown in (12) and (13), (12) (13) (14)

(15) (16) (17) (18) The function for determining the thickness was defined ac, cording to a ratio representing changes in the quantities of , and , as shown in (14): . The effect of the air gap was eliminated through a simple manipulation, and the pure function thickness in (14) was obtained without knowing the exact value of the air gap. The MUT permittivity was subsequently calculated, as shown in (15). In the study example, was 0.364, and was 3.071. In addition, , , and were derived as shown in (16)–(18), respectively (see Appendix B). Due to this linear relationship, the sensor can be calibrated using two point measurements, enabling the loss tangent of unknown materials to be calculated. To simplify the model, the linear relationship can be determined after the calibration for identifying the functional dependence between the measured

YANG et al.: NONCONTACT MEASUREMENT OF COMPLEX PERMITTIVITY AND THICKNESS BY USING PLANAR RESONATORS

253

Fig. 10. Flowchart of the measurement process.

resonant frequency (GHz), magnitude (dB), and the loss-tangent values, as indicated in the linear polynomial that is fit from (9) and (11). An efficient approach enables calculating the loss of the MUT sample by adopting a single-step measurement, GHz (19) VI. COMPLEX PERMITTIVITY MEASUREMENT PROCEDURE The main design procedure involves six steps. As shown in the flowchart of Fig. 10, the design procedure is simple and straightforward. In Step 1, the two constants ( and ) of the initial SC-TCSRR operating frequencies without a MUT are calculated. In addition, the loss conductance of the substrate and the metal can be obtained using the assigned operating frequencies. In Step 2, the SC-TCSRR contacts the MUT, with the resonance frequencies and being measured simultaneously. The air-gap effect on the frequency response is eliminated through a simple manipulation, and the thickness function in (14) is obtained. The MUT permittivity is subsequently calculated, as shown in (15). In Step 3, according to the known MUT permittivity and thickness in Step 2, the equivalent permittivity is used to calculate the air-gap distance. In Step 4, the input impedance of the circuit network can be extracted and used to derive the loss conductance. Finally, the loss conductance is calibrated to derive the loss tangent of the sample (Step 5) for assigning the air-gap distance and operating frequencies (Step 6). VII. MEASUREMENTS AND DISCUSSION A. Measurement Setup The MUTs were measured by employing an Agilent N5234A PNA-L microwave network analyzer to evaluate the deviation in the three resonance frequencies of the proposed SC-TCSRR sensor. The input power was set to 15 dBm, and 3200 frequency-swept points were used. The resonance frequencies of Rogers 5880 sample materials with a constant thickness

Fig. 11. Measurement setup for positioning a dielectric sheet on the prototype SC-TCSRR sensor. (a) Whole setup with N5234A PNA-L. (b) Overview of the translation stage. (b) Side view.

in addition to various air gaps were measured. The proposed methodology was experimentally verified. The air-gap distance was controlled using a Unice E-O 06VTS-3 vertical translation stage, which is a movement-control stage with a precision of 2.5 m. A platform station, which accommodated air gaps ranging from 0.005 to 0.2 mm while providing accurate control, was fabricated to facilitate the measurement procedures. This measurement platform comprised an upper cover, a sample holder attached to the translation stage, and a lower plate base for affixing the detection probe (Fig. 11). The base involved plastic clamp pieces, which supported the sensor and provided four edges that were secured by screws. The MUT housing was placed on a sample holder above the base. The upper cover comprised a metal screw capped with a polyacetal sheet, where the MUT sheet could be inserted in the sample holder for precise air-gap control. The top side of the cover was hollow, thus minimizing the effect of the adjacent plastic on measurements. The translation stage provided vertical movement. The SC-TCSRR sensor was installed on the bottom holder and affixed to the translation stage. The sample holder was attached to the movable upper part of the translation stage. The upper part was calibrated using a Keyence LK-H020 laser displacement instrument. The sensor was connected to a cable, which was firmly affixed to the lower base. The displacement stage exhibited a high resolution of 2.5 m and a feed length of 0.01 mm. B. Air-Gap Estimation Table I shows the results of the thickness estimation, which was conducted using (14) with air gaps ranging from 0.1 to

254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE I EXPERIMENTAL MEASUREMENTS FOR A ROGERS 5880 MUT WITH THICKNESS OF 1.576 mm AND VARIOUS AIR GAPS

A

Fig. 13. Measured (dB) response and resonance frequency of the SC-TCSRR sensor for various MUTs. The air gaps were controlled at 0.1 and 0.2 mm. The resonance frequency shifts to lower frequencies, depending on and the loss tangent of the sample material. The calculated results are shown in Table III. (Permittivity values are already shown in Table II).

Fig. 12. Measured resonance frequencies of the SC-TCSRR sensor for various MUTs with a 0.2-mm air gap. The calculated results are shown in Table II. TABLE II SUMMARY OF THE EXPERIMENTAL MEASUREMENTS PERMITTIVITY FOR A 0.2-mm AIR GAP

Shenzhen, China), with various loss tangents and air-gap values were subsequently measured and experimentally verified. To verify the sensing, the resonant frequency of the sensor was analyzed using actual aircraft coating-paint parameters or the composed random material ranges (permittivity range , thickness – mm, and dielectric loss tangent of the sample ) [21], [22].

OF

0.5 mm. When an air gap occurs between the MUT and the sensor, the measurement of a 1.576-mm thickness remains acceptable if the error is low (i.e., between 1.9% and 6.6%; 3.5% on average). Fig. 12 illustrates the noncontact measurements of resonance frequency responses in various MUTs when the air gap was set to 0.2 mm. Following the noncontact approach involved in the measurement procedures shown in the flowchart (Fig. 10) enabled the thickness and permittivity to be calculated and estimated using (14) and (15), respectively. These results (Table II) are consistent with the values published by the manufacturer (within a 5.05% error in and 4.32% error in thickness) or the values measured using the Agilent Technologies (Santa Rosa, CA, USA) 85070E probe (within a 6.63% error in ). The measurement results are also adequately consistent with the results of the traditional method in [20] (average errors of 6.23%), even under the condition of a 0.2-mm air gap. C. Measurements of Permittivity and Thickness With Air Gap Four sample materials, including Rogers 5880, Rogers 4003 (Rogers, Chandler, AZ, USA), and FR4 (Kinsten International,

D. Measurements of Loss Tangent With Air Gap magnitude (dB) of a The resonance frequency and MUT with a fixed thickness were measured (Fig. 12). The corresponding loss-tangent results were derived using (19) and are summarized in Table III. The term was already proven to correspond with in (15). Next, the magnitude of the resonance frequency was validated to relate to in (19). The air-gap distance was not only cancelled, but was also approachable by using (4). After the derivation of the thickness of the air gap, was obtained. These results are consistent with the values provided by the manufacturer (within a 5.18% error in air gap and a 37.87% error in on average). Fig. 13 illustrates the measurement results, and Table III shows a summary of the results of the estimated air gaps and . Moreover, the proposed loss-tangent extraction procedure is applicable to medium- and high-loss dielectric substrates, with the estimation errors increasing for materials exhibiting extremely low loss tangents, thus signifying that the proposed triple parameter measurement method is convenient and feasible. In addition, the values obtained using the proposed method closely match those provided by the manufacturer. E. Measurement Uncertainty Several uncertainty factors are related to the measurement of permittivity and loss tangents: model approximation, the parameter-extraction method, the measurement setup and environment, and fabrication variation. In [23], a multiline thru-reflect-

YANG et al.: NONCONTACT MEASUREMENT OF COMPLEX PERMITTIVITY AND THICKNESS BY USING PLANAR RESONATORS

TABLE III SUMMARY OF THE EXPERIMENTAL MEASUREMENTS OF COMPLEX PERMITTIVITY FOR 0.1- AND 0.2-mm AIR GAPS

line (TRL) algorithm was applied to measure propagation constants, with the uncertainty of several design parameters being analyzed. The thickness of coplanar waveguide (CPW) metal was determined to be a major factor affecting the measurement accuracy, and the conductivity of CPW metal was demonstrated to have a significant impact on the measurement of loss tangents. In [8], the existence of an air gap caused a large nonnegligible effect on the measurement accuracy. Even a small 0.01-mm air gap may cause more than a 10% error in the permittivity of a 2-mm-thick MUT. Therefore, our proposed approach focuses on the uncertainty associated with the effect of air gaps. Using the SC-TCSRR facilitates substantially reducing the errors. The average error in permittivity was approximately 5% for a MUT with a 0.2-mm air gap. To compare the proposed method with the techniques presented in [8] and [10], the experiments conducted in previous studies were repeated in the current study by using the same types of material. In the commonly performed planar measurement, in which the MUT and the senor are assumed to be tightly connected (i.e., without an air gap), the effect of an imperfect connection between the sensor and the MUT on the resonance frequency was estimated and compared. The results of a simulation involving an air gap of only 0.01 mm revealed that singlelayer MUTs with thicknesses of 2, 4, and 6 mm demonstrated resonance frequency variations (compared with the resonance frequency of a MUT without an air gap) of 99.59%, 99.08%, and 98.46%, respectively. Due to the additional errors, the simulated MUTs exhibited permittivity errors of 2.94%, 6.38%, and 6.57%, respectively. In an additional simulation involving an air gap of 0.03 mm, the MUTs exhibited frequency shifts of 99.24%, 97.32%, and 95.10%, respectively, and additional permittivity errors of 4.05%, 8.02%, and 13.92%, respectively [10]. In addition, without the proposed approach, the planar sensor is sensitive to the air gap when measuring loss tangents [8]. Without the noncontact technique, even minimal gaps cause severe measurement errors. The proposed techniques provide excellent solutions for reducing the effect of air gaps when measuring the permittivity, thicknesses, and loss tangents of singlelayer structures. VIII. CONCLUSION This paper has presented a noncontact technique for measuring the complex permittivity of MUTs by using a single-step

255

approach. The thickness, dielectric value, and air gap can be fully determined using an SC-TCSRR sensor according to the dependence of the resonance frequencies. The presence of air gaps can be detected, and the thickness of the air gaps can be quantitatively estimated by using the proposed elimination algorithm for the three resonance frequencies of the resonator. Noncontact measurements of the linear relationships between the responses of the resonance frequencies were conducted, thus enabling the thickness and permittivity of the sample material to be determined in a simple straightforward manner. The proposed approach was verified using a precisely fabricated 2.5- m translation stage. For a 0.2-mm air gap, the thickness measurements yielded an average error of 4.32%. When an air gap of only 0.03 mm exists, the conventional sensor approach can exhibit permittivity errors of up to 13.92%. However, our measured permittivity for a 0.2-mm air gap was 5.05% on average. The permittivity measurements obtained using the SC-TCSRR were more stable and accurate than those in the literature. The proposed technique is a noncontact method for obtaining rapid, stable, and reliable measurements and for predicting the and thickness of MUTs. APPENDIX A The electrical field distribution of the resonant slot-line structure is on the -plane, and the transformation occurs through the following sequence of conformal mapping steps [14], (A1) (A2) where is the substrate thickness in the mapping. The complex variable and the parameter are computed as follows: (A3) (A4) Equation (A1) is used to transform the -plane dimensions and to the -plane dimensions and , respectively. Next, (A2)–(A4) are used to transform the -plane dimensions and to the -plane dimensions and , respectively [see Fig. 14(a)–(c)]. The mapping step is executed under the assumption that the main part of the radiation electromagnetic field that exerts a considerable effect on the slot-line edge is concentrated in only the region above and below the CSRR-etched slot. The method that is based on the resonant metal part of the slot-line structure exhibits initial standard properties [see Fig. 14(a)]. The dashed line indicates the radiation field distribution near the metal and the slot. The standard etched-ring structure resides on the -plane [see Fig. 14(a)]. The mapping steps first entail mapping the -plane to the -plane before mapping the -plane to the -plane, as shown in (A1)–(A4). Finally, this method is applied to derive closed-form expressions for the effective permittivity by mapping the -plane structure to the -plane. The transformational dimension is consistent with and , representing complete elliptic integrals of the first type with . In this method, the electrical field radiation

256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

(B10) can be cancelled by diFinally, the common factor viding (B9) by (B10), after which the thickness becomes the only variable as shown in (B11), which is (14) in Section V,

(B11) The terms on the left-hand sides can be represented as

Fig. 14. Conformal mapping of the CSRR slot metal and plane transformation.

and metal slot line are considered to be a set of parallel-plate capacitors at CSRR resonance, (B12)

(A5) Therefore, is obtained as the capacitance of the slot line [see Fig. 14(a) and (c)]. APPENDIX B This section explains (12)–(15) in detail. First, derivations are conducted using the fundamental relationships from (6)–(8), (B1) (B2) (B3) Two constants are substituted with the symbols pressed subsequently,

and

as ex(B4) (B5)

When these constants (B4) and (B5) are substituted into (B1)–(B3), (B6)–(B8) can be obtained, (B6) (B7) (B8) Therefore, after algebraic manipulations, two equations can be equivalently represented from (B6)–(B8), (B9)

(B13) When obtained.

is moved to the left-hand side, (15) can be REFERENCES

[1] K. Saeed, M. F. Shafique, M. B. Byrne, and I. C. Hunter, “Planar microwave sensors for complex permittivity characterization of materials and their applications,” in Applied Measurement Systems, Z. Haq, Ed. Rijeka, Croatia: InTech, 2012. [2] R. Zoughi, Microwave Non-Destructive Testing and Evaluation. Dordrecht, The Netherlands: Kluwer, 2000. [3] C. Gabriel, “Compilation of the dielectric properties of body tissues at RF and microwave frequencies,” AFOSR/NL, Bolling AFB, DC, USA, AFOSR-TR-96, 1996. [4] P. Gascoyne, J. Noshari, F. Becker, and R. Pethig, “Use of dielectrophoretic collection spectra for characterizing differences between normal and cancerous cells,” IEEE Trans. Ind. Appl., vol. 30, no. 4, pp. 829–834, Apr. 1994. [5] M. S. Boybay and O. M. Ramahi, “Material characterization using complementary split-ring resonators,” IEEE Trans. Instrum. Meas., vol. 61, no. 11, pp. 3039–3046, Nov. 2012. [6] M. S. Boybay and O. M. Ramahi, “Non-destructive thickness measurement using quasi-static resonators,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 4, pp. 217–219, Apr. 2013. [7] C. D. Abeyrathne, M. N. Halgamuge, P. M. Farrell, and E. Skafidas, “Performance analysis of on-chip coplanar waveguide for in vivo dielectric analysis,” IEEE Trans. Instrum. Meas., vol. 62, no. 3, pp. 641–647, Mar. 2013. [8] C.-S. Lee and C.-L. Yang, “Complementary split-ring resonators for measuring dielectric constants and loss tangents,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 8, p. 563, 565, Aug. 2014. [9] A. M. Albishi, M. S. Boybay, Ramahi, and O. M. Ramahi, “Complementary split-ring resonator for crack detection in metallic surfaces,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 6, pp. 330–332, Jun. 2012. [10] C.-S. Lee and C.-L. Yang, “Single-compound complementary splitring resonator for simultaneously measuring the permittivity and thickness of dual-layer dielectric materials,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 6, pp. 2010–2023, Jun. 2015. [11] H.-C. Wang, A. Zyuzin, and A. V. Mamishev, “Measurement of coating thickness and loading using concentric fringing electric field sensors,” IEEE Sens. J., vol. 14, no. 1, pp. 68–78, Jan. 2014. [12] C.-S. Lee and C.-L. Yang, “Single compound complementary split-ring resonator for simultaneously measuring permittivity and thickness,” in IEEE-MTT Int. Microw. Symp. Dig., Tampa, FL, Jun. 2014, pp. 1–3.

YANG et al.: NONCONTACT MEASUREMENT OF COMPLEX PERMITTIVITY AND THICKNESS BY USING PLANAR RESONATORS

[13] C.-S. Lee and C.-L. Yang, “Non-contact measurement of permittivity and thickness using planar resonators,” in IEEE-MTT Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–3. [14] J. Svacina, “Dispersion characteristics of multilayered slotlines—A simple approach,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1826–1829, Sep. 1999. [15] J. D. Baena, J. Bonache, F. Martin, R. M. Sillero, F. Falcone, T. Lopetegi, M. A. G. Laso, J. Garcia-Garcia, I. Gil, M. F. Portillo, and M. Sorolla, “Equivalent-circuit models for split-ring resonators and complementary split-ring resonators coupled to planar transmission lines,” IEEE Trans. Microw Theory Techn., vol. 53, no. 4, pp. 1451–1461, Apr. 2005. [16] S. B. Cohn, “Slot line on a dielectric substrate,” IEEE Trans. Microw. Theory Techn., vol. MTT-17, no. 10, pp. 768–778, Oct. 1969. [17] S. Gevorgian, Ferroelectrics in Microwave Devices, Circuits, and Systems. London, U.K.: Springer, 2009. [18] J. Naqui, M. Duran-Sindreu, and F. Martin, “Modeling split-ring resonator (SRR) and complementary split-ring resonator (CSRR) loaded transmission lines exhibiting cross-polarization effects,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 178–181, Mar. 2013. [19] F. Aznar-Ballesta, M. Gil, M. Durán-Sindreu, J. Bonache, and F. Mart´ın, “Characterization of metamaterial transmission lines with coupled resonators through parameter extraction,” in Metamaterial, X.-Y. Jiang, Ed. Rijeka, Croatia: InTech, 2012. [20] P. A. Bernard and J. M. Gautray, “Measurement of dielectric constant using a microstrip ring resonator,” IEEE Trans. Microw Theory Techn., vol. 39, no. 3, pp. 592–595, Mar. 1991. [21] M. T. Ghasr, D. Simms, and R. Zoughi, “Multimodal solution for a waveguide radiating into multilayered structures—Dielectric property and thickness evaluation,” IEEE Trans. Instrum. Meas., vol. 58, no. 5, pp. 1505–1513, May 2009. [22] Y. Li, N. Bowler, and D. B. Johnson, “A resonant microwave patch sensor for detection of layer thickness or permittivity variations in multilayered dielectric structures,” IEEE Sensors J., vol. 11, no. 1, pp. 5–15, Jan. 2011. [23] U. Arz and D. F. Williams, “Uncertainties in complex permittivity extraction from coplanar waveguide scattering-parameter data,” in 81st Microw. Meas. Conf., 2013, pp. 1–6. Chin-Lung Yang (S’02–M’07) received the B.S. degree in electrical engineering from National Tsing-Hua University, Hsinchu, Taiwan, in 1997, the M.S. degree in electrical engineering from the Communication Institute at National Taiwan University, Taipei, Taiwan, in 1999, and the Ph.D. degree in electrical and computer engineering from Purdue University, West Lafayette, IN, USA, in 2007. Following one year of postdoctoral work with the IDEAS Laboratory, Purdue University, he joined the Faculty of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, where he is currently an Associate Professor. In 2008, he established the Wireless Innovative System EM-applied (WISE) Laboratory, National Cheng Kung University. He has authored or coauthored over 90 papers in technical journals, conference proceedings, technical reports, and book chapters. His research is focused on RF biomedical applications, energy harvesting, integrated RF front-ends, RF integrated circuits (RFICs), diversity design, RF powering techniques, wireless sensor networks (WSNs), implantable antennas, and flexible electronics. Dr. Yang is an active Technical Program Committee (TPC) member, a Reviewing Committee member, a session convener, and a session chair for several major international conferences. He was sponsored by the National Science Foundation (NSF) during his Ph.D. research (2003–2006). Taiwan’s Ministry of

257

Science and Technology (MOST) has sponsored his advanced research on microwave and biomedically applied topics (2008–2016). He was the recipient of pilot overseas internship grants from the Taiwanese Government (2010–2012). He was also the recipient of the Future Star Project Award of the EECS, National Cheng Kung University (2013), the Best Gold Member Award of the IEEE Tainan Section (2012), and the Teaching Excellence Award of National Cheng Kung University (2014). He has advised several graduate students who won Thesis Awards in 2012 and 2014.

Chieh-Sen Lee (S’11–A’11) was born in New Taipei, Taiwan, in 1986. He received the M.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, in 2011, and is currently working toward the Ph.D. degree in electrical engineering at National Cheng Kung University. His current research interests are in the area of microwave sensors for characterization of materials and microwave measurement techniques, ultra-wideband (UWB) tunable antennas, impedance matching networks, and coupling filter design. Mr. Lee was the recipient of Pilot Overseas Internship Grants from the Taiwanese Government (2011–2012). He was a finalist in the Best Student Paper Contest of the 2015 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Kuan-Wei Chen (S’15) was born in 1988. He received the B.E. degree and M.S. degree in mechanical and electromechanical engineering from National Sun Yat-Sen University, Kaohsiung City, Taiwan, in 2007 and 2013, respectively, and is currently working toward the Ph.D. degree in electrical engineering at National Cheng Kung University, Tainan, Taiwan. He is currently with the Wireless Innovative System EM-Applied Laboratory (WISE Lab), National Cheng Kung University. His main research interests include piezoelectric harvesting, optofluidics, and glass microfluidics. He is currently involved with a research project concerning a batteryless wireless button based on a piezoelectric energy harvesting method. The aim of this project is to explore ways to scavenge power for small wireless sensor nodes. He is specifically involved with the design of piezoelectric devices to convert kinetic energy of low-frequency vibrations to electric energy for use in wireless nodes.

Kuan-Zhou Chen was born in Taichung City, Taiwan, in 1990. He received the B.E. degree in electrical engineering from National Chi Nan University, Nantou, Taiwan, and is currently working toward the M.E. degree in electrical engineering at National Cheng Kung University, Tainan, Taiwan. He is currently with the Wireless Innovative System EM-Applied Laboratory (WISE Lab), National Cheng Kung University. His main research interests are active microwave measurement techniques and sensors.

258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

On Impedance-Pattern Selection for Noise Parameter Measurement Michael Himmelfarb, Student Member, IEEE, and Leonid Belostotski, Senior Member, IEEE

Abstract—Several signal-source impedances (admittances) are required to fully characterize the noise behavior of a linear device. This paper expands the theory of choosing admittances required for noise parameter extraction by finding sets that are guaranteed to form systems of linearly independent equations. A minimal set of four admittances is chosen from four linearly independent admittance regions. Most prior methods require a least-squares solution to noise parameters, using a redundant number of admittances. The proposed method employs a direct solution to the noise parameters using the four admittances. The proposed method also allows adapting the four admittances to overcome signal-source admittance-tuner frequency limitations and/or reducing uncertainty in the minimum noise factor extraction provided approximate knowledge of optimal admittance for minimum noise. The measurement and simulation results demonstrated that the adaptable selection criterion extracted noise parameters well within uncertainties of noise parameters found with patterns previously reported in literature. Measurements and theory in this work demonstrate that, in general, absolute reflection coefficients of source admittances do not need to exceed approximately 0.4 but should also be less than 0.9. The flexibility of selecting the signal-source admittances has immediate advantages in accurately determining noise parameters of conditionally stable amplifiers, low-frequency devices operating beyond the tuner specifications, devices operating at high frequencies where tuner losses are high, minimizing measurement time, and cases where available admittances do not encompass regions required by other methods. Index Terms—Impedance pattern, low-noise amplifiers, noise measurement, noise parameters.

S

I. INTRODUCTION

EVERAL methods of selecting admittances for the purpose of measuring the noise parameters [1] of a device exist [2]–[10]. The noise parameters of the device are known as minimum noise factor, , equivalent noise resistance, , and optimal signal-source admittance for minimum noise, . With the noise parameters, the noise factor of a linear device as a function of the signal-source admittance is given as (1) Manuscript received June 26, 2015; revised September 05, 2015; accepted November 21, 2015. Date of publication December 18, 2015; date of current version January 01, 2016. This work was supported in part by the University of Calgary, in part by the Natural Sciences and Engineering Research Council of Canada (Grant RGPIN/358707-2013), in part by the Canada Research Chair Program, and in part by CMC Microsystems. The authors are with the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB T2N 1N4 Canada (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504500

In order to solve for the four noise parameters ( , , , and ) multiple noise factors must be measured for different signal-source admittances, which, when converted to reflection coefficients and plotted on a Smith chart, form patterns whose configuration is the subject of this work. The purpose of selecting well-placed signal-source admittances, as opposed to simply increasing the number of admittances for high redundancy, is to minimize measurement time while maintaining accuracy and measurement uncertainty [3]. Previous works [3], [8] have assumed that a uniform coverage of the Smith chart should be beneficial for noise parameter extraction. However, previous pattern selection methods have not proven theoretically why the absolute locations of each signal-source admittance on the Smith chart should be dependent on the location of other admittances in the pattern. In addition, [5] has shown that a nonuniform coverage could in fact be beneficial, although extra admittance points were used in [5] making the comparison between methods less obvious. In this work the restriction that the admittance pattern has to cover a Smith chart uniformly is not imposed, instead the system of equations formed with measured noise factors for four s is analyzed to find all s that guarantee a diagonally dominant system and thus guarantee a solution. Because the locations of the admittances are not artificially restricted, the resultant admittance patterns form regions of acceptable s, which, unlike of a specific deother methods, can be modified based on vice under test (DUT), the DUT stability limitations, or based on admittance tuner limitations. De Dominicis et al. [8] demonstrated that it is possible to use a minimum of four signal-source admittances to achieve good measurement accuracy. The method of identifying points was based on which source admittances generated a matrix with the largest determinant. The measurements performed in [8] swept three admittances radially on the Smith chart with a constant abwas fixed in the solute reflection coefficient, while another center. The results in [8, Fig. 4] showed that the relative error of all measured noise parameters was minimized when the three s were separated by 90 and two of them were purely real. Additionally, the measurements showed wide flat regions of low-noise parameter errors with respect to the phase separation of reflection coefficients, , corresponding to the admittance pattern. The reasons for these regions were not fully explained in [8]. While 90 phase separation produced the lowest noise parameter errors in [8, Fig. 4], [8] proposed the phase separation of 120 to correspond with the largest determinant, which was the criterion used for pattern selection.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

HIMMELFARB AND BELOSTOTSKI: ON IMPEDANCE-PATTERN SELECTION FOR NOISE PARAMETER MEASUREMENT

Van den Bosch et al. [5] proposed a nine-point pattern where the cross admittance pattern from [3] was used and four additional s were selected. The work in [5, Fig. 2] also performed a simulation analysis of the relative error in with respect to the orientation of the cross pattern in [3]. The results showed minimum error when the cross pattern aligned with angles 0 , 90 , 180 , 270 on the Smith chart. Both [5], [8] show evidence that one source impedance should be 50 , two admittances on the real axis, and the remaining admittances have imaginary components. The measurements in [8, Fig. 4] and [5, Fig. 2] did not provide explanations as to why the measured noise parameter error is minimized when signal-source reflection coefficient phases are aligned with 0 , 90 , 180 , 270 on the Smith chart. This paper provides theoretical explanation for what source admittances guarantee a direct solution to the noise parameter measurements, and provides mathematical reasoning for the observations made in [5] and [8]. Section II of this paper derives the criterion for source admittance selection. The uncertainty of the noise-parameter-extraction setup is simulated in Section III to compare the performance between the patterns previously reported in literature and the proposed admittance regions. Section IV outlines the measurement procedure used in this work to validate the proposed method, whereas Section V shows the measured results comparing the different admittance patterns. This is followed by a discussion of results in Section VI and conclusions are given in Section VII. II. ADMITTANCE-SELECTION CRITERION With some exceptions [11], (1) is often written in terms of and . This form of (1) significantly simadmittances plifies the ensuing expressions and thus is commonly adopted in many noise parameter extraction techniques even though at RF and microwave frequencies scattering waves are the only practical way of measuring electrical parameters of one and two-port networks. However, since the admittances needed for (1) are easily obtainable from the corresponding reflection coefficients, throughout the paper we refer interchangeably to admittances and and the corresponding reflection coefficients and , is the reference characteristic admittance. where As mentioned in Section I, several noise factors with corresponding source admittances must be known to determine the four noise parameters at each frequency of interest. However, the relationship between them in (1) is nonlinear, but can be linearized given a swap of parameters derived in the seminal work by R. Lane [7]. Thus, following [7], the noise factor can be expressed in terms of four linearized noise parameters A, B, C and D as (2)

259

which can be represented in a matrix form for four measurements as

(3)

Now that the relationship between the noise parameters and noise factor is linear, we can solve for the noise parameters with a minimum of four source admittances if each equation in (3) is linearly independent and is invertible. According to [12], if a matrix is diagonally dominant then it is guaranteed to be invertible as proven with the Levy-Desplanques theorem. Applying diagonal dominance on (3) results in the constraints (4) is an element from . In order to make a linearly where independent matrix with a minimum of four admittances, it is required that each of these admittances results in a dominant row. Then, for each linearized noise parameter there is a corresponding dominant admittance, and for this reason the source admittances will be referred to by their corresponding linearized noise parameters instead of with numbers. For example, will be expressed as the -dominant conductance and so on. However, given the form of (3), cannot be found to be diagonally dominant for all of the linearized noise parameters. If is not diagonally dominant then when solving (3) it is possible to produce erroneous noise parameter solutions. This is due to the problems associated with poor linear independence and ill-conditioning of , and thus, previous noise parameter measurements have used least-squares methods on more than four admittances [2]–[7]. Our solution is to introduce column-wise scaling factors , , , and to produce a new matrix

(5) so that

(6)

It then becomes possible to discover many admittances that satisfy the diagonal dominance criterion when using . In order to determine appropriate scales that produce diagonally dominant

260

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

admittances the following inequalities are created by applying (4) on to give (7)

are symmetrical across the real axis of the Smith chart because all susceptances in the diagonal dominance criterion are inside absolute values. Therefore, we find the bounds on , , along the real axis (axis of symmetry), by substituting , , into (7)–(10) to obtain

(8)

(11)

(9)

(12)

(10) The bounds for dominant admittances respective to linearized noise parameters A-D are set by (7)–(10), which form regions A-D on the Smith chart and are illustrated later in Section II-B.

(13) Rearranging (11)–(13) one can find the three inequalities

A. Maximally Diagonally Dominant Signal-Source Admittances Some simple observations can be made in order to find maximally diagonally dominant admittances, which are those that minimize the right-hand sides of (7)–(10) and maximize the left-hand sides of (7)–(10). With short algebraic manipulations presented in Appendix A it can be shown that , , , and , as well as , , , and , maximize the diagonal dominance of . Results of Appendix A: • show that, for a high degree of linear independence, three admittances, , , and , should be real, and thus be located on the real axis of the Smith chart; • show that region D does not intersect the real axis at any point because sets the left-hand side of (10) to 0 and fails diagonal dominance condition (10) for any finite scaling factors; • show that since and maximize diagonal dominance of , the maximally dominant lies on the edge of the Smith chart; • show that the maximally dominant admittances agree with the measurements previously discussed in [5] and [8] where with simulations and measurements three admittances, which resulted in minimum errors in noise parameters, were found to be on the real axis on the Smith chart; • provide the theoretical explanation for the observations in [5] and [8]; • show that the maximally diagonally dominant conductances and susceptances, with the exception of and , are independent of scaling factors. While most of the maximally diagonally dominant conductances and susceptances are not dependent on the scaling factors, they are also result in admittances, which lie on the edge of the Smith chart and, therefore, not practical. We next find scaling factors that move , , and inside of the Smith chart. B. Scaling Factors To find the scaling factors that result in regions of dominant, but not necessarily maximally dominant, conductances , , , we first note that all admittances satisfying (7)–(10)

(14)

(15)

(16) Since passive conductances must be real and positive, (15) and (16) simplify to

(17)

(18) Additionally, an impedance tuner may be limited by a maximum attainable reflection coefficient due to its loss. Thus, it is desirable to minimize the minimum absolute values of reflection coefficients for each source admittance required for noise parameter measurements. This is an optional constraint but may be desired. If we want to make the conductances and to have , then the corresponding reflection coefficients should satisfy (19) which reduces to (20) Substituting the lower bound on and with their respective values in (17) and (18), the condition for for

HIMMELFARB AND BELOSTOTSKI: ON IMPEDANCE-PATTERN SELECTION FOR NOISE PARAMETER MEASUREMENT

261

regions A and B can be determined in terms of only scale factors satisfying (21) in When this condition is applied to the maximally dominant (A2), is equal to and is in the center of the Smith chart. This theoretical derivation agrees with experimental results in [3], [5], [8], which also found that one admittance should be chosen at the center of the Smith chart. Another constraint can be seen inside the square root of (14), where the value must be positive. If the condition in (21) is upheld then the constraint becomes (22) Given the constraints in (14)–(22), the procedure for deriving the scale factors used to generate Fig. 1, which illustrates regions A-D, follows these steps: Step A). For diagonal dominance all column scaling is relative to all the other scales, so any one scale factor can be set arbitrarily. For the purpose of future analysis is set to 1. Step B). From (22), should then be less than 25 for . can be chosen to be as close to 25 as possible, but doing so confines region A to a very small area at the center of the Smith chart, which can be deduced from (14). This confinement can be seen by setting the square roots on both sides of (14) to zero. However, confining region A might be desirable because increasing increases the bounds on regions B and C allowing for a smaller minimum reflection coefficient and as shown in Fig. 1. The value of is chosen as a compromise of keeping region A nonsingular and maintaining large and . Step C). With known, the scale for can be determined from (21) to be . Since , , and are already determined, and can be calculated to be 0.426 by substituting scale factors into (17) and (18) and converting them to reflection coefficients. Note that if , the smallest is 0.414 and region A becomes a point. Step D). Determining suitable cannot be based on where it makes inequality (10) maximally dominant because in Appendix A is found to be zero. In this work, is selected such that the minimum absolute reflection coefficient that region D can produce, , is equal to 0.426, and all three outer regions have the same as shown in Fig. 1. The value of is selected to be 2.2 using this method. It should be noted that there is an infinite number of scale combinations that create dominant regions, which can meet the requirements of the inequalities (7)–(10). In Section IV, the situation when different scaling factors are advantageous are discussed. However, what does not change for the diagonally dominant conditions is that the admittance regions A, B, and C cross the real axis because that is where they result in maximally

Fig. 1. Regions that produce diagonally dominant

.

dominant . Also, all four regions are symmetrical across the real axis because all susceptances are either in absolute value or square operands. III. SIMULATIONS We start the validation of the proposed method by performing simulated “measurements” to both accurately determine the noise parameters and predict the total system uncertainty for the proposed and prior methods. The simulation procedure for evaluation of uncertainty, which ISO calls as type-B uncertainty [13], in noise parameter measurements is based on the work at NIST reported in [14]–[16], where a Monte-Carlo-style simulation was performed to determine the type-B uncertainty. The type-A uncertainties are evaluated using statistical means [13] and because these uncertainties are associated with power measurements, having enough measurement samples makes type-A uncertainties negligible [17]. The proposed admittance regions were simulated with Agilent's Advanced Design System (ADS), because it allows us to select the noise parameters of an arbitrary DUT. Five admittance-pattern scenarios were simulated: 511 source admittances spread uniformly over the Smith chart, a 2-step method in [5] that depends on noise parameter estimates, the four point method in [8], the four source admittances using the method proposed in Section II, and a modification of the proposed pattern for devices with highly reflective explained in Section V. In these simulations the receiver noise calibration is also considered based on the setup in [18] and [19]. The noise parameters of the receiver and the DUT were both “measured” with the same admittance pattern for each scenario. The receiver noise parameters are extracted in simulation because this step is required for comparison to experimentally measured noise parameters, and thus, total system uncertainty is

262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE I SIMULATION SETTINGS

TABLE II INSTRUMENT UNCERTAINTY VALUES ADDED TO SIMULATION RESULTS

Note 1. Agilent Technologies Inc. 10 MHz to 26.5 GHz N5241A PNA-X technical specifications, Apr. 2014. Note 2. Agilent Technologies Inc. N8975A NFA-series noise figure analyzers tecnical specifications, Nov. 2007.

Note 1: m, m, Note 2: Receiver input reflection coefficient Note 3: Receiver gain as in [19] Note 4: Noise source cold reflection coefficient Note 5: Noise source hot reflection coefficient Note 6: Excess noise ratio

,

mA

more accurately reflected. The device settings for these simulations are provided in Table I, where the Mini Circuits ZVA-183 is a wide bandwidth amplifier with moderate noise figure, the Avago MGA-16516 is a very low-noise device, and both an NMOS transistor [20] and an Avago GaAs HEMT ATF-35143 transistor have large . The two off-the-shelf amplifiers were chosen because they have considerably different noise characteristics but otherwise they represent a typical amplifier. The transistors were chosen to test the performance of the different admittance selection methods whose uncertainty of noise parameter solutions may depend on the admittance pattern locations relative to [5]. Uncertainties that dominate extracted noise parameter uncertainties are typically associated with measured noise powers and S-parameters and can be estimated based on either previous measurements [15] or based on the equipment data sheets, as was done in this work. The simulation results and settings were then imported into Matlab so that anticipated worst-case measurement errors, shown in Table II, could be added to perform statistical analysis. The Gaussian-distributed S-parameter and tuner repeatability uncertainties were added to the real and imaginary parts of S-parameters. The magnitude of their uncertainty is, therefore, Rayleigh distributed. The statistics were generated over a sample of 10,000 noise parameter extractions as suggested in [15]. These estimated errors and their distributions can be added to ideal values in simulation and then passed through the measurement system. Given that a sufficiently large number of simulated errors are used (typically 10,000), the uncertainty of the least square solution of noise parameters should be accurately reflected. Note that uncertainties in real and imaginary parts of S-parameters were conservatively assumed to be

uncorrelated [14]. The Y-factor measurement method was used for determination of , whereas the direct cold method was used for , , and based on the optimal results found in [19]. The Y-factor method was used for the receiver gain and noise parameter simulated “measurements” based on [19]. Fig. 2 shows the simulation results for the two devices along with the true values from Table I shown with a dashed line. Minimum noise factor is plotted in logarithmic form as noise figure . The results from Fig. 2 demonstrate that each of the methods should perform very similarly as their average values are very close to the true values. Fig. 2 demonstrates that mean values and true values are within uncertainties, but uncertainty is dependent on the admittance pattern used. The highly redundant admittance pattern with 511 points demonstrates the smallest amounts of random error with smaller uncertainty but also demonstrates the highest average offset error between the mean value and the simulated true value. The simulation that uses 511 admittance points should always have the lowest uncertainty because it is the least-squares fit of all possible admittances. The 511 point pattern completely covers the entire Smith chart, which has an averaging effect, and encompasses all admittance pattern selection methods, therefore producing the best measurements. The simulation of 511 points is intended to give the reader a baseline of the best possible uncertainty achievable with no compromise on time and resources. The uncertainty of noise parameter measurements becomes more sensitive to chosen admittances when only the theoretical minimum number of admittances is used. The challenge and the overall goal is to achieve low uncertainty and error with the fewest admittances possible. Based on the simulations, the worst case scenario is a uncertainty in of 0.13 dB for Mini Circuits ZVA-183+and Avago MGA-16516 devices with all methods. However, the Avago ATF-35143 and NMOS transistors have much higher uncertainty with the Avago ATF-35143 uncertainty as high as 0.43 dB across all methods and the NMOS uncertainty higher than 1 dB. The extraction of the noise parameters was simulated for a very high NMOS transistor as shown in Fig. 2(d). Based on this simulation we see that the proposed four-point method produces an average error less than 0.02 dB from the true value represented with a dashed line. In general, however, uncertainty in and are higher for all methods and some solutions can even exceed physical values ( less than 0 dB and ) making these measurements challenging regardless of any impedance pattern. However, this figure demonstrates that using 511 impedances yields a

HIMMELFARB AND BELOSTOTSKI: ON IMPEDANCE-PATTERN SELECTION FOR NOISE PARAMETER MEASUREMENT

3.95

50

3.70

45

3.45

40

3.20

35

0.75

0.40

0.45

0.27

0.15

0.13

-0.15

0.00

263

Fig. 2. (a) Mini Circuits ZVA-183+noise parameter simulation with uncertainty bars. (b) Low-noise Avago MGA-16516 noise parameter simulation with uncertainty bars. (c) Avago ATF-35143 noise parameter simulation with uncertainty bars. (d) NMOS transistor noise parameter simulation with uncertainty bars.

very mild improvement in the average error over four-point methods, although with highly reduced uncertainty. The single transistors with large demonstrated uncertainty as high as 1 dB in , but low average offset error of 0.1 dB for all methods. Since the mean values are close to the true values and well within uncertainty, it is concluded that all the information needed for noise parameter measurements are contained in the proposed regions. Additional simulations were performed to determine how the proposed four-point pattern affects the uncertainty of the noise parameter solutions for different magnitudes of the signal-source reflection coefficients used. The results are shown in Fig. 3. These results show that the total system uncertainty appears to reduce as source reflection coefficients are chosen closest to the maximally dominant admittance points discussed in Section II. IV. EXPERIMENTAL PROCEDURE The source admittances used in measurements were generated by a Maury MT982B01 mechanical impedance tuner. The S-parameters of all passive devices were measured with a vector network analyzer (PNA-N5242A with a N4691-60004 calibration kit). All S-parameter measurements were averaged 32 times. The port powers were chosen to be significantly less than the devices respective input P1dB for good linear

operation essential for noise parameter measurements. The noise power measurements were completed by the Agilent N8975A noise figure analyzer with a N4000A noise source over a bandwidth of 4 MHz, centered at 2.4 GHz, and averaged 32 times. The “raw” S-parameter measurements are read from the network analyzer calibration plane. In order to move the DUT measurements to the DUT plane, we de-embed previously measured S-parameters of any additional input and output transmission lines, the tuner, and input and output switches. This de-embedding of the tuner and switches is done with a TRL calibration, however for on-wafer measurements other calibration methods such as SOLT or LRM might be more appropriate [11]. This de-embedding is a standard procedure used by all commercial noise parameter measurement systems and reported in prior literature [19], [21], [22]. To improve uncertainty in noise figure measurements a pre-amplifier (Mini Circuits ZJL-3G) was used in front of the noise figure analyzer [23]. All measurements were completed in a shielded room, and all devices connected to the DUT were placed in yet another shielded box to prevent any external interference from both outside signals and signals generated from measurement equipment and computers. Fig. 4 shows the measurement setup with the shielded box lid removed, which is identical to the setup in [19]. The receiver noise characterization was done with the admittance selection method in [5] since it has proven performance

264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 3. Noise parameters and its uncertainty obtained with simulations by the proposed 4-point method as a function of input reflection magnitude. (a) Mini m, m, operating at 2 GHz). Circuits ZVA-183+. (b) Avago MGA-16516. (c) Avago ATF-35143. (d) NMOS (

with nine admittance measurements. The receiver noise parameters ( , , ) were measured and averaged three times. Based on the results in [19] the Y-factor measurement method was used to determine , while the direct cold method was used to determine and for best accuracy. All DUT noise parameters were measured using the Y-factor method. Additionally, the S-parameters of each tuner admittance setting and receiver input reflection coefficient were averaged 32 times and saved for DUT noise parameter measurements. Since these intermediate measurements are done once, DUT noise parameter measurements would appear to have smaller uncertainty than that of the total system uncertainty that was estimated in Section III. The ZVA-183 and MGA-16516 amplifiers used in this work were unconditionally stable over the bandwidth and stability was not an issue. The Avago ATF-35143 was populated with recommended input and output matching passives, but was only conditionally stable. For this purpose noise parameter measurements were completed with the magnitude of the signal-source reflection coefficients below 0.8, which guaranteed stable and reliable measurements. V. RESULTS The primary goal of the measurements in this section is to show that the proposed 4-point admittance selection method

produces the same noise parameters within uncertainty as other established admittance patterns, and to show that the proposed method can measure noise parameters where the other methods cannot. Five different admittance methods were measured and compared: the cross method [3], which uses five admittances, the 2-step nine-point method [5], which uses the cross method as an intermediate estimate, a random 30 point method, the 4-point method from [8], and the proposed 4-point method. The nonrandom admittance patterns chosen for the ZVA-183+ are shown in Fig. 5. A least-squares method was used to determine noise parameters for methods with more than four measurements, while the 4-point method produced direct solutions. A value of was used for admittances in regions B, C, and D for the 4-point method. Each method was used to determine both the ZVA-183+and MGA-16516 noise parameters 30 times to produce measurement statistics. Since the amount of time to perform each measurement method is considerably different, they were sequentially staggered so that any long term measurement errors due to temperature and calibration drift would effect all methods equally. Fig. 6 shows the noise parameters for the three measured devices. All noise parameters fall within each other's measurement uncertainties. The dashed line in Fig. 6 is the averaged value from results of all measurements across all methods, which is expected to give the most accurate mean value. This

HIMMELFARB AND BELOSTOTSKI: ON IMPEDANCE-PATTERN SELECTION FOR NOISE PARAMETER MEASUREMENT

265

Fig. 4. Measurement setup inside a shielded room.

Fig. 5. Mini Circuits ZVA-183+ admittance patterns used for noise parameter measurements.

reference line consisted of 150 noise parameter solutions for each device and used over 1560 noise figure/impedance measurement pairs per device. Between the three devices and all the noise parameters measured, the pattern that produced the lowest uncertainty was the 2-step method that uses nine admittances. However, all methods produced mean values within each others uncertainties, demonstrating agreement. A. Minimum and Maximum The next set of measurements swept of the outer three points in regions B, C, and D with fixed of 0 , 90 , and 180 to demonstrate that the proposed region minimizes the noise parameters were measured uncertainty. For each 30 independent times to create the statistics shown in Fig. 7. From these measurements we observed that source admittances with or can drastically increase noise parameter uncertainty in our setup. It can also be seen that there

Fig. 6. Noise parameter measurement for different admittance patterns with measurement uncertainty for (a) Mini Circuits ZVA-183+, (b) Avago MGA16516, and (c) ATF-35143.

is a general minimum of uncertainty for most of the noise parameters between for the particular admittance tuner used. These results agree with the cross method measurements made in [3], which also demonstrated a minimum of uncertainty in noise parameters for . Uncerdiscussed tainty also increases below the proposed in Section II. Uncertainty increases above because

266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 8. 0.1-dB noise circles of Avago ATF-35143.

Fig. 7. Noise parameters obtained by 4-point method as a function of input measurement uncertainty. (a) Mini Circuits ZVAreflection magnitude with 183+; (b) Avago MGA-16516; (c) Avago ATF-35143.

sensitivity to noise figure error increases near the edge of the Smith chart as shown in Fig. 8. Uncertainties can be introduced to the noise parameters either because the system of equations is numerically near-singular or directly by measurement uncertainties. To understand why noise parameter uncertainty can be reduced by choosing signal-source pattern near we investigate the noise circles around , whose location is identified with an “ ”in Fig. 8. As we can see the 0.1-dB noise circles are denser away from and around

Fig. 9. Modified pattern for Avago ATF-35143.

the edges of the Smith chart. Therefore, a small uncertainty in the generated source admittances (dashed circles indicating a 20-dB reflection coefficient measurement uncertainty) results in a large uncertainty in measured noise figure. The circles close to are much sparser and, therefore, uncertainty in measured noise factors is less sensitive to source impedance uncertainty. Based on this discussion it is expected that the measurement uncertainty rises for large values of . The results in Fig. 7 agree with prior signal-source admittance pattern selection methods [3], [5], [8] that suggested that signal-source reflection coefficients should also be chosen below 0.8 to 0.9 limit.

HIMMELFARB AND BELOSTOTSKI: ON IMPEDANCE-PATTERN SELECTION FOR NOISE PARAMETER MEASUREMENT

B. “Pattern” Location Relative to It is known that the uncertainty of the noise parameters depends on the location of as shown in [5] where additional impedances are chosen based on an initial estimate of to reduce measurement uncertainty. However, both [8] and the proposed theory of admittance pattern selection are based on the nature of the linearized noise parameter equations and determine optimal admittances based purely on numerical accuracy and, therefore, suggest no dependence of uncertainty on the location of . Therefore, it is likely the case that the uncertainty of the noise parameters as a function of the signal-source admittance pattern must be two-fold, whereas it is common to only consider one problem [matrix in (3)] or the other [uncertainty in in (3)]. In (3), is a function of s and not a function of the system solutions , which relate to . However, the solutions to (3) do depend on and the uncertainty with which is measured depends on (see Fig. 8) and affects the uncertainty in the solutions directly. The manner in which can influence the uncertainty depends on its linear independence and thus only on the chosen pattern. The proposed method is designed to make diagonally dominant and, therefore, reduce the amount by which the solution uncertainty is affected by . To demonstrate the benefit of the proposed method, the admittance pattern was modified by selecting different scaling factors such that the four chosen admittances are as close to as possible, while still maintaining the diagonal dominance criterion. Note that when an approximate location of is not known, it can be estimated first by using the method discussed in this work. The modified pattern shown in Fig. 9 was used on the ATF-35143 device with high . The results of the modified four point method [labeled as “4 Pt. Mod” in Fig. 6(c)] demonstrate a considerable reduction in uncertainty especially in by moving the source impedances closer to . C. Tuner Frequency Extension Additional measurements were performed on the Avago MGA-16516 to further demonstrate the flexibility of the proposed 4-point pattern. The mechanical slug-screw tuner used for measurements is incapable of producing a full set of admittances below 800 MHz due to its physical length being too short. The admittances generated by the tuner are shown with “ ” and proposed admittances are labeled with square markers in Fig. 10. The proposed method is utilized to modify regions A-D by selecting different scaling factors so that they intersect tuner-generated admittances as shown in Fig. 10, to meet the diagonal dominance criterion, and to allow the measurement of noise parameters. Since, insufficient impedances are available for the 2-step method, the cross method, and the other 4-point method that requires 120 separated impedances, these methods could not be used. Instead the measurements with the proposed method were compared to results obtained with 30 random admittances. The statistics were generated for each method by repeating the measurements 30 times as with previous comparisons. At 100 MHz the proposed pattern is no longer able to intersect the signal-source admittances as they become too clustered near the center. The measured noise parameters

267

are shown in Fig. 11. It can be seen that the modified 4-point patterns produce mean noise parameter values very close to the least square solution generated by the 30 admittances. The noise parameters also change fairly uniformly as a function of frequency, which indicates uncertainty has not increased so high that the noise parameter solutions are unreasonable. It can also be seen that the uncertainty increases in both the proposed pattern and the over determined pattern at frequencies at which the proposed pattern can no longer guarantee linear independence between source impedance measurements. At 100 MHz the uncertainty in noise parameters becomes too great and loses practical value. VI. DISCUSSIONS A. Highlights of the Proposed Pattern-Selection Method Minimizing the number of source admittance measurements for accurate noise parameter determination has some advantages such as reducing measurement time and simplifying the procedure. A 4-point method also does not require a least-squares method of solving the linear system of equations because it is not over determined like other methods. The proposed pattern-selection procedure outlines four distinct admittance regions that can be used for general noise parameter measurements. It also allows for the change of scale factors that modify the size and position of regions A-D while accommodating diagonal dominance requirements in inequalities (7)–(10). The proposed method chooses source admittances that are less sensitive to measurement uncertainty while still being guaranteed to produce a linearly independent set of noise equations based on the Levy-Desplanques theorem. This result defies the conventional but fundamentally unsupported reasoning that signal-source reflection coefficients should simply be uniformly spread out for noise parameter measurements and demonstrates a method for choosing signal-source reflection coefficients independent of each other. The highlights of the proposed pattern-selection procedure are as follows: — The knowledge of admittance regions result in linearly independent measurements has benefits for conditionally stable amplifiers for which some admittances have to be avoided. These admittances have to be analyzed from very low frequencies to those that are higher than the frequencies at which the circuit has gain. — The results in Fig. 11 demonstrate that a mechanical tuner can be used to make accurate noise parameter measurements at less than half of its rated frequency. — From Fig. 6(d), it can be seen that the modified 4-point pattern can lower uncertainty in compared to other 4-point methods by choosing source impedances closer to if it is approximately known. — For reliable noise parameter extraction, it was also shown that the of the outer three admittances can be as low as 0.4. This has benefits for measuring the noise parameters of devices at very high frequencies where the insertion loss of mechanical tuners increases, which consequently limits the maximum of admittances that the tuner can produce.

268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 10. Low-frequency (100-to-600 MHz) available source impedances.

— The proposed method could also be used to average out systematic errors that are due to offsets in measured S-parameters. If such offsets are suspected, often the number of admittances is increased to average them out. These errors would affect all previous methods [3], [5], [8] and the proposed method in the same way. However, the proposed method can produce many different potential 4-point patterns that can be averaged to reduce these offset errors while other methods cannot because they are mostly fixed patterns. A drawback to all pattern selection methods discussed is that the tuner-generated reflection-coefficient patterns change with frequency. As for all methods [3], [5], [8], for broadband noise parameter measurements, there must be more than four impedances used. B. Comparison to the Other 4-Point Method [8] The work in [8] claims that there is no relevance for the absolute position of the outer admittances (excluding the centered one). However, the experimental results shown in Fig. 4 of [8] demonstrates that a relationship exists between the absolute locations of the outer three admittances where minimum trends in “% error” exists away from the suggested optimum of 120 . In

Fig. 11. Avago MGA-16516 low-frequency (200-to-600 MHz) noise-parameter measurement.

terms of parameters “ ” and “ ”, which are the separation angles of the three outer points in [8], the theory presented in this

HIMMELFARB AND BELOSTOTSKI: ON IMPEDANCE-PATTERN SELECTION FOR NOISE PARAMETER MEASUREMENT

269

VII. CONCLUSION

Fig. 12. Demonstration for flatness in “% error” in measurements done in [8].

In this paper a method of choosing linearly independent admittances with respect to noise parameters was proposed based on the diagonal dominance criterion. The diagonal dominance criterion predicted four regions of that could be used to solve for the noise parameters. Of these four regions, three intersect the real axis to maximize diagonal dominance and linear independence. The proposed method does not produce an over-determined system of equations so a direct solution of noise parameters can be determined instead of a least-squares solution used by most other methods. The results in this work demonstrated that it is possible to measure the noise parameters of a device operating at frequencies as low as 300 MHz using a mechanical source tuner that can only produce a full set of s at 800 MHz. Additionally, the flexibility of this method over other patterns was shown by reducing uncertainty in by choosing source s close to while still being spread out enough to guarantee linear independence. The minimal required to perform reliable noise parameter measurements was predicted and experimentally verified to be . The largest was found to be less than 0.9, as previously shown in literature, with the overall low-uncertainty range being . Measurements demonstrated that the proposed 4-point method produced noise parameters within uncertainty of other admittance patterns from previously reported methods. Finally, the proposed method explains experimental observations of other prior methods. APPENDIX A DERIVATION OF MAXIMALLY DOMINANT

,

,

, AND

The diagonal dominance of (5) is maximized when inequalities (7)–(9) have their left-hand sides as large as possible and the right-hand sides are as small as possible. Inequality (7) can be rewritten as

(A1)

Fig. 13. Measured tuner reflection coefficient repeatability. Measurements were performed at 2.4 GHz over 88 tuner positions each repeated 200 times.

from which the right-hand side is minimized with When

.

(A2) work predicts that the lowest error should occur when and fall within regions B and D as shown in Fig. 12. This prediction in fact agrees with results in [8] where the “% error” is minimum at and , as can be seen particularly well in and in Fig. 4 of [8]. The “% error” in fact increases a small amount in all noise parameters at , which can also be explained by the pattern in Fig. 12, where the two admittances begin to leave the proposed regions. Additionally, this work explains the relative flatness in the lowest “% error” along “ ” and “ ” visible in data in [8]. Overall, the proposed admittance pattern-selection theory provides a rigorous and comprehensive explanation for the measured results provided by [8].

maximizes the left-hand side of (A1) and maximizes the diagonal dominance of (5) with respect to . Similarly rearranging (9) gives

(A3) that shows that results in the minimum of the right hand and together with maximize the diagonal dominance of (5) with respect to .

270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Next, (8) is rewritten as

(A4) which for

degenerates to (A5)

and maximizes diagonal dominance of (5) with respect to . Further, as the maximum diagonal dominance is attained with response to . Further, from (10)

(A6) which results in and imizing the left-hand side of (A6). APPENDIX B TUNER REPEATABILITY

when max-

To perform uncertainty analysis that is discussed in Section III, the tuner repeatability was measured. The measurement results are shown in Fig. 13.

REFERENCES [1] H. Haus, W. Atkinson, G. Branch, W. Davenport, W. Fonger, W. Harris, S. Harrison, W. McLeod, E. Stodola, and T. Talpey, “Representation of noise in linear twoports,” Proc. IRE, vol. 48, pp. 69–74, Jan. 1960. [2] A. Boudiaf, M. LaPorte, J. Dangla, and G. Vernet, “Accuracy improvements in two-port noise parameter extraction method,” in Proc. IEEE Int. Microwave Symp., Albuquerque, NM, USA, Jun. 1–5, 1992, vol. 3, pp. 1569–1572. [3] A. Davidson, B. Leake, and E. Strid, “Accuracy improvements in microwave noise parameter measurements,” IEEE Trans. Microw. Theory Techn., vol. 37, no. 12, pp. 1973–1978, Dec. 1989. [4] M. Sannino, “On the determination of device noise and gain parameters,” Proc. IEEE, vol. 67, no. 9, pp. 1364–1366, Sep. 1979. [5] S. Van den Bosch and L. Martens, “Improved impedance-pattern generation for automatic noise-parameter determination,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 11, pp. 1673–1678, Nov. 1998. [6] M. Mitama and H. Katoh, “An improved computational method for noise parameter measurement,” IEEE Trans. Microw. Theory Techn., vol. 27, no. 6, pp. 612–615, Jun. 1979. [7] R. Lane, “The determination of device noise parameters,” Proc. IEEE, vol. 57, no. 8, pp. 1461–1462, Aug. 1969. [8] M. De Dominicis, F. Giannini, E. Limiti, and G. Saggio, “A novel impedance pattern for fast noise measurements,” IEEE Trans. Instrum. Meas., vol. 51, no. 6, pp. 560–564, Jun. 2002. [9] G. Vasilescu, G. Alquie, and M. Krim, “Exact computation of two-port noise parameters,” Electron. Lett., vol. 25, pp. 292–293, Feb. 1989. [10] H. Hillbrand and P. Russer, “An efficient method for computer aided noise analysis of linear amplifier networks,” IEEE Trans. Circuits Syst., vol. 23, pp. 235–238, Apr. 1976.

[11] D. Pasquet, E. Bourdel, S. Quintanel, T. Ravalet, and P. Houssin, “New method for noise-parameter measurement of a mismatched linear twoport using noise power wave formalism,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 9, pp. 2136–2142, Sep. 2008. [12] C. R. Horn and R. A. Johnson, Matrix Analysis. Cambridge, U.K.: Cambridge Univ. Press, 1994. [13] ISO Guide to the Expression of Uncertainty in Measurement. Geneva, Switzerland, International Organization for Standardization, 1993. [14] J. Randa, “Noise-parameter uncertainties: A monte carlo simulation,” J. Res. Nat. Inst. Stand. Technol., vol. 107, pp. 431–444, 2002. [15] J. Randa, Amplifier and Transistor Noise-Parameter Measurements. Hoboken, NJ, USA: Wiley, 2014. [16] J. Randa, Uncertainties in NIST Noise-Temperature Measurements, Nat. Inst. Stand. Technol., Tech. Rep. 1502, Mar. 1998. [17] J. Randa and D. Walker, “On-wafer measurement of transistor noise parameters at NIST,” IEEE Trans. Instrume. Meas., vol. 56, no. 4, pp. 551–554, Apr. 2007. [18] L. Belostotski, “A calibration method for RF and microwave noise sources,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 178–187, Jan. 2011. [19] L. Belostotski and J. Haslett, “Evaluation of tuner-based noise-parameter extraction methods for very low noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 236–250, Jan. 2010. [20] G. Dambrine, J.-P. Raskin, F. Danneville, D. Vanhoenackel-Janvier, J. Colinge, and A. Cappy, “High-frequency four noise parameters of silicon-on-insulator-based technology MOSFET for the design of lownoise RF integrated circuits,” IEEE Trans. Electron Devices, vol. 46, no. 8, pp. 1733–1741, Aug. 1999. [21] D. Williams and R. B. Marks, “Accurate transmission line characterization,” IEEE Microw. Guided Wave Lett., vol. 3, no. 8, pp. 247–249, Aug. 1993. [22] California Eastern Laboratories, Designing and Characterizing TRL Fixture Calibration Standards for Device Modeling, 2003, Application Note AN1041. [23] Agilent Technologies Inc., Noise Figure Measurement Accuracy -The Y-Factor Method, Feb. 2014, Application Note 57-2. Michael Himmelfarb (S’13) received the B.Sc. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2013, where he is currently pursuing the M.Sc. degree. His current research is on noise parameter measurement optimization and noise characterization of nonlinear devices such as mixers.

Leonid Belostotski (S’97–M’01–SM’14) received the B.Sc. and M.Sc. degrees in electrical engineering from the University of Alberta, Edmonton, AB, Canada, and the Ph.D. degree from the University of Calgary, Calgary, AB, Canada, in 1997, 2000, and 2007, respectively. From 2001 to 2004, he was an RF Engineer with Murandi Communications Ltd. He is currently an Associate Professor with the University of Calgary, Calgary, AB, Canada, and the Canada Research Chair in High-Sensitivity Radiometers and Receivers. His current research interests include RF and mixed-signal ICs, low-sensitivity receiver systems, antenna arrays, and terahertz systems. Dr. Belostotski was a recipient of the IEEE Microwave Theory and Techniques MTT-11 Contest on Creativity and Originality in Microwave Measurements in 2008 and the Outstanding Student Designer Award from Analog Devices, Inc., in 2007. He is an IEEE Southern Alberta Solid-State Circuits and Circuits and Systems Chapters' Chair.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

271

Full 3-D Printed Microwave Termination: A Simple and Low-Cost Solution Younès Arbaoui, Vincent Laur, Member, IEEE, Azar Maalouf, Patrick Quéffélec, Senior Member, IEEE, Damien Passerieux, Arnaud Delias, and Pierre Blondy

Abstract—This paper describes the realization and characterization of microwave 3-D printed loads in rectangular waveguide technology. Several commercial materials were characterized at X-band (8–12 GHz). Their dielectric properties were extracted through the use of a cavity-perturbation method and a transmission/reflection rectangular waveguide method. A lossy carbon-loaded Acrylonitrile Butadiene Styrene (ABS) polymer was selected to realize a matched load between 8 and 12 GHz. Two different types of terminations were realized by fused deposition modeling: a hybrid 3-D printed termination (metallic waveguide + pyramidal polymer absorber + metallic short circuit) and a full 3-D printed termination (self-consistent matched load). Voltage standing wave ratio of less than 1.075 and 1.025 were measured over X-band for the hybrid and full 3-D printed terminations, respectively. Power behavior of the full 3-D printed termination was investigated. A very linear evolution of reflected power as a function of incident power amplitude was observed at 10 GHz up to 11.5 W. These 3-D printed devices appear as a very low cost solution for the realization of microwave matched loads in rectangular waveguide technology. Index Terms—Matched load, microwave absorption, microwave characterization, permittivity, polymers, termination, 3-D printing.

I. INTRODUCTION

M

ICROWAVE termination is a one port device which makes it possible to convert microwave energy into heat with the maximum thermal efficiency and the maximum absorption. Important parameters of a termination are its voltage standing wave ratio (VSWR) and its power handling capability. In a receiver, terminations are placed at unconnected ports of hybrid couplers or power dividers to keep the VSWR of the signal path as low as possible. They are also commonly used to convert circulators in isolators. In this case, one port of the circulator has to be properly terminated with a matched load to ensure a good isolation level between the other two ports.

Manuscript received June 30, 2015; revised September 04, 2015, October 27, 2015, and November 22, 2015; accepted November 23, 2015. Date of publication December 17, 2015; date of current version January 01, 2016. This work was supported in part by the French General Management of Army (DGA) and in part by the French Research Agency (ANR) in the framework 3DRAM project. Y. Arbaoui, V. Laur, A. Maalouf, and P. Quéffélec are with Lab-STICC, University of Brest, 29290 Brest, France (e-mail: [email protected]). D. Passerieux, A. Delias, and P. Blondy are with XLIM, University of Limoges, 87060 Limoges, France. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504477

Fig. 1. Profile of resistive tapers: (a) single tongue load; (b) multiple step load; (c) double tapered spears; (d) pyramidal load.

Coaxial and rectangular waveguide terminations are commonly fabricated by using resistive tapers. Materials are chosen depending on the desired power handling capability. Low and medium power rectangular waveguide terminations usually consist of a lossy material (plastic or ceramic) that is molded or machined in the form of a tongue, multiple steps, tapered spears or a pyramid in order to minimize VSWR (Fig. 1). Molding or machining processes have a high impact on the cost of the termination. In this context, the use of 3-D printing technologies appears to be a way to decrease the cost of these devices, especially during the prototyping step or for the production in small series. Recently, huge progresses were achieved in the development of 3-D printing technologies that allow now to realize devices made of metals, polymers, or ceramics [1]. As a consequence, these types of technologies are now applied in the field of transportation industry, medical, and civil engineering [2]. These rapid evolutions also make it possible to consider potential applications in the telecommunication area. Several studies on ceramics shaped by 3-D printing showed that such technique has flexibility benefit for complex structures. [3], [4]. Their properties could be compatible with microwave applications, but have not been applied to the absorption domain. Moreover, the cost of 3-D printed ceramics-based devices remains quite high. In this context, polymers-based 3-D printing techniques are more mature but the electromagnetic (EM) properties of available materials are still poorly known. Previously, we investigated the microwave properties of a wide range of commercial materials shaped by fused deposition modeling (FDM) and stereolithography apparatus (SLA) in the 8–12 GHz frequency range [5]. A carbon-loaded ABS appeared to be a good candidate for the design of a rectangular waveguide termination because of its high dielectric losses in X-band. In Section II, we will recall these results and provide the detailed procedures used for the characterization of the 3-D printed materials. A hybrid 3-D printed termination (metallic

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE I MATERIALS UNDER STUDY AND 3-D PRINTER USED FOR THE SHAPING

Torwell Technologies,

Stratasys,

Fig. 2. Cavity perturbation method measurement cell.

3DSystems

waveguide + pyramidal polymer absorber + metallic short circuit) was realized and characterized. These results will be recalled in Section III and extended to the realization of a low-cost full 3-D printed termination. The power handling capability of this termination will be also investigated. II. DIELECTRIC CHARACTERIZATION PRINTED MATERIALS A. 3-D Printing Techniques and Materials

OF

3-D

We investigated a wide range of FDM and SLA materials to find the most suitable material for microwave termination design. References of materials and associated shaping techniques are given in Table I. Four different 3-D printers were used to realize the samples. 3-D printers were used to fabricate 10 cm x10 cm plates with heights between 1.5 and 2.5 mm. Then, for characterization purposes, samples were machined in order to obtain the dimensions required for the different characterization cells, i.e., rectangular waveguide and cavity. B. Microwave Characterization Methods of Materials Microwave characterizations of materials were performed by using two different methods. Dielectric properties at 7 GHz were accurately extracted by the use of a cavity [6], [7] whose characteristics were analyzed by the small perturbation algorithm. In this case, the measured resonance frequency and quality factor shifts of the cavity when the sample is inserted make it possible to extract the complex permittivity of a material. Here, a 20-mm-long WR-90 rectangular waveguide coupled by circular apertures acts as the measurement cell. A non-radiation slot allows inserting the sample at the center of the cavity (Fig. 2) in order to optimize the interaction between the electric field and the sample when a resonance mode is considered. In the case of a small perturbation, the following equations make it possible to determine the EM properties of a dielectric sample: (1)

and (2) where and are the resonance frequency and quality factor of the empty cavity, and the resonance frequency and quality factor of the perturbed cavity. and ratios are dependent upon geometrical characteristics and depolarization factor of the sample, and are here determined by using samples whose dielectric constant and losses are well-known. Sheen proposed the following equation to estimate the errors on loss tangent measurement when the cavity perturbation is used [8]: (3) This error formula is valid when no reference samples are used. In our case, reference samples are used for the calibration of the cavity perturbation method and this error will be considered as a maximum. Besides, cavity perturbation is known to be very accurate for the measurement of the real part of the permittivity. An uncertainty of 2% for the measured dielectric constant will be considered during the characterization. A transmission-reflection method, based on the measurement of the scattering parameters (S-parameters) of a loaded rectangular waveguide (Fig. 3), was also used in order to check the EM properties extracted by the cavity method and identify any frequency dispersion of the permittivity. This method requires putting the reference planes of measurement at the interfaces between the coaxial-to-waveguide transition and the waveguide, considered as the measurement cell. Thus, a quasi-static analysis of the waveguide loaded by the sample under test can be performed. We chose to perform a thru-reflect-line (TRL) calibration. This method consists of three standards: thru (connection between the two ports of measurement), reflect (metallic short-circuit) and line (quarter wavelength rectangular waveguide). After this calibration step, the 20-mm-long WR-90 waveguide section was measured without inserting a sample with a vector network analyzer (VNA Agilent E8364A) between 8 and 12 GHz. S-parameters of the empty waveguide

ARBAOUI et al.: FULL 3-D PRINTED MICROWAVE TERMINATION: A SIMPLE AND LOW-COST SOLUTION

273

Fig. 4. Amplitude of the transmission parameter (dB) of the empty rectangular waveguide cavity and loaded with three different samples (Teflon, Ultem mm as a 9085, and Accura Xtreme) of dimensions mm mm function of frequency. Fig. 3. X-band rectangular waveguide measurement cell.

enabled us to assess and take into account metallic losses in the waveguide section. Then, a sample was inserted into the waveguide. S-parameters of the loaded waveguide were analyzed by a Nicholson–Ross–Weir (NRW) procedure [9], [10], modified by Baker–Jarvis [11]. This method makes it possible to extract the magnetic and dielectric properties of the sample without knowing its position in the waveguide. The target parameters and are calculated from measured S-parameters: (4) propagation mode is considered, it is posIf a unique sible to demonstrate analytically that these target parameters are equivalent to the following equations:

(5) where is the propagation constant in the vacuum, is the length of the waveguide, is the length of the sample, and and are the transmission and reflection coefficients, respectively, related to the discontinuities between the empty waveguide and the region of the waveguide filled by the sample to be characterized. As and are directly linked to the complex permittivity and permeability , a numerical optimization procedure was used, consisting in minimizing the quadratic errors between the theoretical and target parameters (imaginary and real parts separated). As it was shown in [11], the error on the measured dielectric constant can be lower than 3% if the length of the sample d is kept low compared to the wavelength in the material m ( in this study). However, this method lead comm monly to an uncertainty on extracted dielectric losses that can reach 20%. C. Microwave Dielectric Properties The microwave dielectric properties of 3-D printed materials were first investigated by using a cavity perturbation method. At

the first time, S-parameters of the empty cavity were measured in order to extract resonance frequency and quality factor Q. Then, the samples under study were inserted in the cavity. The modifications of and Q allow us to calculate the dielectric properties of the samples. As an example, Fig. 4 compares transmission parameters of the empty cavity to the ones of the cavity loaded by Teflon, Ultem 9085 and Accura Xtreme samples. The empty cavity presents a resonance frequency of 7.204020 GHz and a quality factor of 3516. When the Ultem 9085 sample is inserted, the resonance frequency shifts to 7.109661 GHz and the quality factor decreases to 2179. This decrease of quality factor is significantly higher than the one measured for a very low-loss dielectric . This phenomenon is more pronounced for the Accura Xtreme sample for which the quality factor decreases to 508, revealing quite high dielectric losses in this polymer. Table II presents the dielectric properties of the samples under study at 7 GHz extracted by the small perturbation algorithm. Two materials could not be measured with this method. TW-CON175BK material has too high dielectric losses to be measured with a resonant method. Nylon 12 machining does not allow us to realize a sample whose dimensions were compatible with the cavity perturbation method. As it was shown in [5], the range of dielectric constant is small as expected for pure or weakly loaded polymers when the additives are aiming at improving their mechanical properties or their color for example. The lowest dielectric losses were found for the Ultem 9085 material with a value of . FDM-compatible materials have significantly lower dielectric losses than the one shaped by SLA and appear to be useful for the realization of devices such as antennas or radomes. The higher losses of SLA-compatible materials, already observed in the literature [12], might come in part from a higher density of the samples made by SLA (20% higher than the samples made by FDM) but, more probably, from the high intrinsic losses of photopolymers. One should note that the application of (3) gives a maximum error of 2.09% on the measured values of loss tangent when considering a quality factor of 3516 for the cavity and the values of loss tangent extracted for all the materials.

274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE II DIELECTRIC PROPERTIES OF 3-D PRINTED MATERIALS AT 7 GHZ MEASURED BY A CAVITY PERTURBATION METHOD

Fig. 5. Permittivity and permeability spectra of Nylon 12 measured in the X-band.

The dielectric properties of the samples were checked by a rectangular waveguide method. Moreover, even if the dielectric properties uncertainty is higher with this method than with the previous resonant one, the non-dispersive character of the electromagnetic properties can also be verified over a wide range of frequencies. We observed a very good agreement between the extracted permittivity obtained by the two methods with a difference which does not exceed 3.2%. In general, the materials under study does not present dispersive properties in the X-band, as it can be seen for Nylon 12 (Fig. 5) whose properand ties have been extracted using this method: . The material TW-CON175BK exhibits very specific properties. Indeed, a high modification of S-parameters was observed when a 1.84-mm-long sample is inserted in the WR-90 waveguide compared to the empty waveguide (Fig. 6). Reflection paamplitude, which was below 60 dB for the empty rameters waveguide, is between 1.5 and 1.9 dB when the sample is inserted. At this step, one should note that we will demonstrate in Section III-C that a low level of uncertainty on measured S-parameters is achieved during this measurement. In the same time, amplitude decreases from 0 to less transmission parameters than 7 dB. These amplitudes of transmission parameters cor-

Fig. 6. S-parameters (a) amplitude of the reflection in dB, (b) amplitude in dB, and (c) phase of the transmission in deof the transmission grees of a 20-mm-long WR90 rectangular waveguide unloaded and loaded with a 1.84-mm-long TW-CON175BK sample. Simulated S-parameters of the measurement cell loaded with a 1.84-mm-long TW-CON175BK sample.

material thickness of respond to isolation levels 47.4 dB/cm and 38.1 dB/cm at 8 and 12 GHz, respectively. This behavior is revealing a material with a significantly higher dielectric constant and higher losses than classical polymers. These characteristics are confirmed by the permittivity of TW-CON175BK material (Fig. 7) which lies between 10.3 at 8 GHz and 9.93 at 12 GHz. The imaginary part of the permittivity is strongly affected by the carbon loads leading to dielectric losses of around 0.27 between 8 and 12 GHz. These characteristics were used to perform a retro-simulation of the measurement cell loaded by a 1.84-mm-long TW-CON175BK sample. Simulated S-parameters are in good agreement with the measurement (Fig. 6) and confirm the dielectric properties extracted by using the transmission-reflection method. Such high dielectric constant and losses enabled us to design microwave terminations in rectangular waveguide technology.

ARBAOUI et al.: FULL 3-D PRINTED MICROWAVE TERMINATION: A SIMPLE AND LOW-COST SOLUTION

275

Fig. 7. Permittivity and permeability spectra of TW-CON175BK measured in the X-band.

Fig. 9. Microwave test bench of the full 3-D printed termination. Inset: full 3-D printed load made of TW-CON175BK.

Fig. 8. Exploded view of the hybrid 3-D printed termination: waveguide section, pyramid in TW-CON175BK, metallic short-circuit.

III. 3-D PRINTED MICROWAVE TERMINATIONS A. Simulation and Realization The material TW-CON175BK from Torwell Technologies exhibits very specific properties (high dielectric losses between 8 and 12 GHz) that make it possible to design a microwave termination in rectangular waveguide technology. Devices were designed by using a commercial 3-D electromagnetic simulator (Ansys HFSS). Preliminary designs of the microwave terminations were performed. Then, an optimization process, aiming at minimizing the reflection levels of the devices between 8 and 12 GHz, allows us to refine the dimensions of the device. We realized two different types of termination. The first one, called hybrid 3-D printed termination, is made of a 15-cm-long rectangular waveguide section, a short-circuit and a TW-CON175BK material shaped in the form of a pyramid using a 3-D printer (Fig. 8). Impedance matching is optimized by the pyramidal profile of the absorber in order to increase the bandwidth of the device. The dimensions of the pyramid are mm mm mm. The full 3-D printed termination is self-consistent in that it can be directly connected to a WR-90 waveguide. The device (Fig. 9) has a total length of 93.3 mm. A UBR100 type flange was printed on a base whose transverse dimensions are 32.7 mm 20.3 mm. The pyramidal profile was grown inside the base so that the section of the pyramid outside the base is 55.3 mm long. B. Measurement of the Surface Roughness A stylus profiler system (Veeco Dektak 150) was used to evaluate the surface roughness of the full 3-D printed termination. Four zones were defined to perform this study (Fig. 10). The

Fig. 10. Description of the four zones used to evaluate the surface roughness of the full 3-D printed termination.

device was realized along the -axis. Zones 1–3 are mainly related to the thickness of each layer during the printing process. Zone 4 (waveguide flange mating surface) is linked to the space between the polymer wires, which is dependent on the filling rate chosen for the realization, and to the diameter of the nozzle of the 3-D printer. The arithmetic average of the vertical deviations , and the root mean square of the vertical deviations , length of measurement mm will be given for each zone. Table III presents the surface roughness measured at four different places on the surface of the full 3-D printed termination (Fig. 10). This device was realized in the same conditions as the hybrid 3-D printed termination so that the extracted surface roughness will be valid for both devices. A post machining of the flange mounting holes of the full 3-D printed termination was needed to be able to connect the device with a metallic rectangular waveguide. The average surface roughness of zones 1 and 2 are about 40 m. The significantly lower value of zone 3, located at the top of the pyramid, can be explained by the manual polishing that was done in this zone after the 3-D printing process. Indeed, as the surface of each layer in this zone is small, it is more difficult to ensure the cooling of the material between the deposition of successive layers during the 3-D printing process. As a consequence, some defects can appear at the top of the pyramid and decrease the performances of the device since impedance matching is critical at the interface between the top of the pyramid and the empty section of the waveguide. The waveguide flange mating surface (zone 4) presents a of about 10 m without any post machining. This

276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE III MEASURED SURFACE ROUGHNESS OF THE FULL 3-D PRINTED TERMINATION

surface roughness is sufficiently low to provide a good contact with a metallic rectangular waveguide at this frequency. However, this value will have to be decreased if millimeterwave applications are considered. One should note that these values of surface roughness are strongly dependent to the parameters set for the 3-D printing process and can be improved by decreasing the layer height and the speed of the printing head. C. Measurement of Electrical Performances These devices were measured using a VNA between 8 and 12 GHz. After a TRL calibration, residual directivity and residual test port match were extracted following the procedure given in [13], [14]. After calibration, a residual directivity dB and a residual test port match dB were measured. These values enabled us to calculate the return loss uncertainty as a function of the measured return loss by using the following equation: (6) is the return loss and the return loss where uncertainty. As an example, the return loss uncertainties are 1.05 dB and 3.31 dB for measured return loss levels of 30 dB and 40 dB, respectively. VSWR levels of the 3-D printed terminations were calculated from S-parameters and compared with a commercial load (HP Termination 00910-60003 from the X11644A HP calibration kit) between 8 and 12 GHz. Fig. 11 compares VSWR levels of these different terminations. The hybrid 3-D printed termination shows a VSWR lower than 1.075 over the whole X-band. Return losses of the full 3-D printed load are significantly better with a VSWR lower than 1.025 between 8 and 12 GHz. One should note that by using (6), the measured VSWR uncertainty is less than 0.008 over the measured VSWR levels range. These performances are similar to the one measured on a precision termination coming from a calibration kit and that hybrid and full 3-D printed termination performances have not to be compared because of the difference of dimensions between the two devices. As an example, the simulated VSWR level of the full 3-D printed termination is presented in Fig. 11. Measured and simulated VSWR levels are in good agreement between 8.6 and 12 GHz. At low frequencies GHz , simulated VSWR levels are slightly higher than the ones measured. This difference can be due to small defects of the realized full 3-D printed termination whose behavior is difficult to predict in the simulation.

Fig. 11. Comparison of measured voltage standing wave ratio VSWR levels of a commercial HP termination, a hybrid 3-D printed termination and a full 3-D printed termination (with uncertainty bounds) and the simulated VSWR level of a full 3-D printed termination as a function of frequency.

The full 3-D printed termination was covered with an aluminum film in order to check that losses are due to a Joule effect and not to radiation losses. The device appeared to be insensitive to the metallization and suggests that losses are effectively due to a conversion of microwave energy into heat. The power behavior of the full 3-D printed load was investigated by the use of the test bench presented in Fig. 12. An RF source was used to deliver a microwave signal in continuous wave (CW) mode at 10 GHz. A 63-dB power amplifier was used to increase the level of incident power seen by the 3-D printed termination. Levels of incident and reflected power were measured by power sensors connected to a wide-band coupler with nominal coupling value of 20 dB. Calibration of the test bench was performed in two steps. At first, we calibrated incident power levels by connecting a power sensor to the output 2 of the coupler and a 50-W termination to the output 3. By taking into account losses in the coupler and attenuators, can be measured at the output 4 of the coupler. Then, reflected power levels were calibrated by connecting a short-circuit to the port 2 of the coupler. Once again, by taking into account coupler and attenuator losses, we can evaluate at the port 3 of the coupler. Incident power levels ranging from 23.6 to 40.6 dBm were applied during the measurement to evaluate the power handling capability of the full 3-D printed load. The evolution of the reflected power as a function of the incident power at 10 GHz is presented in Fig. 13. This evolution appears to be very linear, and thus, does not reveal any major degradation of the termination up to a power level of 11.5 W. Measurements at higher incident power levels will have to be performed in order to evaluate the power handling capability of this device. At this stage, one should note that the full 3-D printed termination is a very simple, flexible and low-cost solution. Indeed, the design can be easily modified to address different type of connections (flange types) or frequency band of operation and realized on demand using a 3-D printer. Moreover, the cost of the raw material is here less than one dollar. Even though the amortization rate of the 3-D printer and the post machining of the devices have to be taken into account, the cost of this 3-D printed devices remains between ten and hundred times less than a classical termination with similar performances.

ARBAOUI et al.: FULL 3-D PRINTED MICROWAVE TERMINATION: A SIMPLE AND LOW-COST SOLUTION

277

Fig. 12. Test bench for the measurement of reflected power level as a function of incident power amplitude.

Fig. 13. Evolution of the reflected power as a function of the input power W W of the full 3-D printed termination at 10 GHz.

Indeed, in comparison, the cost of an X-band low power termination in rectangular waveguide technology (VSWR , PHC W) can be as high as 150 dollars. IV. CONCLUSION In this paper, dielectric microwave properties of 3-D printed (FDM or SLA) polymers were studied to evaluate their potential applications in microwave absorber field. Characterization results showed that samples made by FDM have the lowest dielectric losses and can be used for the design of microwave devices (antennas, radomes). An ABS polymer loaded with carbon showed a high dielectric constant associated with high losses over the X-band. This material was used to fabricate two different types of terminations; a hybrid one that combines a metallic rectangular waveguide and an absorbent pyramid, and a full 3-D printed one that can be directly connected to a WR-90 waveguide. The full 3-D printed termination shows a VSWR lower than 1.025 over the whole X-band. Moreover, no degradation of the termination was observed up to a power level of 11.5 W. Full 3-D printed terminations appear to be a simple, efficient, flexible and low-cost solution. In this study, we demonstrated that 3-D printing-compatible commercial materials can be used to realize devices in microwave absorber field.

However, new functional materials need to be developed in order to widen the range of applications of 3-D printing technologies in the telecommunication area. In this way, our researches are now focused on the development of new range FDM-compatible materials with very low losses [15], magnetic properties or high absorbance capability. Moreover, moisture absorption is a classical issue of 3-D printed devices which was not addressed in this paper. Indeed, 3-D printed devices are less dense than molded ones, and thus, more subject to water absorption. This phenomenon can induce strong changes of the electrical properties of materials (permittivity and loss tangent) which can lead to a decrease of the device performances. This point will be one of our future focuses of research. ACKNOWLEDGMENT The authors would like to thank Y. Quéré, Associate Professor at the University of Brest, and the 3D-Alchemy Company for providing them a part of the samples. REFERENCES [1] M. Berchon, L'impression 3D. Paris, France: Ed. Eyrolles, Jul. 2013. [2] B. Berman, “3-D printing: The new industrial revolution,” Bus. Horizons, vol. 55, no. 2, pp. 155–162, Mar. 2012. [3] N. Delhote, D. Baillargeat, S. Verdeyme, C. Delage, and C. Chaput, “Ceramic layer-by-layer stereolithography for the manufacturing of 3-D millimeter-wave filters,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 3, pp. 548–562, Mar. 2007. [4] N. T. Nguyen, N. Delhote, M. Ettorre, D. Baillargeat, L. Le Coq, and R. Sauleau, “Design and characterization of 60-GHz integrated lens antennas fabricated through ceramic stereolithography,” IEEE Trans. Antennas Propag., vol. 58, no. 8, pp. 2757–2762, Aug. 2010. [5] Y. Arbaoui, V. Laur, A. Maalouf, and P. Queffelec, “3D printing for microwave: Materials characterization and application in the field of absorbers,” in Proc. IEEE Int. Microw. Symp., Phoenix, AZ, USA, May 2015, 4 pp.. [6] V. Laur and P. Queffelec, “Cavity-perturbation method improvement by a numerical calibration process,” Microw. Opt. Tech. Lett., vol. 56, no. 4, pp. 904–906, Apr. 2014. [7] P. Queffelec, V. Laur, A. Chevalier, J. M. Le Floch, D. Passerieux, D. Cros, V. Madrangeas, A. Le Febvrier, S. Députier, M. Guilloux-Viry, G. Houzet, T. Lacrevaz, C. Bermond, and B. Fléchet, “Intercomparison of permittivity measurement techniques for ferroelectric thin layers,” J. App. Phys., vol. 115, pp. 024103.1–024103.9, Jan. 2014.

278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

[8] J. Sheen, “Amendment of cavity perturbation technique for loss tangent measurement at microwave frequencies,” J. App. Phys., vol. 102, p. 014102, Jul. 2007. [9] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [10] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [11] J. Baker-Jarvis, M. D. Janezic, J. H. Grosvenor, and R. G. Geyer, “Transmission/reflection and short-circuit line methods for measuring permittivity and permeability,” Nat. Inst. Stand. Technol., Tech. Note 1355-R, Dec. 1993. [12] P. I. Deffenbaugh, R. C. Rumpf, and K. H. Church, “Broadband microwave frequency characterization of 3-D printed materials,” IEEE Trans. Compon. Packag. Manuf. Technol., vol. 3, no. 12, pp. 2147–2155, Dec. 2013. [13] “Guidelines on the evaluation of vector network analysers (VNA),” EURAMET/cg-12/v.2.0, Mar. 2011. [14] N. Nazoa and N. Ridler, “LA19-13-02 3 GHz VNA calibration and measurement uncertainty,” LA Techniques, Tech. Note LAP03 v2.0, 2007. [15] A. Maalouf, J. Ville, G. Mignot, V. Laur, T. Aubry, and P. Queffelec, “Mise en forme et caractérisation d'un Cyclo Polyoléfine pour une adaptation de la technique d'impression 3D aux matériaux hyperfréquences [in French],” in Proc. GFP National, Saint-Malo, France, Nov. 2014, 1 pp..

Younès Arbaoui was born in Roubaix, France, in 1989. He received the degree in material engineering from the French Grande Ecole Ecole Nationale Supérieure de Céramique Industrielle (ENSCI), Limoges, France, in 2013. He is currently pursuing the Ph.D. degree at the University of Western Brittany. In October 2014, he joined the Department of Electronics, University of Western Brittany, Brest, France, where he is an instructor for bachelor students. His current research interests include the development of new technologies for the realization of 3-D or flexible, ultra-thin and lightweight electromagnetic absorbers.

Vincent Laur (M’15) received the Ph.D. degree in electronics from the University of Brest, Brest, France, in 2007. In 2008, he was a Post-Doctoral Fellow at the XLIM Laboratory, Limoges, France. He is currently an Assistant Professor at the Lab-STICC Laboratory, Brest, France. His research interests are focused on the characterization, modeling, and integration of functional materials (ferroelectrics, ferromagnetics, ferrites, etc.) for microwave applications.

Azar Maalouf was born in Lebanon in 1975. He received the engineering degree in materials science from Polytech Nantes, Nantes, France, in 2001, and the Ph.D. degree in physics from the University of Rennes 1, Rennes, France, in 2007. In 2011, he became a Research Engineer at the University of Bretagne Occidentale, Brest, France. His main scientific interests are the physical chemistry of materials and particularly the study and the implementation of these materials in thin, thick, or massive layers. The fields of application are: rechargeable batteries and accumulators (2001–2003), study and fabrication of optical waveguides and optical communications (2003–2010), the study and

fabrication of circuits operating in the domains of microwaves and hyper-frequencies (2011–present).

Patrick Quéffélec (M’99–SM’07) received the Ph.D. degree in electronics from the Université de Bretagne Occidentale, Brest, France, in 1994 and the Habilitation à diriger des recherches [accreditation to supervise research] in 2002. He is now a Professor at the Laboratory of Sciences and Techniques of Information, Communication and Knowledge (Lab-STICC), Brest, France, a research unit associated with the Centre National de la Recherche Scientifique (UMR CNRS no 6285). In Lab-STICC, he is the Head of the Microwave, Optoelectronics, Material Research Department. His research activities concern electromagnetic wave propagation in heterogeneous and anisotropic media. He works on developing new approaches in materials and measurements for microwave ferrites and devices. Motivated by the applications of new magnetic materials in non-reciprocal or tuneable devices, in antennas, he is investigating the fundamental properties of magnetoelectric nanocomposites at microwave frequencies.

Damien Passerieux was born in Perigueux, France, in 1984. He received the M.S. degree from the University of Limoges, Limoges, France, in 2008. He is currently a Research Assistant (Engineer) in the XLIM Laboratory, University of Limoges. His work is dedicated to the measurement, design, and fabrication of millimeter and sub-millimeter wave components.

Arnaud Delias was born in Angoulême, France, in 1987. He received the M.S. degree in electronic engineering from University of Limoges, Limoges, France. He is currently pursuing the Ph.D. degree at the XLIM Laboratory, University of Limoges, Limoges, France. His main field of interest is high-efficiency microwave PA architectures and nonlinear device characterization.

Pierre Blondy (M’00) received the Ph.D. and Habilitation degrees from the University of Limoges, Limoges, France, in 1998 and 2003, respectively. From 1998 to 2006, he was with the Centre National de la Recherche Scientifique (CNRS), as a Research Engineer with XLIM Laboratory, where he began research on RF-MEMS technology and its applications to microwave circuits. He is currently a Professor at the University of Limoges, where he holds an Institut Universitaire de France research chair. He was a Visiting Researcher at the University of Michigan, Ann Arbor, MI, USA, in 1997, and at the University of California at San Diego, La Jolla, CA, USA, in 2006 and 2008. Dr. Blondy was an Associate Editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS in 2006. He has been a member of the IEEE International Microwave Conference Technical Program Committee since 2003, and chair of the Technical Committee 21 on RF-MEMS. He received the “Outstanding Young Engineer Award” from the IEEE-MTT society in 2011. He has authored or coauthored more than 150 papers in refereed journals and conferences and holds four patents.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Tiny Integrated Network Analyzer for Noninvasive Measurements of Electrically Small Antennas Emil F. Buskgaard, Ben K. Krøyer, Alexandru Tatomirescu, Member, IEEE, Ondřej Franek, Member, IEEE, and Gert Frølund Pedersen

Abstract—Antenna mismatch and crosstalk are recurring issues in telecommunications. For electrically small antenna systems, these are very hard to measure without affecting the radiation performance of the system and, consequently, the measurement itself. Electrically small antennas are found in many applications ranging from consumer electronics to industrial systems. We propose a radically new approach to characterize crosstalk and mismatch based on vector network analysis. By miniaturizing the network analyzer, it can be integrated in the system under test, eliminating the need for cables leaving the system. The tiny integrated network analyzer is a stand-alone Arduino-based measurement system that utilizes the transmit signal of the system under test as its reference. It features a power meter with triggering ability, on-board memory, universal serial bus, and easy extendibility with general-purpose input/output. The accuracy and repeatability of the proposed system is documented through the repeatability of the calibration. To showcase the capabilities of the system, a measurement is made on a modified smart phone with the system inside. These early results show great promise for miniaturized network analysis. With the advances in software-defined radio, we can expect much more flexible and advanced integrated network analyzers in the coming years. Index Terms—Antenna measurements, calibration, electrically small antennas, measurement techniques, multiple input multiple output (MIMO), vector network analysis.

I. INTRODUCTION

T

HE ADVENT of the Internet of things (IoT) presents a situation where a great number of various small devices are wirelessly connected in very diverse environments. Securing good radio performance for these networks is paramount since an unstable connection to a sensor could be problematic, that is, for the control of an industrial process, or suboptimal performance of a battery-powered device will decrease the battery life. For many wireless systems, the electrical size of the system is often much smaller than half the wavelength of the communication frequency. This means that their antennas are electrically small [1], [2]. Measurements on electrically small an-

Manuscript received August 03, 2015; revised October 13, 2015 and November 25, 2015; accepted November 25, 2015. This work was supported by the Smart Antenna Front End (SAFE) project funded by Innovation Fund Denmark. The authors are with the Section of Antennas, Propagation and Radio Networking (APNet), Department of Electronic Systems, Faculty of Engineering and Science, Aalborg University, Aalborg DK-9220, Denmark (e-mail: eb@es. aau.dk; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504475

tennas (ESA) are challenging due to the high risk of interfering with the device under test (DUT) [3], [4]. Any metallic structure will couple to the antenna and change the radiation pattern and the matching of the antenna. Furthermore, these applications often have several antennas that are required to be decoupled and they often operate in highly dynamic environments where metallic objects or parts of a user's body enter the near field of the antennas. Accurate measurements of the coupling and reflection of electrically small antennas can help engineers acquire vital information needed to create robust antenna systems. Such measurements can be used to verify that antenna systems can handle the mismatch that they will encounter during operation or to gauge the mismatch during operation for actively tuned antennas [5]–[8]. Current measurement techniques are not fit for electrically small antennas. The common approach is to measure the antenna impedance and coupling as S-parameters use a vector network analyzer (VNA). It relies on a VNA attached to the DUT by cables or optical fibers [9], [10]. When using coaxial cables, the cable will perturb the electrical fields close to the device under test (DUT) [11]. By using an optical link, this is prevented but also this approach has drawbacks. The authors are not aware of any commercial optical two-way link that is capable of measuring S-parameters and the implementations found are all encased in big metallic boxes. Smaller VNAs exist on the market today. The miniVNA Tiny from mini Radio Solutions has a good frequency range of 1 MHz to 3 GHz. It is, however, still very big (66 66 28 mm) compared to the size of a phone or a tablet and it needs a universal-serial-bus (USB) connection to a PC to function. It is thus not truly wireless. Reference [12] is another small USB connected VNA but it is even bigger and only reaches 1.3 GHz which is not enough for many modern wireless devices. All current VNA-based options are thus not suitable for electrically small antenna systems at least if the frequency exceeds 1 GHz. The proposed solution is to design a VNA that is small compared to the size of the DUT and can be integrated into the DUT. In this way, the antenna S-parameters can be measured with minimal interference to the DUT. This new class of measurement equipment is dubbed the tiny integrated network analyzer (TINA). Obviously, a system that is reduced this much in size will not perform at the level of a full-size VNA. It is believed that the most interesting insights to be gained from this new device are on the uncabled reflection of and coupling between ESAs, both in free space but particularly in proximity to users. For many applications, these figures are mostly interesting when their performance is bad, meaning reflections higher than 6 dB

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

or coupling higher than 10 dB. It is therefore not necessary to have a large dynamic range. Although TINA as a class of measurement equipment serves a wide range of applications, a mobile phone is chosen to demonstrate the advantages of TINA. Performance of mobile phone antennas has been the subject of much discussion recently. Recent studies show that phone antennas in current smart phones are performing poorly in free space and in the presence of the user [13]. The aim of the proof of concept is to measure the reflection of the main antenna and coupling between main and diversity antennas of the mobile phone (iPhone 5) during a live network call. During the call, a test person will handle the phone in a natural fashion to replicate the user interaction occurring during a call or while browsing. It is important that the phone appears fully unchanged from the outside to enable the user to handle the phone naturally. To achieve this, a smaller battery has been adapted to the phone to leave space in the phone’s battery compartment for the module to fit. It is thus fully embedded and no visible changes are made to the phone. For this proof of concept, the most important requirements are as follows. • The phone must be fully functional with original software and no noticeable change in performance. • The phone’s appearance must be unchanged. This enables the most natural grip on the phone during the call, giving the most realistic data possible. • TINA must be able to sample reflection and coupling of the antennas fast enough to analyze the changes in antenna performance induced by the user. Ten samples per second is believed to be fast enough. • The system must be able to run for at least 30 min in a call. The battery must be large enough to power the phone and TINA, and the memory of TINA must be large enough to store the measurement data for 30 min of continuous measurements. This paper describes TINA and the first measurements made with it. In Section II, the concept and implementation of the measurement setup are described, and Section III shows the results of the calibration and the first measurement campaign conducted on the first phone with TINA embedded. Section IV discusses the accuracy of the calibration and the findings of the user study. Finally, Section V concludes the study and presents the next steps. II. METHODOLOGY This section describes TINA as well as the other modifications that are made to the phone to enable the integration of TINA. Many modifications are necessary to achieve full integration of the module into the phone. To minimize the size of TINA, it has to be designed specifically for the application. TINA needs less dedicated circuitry if it can reuse the power supply, RF signal, or digital processing of the DUT. In the case of a mobile phone, a lot of options exist to use existing circuitry. The RF signal can be used as the reference of TINA if the phone is forced to operate in Global System for Mobile Communications (GSM) mode. The GSM standard has a much lower power range [5 to 33 dBm in low band (LB) and 0–30 dBm in high band (HB)] than more recent standards

lowering the requirement on dynamic range for TINA. Additional benefits of GSM are the time-division duplexing (TDD) that can be used for timing of the measurements and constant envelope that, due to the flat power level, does not add to the dynamic range requirements for TINA. GSM has a couple of negative features from the perspective of TINA. First of all, GSM employs discontinuous transmission (DTX), meaning that the transmitter of the phone will not transmit a burst if there is nothing to transmit. This is done to decrease the interference to other GSM users. To avoid bursts from being omitted, it is thus necessary to apply a signal to the microphone of the phone. Since the microphone interface is digital, it is found to be very difficult to apply a signal directly into the microphone lines. Instead, a small speaker from a hearing aid is inserted very close to the microphone of the phone and supplied with tones generated by the TINA microprocessor. An additional problem is frequency hopping. No complete solution is found for this issue since TINA has no ability to gauge the frequency of the transmit (Tx) signal. The spread in Tx frequencies is thus seen as a source of uncertainty to the results. To make room for TINA inside the phone, the original battery is replaced by a smaller Casio NP-20 battery from a camera. This leaves 31 34 4 mm inside the phone that can house the module. Still, the battery is capable of supplying the phone and TINA for more than 1 h of measurements. The phone software does not allow us to use the phone's processors for logging the measured antenna parameters. Therefore, TINA must include its own microprocessor system for data acquisition and interfacing. The array of changes to the phone is shown in Fig. 1 where the standard phone is compared with the modified phone. Additional modifications include desoldering of the headset jack and using it for the USB link to the module, adding that the hearing aid speaker is very close to the main microphone and rerouting the antenna cables of the phone through TINA. Magnetic reed switches are used for reset and on the battery supply of TINA. The reset switch closes in proximity of a magnet while the supply switch opens, making it possible to power off TINA by placing the phone on a magnet. The addition of the power switch is necessary as TINA draws about 50 mA, continuously resulting in severe battery drainage if left on overnight. A. TINA The measurement system TINA consists of a 20 30 3 mm module that is built into the phone as shown in Fig. 1. The size of TINA is chosen as a tradeoff between the space needed in the phone and the ease of designing the module itself. TINA can be separated into two parts: 1) An RF part doing the network analysis and 2) a digital microprocessor system controlling the RF part, storing the data and handling the measurement timing and user interface. Both sides of the module are depicted in Fig. 2. The RF part of TINA is shown in Fig. 3. It is connected to the antenna lines of the phone so that the antenna signals go through the bidirectional couplers and a part of the power is coupled to the module while the rest is delivered to the antennas. To limit the sensitivity of TINA outside the bands of interest, bandpass filtering could be applied to the coupled signals. This

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUSKGAARD et al.: TINY INTEGRATED NETWORK ANALYZER

3

Fig. 3. Block diagram of the analog part of TINA and its interconnects with the phone. All ports link to the digital part. Fig. 1. Block diagram of the phone before and after the implementation of the module in the battery cavity of the phone.

Fig. 2. Analog and digital side of TINA module. The analog side is normally covered by a shielding can which has been removed to reveal the RF circuitry.

from close to 60 dB at maximum power to less than 30 dB at the lowest power level of GSM. This is acceptable for the current application as reflections lower than 6 dB and coupling between the antennas lower than 10 dB are acceptable and both reflections and coupling lower than 20 dB are so far from the limit that they effectively are not of interest. The top AD8302 in Fig. 3 compares the forward and the reverse signal on the main antenna line. This gives us the reflection coefficient from the main antenna. The bottom AD8302 compares the signal from the main transceiver to the received signal on the diversity antenna. This gives the coupling between the two antennas. The outputs of the AD8302 are two voltage signals where one, VPHS, is proportional to the difference in phase between the two RF input signals and the other, VMAG, is proportional to the quotient of the amplitude of the two RF input signals (1)

would increase the robustness to WIFI, Bluetooth, and other RF signals from the phone itself. It was, however, chosen to omit such filters as the space required for such filters was not found. Instead, the WIFI and Bluetooth signals are turned off on the phone leaving only the GSM signal active. Three RF signals are acquired from the couplers: 1) the transmitted signal from the GSM radio; 2) the reflected signal from the main antenna; and 3) the received signal on the diversity antenna. The core of the RF signal processing is formed by two AD8302 gain and phase detectors. They can measure the amplitude and phase difference between two RF signals: 1) INPA and 2) INPB. This is a very old chip that suffers from several imperfections. In particular, the amplitude output of the AD8302 is dependent on both phase and amplitude difference between the two RF inputs as seen in TPC 24 of [14]. For this reason, a full calibration across the entire Smith chart and for several frequencies is chosen instead of any faster technique relying on predicted behavior of the system. The AD8302 has an input range of each of its RF inputs of 60 to 0 dBm. It can measure differences in input power of the two inputs up to 30 dB. This sets the upper bound of the dynamic range to 60 dB. The dynamic range is limited further by the 33-dB power range of the GSM signal, resulting in a dynamic range that decreases

As (1) shows, VPHS reports the absolute difference between will give the INPA and INPB. Therefore, same voltage as . This is not acceptable for this purpose and, therefore, a phase shifter in the form of a highpass filter is added to the signal from the transceiver. This will phase shift the signal by approximately 90 at 900 MHz and 45 at 1800 MHz. By switching the signal to either bypass the filter or go through it, two different phase results are obtained and the combination of these results is unique. The real phase can thus be determined by conducting these two measurements. All of the RF signals from the couplers are attenuated through resistive networks to achieve the desired RF powers of between 60 and 0 dBm for all power control levels (PCLs) of the GSM system and all mismatches. The component values of all discrete components in Fig. 3 are listed in Table I. The couplers are delivered under NDA and can thus not be described in detail. They are 0.8 1.6 mm and characterized from 699 to 2690 MHz with an insertion loss smaller than 0.23 dB typical and 0.36 dB worst case. The coupling factor changes from 27 dB in low bands to 20 dB in high bands. The resistive networks at the top and bottom are currently configured for minimum attenuation. R2 and R12 are not

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I VALUES OF DISCRETE COMPONENTS USED IN RESISTIVE NETWORKS, PHASE SHIFTER, AND VOLTAGE DIVIDER FOR THE TRIGGER VOLTAGE LEVEL. “NM” IS NOT MOUNTED

Fig. 5. Inside of the modified iPhone 5. Smaller battery, redirected antenna cables, USB connection through the headset jack, and a hearing aid speaker close to the microphone.

On top of the Arduino minimum system, the digital part contains an 8-MHz clock circuit, a voltage regulator to supply the module with 3.3 V, and a memory chip for saving the data. The power for the module is obtained from the phone’s battery. B. Test Procedure Fig. 4. Block diagram of the digital part of TINA and its interconnects with the phone. All ports link to the digital part.

mounted, R1 and R11 are 0 (short circuits), and R3 and R13, together with the input impedance of the AD8302, present 50- impedance to the traces. The resistive network on the branch from the phase shifter is used to split the power of the main transceiver signal into three branches: one for the top AD8302; one for the bottom AD8302; and one for an absolute power detector, the AD8312 [14] in the middle, which is used to gauge the PCL of the phone on VABS and, together with a comparator, to generate a burst trigger signal TRIG. The comparator obtains a reference signal from the top AD8302 which is divided resistively to 200 mV, so when the output of the AD8312 exceeds 200 mV, the trigger signal goes high. This corresponds to an output signal of about 5 dBm out of the main transceiver. The five analog voltages from the three detectors are fed to the digital part of TINA, along with the burst trigger and the reference voltage from the top AD8302. The digital part of the TINA is depicted in Fig. 4. An Arduino [?] minimum system is implemented on TINA to control the measurements. By choosing the Arduino platform, the programming is made substantially easier and many prebuilt functions can be used. The digital part controls the phase shifter in the RF part, reads the voltages with its ADC inputs, and saves the data. The communication with TINA is handled via USB. To completely hide TINA inside the phone, the USB connection is attached to the phones’ headset connector. An interrupt routine is implemented to read the analog-todigital converters (ADCs) synchronized to the burst by using the TRIG signal from the RF part. This is the basis of the user test. When TINA is put into trigger mode, it also generates a sequence of tones to the hearing aid speaker to keep the GSM link active at all times. The level of the hearing aid speaker is adjusted such that it can be heard at the other end of a call but not by the user of the DUT.

Based on the blocks described before, the measurement system is run and data about the phone are acquired. There are three main tasks to perform to retrieve the reflection and coupling parameters of the phone antennas: 1) calibration; 2) measurement; and 3) postprocessing. Their individual flowcharts are depicted in Fig. 6 while a more detailed description is given in this section. The first task is to calibrate the module. TINA contains a large analog part that has certain tolerances. These tolerances affect the accuracy of the system and must therefore be calibrated. By calibrating the module in many impedance points across all phases, the inaccuracies of the components are taken into account. Both of the AD8302s, the phase shifter, the resistive attenuator networks, and the couplers add uncertainties, many of which are mismatch dependent. Therefore, it is concluded that the full range of impedances must be calibrated. Since the frequency of operation is also important, TINA is calibrated at both high, middle, and low channel in the EGSM and DCS band. The calibration is performed using the calibration setup shown in Fig. 7. It consists of a computer running LabView, a signal generator, a circulator, and an impedance tuner. Fig. 8 shows the two different setups that are made from these components: A) and C) are for reflection (S11) calibration and B) and D) are for coupling (S21) calibration. The list of equipment is included in Table II. The tuner itself needs to be calibrated with the setup shown in Fig. 8(A). For this calibration, the standard Maury software is used to make an adaptive calibration. The number of calibration points is adaptively determined by the software and lies between 250 and 300 points depending on frequency. One issue with the tuner is that the maximum voltage standing wave ratio (VSWR) is lower than desired. With a maximum of 9:1 in EGSM and 7.5:1 in DCS, the calibration can only cover return losses down to 1.9 dB in EGSM and 2.3 dB in DCS. It would have been desirable to measure greater mismatches but this cannot be done with the current calibration method. Once the tuner is calibrated, the primary branch of TINA is inserted as shown in Fig. 8(C)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUSKGAARD et al.: TINY INTEGRATED NETWORK ANALYZER

5

Fig. 6. Flowcharts of the three main procedures in the system. The calibration of the module, the measurement inside the phone, and the postprocessing of the results from the measurements.

TABLE II EQUIPMENT USED FOR THE CALIBRATION OF THE MODULE

Fig. 7. Picture of the calibration setup. RF BOB and Dig BOB are break-out boards designed to make easy connections to TINA during calibration.

Fig. 8. Setup diagram for the module calibration. A) is for tuner calibration for the reflection branch and B) is for the coupling branch of the module. C) and D) show the respective module calibration setups used for reflection and coupling.

and the tuner is swept to exactly the same points as are used for the tuner calibration, and the ADCs of TINA are read back. A

calibration file is saved where the ADC values from TINA are linked to the reflection coefficient of the tuner. This file is later used for translation of the ADC points measured under test to . For the coupling branch of the module, a slightly different calibration setup is required. Here, the tuner is used to generate a reflected signal with a known phase and amplitude compared to the incoming signal. By feeding the signal into the tuner through the circulator, the reflected signal can be separated from the incoming signal and measured by Port 2 of the VNA as shown in Fig. 8(B). The tuner and circulator are then connected between the primary antenna port P1 and the secondary antenna port P4, of TINA as shown in Fig. 8(D). As for the reflection coefficient, the tuner is again swept through all of its calibrated points, and a file is saved that links the measured in Fig. 8(B) to the ADC values of TINA for the corresponding tuner settings in Fig. 8(D). The module only measures and stores ADC values. It is thus not needed to upload the calibration files to the module. Instead, the calibration files are saved on a server for use during the postprocessing. A Matlab script is used to make an oversampled map of ADC values all across the Smith chart. A uniformly spaced matrix of 200 200 points is made by interpolating the measured ADC values. To perform measurements, the triggered mode is enabled through a serial command and thereafter the phone will record

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

ADC values every time a burst is detected. The measurement time of TINA is very short. It is found to be possible to reliably measure three ADC values in each burst. It is thus chosen to measure VMAG and VPHS from one AD8302 and VABS in the same burst. In the first burst, VMAG1 and VPHS1 are measured with phase shifter setting 1 (SW1). In the next burst, the same AD8302 is read with setting 2 of the phase shifter (SW2). In the two next bursts, the same measurements are made for VMAG2 and VPHS2. The total measurement sweep of TINA takes 4 bursts or 18.46 ms. A sample rate of more than 50 Hz is therefore possible. If this is the chosen sampling rate, 10 Hz is deemed enough to catch even fast changes and to limit memory usage . The timing of the bursts is recorded by adding a time stamp for each complete ADC sweep. The time stamps run off an internal clock and are thus not very precise but only approximate timing information is needed. The only use of the time stamps is to find the approximate time of an event to find the cause of the event on video recordings of the measurement. To convert the internal clock to that of the computer, a time stamp is recorded when the triggered mode is enabled and for each full sweep of ADC values. By comparing the time between these time stamps to the difference in value, the time step of the module clock can be found. After a user measurement is performed, the data are downloaded to the computer and the postprocessing tools are used to convert the raw ADC values from the measurement to reflection and transmission coefficients. The postprocessing tool uses the calibration files to do the conversion according to the formula shown in the biggest green box of Fig. 6. The function determines, for every time step in the measured data, the squared difference between the measured ADC value and each point in the corresponding calibration matrix. It then adds these difference matrices for each phase shifter setting as well as the amplitude and phase measurements to obtain an overall difference matrix. By finding the minimum of this matrix, the least square residual is found, and this point is believed to be the closest to point in the matrix to the measured ADC values. Since the matrix is a 200 200 value matrix uniformly spaced across the Smith chart, the index of the least square residual can be converted to a complex S-parameter value simply by counting the distance in indices from the center of the Smith chart and dividing it by 100. The calibration files map the ADC values of the different ADCs across the Smith chart. Ideally, VMAG should be constant for constant VSWR, and VPHS should change linearly with phase. As mentioned previously, this is not the case for the AD8302 as can be seen in Fig. 9. Clearly, the calibration performed with switch setting 2 gives the most optimum performance where the maximum value is close to the center of the Smith chart. The offset with switch setting 1 is larger because the highpass filter of the phase shifter is close to its cutoff frequency. This results in an impedance far from 50 , which impairs the performance of TINA altogether. For a future design, a delay line could be used for phase shifting to avoid this effect. Fig. 10 shows an example of the output of the postprocessing algorithm. An ADC sweep is chosen from the output file of the phone. The values were 232 518 343 and 514. The calibra-

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Contour plot of the calibration data in the impedance Smith chart with . SW1 is with a phase shifter in setting 1 and SW2 is with a phase shifter in setting 2.

Fig. 10. Estimation of the reflection coefficient based on calibration data and a set of measured data points from the phone plotted in an impedance Smith chart normalized to 50 . The highlighted contours are the calibration contours matching the measured data points.

tion contours equal to these values are highlighted in Fig. 10. As can be seen, the contour crosses the contour in two places. The contour coincides with the two other only in the upper crossing point. This is a clear indicator that this is the point of interest. The shown example is for the reflection coefficient but exactly the same procedure is used for the coupling coefficient. When all ADC sweeps in the measurement series have been converted to reflection and coupling coefficients, a full series of coefficients versus time is obtained. This can be analyzed to find the range and rate of change of the coefficients. The following part contains the full set of data and analyses that were obtained from the initial measurement campaign. III. RESULTS This section contains the results that are obtained from the test setup described in the previous section. The results are split

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUSKGAARD et al.: TINY INTEGRATED NETWORK ANALYZER

Fig. 11. Difference in amplitude calibration between 0 and 30 dBm calibration power for the DCS band on TINA1 plotted in an impedance Smith chart . The 0.5, 1, and 2 dB contour lines are shown as well as the with constant VSWR circles for 2:1 and 3:1. The error is less than 0.5 dB for the majority of the calibration points with a very sharp spike in error close to the center of the Smith chart. The black markers are showing the actual calibration points.

7

Fig. 13. Cumulative density function (CDF) of the variation for amplitude calibration for the high and low band on two different modules. The black curve is showing variation over temperature between 10 C and 55 C.

Fig. 14. Cumulative density function (CDF) of the variation for phase calibration for the high and low band on two different modules. The black curve is showing variation over temperature between 10 C and 55 C.

Fig. 12. Difference in phase calibration between 0 and 30 dBm calibration power for the DCS band on TINA1 plotted in an impedance Smith chart with . The 1, 2, and 4 contour lines are shown as well as the constant VSWR circles for 2:1 and 3:1. The black markers show the actual calibration points.

into two parts: 1) a calibration accuracy study and 2) a set of preliminary user data collected using TINA inside the iPhone. A. Calibration Accuracy It has been chosen to calibrate at low, mid, and high channel for the GSM900 and the GSM1800 bands since these are the bands that are in use for the 2G networks in Denmark. The module is designed to support all power levels of the 2G standard (5 to 33 dBm in GSM900 and 0 to 30 dBm in GSM1800). To ensure accurate results, the first modules—TINA1 and TINA2—are calibrated at 0, 10, 20, and 30 dBm for high band and 5, 14, 24, and 33 dBm for low band. To verify that the calibration is repeatable and to investigate the reference power dependence of the calibration, a comparison

is made between the calibration values for the highest and the lowest reference power level. Figs. 11 and 12 show an example of a comparison. Here, TINA calibration at 1747 MHz and for switch setting 2 is chosen. The contour lines for selected differences are plotted along with the constant VSWR circles for 3:1 and 2:1. These two VSWR circles are plotted because they represent the 6 dB and 10 dB reflection/coupling level which are the most important levels when testing the phone. Finally, to illustrate the quantity and position of the calibration points, the complete calibration point constellation is plotted on top as black markers. It can be seen on these figures that the main uncertainty is concentrated where the reflected/coupled power is low. For the power, this is well inside the smallest VSWR circle meaning that the S-parameters are far below 10 dB. The uncertainty on phase is more spread out when looking at the range from 1 to 4 . The cumulative distribution function (CDF) of the difference in calibration values between highest and lowest power level can be seen in Figs. 13 and 14 for amplitude and phase calibration, respectively. Only the minimum and maximum powers (0

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 15. Magnitude of the mismatch of the main antenna and coupling between the antennas versus time measured on the iPhone5 with TINA during testing with a user.

Fig. 16. Phase of the mismatch of the main antenna and coupling between the antennas versus time measured on the iPhone5 with TINA during testing with a user.

and 30 dBm for DCS and 5 and 33 dBm for EGSM) are used since this gives the worst case error which is especially centered around the middle of the Smith chart where the reflected and coupled signals get below the sensitivity of the AD8302. Here, the calibration difference between the maximum and minimum power level can reach the full power difference between the lowest and highest power, when the reflection or coupling reaches the noise floor. Any power level in between will give less error. Each CDF is made based on all calibration points at all frequencies of the band with both switch settings and for and . These plots do thus, collectively on all four nontemperature-dependent graphs, incorporate the complete comparison between the highest and lowest power level for all conditions on both modules. TINA2 has also been calibrated in high band (HB) at 10 C and 55 C as well. The CDF of the variation over temperature can be seen in Figs. 13 and 14 too.

with significant changes is well within the 2:1 constant VSWR circle and, thus, only when the match is very good will these inaccuracies be seen. A difference of a decibel does not matter in this region where the reflection is already down to 20 dB. As for the phase difference, it is more spread out in the Smith chart. Since the main focus of this study is on accurately determining the magnitude of the reflection and coupling, it is chosen to accept the error in phase. Ninety percent of the values are within 0.6 dB for the amplitude and 2 for the phase. This is the worst case error due to the difference in transmit power if the same calibration data are used for all power levels. It can be minimized by reading the on-board power meter for each burst and applying the calibration values obtained at the closest PCL. However, an error of this magnitude is considered acceptable and shows that the attenuation of the signals in the RF part of TINA is well adjusted to the power range in GSM. As can be seen in Figs. 13 and 14, there is a comparable difference across temperatures from 10 C to 55 C. This is accepted as an additional uncertainty and, thus, the temperature inside the phone is not measured. The highest inaccuracies are recorded close to the center of the Smith chart where the reflection and coupling are low. In this area of the Smith chart, very small absolute changes lead to large changes in decibels. It is thus expected that the inaccuracy in decibels is high for this region. As can be seen from Figs. 15 and 16, the effect of the surrounding environment is clearly seen on the measured S-parameters. When the phone is left on the Styrofoam block, the magnitudes of the mismatch and coupling are very stable at 6.5 dB and 10 dB, respectively. These levels are in line with the normal design goal of mobile-phone antennas of lower than 6-dB reflection. The phase of the S-parameters is quite stable which is to be expected since the phone is in a very stable position with no physical interaction. The influence of frequency hopping is not seen. This is because the frequency spread of the hopping sequence is only a few megahertz, which translates into negligible phase shifts for the RF line length of TINA.

B. User Test Results As an overall system test, a short measurement series is made with the modified phone for a small series of use cases. A time series of the magnitude of the reflection and coupling is plotted in Fig. 15. Fig. 16 shows the phase of the reflection and coupling between the phone's antennas for the same measurement. The measurement consists of a period where the phone is left on a Styrofoam block to measure the S-parameters in free space. Afterwards, the phone is placed on a copper plate to measure it in a harsh but static environment. Finally, the phone is handled by a test person who tries to change grips as much as possible to achieve a very dynamic user scenario. IV. DISCUSSION From the calibration data obtained for this study, it is seen that the calibration accuracy is quite good. The calibration values change mostly close to the center of the Smith chart. The region

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUSKGAARD et al.: TINY INTEGRATED NETWORK ANALYZER

When the phone is moved onto the copper plate, the mismatch changes to 4.7 dB. The coupling changes to 9.3 dB. It is to be expected that the reflection will be more dominant on the highly conductive surface. Still, the coupling between the antennas is slightly increased and takes into account the reflection. This means that a much larger portion of the emitted signal of the main antenna is absorbed by the diversity antenna. For these scenarios, the phase is very stable as well. For the final scenario, where the user is handling the phone and changing the grip rapidly, it is seen that the S-parameters of the antennas are also very fluctuating. The performance is generally poor with reflections often reaching 3 dB and worse. This is in line with previous studies on the iPhone5 that show extensive performance degradation in the presence of the user [18]. At the end of the measurement, a section with low reflection is recorded. In this section, the lossy tissue of the user is believed to absorb so much power that the match of the antenna is improved. V. CONCLUSION This study shows an original approach to S-parameter measurements on electrically small antennas. A system has been designed and implemented that can measure reflection and coupling for a two-antenna system. It is proposed for any small wireless system, such as wireless sensors, mobile phones, and wireless Internet devices. To demonstrate the principle of the system, it is built into a fully functional commercially available phone. The system can measure the S-parameters of the phone antennas while the phone is in a call. The system consists of a main board, TINA, containing RF circuitry to measure the amplitude and phase of the reflection on the main antenna and the coupling to the diversity antenna. This is very hard to measure with a normal VNA because of the cable effect and even more challenging on a production phone with a user interacting with the phone. The initial calibration data collected for TINA show good stability over temperature and phone output power. With an accuracy of approximately 0.6 dB and 2 for 90% of the measurement points, TINA can easily estimate any mismatch and coupling coefficient accurately enough for designing an active antenna tuner. Even though the measurement system must be designed specifically for the DUT, it is still seen as the best way to achieve accurate user test results. Any other method known to the authors either includes cables or optical fibers obstructing the user. If one could fit a fully coherent transceiver inside the DUT, it should, in principle, be possible to extract the phase and amplitude of the reflection by adding a coupler and using the receiver to demodulate the reflected wave from the antenna. Such capabilities could exist in the GSM transceiver of some modern smart phones but they are not available to the research community. The introduction of this new measurement system enables tests that would otherwise be impossible to make. It will be possible to investigate the dynamics of the user effect without having obstructing cables hanging out of the phone. This enables true blind testing of the phones, eliminating the risk that

9

users may handle the phone differently because they know that the antennas are being tested. REFERENCES [1] H. Wheeler, “Small antennas,” IEEE Trans. Antennas Propag., vol. AP-23, no. 4, pp. 462–469, Jul. 1975. [2] M. Salehi and M. Manteghi, “Transient characteristics of small antennas,” IEEE Trans. Antennas Propag., vol. 62, no. 5, pp. 2418–2429, May 2014. [3] W. Kotterman, G. Pedersen, K. Olesen, and P. Eggers, “Cable-less measurement set-up for wireless handheld terminals,” in Proc. 12th IEEE Int. Symp. Personal, Indoor Mobile Radio Commun., Sep. 2001, vol. 1, pp. B-112–B-116, vol. 1. [4] T. H. Loh, M. Alexander, P. Miller, and A. L. Betancort, “Interference minimisation of antenna-to-range interface for pattern testing of electrically small antennas,” in Proc. 4th Eur. Conf. Antennas Propag., Apr. 2010, pp. 1–5. [5] D. Ji, J. Jeon, and J. Kim, “A novel load mismatch detection and correction technique for 3g/4g load insensitive power amplifier application,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1530–1543, May 2015. [6] S. Sussman-Fort and R. Rudish, “Non-foster impedance matching of electrically-small antennas,” IEEE Trans. Antennas Propag., vol. 57, no. 8, pp. 2230–2241, Aug. 2009. [7] P. Sjoblom and H. Sjoland, “Constant mismatch loss boundary circles and their application to optimum state distribution in adaptive matching networks,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, no. 12, pp. 922–926, Dec. 2014. [8] A. van Bezooijen, M. de Jongh, C. Chanlo, L. Ruijs, F. van Straten, R. Mahmoudi, and A. van Roermund, “A gsm/edge/wcdma adaptive series-lc matching network using rf-mems switches,” IEEE J. SolidState Circuits, vol. 43, no. 10, pp. 2259–2268, Oct. 2008. [9] R. Lao, W. Liang, Y.-S. Chen, and J. Tarng, “The use of electro-optical link to reduce the influence of RF cables in antenna measurement,” in Proc. IEEE Int. Symp. Microw., Antenna, Propag. EMC Technol. Wireless Commun., Aug. 2005, vol. 1, pp. 427–430. [10] B. Yanakiev, J. Nielsen, M. Christensen, and G. Pedersen, “Long-range channel measurements on small terminal antennas using optics,” IEEE Trans. Instrum. Meas., vol. 61, no. 10, pp. 2749–2758, Oct. 2012. [11] S. Saario, D. Thiel, J. Lu, and S. O'Keefe, “An assessment of cable radiation effects on mobile communications antenna measurements,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jul. 1997, vol. 1, pp. 550–553. [12] T. Hong Loh, M. Alexander, P. Miller, and A. López Betancort, “Interference minimisation of antenna-to-range interface for pattern testing of electrically small antennas,” in Proc. 4th Eur. Conf. Antennas Propag., Apr. 2010, pp. 1–5. [13] A. Tatomirescu and G. Pedersen, “Body-loss for popular thin smart phones,” in Proc. 7th Eur. Conf. Antennas Propag., Apr. 2013, pp. 3754–3757. [14] Analog Devices, Inc., “AD8302, LF2.7 GHz RF/IF gain and phase detector,” data sheet: Rev. A, 2002. [15] Rohde and Schwarz GmbH, “Rohde and Schwarz SME06 operating manual,” data sheet: 1039.1856.12-14-, 1999. [16] Rohde and Schwarz GmbH, “Rohde and Schwarz ZVB network analyzer,” data sheet: v08.02, 2011. [17] Maury Microwave, Inc., “MT982 series sensor tuners,” 2012, data sheet: 4T-078. [18] A. Tatomirescu and G. Pedersen, “User body loss study for popular smartphones,” presented at the 9th Eur. Conf. Antennas Propag., Lisbon, Portugal, 2015. Emil F. Buskgaard received the M.Sc. E.E. degree from Aalborg University, Aalborg, Denmark, in 2005, where he is currently pursuing the Ph.D. degree in antennas, propagation and networking. For seven years, he worked in RF design and verification with SiTel Semiconductor B.V., Den Bosch, the Netherlands, and Broadcom Inc., Copenhagen, Denmark. He holds two granted and pending patents. His primary interests are related to research, design, and automation of RF test systems and the user interaction with electrically small antennas for mobile devices.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Ben K. Krøyer received the Electrotechnicians Diploma from Odense Technicum, Odense, Denmark, in 1998. He has been with the Institute of Electronic Systems, Aalborg University, Aalborg, Denmark, since 1999, taking care of a variety of hardware tasks within the components workshop until 2001. Hereafter, he has been responsible for hardware and firmware design as well as antenna prototyping and printed-circuit-board fabrication. His interests are general hardware and software development of prototype platforms.

Alexandru Tatomirescu (M’15) was born in January 1987. He received the B.Sc. degree in electronics and telecommunication from the Polytechnic University Bucharest, Bucharest, Romania, in 2009, and the M.Sc. degree in mobile communications and Ph.D. degree in antenna design from Aalborg University, Aalborg, Denmark, in 2011 and 2014, respectively. Currently, he is an Assistant Professor at Aalborg University, working on mobile antenna design and nanosatellite antennas. His main topics of research include mobile-phone antenna design, reconfigurable antennas, antenna decoupling, satellite antennas, beam-steered antennas, and the practical limits of electrically small antennas. Prof. Tatomirescu is an active IEEE member and participates in the COST IC1004 action.

Ondřej Franek (S'02–M'05) was born in 1977. He received the M.Sc. Ing. (Hons.) and Ph.D. degrees in electronics and communication from Brno University of Technology, Brno, Czech Republic, in 2001 and 2006, respectively. Currently, he is a Postdoctoral Research Associate with the Department of Electronic Systems, Aalborg University, Aalborg, Denmark. His research interests include computational electromagnetics with a focus on fast and efficient numerical methods, especially the finite-difference time-domain method. He is also involved in research on biological effects of nonionizing electromagnetic radiation, indoor radio-wave propagation, and electromagnetic compatibility. Dr. Franek was the recipient of the Seventh Annual SIEMENS Award for outstanding scientific publication.

Gert Frølund Pedersen was born in 1965. He received the B.Sc. E.E. degree (Hons.) in electrical engineering from the College of Technology, Dublin, Ireland, and the M.Sc. E.E. and Ph.D. degrees in antennas for small mobile terminals from Aalborg University, Aalborg, Denmark, in 1993 and 2003, respectively. He has been with Aalborg University since 1993, where he is now full Professor, heading the Antenna, Propagation and Networking Group and is also the Head of the doctoral school on wireless, where some 100 Ph.D. students are enrolled. He has published more than 75 peer-reviewed papers and holds 20 patents. He has also worked as Consultant for the developments of more than 100 antennas for mobile terminals, including the first internal antenna for mobile phones in 1994 with lowest SAR, first internal tripleband antenna in 1998 with low SAR, and high TRP and TIS, and lately various multiantenna systems rated as the most efficient on the market. He has been one of the pioneers in establishing over-the-air measurement systems. The measurement technique is now well established for mobile terminals with single antennas. His research has focused on radio communication for mobile terminals, especially small antennas, diversity systems, as well propagation and biological effects. Prof. Pedersen chaired the COST2100 SWG2.2 group with liaison to 3GPP for over-the-air test of multiple-input multiple-output terminals.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

289

Radio Frequency Beamforming Based on a Complex Domain Frontend Zhengyu Peng, Student Member, IEEE, Jialong Chen, Yazhou Dong, Bin Zhang, Shan Qiao, Dexin Ye, Jiangtao Huangfu, Yongzhi Sun, Changzhi Li, Senior Member, IEEE, and Lixin Ran

Abstract—The state-of-the-art phased array-based digital beamforming systems suffer from disadvantages of high power consumption, high cost, and system complexity due to the massive use of transmitter/receiver (T/R) modules and high-speed digital and digital–analog mixed devices. In this paper, we point out that by proposing a new concept “complex domain” radio frequency (RF) frontend, the relatively slowly changed waveform delay information required to accomplish adaptive beamforming can be separated from wideband RF signals, based on which a self-contained beamforming system can be implemented with a low-speed baseband. By introducing vector RF multipliers in the proposed frontend, the amplitude and phase of RF signals can be simultaneously controlled by the real and imaginary parts of complex numbers, such that beamforming algorithms derived in complex domain can be directly applied without any form of transformation. By doing so, the massive use of conventional T/R modules and high-speed baseband devices can be avoided. Theoretical analysis and experimental demonstration based on commercial components have validated the proposed approach. Our method is able to significantly simplify the realization and decrease the cost of wideband digital beamforming systems, and can be widely used in low cost, power efficient beamforming applications. Index Terms—Beamforming, nulling antennas, phased array, radio frequency frontend.

I. INTRODUCTION

P

HASED array antennas significantly extend the capability of conventional antennas by enabling the functionality of adaptive beamforming [1]–[3]. In modern communication and

Manuscript received May 05, 2015; revised July 13, 2015; accepted November 23, 2015. Date of publication December 18, 2015; date of current version January 01, 2016. This work was supported in part by the National Natural Science Foundation of China (NSFC) under Grants 61131002, 61528104, and 61071063, in part by the National Science Foundation (NSF) under Grant ECCS-1254838, in part by the Zhejiang Natural Science Foundation (ZJNSF) under Grant LY16F010009, in part by the National Key Laboratory Foundation of China under Grants 9140C530203140C53232 and 9140A20090314HT05310, in part by the Open Research Fund Program of Key Laboratory for Spacecraft TT&C and Communication under Grant CTTC-FX201306, and in part by the Program for the Top Young Innovative Talents under Grant Q1313-03. Z. Peng and J. Chen contributed equally to this work. Z. Peng is with the Laboratory of Applied Research on Electromagnetics (ARE), Zhejiang University, Hangzhou 310027, China, and also with the Department of Electrical and Computer Engineering, Texas Tech University, TX 79409 USA. J. Chen, B. Zhang, S. Qiao, D. Ye, J. Huangfu, and L. Ran are with the Laboratory of Applied Research on Electromagnetics (ARE), Zhejiang University, Hangzhou 310027, China (e-mail: [email protected]; [email protected]). Y. Dong is with the National Key Laboratory of Science and Technology on Space Microwave, Xi’an 710100, China. Y. Sun is with the Nanjing Institute of Electronic Equipment, Nanjing 210007, China (e-mail: [email protected]). C. Li is with the Department of Electrical and Computer Engineering, Texas Tech University, TX 79409 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504446

radar systems, beamforming technique has been widely used to not only steer and shape the lobes of radiation patterns so as to obtain optimal signal performance [4]–[6], but also to control the nulls to suppress directional interference and improve the noise performance [7]–[10]. Due to these advantages, phased array antennas with beamforming functions have been widely used in modern civil and military systems like radars [3], [11], [12], radio telescopes [13], [14], acoustic applications [15], [16], and radio communication systems [17], [18]. Beamforming can be realized in either radio frequency (RF) domain [2] or baseband (BB) domain [19]. Nowadays, BB beamforming based on the state-of-the-art highly adaptive phased array is the main stream [20]–[24]. Compared with the conventional RF beamforming that relies on analog RF phase shifters [19], [25], such BB beamforming systems are based on the concept of software defined radio (SDR) architecture [19] that combines antenna elements with RF frontends (transmitter/receiver (T/R) modules), analog-to-digital converters (ADCs), and digital signal processors (DSPs) to provide the maximum flexibility in achieving high-performance adaptive beamforming [20]–[24]. For a wideband BB beamforming system, the massive use of high-speed ADCs is inevitable. Consequently, digital down-converters (DDCs) are needed to convert the digitized intermediate frequency (IF) signals to baseband. In order to handle the wideband data and complex beamforming algorithms in digital domain, a high-performance processing unit is required in baseband, typically implemented by high-speed DSPs or field programmable devices, such as FPGAs. All these high-speed digital and digital-analog mixed devices are expensive and power hungry [26]. Therefore, the hardware expense, power consumption and structural complexity of a high-performance BB beamforming phased array system directly increase with the number of RF channels, making the implementation of a large-scale BB beamforming system a complex and challenging task. In this paper, we point out that although radar or communication signal processing in wideband phased array systems has to process wideband signals in digital domain, it is not necessary for the beamforming processing to also handle such signals. In fact, the implementation of beamforming relies on the waveform delay between RF signals received in different channels, instead of the information carried by the bandwidth of the signals. If such slowly changed, narrowband waveform delay information can be separated from time-domain RF signals, a self-contained narrowband beamforming system can be implemented in RF domain. In this case, only a one-channel SDR receiver is needed to demodulate the information carried by RF

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 1. Block diagram of the SDR architecture digital domain beamforming system.

signals, and therefore the massive use of wideband T/R modules and high-speed devices can be effectively avoided. In order to realize such an RF domain beamforming, we propose a “complex domain” RF frontend, which is able to separate the waveform delay information from the RF signals, and individually control the amplitude and phase of each RF signal. Since all the signals of the waveform delay and the amplitude/ phase control are slow signals, low-frequency ADCs, DACs and DSPs can be used in the implementation of adaptive beamforming. Furthermore, we introduce vector RF multipliers in the proposed frontend, such that the amplitude and phase of RF signals can be simultaneously controlled by the real and imaginary parts of complex numbers. Therefore, beamforming algorithms derived in complex domain can be directly applied without any form transformation. As a self-contained RF domain beamforming system, its output can be directly connected to any available receivers, including SDR receivers, enabling conventional receivers to easily gain beamforming functions. An experimental prototype is designed and fabricated based on commercial components. The demonstrations of adaptive nulling and broadening of radiation pattern validate the effectiveness of the proposed approach. This paper is organized as follows. In Section II, the principle of the traditional and the proposed beamforming approaches are analyzed and compared. In Section III, an experimental prototype is designed and fabricated. Using the prototype, examples of power inversion based nulling and quasi-hemispheric coverage beamforming are demonstrated in Section IV. Finally, a conclusion is drawn in Section V. II. PRINCIPLE A. Architecture For comparison, Fig. 1 illustrates the block diagram of an SDR architectured BB beamforming system, in which the RF signal received by each antenna element is amplified and down-converted to IF by a T/R module, digitized by an ADC, and then down-converted to baseband in digital domain by the DDC, sequentially. Beamforming algorithms are performed in the baseband processing unit, which is normally implemented with high-speed DSPs and/or FPGAs devices. For a wideband beamforming system, all the ADCs, DDCs and baseband processors are high-speed, power consuming devices, making the hardware cost, power consumption and system complexity proportional to the scale to the phased array system.

Fig. 2. Block diagram of the proposed architecture of an RF domain beamforming system.

Although the architecture in Fig. 1 has been proved to be able to provide high-performance BB beamforming, as indicated, it is not a cost-efficient architecture. In essence, adaptive beamforming is achieved by dynamically tuning the shape and direction of the lobes and/or the nulls of the radiation pattern, which are finally determined by the amplitude and phase distribution on the radiation aperture [27]. For phased array-based beamforming, the aperture distribution is tuned by controlling the amplitude and waveform delay of the RF signals received by different antenna elements, either in RF domain or BB domain. In practice, the change of such amplitude and waveform delay is usually in second or millisecond level. Therefore, a phased array-based beamforming system is not necessarily a wideband system. The SDR based phased array architecture has significant advantages for wideband radar or communication signal processing. However, it can be very redundant for the beamforming signal processing. According to the above analysis, if the slow signals reflecting the change of amplitude and waveform delay of RF signals can be conveniently separated and controlled, a self-contained, narrowband beamforming system can be implemented in RF domain, along with a one-channel receiver to demodulate the information carried by the RF signal. Fig. 2 shows the proposed block diagram for such a system. Firstly, RF amplifiers are used to replace the T/R modules, to provide gains to all the received signals. The amplified RF signal in channel 0 is used as the reference signal, and the waveform delays between this reference signal and the other RF signals received by different antenna elements can be obtained by wideband waveform delay detectors. In the meantime, vector multipliers are used to implement simultaneous control to the amplitude and waveform delay of each RF signal, performing beamforming in RF domain. B. Separation of Waveform Delays From RF Signals The same as the analysis for conventional phased array antennas, we assume the incidence upon the aperture is a plane wave. In this case, the phase difference between the signals received by different radiating elements can be significantly dif-

PENG et al.: RADIO FREQUENCY BEAMFORMING BASED ON A COMPLEX DOMAIN FRONTEND

291

ferent depending on the angle of incidence. However, the amplitude difference is assumed to be very small due to the fact that the loss of a plane wave transmitted in the air can be ignored within a short distance. In conventional beamforming systems, the waveform delay between two RF signals received by different antennas is computed in digital domain after the down-conversion and digitization. In RF domain, the detection of such a delay can be simply achieved by analog multiplication [28]. The RF signals received by two antenna elements can be expressed as (1) (2) where and are the amplitudes, is the modulated wideband signal, and is the phase delay between and . Consequently, is the waveform delay between and . After multiplying with , we obtain

(3) . It is shown that the DC component can be obtained by filtering the harmonic components from (3), which directly relates to the waveform delay between signals and . Note that the coefficient depends on the amplitude of the received signals, bringing difficulty to extract from the DC component. In practice, variable gain amplifiers (VGAs) can be used in the RF amplifier chain, such that signals with constant amplitudes and can always be obtained. In this case, can be obtained after the amplitude and phase calibration to the RF frontend. Alternatively, digitized waveform delay detectors can also be used to solve this problem. As shown in Fig. 3(a), signals and can firstly be amplified by limiting amplifiers (stage 1), and the clipped output signals with the same amplitude can be further sent to an RF exclusive-or (XOR) gate (stage 2) and a low-pass filter (stage 3). Since the waveform delay will determine the width of the output clipped pulses of the XOR gate, after a low-pass filter, the obtained DC voltage at stage 4 will be linearly proportional to the waveform delay [28]. With the rapid progress of RF CMOS technology, commercial RF phase detectors such as the Analog Device’s AD8302 have integrated similar structure as shown in Fig. 3(a). In this work, we also chose this device as a building block in the experimental prototype, as shown in the following. It is worth noting that for both methods, the output signals containing the waveform delay information are slowly changing signals with respect to the RF carrier frequency, and thus low sampling rate, multi-channel ADCs can be used to digitize such signals for further beamforming processing. It should be mentioned that when a pulsed waveform is used in the proposed architecture, it is possible that the delay between the signals received by different elements is able to exceed the duration of the pulsed waveform. In this case, the prowhere

Fig. 3. Principle of digitized waveform delay detector. (a) Circuit block diagram. (b) Waveforms at different stages.

posed waveform delay detector will not properly work. However, since the pulsed waveform is modulated on the carrier, its duration is always much longer than the period of the carrier. In practice, due to the limited transient response of transmitting channels and the wide use of pulse compression technique, the typical duration of a pulsed waveform is in microsecond scale or even longer. For a duration of 1 to 100 microseconds, the electromagnetic wave is able to travel 300 to 30000 meters. Therefore, the multiple-waveform delay only occurs in the case of extremely large arrays. By properly designing the duration of the pulsed waveform, this issue can always be avoided. C. Vector Control of RF Signals In conventional phased array architectures, different components, i.e., phase shifters and amplifiers, are separately used to control the phase and amplitude of RF signals. In the proposed architecture, we use a single device to implement simultaneous vector control of RF signals, to significantly simplify the structure of the RF frontend. Consider a complex signal received by an RF channel, where is the carrier frequency, is the modulated BB signal. Assuming a complex weighting number with an amplitude and a phase . By multiplying with , the resultant signal can be expressed as (4) The real part of

is

(5)

292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 6. Implementation of beamforming control unit with multichannel, low sampling rate ADC and DAC. Fig. 4. Principle of the simultaneous vector control of the amplitude and phase.

Fig. 5. Notation of

and

on the complex plane.

which can be considered as the original signal modulated by amplitude and phase . Equation (5) can be realized by a quadrature power divider and a vector multiplier, as shown in Fig. 4. The input signal is divided into in-phase and quadrature-phase signals and by the quadrature power divider, where (6) (7) The output of the vector multiplier is therefore

(8) and are direct current (DC) where control voltages. Fig. 5 illustrates the relationship between , , , and , which can be concisely presented by a complex number . As long as the phase and amplitude are determined, the vector control coefficients and can be determined, or vice versa. It is seen that this specific “complex domain” architecture is able to bring a lot of convenience in the realization of beamforming algorithms that are mathematically described in complex equations. According to Fig. 5, the phase is able to continuously take values between 0 and 360 degrees, and the tuning range of the amplitude is only limited by the used device. In phased array and beamforming applications, the time required to change a beam shape is normally in milliseconds. Therefore, multichannel, low sampling rate, wide bit width DACs can be used to precisely control , , , and , providing a cost efficient, high-performance solution for vector RF signal control. D. Beamforming Baseband Unit Since both signals of the vector control and the waveform delay are slowly changing signals, the beamforming baseband

unit can also be realized by low sampling rate, multichannel ADCs and DACs, and low-speed baseband processing devices, as shown in Fig. 6. The ADCs are used to sample the voltages to representing the phase delay outputs from the wideband waveform delay detectors, and the DACs are used to generate the voltages and , , for the vector control of the RF signals. DSPs and/or FPGAs can be used to carry out the baseband processing by running the complex domain beamforming algorithms. Again, both the inputs to and the outputs from the baseband processing unit are digitized slowly changing signals. Based on the above discussion, the output of the proposed RF beamforming system is

(9) which is the summation of all the L-channel RF output signals, and phase delay have been controlled whose amplitude by the complex numbers computed by algorithms run in the beamforming baseband unit. Obviously, the RF signal can be sent to any one-channel RF receiver, including a state of the art SDR receiver, for further signal processing. Therefore, the proposed architecture is able to avoid massive use of expensive T/R modules and high-speed digital and mixed signal devices, achieving low-power, cost-effective phased array and beamforming systems. III. EXPERIMENTAL PROTOTYPE To verify the effectiveness of the proposed approach, an experimental prototype with five antenna elements and RF channels are designed and fabricated. A. Circuits Fig. 7(a) shows the schematic of the reference dispatching unit. The signal received by the antenna is first filtered to suppress out-of-band noises, and then amplified by an amplifier chain consisting of an RF low-noise amplifier (LNA) and a gain block device. Then, the signal is divided into signals, where the signal is directly sent to the combiner shown in Fig. 2, and the other REF signals are sent to the waveform delay detectors, to extract the waveform delays between the RF signal and the other received signals. Fig. 7(b) shows the schematic of the th RF channel with the waveform delay detector and the vector RF multiplier, whose antenna element, filter and RF amplifiers are the same as those in Fig. 7(a). The amplified RF signal is divided into two parts, which are used as the inputs to the waveform delay detector and the vector multiplier, respectively. The output of the waveform

PENG et al.: RADIO FREQUENCY BEAMFORMING BASED ON A COMPLEX DOMAIN FRONTEND

293

TABLE I DEVICES USED IN THE PROTOTYPE

Fig. 7. Schematics of the experimental prototype. (a) Reference dispatching unit. (b) Waveform delay detector and vector control unit.

Fig. 8. Prototype of the proposed beamforming system.

delay detector is sampled by an ADC channel, and the amplitude and phase of the input signal will be controlled by signals and , which are generated by a DAC channel. Fig. 8 is the photograph of the fabricated prototype based on commercial components, whose size is about mm . The prototype consists of one reference channel and four delay detection/vector control channels. In each channel, the LNA is a Mini-Circuits , while the gain block is Avago Tech ABA31563. The filter is designed by lumped components. In the reference channel, two power splitters, Mini-Circuits and , are used to generate the four REF signals. The vector multiplier is implemented by ADI ADL5390, and the related quadrature power divider is Mini-Circuits . The ADI’s AD8302 is used to serve as the waveform delay detector, whose outputs are sampled by ADI’s ADC product AD7689. The control signals

Fig. 9. (a) Parameters of the monopole antenna element. The unit of dimensions is mm. (b) Normalized pattern on the H-plane. (c) Normalized pattern on the E-plane. (d) Measured S11 of the monopole antenna element.

for the vector multiplier are generated by ADI’s DAC product AD5668. In the baseband, a microprocessor, ST Electronics STM32 (on the bottom side of the board), is used to control the ADCs and the DACs. In order to block the interference from the digital devices, the analog ground of the RF front is separated from the digital ground by low-voltage differential signaling (LVDS) interfaces, TI SN65LVDT14 and SN65LVDT41. The main devices used in the prototype are also listed in Table I. B. Antennas For the prototype, a linear array consisting of five coplanar waveguide (CPW) fed monopoles is designed and fabricated. Each monopole antenna is printed on a 1-mm-think, mm sized FR4 board, as shown in Fig. 9(a). The dimensions are marked in mm. Such monopoles work at

294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

such directions [32], [33]. Previously, such nulling algorithms are designed for BB beamforming based on SDR architectures, in which the wideband IF or baseband signals are digitized and processed in time domain [32]–[34]. In the following, we will demonstrate power inversion based nulling using the proposed “complex domain” architecture, in which the slowly changed waveform delays are detected and controlled in RF domain directly with complex numbers. In order to do so, slight modifications to the original power inversion algorithm are made, to describe signals in terms of waveform delay and amplitude difference between RF signals. For the five-element linear array, the received RF signals can be expressed as (10) is the RF signal received by the reference channel. where Note that in our system, signals is not sampled. Instead, the phase delay (11) Fig. 10. (a) Five-element linear array. (b) The connection between the linear array and the RF frontend. (c) Experimental setup in the microwave anechoic chamber. Inset shows the prototype powered by a Li-ion battery.

2 GHz with a designed gain of 2.3 dBi, whose normalized Eand H-plane radiation patterns are measured in an anechoic chamber, as shown in Fig. 9(b) and (c), respectively. It is seen that such patterns are similar to the pattern of an ideal monopole antenna. The measured S11 of the monopole is shown in Fig. 9(d). Fig. 10(a) shows the photograph of the five-element monopole array, in which neighboring antennas are separated by half a wavelength. The supporter of the array is made of Styrofoam, whose dielectric constant is very close to 1. Fig. 10(b) shows how the array is connected to the RF frontend with coaxial cables. Fig. 10(c) shows the experimental setup in the microwave anechoic chamber. The mutual coupling between the radiating elements mainly comes from the near-field coupling. In our prototype, the distance between the adjacent monopoles is half a wavelength. The measured correlation coefficient is 0.0022, which can be ignored in our case. This result complies with the previous research on the mutual coupling between monopoles reported by [29], [30]. The impacts of mutual coupling on the performance of phased arrays have been discussed in many literatures, such as [31]. In the following sections, we will demonstrate beamforming experiments using the fabricated prototype, in which the nulling and broadening of radiation patterns based on complex domain algorithms are demonstrated. All measurements were conducted in the anechoic chamber. IV. EXPERIMENT: POWER-INVERSION NULLING

is sampled using narrowband ADCs, where is assigned as a zero phase. Then in the baseband processing unit, the rebuilt signal for beamforming in the beamforming control unit can be expressed as (12) in which (13) where is the sampling number, is an integer, and . Assume the weight vector of the array is (14) where (15) is the complex weight for controlling the th vector multiplier, and denotes the conjugate transpose. In power inversion algorithm, the optimal weight can be obtained by solving (16) which can be directly calculated by applying the direct least square algorithm [33], i.e., (17) where

Algorithm

(18)

The power inversion method is used in many adaptive nulling algorithms to suppress interferences from unknown incident directions by tuning nulls of the radiation pattern to

(19)

PENG et al.: RADIO FREQUENCY BEAMFORMING BASED ON A COMPLEX DOMAIN FRONTEND

295

For both cases, the same data in Table II are used as the input weighting coefficients to control the amplitudes and phases of the RF signals. It is seen that in both cases, the obtained nulling results comply with each other, especially in the intended directions of nulling. The depth of the nulls in these directions ranges between 20 dB and 30 dB in the experiments, meaning a good suppression effect to the incident interference. In Fig. 11, along with the expected deep nulls, there also exist other dips in directions other than the nulling directions. For instance, when nulling to 0 direction in Fig. 11(a), there are also a deep null in the direction around zenith angle, and a shallow null around 40 zenith angle. It should be noted that such nulls are the by-products of the power inversion algorithm. The relationship between the wanted and the unwanted nulls is similar to the relationship between the main lobe and the side lobes when forming steering lobes. Similar results were also reported in [35], [36]. This disadvantage can be avoided by optimizing all the other nulls, as shown in the following.

TABLE II PARAMETERS USED FOR POWER INVERSION NULLING

V. EXPERIMENT: BEAM-BROADENING It is usually difficult to synthesize a wide-angle coverage beam for an array antenna. In this section, we demonstrate such a beamforming using an algorithm proposed in [35], which can be used to automatically synthesize a beam with a wide-angle coverage and a single deep null pointing to a direction of no interest. In scenarios such as GPS applications, this null can be tuned to a direction where there is not a navigation satellite, obtaining an “effective” quasi-hemispheric beam in the H plane for the receiver. The mechanism behind this approach is Gaussian noise-based stochastic optimization on the orientation and depth of all the nulls of the radiation pattern [35]. Algorithm Fig. 11. Comparison between the measured, simulated and calculated patterns when nulling to different directions. (a) Nulling to 0 . (b) Nulling to 40 .

For the proposed prototype, the complex vector can be used as the inputs for each vector multiplier. The output signal is (20) In the experiment, we demonstrate two cases, where a single interference is assumed to be incident from the zenith angle of 0 and 40 degrees in the H plane, respectively. In each case, the optimized complex values of are shown in Table II. A. Experimental Results To verify the performance of the proposed “complex domain” for different nulling direcfrontend, the complex values of tions optimized by a personal computer were sent to the microprocessor STM32 via a joint test action group (JTAG) download cable, to form different nulling patterns. Fig. 11(a) and (b) shows the experimental results, along with the simulated and calculated results for comparison. The full wave simulation is performed using CST Microwave Studio™, and the calculation is based on (17). In both simulation and calculation, the same five-element linear monopole array is used.

In order to obtain such a special radiation pattern, we rewrite the far field radiation of an L-element linear array as [37] (21) where is the th null of , , and determine the depth and orientation of the th null, respectively [35]. Therefore, each null can be independently controlled by tuning the complex number . In order to get the optimized to realize an “effective” quasi-hemispheric beam, we assume a hypothetical interference with a known incident direction in the digital domain. In the five-element phased array, the interference signal received by each channel has a different phase, which can be expressed as (22) where (23) with being the direction of the hypothetical interference. The hypothetical interference signal can be expressed as (24)

296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE III PARAMETERS USED FOR BEAM BROADENING

where represents the power of the interference, number of samples, is an integer such that is the Gaussian white noise. The optimized weight

is the , and is (25)

Similarly, can be directly used as the complex number for the input of the vector multipliers in the proposed prototype. In the experiment, we demonstrate three cases, where the single deep nulls are tuned to the directions with zenith angle of 0, 40, and 80 degrees in the H plane, respectively. In each case, the optimized complex values of are shown in Table III. Experimental results shown in Fig. 12(a)–(c) are also compared with the measured and the simulated results for three cases when the single deep null is optimized to point to 0 , 40 , and 80 , respectively. From the calculated results, we can see that the algorithm can effectively tune the deep null to the desired direction and thus flatten the main lobe to obtain a wide-angle coverage. In Fig. 12(a), the null is directed to 0 and the pattern is flat in other directions. The quasi-hemispheric coverage in H plane is obtained if there is no signal incident from the 0 direction. Even when the nulls are directed to large zenith angle, i.e., 80 as shown in Fig. 12(c), the beam width of the pattern is still much larger than the original pattern with an in-phase feeding, which is shown in Fig. 13. Fig. 12 shows again that the measured, simulated and calculated results from (25) comply with each other, validating the effectiveness of the proposed approach. Comparing the experimental results shown in Figs. 12 and 11, we see that the by-product nulls existed in Fig. 11 disappear in Fig. 12. This is because the algorithm developed based on (21) is able to independently optimize all the four nulls. In order to obtain a wide-angle coverage beam, except for the single deep null, the rest three nulls can be optimized to be as shallow as possible. The same as the conventional phased array systems, the imperfection of analog components may degrade the beamforming

Fig. 12. Comparison between the measured, simulated and calculated patterns with a single null pointing to different directions. (a) Nulling to 0 . (b) Nulling to 40 . (c) Nulling to 80 .

Fig. 13. Comparison of the patterns with normalized weights in calculation, simulation and experiment.

performance, and proper calibrations can be used to compensate for this imperfection. In our architecture, such imperfections can be eliminated by adding calibration offsets to the inputs of vector multipliers. On the other hand, according to [35], the nulling direction does not notably deviate until the amplitude and phase errors reach 3 dB and 6 degrees, respectively. This means that small variations due to the imperfection of RF components only have limited effect on the beamforming. In broadband applications, the proposed architecture faces the same challenges as conventional phased arrays do. In order

PENG et al.: RADIO FREQUENCY BEAMFORMING BASED ON A COMPLEX DOMAIN FRONTEND

to obtain a broadband system, all components in the receiving and transmitting chains should have sufficient wideband performance, including the gain flatness, insertion loss flatness, and the dispersion of group delays. The key components used to implement our architecture, i.e., the waveform delay detector (AD8302, bandwidth from DC to 2.7 GHz) and the vector multiplier (ADL5390, bandwidth from 20 MHz to 2.4 GHz), are both wideband devices. We believe it is feasible to implement broadband beamforming systems using our architecture. VI. CONCLUSION In this work, we pointed out that a phased array-based beamforming system is not necessarily a wideband system, in which case the conventional SDR architectured phased array can be redundant for beamforming applications. Instead, we propose a self-contained beamforming architecture that can be implemented with narrowband devices, to effectively avoid the disadvantages of high power consumption, high cost, and system complexity due to the massive use of T/R modules and highspeed digital and mixed-signal devices. Such a “complex domain” RF frontend is able to separate the waveform delay information from the RF signals, and simultaneously control the amplitude and phase delay of each RF signal using complex numbers computed by the beamforming algorithms derived in complex domain. An experimental prototype developed with commercial components verified the effectiveness of our approach by demonstrating the adaptive nulling and broadening of radiation patterns. Using our method, the massive use of conventional T/R modules and high-speed baseband devices can be avoided, and additional beamforming functions can be conveniently introduced to arbitrary one-channel receivers without any modification. We anticipate our method to be widely used in low cost, power efficient beamforming applications. REFERENCES [1] H. J. Visser, Array and Phased Array Antenna Basics. Chichester, U.K.: Wiley, 2006. [2] L. Stark, “Microwave THEORY of phased-array antennas-A review,” Proc. IEEE, vol. 62, no. 12, pp. 1661–1701, Dec. 1974. [3] R. J. Mailloux, “Phased array THEORY and technology,” Proc. IEEE, vol. 70, no. 3, pp. 246–291, Mar. 1982. [4] I. Chiba, R. Miura, T. Tanaka, and Y. Karasawa, “Digital beam forming (DBF) antenna system for mobile communications,” IEEE Aerosp. Electron. Syst. Mag., vol. 12, no. 9, pp. 31–41, Sep. 1997. [5] L. C. Godara, “Application of antenna arrays to mobile communications. II. Beam-forming and direction-of-arrival considerations,” Proc. IEEE, vol. 85, no. 8, pp. 1195–1245, Aug. 1997. [6] O. G. Vendik and D. S. Kozlov, “Phased antenna array with a sidelobe cancellation for suppression of jamming,” IEEE Antennas Wireless Propag. Lett., vol. 11, pp. 648–650, Jun. 2012. [7] B. Widrow and S. D. Stearns, Adaptive Signal Processing. Englewood Cliffs, NJ, USA: Prentice-Hall, 1985. [8] N. K. Jablon, “Adaptive beamforming with the generalized sidelobe canceller in the presence of array imperfections,” IEEE Trans. Antennas Propag., vol. AP-34, no. 8, pp. 996–1012, Aug. 1986. [9] X. Yang, P. Yin, T. Zeng, and T. K. Sarkar, “Applying auxiliary array to suppress mainlobe interference for ground-based radar,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 433–436, Mar. 2013. [10] K.-B. Yu and D. J. Murrow, “Adaptive digital beamforming for angle estimation in jamming,” IEEE Trans. Aerosp. Electron. Syst., vol. 37, no. 2, pp. 508–523, Apr. 2001. [11] K. H. Lai, I. D. Longstaff, and G. D. Callaghan, “Super-fast scanning technique for phased array weather radar applications,” Inst. Elect, Eng. Proc. Radar, Sonar Navig., vol. 151, no. 5, pp. 271–279, Oct. 2004.

297

[12] G. Zhang, R. J. Doviak, D. S. Zrnic, J. Crain, D. Staiman, and Y. Al-Rashid, “Phased array radar polarimetry for weather sensing: A theoretical formulation for bias corrections,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 11, pp. 3679–3689, Oct. 2009. [13] G. Swarup and K. Yang, “Phase adjustment of large antennas,” IRE Trans. Antennas Propag., vol. 9, no. 1, pp. 75–81, Jan. 1961. [14] A. W. Gunst and M. J. Bentum, “The LOFAR phased array telescope system,” in Proc. IEEE Int. Symp. Phased Array Syst. Technol. (ARRAY), Waltham, MA, USA, 2010, pp. 632–639. [15] F. Ollivier, P. Cervenka, and P. Alais, “Side scan sonar using phased arrays for high resolution imaging and wide swath bathymetry,” Inst. Elect, Eng. Proc. Radar, Sonar Navig., vol. 143, no. 3, pp. 163–168, Jun. 1996. [16] O. T. Von Ramm and S. W. Smith, “Beam steering with linear arrays,” IEEE Trans. Biomed. Eng., vol. BME-30, no. 8, pp. 438–452, Aug. 1983. [17] R. Telikepalli, P. C. Strickland, K. R. McKay, and J. S. Wight, “Wide band microstrip phased array for mobile satellite communications,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 7, pp. 1758–1763, Jul. 1995. [18] L. D. DiDomenico and G. M. Rebeiz, “Digital communications using self-phased arrays,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 4, pp. 677–684, Apr. 2001. [19] E. Buracchini, “The software radio concept,” IEEE Commun. Mag., vol. 38, no. 9, pp. 138–143, Sep. 2000. [20] H. Steyskal, “Digital beamforming-an emerging technology,” in Proc. IEEE Military Commun. Conf. (MILCOM), Conf. rec 21st Century Military Commun.—What’s Possible?, San Diego, CA, USA, 1988, vol. 2, pp. 399–403. [21] J. Klare, “Digital beamforming for a 3D MIMO SAR—improvements through frequency and waveform diversity,” in Proc. IEEE Int. Geosci. Remote Sens. Symp. (IGARSS), Boston, MA, USA, 2008, vol. 5, pp. V-17–V-20. [22] R. Miura, T. Tanaka, I. Chiba, A. Horie, and Y. Karasawa, “Beamforming experiment with a DBF multibeam antenna in a mobile satellite environment,” IEEE Trans. Antennas Propag., vol. 45, no. 4, pp. 707–714, Apr. 1997. [23] B. D. Steinberg, “Digital beamforming in ultrasound,” IEEE Trans. Ultrason. Ferroelectr. Freq. Control., vol. 39, no. 6, pp. 716–721, Nov. 1992. [24] M. Younis, C. Fischer, and W. Wiesbeck, “Digital beamforming in SAR systems,” IEEE Trans. Geosci. Remote Sens., vol. 41, no. 7, pp. 1735–1739, Jul. 2003. [25] R. C. Hansen, Phased Array Antennas. New York, NY, USA: Wiley, 2009, vol. 213. [26] A. Matsuzawa, “Trends in high speed ADC design,” in Proc. IEEE 7th Int. Conf. ASIC (ASICON), Guilin, China, 2007, pp. 245–248. [27] W.-D. Wirth, Radar Techniques Using Array Antennas (FEE Radar, Sonar, Navigation & Avionics Series), IET. Stevenage, U.K.: IET, 2001, no. 10. [28] P. Horowitz and W. Hill, The art of Electronics, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 1996. [29] S. Blanch, J. Romeu, and I. Corbella, “Exact representation of antenna system diversity performance from input parameter description,” Electron. Lett., vol. 39, no. 9, pp. 705–707, May 2003. [30] X. Wang, H. D. Nguyen, and H. T. Hui, “Correlation coefficient expression by S-parameters for two omni-directional MIMO antennas,” in Proc. IEEE Int. Symp. Antennas Propag. (APSURSI), Spokane, WA, 2011, pp. 301–304. [31] I. J. Gupta and A. A. Ksienski, “Effect of mutual coupling on the performance of adaptive arrays,” IEEE Trans. Antennas Propag., vol. AP-31, no. 5, pp. 785–791, Sep. 1983. [32] R. T. Compton Jr., “The power-inversion adaptive array: Concept and performance,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-15, no. 6, pp. 803–814, Nov. 1979. [33] T. E. Biedka, W. H. Tranter, and J. H. Reed, “Convergence analysis of the least squares constant modulus algorithm in interference cancellation applications,” IEEE Trans. Commun., vol. 48, no. 3, pp. 491–501, Mar. 2000. [34] C. C. Ko, “A fast squares power inversion array for tracking nonstationary environments,” IEEE Trans. Signal Process., vol. 48, no. 6, pp. 1811–1814, Jun. 2000. [35] Z. Peng, T. Hu, W. Cui, J. Huangfu, C. Li, and L. Ran, “Unconventional beamforming for quasi-hemispheric coverage of a phased array antenna,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 1654–1657, Dec. 2013. [36] C. C. Ko and J. Wen, “Fast null steering algorithm for arbitrary twodimensional power inversion arrays,” Inst. Elect, Eng. Proc. H, vol. 140, no. 6, pp. 469–473, Dec. 1993. [37] X. Hanlai, H. Haigen, and G. Yanchang, “Null control of pattern in antenna design,” in Proc. IEEE Int. Conf. Microw. Millimeter Wave Technol. Proc. (ICMMT), Beijing, China, 1998, pp. 353–356.

298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Zhengyu Peng (S’15) received the B.S. and M.Sc. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 2011 and 2014, respectively. He is currently working towards the Ph.D. degree in electrical engineering in Texas Tech University (TTU), Lubbock, TX, USA. His research interests include antennas, microwave circuits, and biomedical applications of microwave/RF circuits and systems.

Jialong Chen received the B.S. degree from Zhejiang University, Hangzhou, China, in 2014. He is currently pursuing the M.Sc. degree in the Laboratory of Applied Research on Electromagnetics (ARE), Zhejiang University, Hangzhou, China. His research interests include array antenna and localization technology.

Yazhou Dong received the B.S. degree from Xian Jiaotong University, Xi’an, China, in 2006 and the M.S. degree from Southeast University, Nanjing, China, in 2009. He is currently a Microwave Engineer with the National Key Laboratory of Science and Technology on Space Microwave, CAST, Xi’an, China. His research interests are in space microwave technologies powered by microwave power transmission, microwave power combining, and antenna array technology.

Jiangtao Huangfu received the B.S. and Ph.D. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 1999 and 2004, respectively. In July 2004, he became a Lecturer in the Department of Information and Electronic Engineering, Zhejiang University. Since 2006, he has served as an Associate Professor in Zhejiang University. He is affiliated with the Laboratory of Applied Research on Electromagnetics (ARE), Zhejiang University. He was a Visiting Scientist with the Massachusetts Institute of Technology, Cambridge, MA, USA, in 2007. He was a Visiting Scientist with the California Institute of Technology, Pasadena, CA, USA, in 2013 and 2014. His research interests focus on RF and microwave circuits, antennas, and microwave metamaterials.

Yongzhi Sun received the Ph.D. degree from the State Key Laboratory of Millimeter Wave, Southeast University, Nanjing, China. He is the Team Leader of the Antenna Division of the Nanjing Institute of Electronic Equipment, Nanjing, China. His research interests include new concept antenna systems, radio frequency and microwave devices, and microwave absorbing materials. Dr. Sun is the recipient of multiple government awards from the Program for the Top Young Innovative Talents, the 333 project of Jiangsu Province, and the China Soong Ching Ling Foundation.

Shan Qiao, photograph and biography not available at the time of publication.

Changzhi Li (S’06–M’09–SM’13) received the B.S. degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2004, and the Ph.D. degree in electrical engineering from the University of Florida, Gainesville, FL, USA, in 2009. In the summers of 2007–2009, he was with Alereon inc. Austin, TX, USA, and Coherent Logix Inc. Austin, where he was involved with ultrawideband (UWB) transceivers and software-defined radio. He joined Texas Tech University as an Assistant Professor in 2009, and became an Associate Professor in 2014. His research interests include biomedical applications of microwave/RF, wireless sensor, and analog circuits. Dr. Li is an Associate Editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS. He served as the TPC co-chair for the IEEE Wireless and Microwave Technology Conference (WAMICON) in 2012 and 2013. He received the ASEE Frederick Emmons Terman Award in 2014, the IEEE-HKN Outstanding Young Professional Award in 2014, the NSF Faculty Early CAREER Award in 2013, and the IEEE MTT-S Graduate Fellowship Award in 2008. He received nine best conference/student paper awards as author/advisor in IEEE-sponsored conferences.

Dexin Ye received the B.S. and Ph.D. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 2007 and 2013, respectively. As a Visiting Ph.D. student, he visited the University of Arizona, Tempe, AZ, USA, for six months and the Massachusetts Institute of Technology, Cambridge, MA, USA, for one year during 2011 to 2013. Since 2014, he has been a Postdoctoral Fellow in the Department of Information and Electronics Engineering, Zhejiang University, being affiliated with the Laboratory of Applied Research on Electromagnetics (ARE). His recent research interests include artificial active metamaterials, perfectly matched layers, and radio frequency and microwave applications.

Lixin Ran received his BS, MS and PhD degrees from Zhejiang University, China, in 1991, 1994 and 1997, respectively. He became an assistant professor in 1997, an associate professor in 1999 and a full professor in 2004, all with the Department of Information and Electronics Engineering, Zhejiang University. He is the Director of the Laboratory of Applied Research on Electromagnetics (ARE). In 2005, 2009 and 2012, he visited Massachusetts Institute of Technology as a visiting scientist. He is the co-author of over 130 research papers published in peer-reviewed journals, and the inventor of over 30 licensed patents. His research interests include new concept antennas, radio-aware sensing and imaging, radio frequency, microwave and terahertz systems and artificial active media.

Bin Zhang received the B.S. degree in electrical engineering in 2004 from the Vocational and Technological Education Center, Huzhou, China. He is currently an Experimental Assistant with the Department of Information and Electronic Engineering, Zhejiang University, Hangzhou, China.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

299

Shifted Source Impedance and Nonlinearity Impact on RFID Transponder Communication for Drive-Level Offsets Markus Frank, Mattias Thorsell, Member, IEEE, and Peter Enoksson

Abstract—A measurement and analysis method to quantify the communication quality for ultrahigh-frequency radio frequency identification (RFID) transponder chips under a shifting source environment is presented. A detailed description of theory, setup, and procedure is provided. The differences in ON/OFF impedance ( -value) of the transponder chip are critical for backscatter modulation and, therefore, the overall communication and transponder response. In the present work, a new quantity which includes the difference in ON/OFF impedance, as well as arbitrary source impedance, is defined as an overall figure of merit. A qualitative analysis, considered as novel in the RFID community, shows the impact a source impedance shift has on the communication quality. The bare chip measurement setup enables an ASK modulated wake up signal, realized as a pulse train. The chip response consisting of modulated impedance states are measured in a pulse profile setup. Results show that different degrees of nonlinearity in a transponder chip, depending on vendor and type, will have impact on the communication performance. Index Terms—De-embedding, differential, EPC Gen2, mismatch, radio frequency identification (RFID), SG probe, transponder, ultrahigh-frequency (UHF).

I. INTRODUCTION

R

ADIO frequency identification (RFID) is a complement to standard bar codes where tracking of objects equipped with RFID tags is done by using radio waves generated from an interrogator or reader [1]. An RFID tag is an antenna connected to a transponder chip. The signal consists of an ASK modulated carrier. In the case where tags are passive the carrier also acts as power source for the transponder chip. Two parameters affecting the performance of a transponder chip are the impedance mismatch at the terminals of the chip and the nonlinear input impedance versus drive power level. Research conducted today on RFID transponders is mostly concerned with design and optimization of the RF frontend interface toward an antenna, for operation in the far field [2]–[5].

Manuscript received March 25, 2015; revised August 19, 2015; accepted November 23, 2015. Date of publication December 09, 2015; date of current version January 01, 2016. M. Frank is with the SATO Techno Lab Europe, Mölndalsvägen 91, Gothenburg, Sweden, SE-412 63 (e-mail: [email protected]). M. Thorsell and P. Enoksson are with the Chalmers University of Technology, Microtechnology and Nanoscience, Gothenburg, Sweden (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504438

The electrical environment of an RFID tag can be subdivided into three distinctly defined regions. These are reactive near field, propagating near field, and far field [6]. The impact of an object located in far field can be considered as negligible. This means that the source impedance presented toward the transponder can be considered as fixed. The operation of an RFID tag in the reactive near field, such as in a printer cavity, is significantly different [7]–[9]. Hence, the assumption of fixed source impedance will generally not hold [10]. Rather, it changes continuously when the inlay moves along the media path. Furthermore, the source impedance seen by the RFID transponder can normally not be determined in a printer cavity. A printer cavity is still a typical environment for the programming of unique identities to the transponder chip. Thus it is considered as a fundamental component in the RFID logistic chain, although RFID tags are generally not designed to operate in this environment. The performance of RFID tags under fixed impedance operation, such as in the far field, with the definition of a figure of merit (FOM) involving the reader and inlay matching coefficients have previously been shown [11]. However, the need for performance parameters related to near-field operation, such as in a printer cavity, is identified. A relevant FOM for near-field operation needs to include the source impedance as a free variable. A natural choice of measurement interface for determination of FOM would then be the RF front end of the transponder, separating the linear electromagnetic problem from the nonlinear circuit problem. Much work has been published on conducted measurement and characterization of RFID transponders. There basically exist three different levels of enhancement in these measurements. The first level uses linear -parameter measurements in a fixed impedance environment [12], [13]. In the second level an altered source impedance is introduced. Several methods exist of which one reported method takes impedance data as function of delivered power for source match [14]. In another method a source shift from the mutual interaction between two tag antennas is introduced [15]. In the third level, not only are measurement data at the fundamental frequency gathered, but also at the harmonics [16]. Nonlinear characterization has also been performed on transponder RF front ends, capturing time-domain waveforms of voltage and current [17]. A more rigourous modeling would take into account certain fixed topologies with full large-signal characterization [18], [19].

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Reader functionality can be realized by programming a vector signal generator (VSG) for generation of a wake up signal. Then, the minimum power required for transponder response, or sensitivity, can be measured. In combination with a vector network analyzer (VNA) also, the impedance at this threshold power can be measured [12]. An extension to the concept of reader functionality with a VSG is the measurement of impedance during high and low pulse in the transponder response [20]. The VSG is then used as external source to the VNA. In this paper a definition of a figure of merit is presented when the drive power level is offset from the threshold of transponder response. The FOM also takes into account the shift to an arbitrary source impedance from a nominal value. This is a typical situation experienced in an RFID printer. A new measurement method is presented with an RFID baseband wake up signal, realized as a pulse train. The baseband is directly generated in a VNA with pulse profile option. An additional extension unit contains the hardware for pulse modulation. The big advantage is the small number of instruments needed for the measurement. The VNA and extension unit have a common programming interface and thus appear as a single instrument. To the knowledge of the authors there exists no other work where the RFID wake up signal is generated directly as a VNA pulse profile. Measurements are performed on six types of commercial transponder chips. In order to see the variation and find a better real life representative for each type, a probe connection is used, which simplifies the switch from individual to individual [21]. Since several different transponder types are measured, no particular component technology and topology is considered, but rather an impedance/power relationship at the outside RF interface is modeled. The text in the present paper is organized as follows. In Section II the theory of FOM calculation is developed. Section III covers a description of the measurement setup, and Section IV presents measurement results. II. FIGURE OF MERIT The purpose of the presented theory is to develop a FOM for arbitrary choice of source impedance. A theory of FOM will be developed, based on the approximative assumption that the transponder impedance as function of delivered power is independent of the source impedance. This is not true for a general nonlinear load. In this section the approximation in terms of basic facts about nonlinearity is described, followed by the development of FOM theory. A. Background: Nonlinearity With Approximation The RF front end of an RFID transponder chip has a power limiting diode, protecting the transponder toward too high input powers. For excessive power levels heavy distortion occurs, such as clipping effects [22]. One example of power limiting is the voltage limiter of a transponder RF frontend [3], [4]. The limiting diode will introduce a nonlinearity in the load impedance of the transponder, which will distort the

Fig. 1. Impedance swept over delivered power.

communication with the RFID tag in, e.g., a printer cavity. If the clipping is symmetrical, it can be approximated by an odd order nonlinearity. Also weakly nonlinear devices, such as if the transponder is operated outside the clipping region, in practice contain odd order terms [18], [23]. An odd order nonlinearity will cause in-band distortion at the fundamental frequency, and this will have impact on measured data, such as impedance with a linear VNA. An assumption central in the present work is that the limiter can be approximated as linear outside the clipping region. The impedance as function of delivered power at the fundamental frequency will then be independent of the source impedance. To validate this, measurements are performed with a linear VNA on a number of transponder chips from different vendors. In the present work, only the balanced antenna port of the transponder chips is accessible, and hence no detailed analysis of the sub circuits, such as the limiter, could be carried out. Consider the impedance of a transponder chip along a source power sweep, as shown in Fig. 1. The measurement frequency in Fig. 1 is 915 MHz, which is in the middle of the ultrahigh-frequency (UHF) RFID band [24]. The measured modulated impedance and its conjugate over delivered power sweep are plotted. Since this is the modulated impedance, only the low pulse state, i.e., absorbing or scavenging power state is shown (index for low pulse state). The claimed assumption about linear limiters follows from two facts observed. First, common for all transponder types, the measured impedance along a power sweep, as shown in Fig. 1, distinctly transfers from a linear state (stationary point indicated with circle in Fig. 1) to a drastically changing value for increased power. This behavior suggests a nearly ideal clipping. Secondly the impedance path, as shown in Fig. 1, roughly remains unchanged, when comparing the measured impedance by the VNA as function of delivered power for two different source impedances.

FRANK et al.: SHIFTED SOURCE IMPEDANCE AND NONLINEARITY IMPACT ON RFID TRANSPONDER COMMUNICATION FOR DRIVE-LEVEL OFFSETS

301

B. Source Impedance Parameters critical for the proposed FOM are the mismatch and -value for arbitrary source impedance. A treatment including the mismatch factor is presented first, involving a number of circle equations in the Smith chart. These are direct results from theory described in literature. The reader is referred to the references for details on the derivations. Next the -value is defined. The final definition of FOM, based -value on mismatch, will optionally include or exclude the for comparison reasons. From mismatch theory, the delivered power in the load connected to the source with voltage and impedance can be written [25] (1) where the mismatch factor is given as (2)

Fig. 2. Mismatch circles for a nonlinear load excited by a source (asterix). (Solid circle) Threshold mismatch. (Dashed circle) Offset mismatch.

With a common system impedance reflection coefficient and impedance are equivalent to each other and related as

different in the two cases. For a linear load these two circles would align. The mismatch quotient, denoted , is defined as

(3) In terms of the reflection coefficient the mismatch is expressed as (4) Further manipulation will eventually yield an expression recognized as a circle equation in the -plane of the Smith chart for [25]. The -values yielding a constant mismatch factor a fixed are found on a circle with center and radius respectively given as

(5) Consider the conjugate path in Fig. 2. The power sweep starts at threshold of backscatter modulation , indicated by the circle marker located at the outer rim of the Smith chart. An offset point along the power sweep is indicated by the square marker. Note that since a nonlinearity is considered the impedance is only valid for a certain delivered power, therefore mentioned explicitly. Further an arbitrarily chosen source impedance, denoted , is indicated by the asterisk. Let in (5) be replaced by and , respectively representing the threshold and offset case. In Fig. 2 the solid circle illustrates the mismatch between source and load , and the dashed circle illustrates the mismatch between source and load . It is clear that the mismatch values generally are

(6) It indicates the nonlinear effect in the available power ratio between threshold and offset state. For a linear load . will be bounded when , and . Depending on where in the Smith chart the source is located can have values both below and a above unity for a nonlinear load. If is constrained to unity, manipulation of (6) yields (7) which is recognized as a bilinear mapping into a circle in the -plane, shown in Fig. 3 [25], [26]. The center and radius are given as (8) where

(9) The circle boundary will divide the Smith chart into two distinct regions, where can reside. The region where will be called the suppressed region, denoted . In the mismatch condition yields a nonlinear growth of available power for increased delivered power, as compared to the linear case. Correspondingly the region where will be called the

302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

D. Figure of Merit A FOM-function combining the impact of mismatch and is defined according to

(13)

Fig. 3. Unity mismatch quotient circle

.

enhanced region, denoted . In the nonlinearity yields a reduction in needed offset available power for increased delivered power, as compared to the linear case. In other words the load is pushed toward a better mismatch condition. The statement that the available power change behavior is consistent in either or may seem awkward, since the available power depends on the source impedance. Note however the following facts. From (1) the available power in the threshold and offset case can respectively be written (10) Let

. Then

. are the polar cowhere ordinates, i.e., . expresses an estimate on offset flexibility, i.e., the strength of nonlinearity, weighted by the strength of backscatter modulation. Different alternatives are possible for comparison, by setting one or several of the quantities , or to unity. represents the product of the backscattered power for threshold and offset delivered power. Note the choice of product rather than quotient , in the FOM definition. The choice of product in the FOM guarantees its validity for all possible source impedances. The ratio is undefined for , which is true if , or . The nonlinearity can both present a degraded and improved mismatch condition, depending on the value of source impedance. In order to establish the FOM, only the suppressed region is considered, where an increased value of both and indicates a better performance. For an estimate on the entire region, the function average is calculated according to (14) where is the area of the region of integration. Since is bounded on the entire region of integration it is valid. The boundary of integration is given by the intersection between the unity mismatch quotient circle (8) and the Smith chart. Denote the intersection points , which from the circle definition give the set of equations

(11) In the linear case , so from (11) it is seen that the offset adjustment of available power is less then in the linear case, as long as , regardless of its value. The opposite counts for the suppressed region. C.

(15) Manipulation and solving for

yields

-Value

After treatment of mismatch now consider the -value. From power wave theory, using the complex power reflection coefficient for the low/high impedance state, it is defined according to [27]

(16) where the boundary is given by

(12) when the state switches The maximum value is between 0 and . For a given offset delivered power changes from the threshold value to the offset value . It was seen from measurements on the available transponder types that the high state impedance is not heavily affected by the power sweep, rather being constant.

(17) In summary the described procedure will give an estimate on mismatch roughness under a changed source environment from the conjugate state, when the delivered power needs to

FRANK et al.: SHIFTED SOURCE IMPEDANCE AND NONLINEARITY IMPACT ON RFID TRANSPONDER COMMUNICATION FOR DRIVE-LEVEL OFFSETS

Fig. 5. Seven-by-ten transponder chip array of which 6

Fig. 4. Measurement setup. (Top) Bench diagram. (Bottom) Photograph of assembly including ZVA24, ZVAX, probe station, and tuners with control box.

be adjusted to a higher value. In other words the FOM will tell how flexible the transponder response is to a shifted source impedance. It also takes into account how the backscatter modulation is affected by including the -value. The offset point may represent a state of higher delivered power where some added functionality in the transponder is needed. The adjustment to higher delivered power is in particular common when writing to the transponder memory as compared to only reading from it. The memory in the transponder needs higher power levels for writing. This is a critical measure in reactive near-field RFID, with an altered reactive near field surrounding the RFID tag. III. MEASUREMENT SETUP Central in the measurement method of the present work is the generation of a transponder wake up signal and capture of its response in a pulse profile setup. By shifting its impedance between two distinct states the transponder modulates the CW. Thus the reply from the transponder in a conducted measurement may be detected by a VNA as the reflected signal. A. Measurement System There are several methods to generate and detect pulsed signals. In the present work one will be used where all signaling is generated in a VNA. The setup is shown in Fig. 4. The VNA is a Rohde & Schwarz ZVA24 equipped with an extension unit ZVAX (EU) for pulse modulation. The ZVAX is a physical external add-on unit, adding extra components in the RF paths such as pulse modulators, combiners and filters.

303

5 is used.

In the present work only the pulse modulator in one of the RF paths is used. An alternative option is to use a single pulse modulator, directly connected to the VNA. The ZVAX is integrated in the user and programming interface, once connected. The pulse profile option of the network analyzer is used to measure complex impedance along the time line. The VNA is also equipped with a true differential mode (TDM) option. Two tuners are included for matching purposes. Calibration is performed at the probe plane with a calibration substrate. The probe type used is the Picoprobe model 40A SG-type together with the CS-14 calibration substrate. The tuners in Fig. 4 are set to during calibration. The unmatched unmodulated measurement is performed in TDM at the probe plane, i.e., the source presents an impedance of toward the device under test (DUT). However for the unmatched modulated case, in a single-ended connection using both ports of the VNA, both tuners are set to . This will still provide the same source impedance as in TDM mode. For the matched condition it was decided to use only one of the tuners in singled-ended mode, whereas the other is set to . In order to provide a high reactive match the active tuner is short circuited at the output, indicated by the dashed ground connection in Fig. 4. The frequency characteristics of the transponder chip are rather broadband over the UHF RFID band [24] and the same pulsed behavior is expected across the band so a modulation frequency in the middle of the band at 915 MHz is chosen. This frequency was also chosen for the matched measurement. A frequency sweep is only performed for the unmodulated unmatched case in TDM. B. Device Under Test To simplify the shift of probe connection between different individuals and types of transponder chips, a substrate with a six-chip type by five individual array was fabricated, shown in Fig. 5. Thus, six chip types are measured which will be labeled Type A to Type F.

304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 8. Base band symbols. (Left) Preamble. (Right) Data0 and data1 symbols. Units are in . Fig. 6. (Left) General topology for DUT. (Right) SG probe connection.

Fig. 7. Source match configured as two port topology.

Each pad consists of a quadratic ground plane with a hole in the middle. The hole fits the chip dimensions and was milled to an approximate depth corresponding to the wafer thickness. To explicitly calculate the transponder chip impedance consider the two-port topology shown left in Fig. 6. The transponder chip is a two-terminal device, but the distance between terminals may differ in alignment and distance for different chip types. As seen in Fig. 6, two probes of the SG type and a common ground plane are used to keep the probe pitch invariable for different chip dimensions. The nonideal ground path has negligible impact at the very small dimensions as compared to the wave length at UHF [21]. This configuration also enables the definition of a differential one-port.

Fig. 9. Sweep and sample sorting of pulse profile. (Top) Before sorting. (Bottom) After sorting. Impedance real and imaginary parts are distinguished being strictly positive and negative, respectively.

D. Wake Up Signal Generation The wake up signal is implemented in the VNA as a pulse train and it is repeated periodically. It consist of the preamble followed by the Query command [24]. The preamble wave form is illustrated left in Fig. 8. Fig. 8 shows the data0 and data1 symbols which are used in the Query command given as (18)

C. Precharacterization for Matched Condition In the single-ended setup, one of the tuners is set to , and the other short circuited after it has been disconnected from the VNA port. This creates a high reactive source match in series with the DUT. With the DUT connected the tuner is then adjusted for an approximate match. Note that the tuning is done at low power, i.e., in the linear region. Since all transponder types have similar impedance in the linear region, the tuner setting can be kept fixed for all DUTs. An exact conjugate match is not needed. Rather a source impedance which being distinct from the environment is needed. Even though the match is approximate, this will be referred to as the matched condition. The source match is a series impedance and in this case the de-embedding is calculated as , where is the measured impedance in the calibration plane. The two port topology is shown in Fig. 7 During precharacterization, due to the simple topology, a oneport measurement of the source match is performed. The source impedance at 915 MHz is evaluated to .

For clarification different subgroups are distinguished. For further details on these the reader is referred to the Gen2 specification [24]. E. Data Sorting and Averaging Modulated Measurement In the general procedure the wake up sequence, as described in Section III-D, is realized as a pulse train and the backscatter response is measured, where -parameter data are s converted to deembedded impedance data. The wake up sequence and time window for response detection is cycled periodically. The complete sequence of the transponder response consists of a fixed preamble followed by a random based sequence of data0:s and data1:s (RN16) [24]. A typical backscatter, zoomed to a section of the preamble, is shown in the upper plot of Fig. 9. The delay between trigger and response from the chip is random, and hence a postprocessing of the data is required to enable averaging. This is illustrated in Fig. 9, where the upper

FRANK et al.: SHIFTED SOURCE IMPEDANCE AND NONLINEARITY IMPACT ON RFID TRANSPONDER COMMUNICATION FOR DRIVE-LEVEL OFFSETS

305

plot shows the random variation in the response, and the lower plot shows the time aligned data for multiple measurements. A graphical user interface has been programmed in MATLAB where measurement data are imported and tools for time offsets are available. After sorting averaging can be performed for the low and high pulse state, by zooming in on the respective regions. High state is defined as the high value of the imaginary part (negative values), i.e., after the switch from the absorbing state (low state) to a close to zero value. IV. MEASUREMENT RESULTS Two main electrical parameters are critical for the communication performance of the transponder. • The mismatch between source and transponder in modulated low impedance state, i.e., when the signal excitation is modulated and the transponder is in absorbing mode. • The backscatter response of the transponder, which is measured by the -value from (12). To address both the presented measurement method and the performance parameters, focus is put on three main characteristics in the measured results. First mean and variance between individuals in measured impedance is analyzed. The impedance is calculated as function of delivered power , for each respective transponder type. Note that the calculation as function of delivered power more clearly shows the dependency on source impedance shift. Second, the source impedance dependency is analyzed explicitly. This is done by comparing the deviation in measured impedance between unmatched and matched condition, as function of delivered power. Third the figure of merit is analyzed for the respective transponder type. This is done for the average over individuals, regarded as a real life representative. Common for all presented results is the measurement of low state impedance, except for the FOM results where is included. Note that the sweep over delivered power ranges over a large interval, due to the mismatch, whereas the measurement range of available power at the VNA port is from to . Over this range the calibration of the VNA is valid according to specification.

Fig. 10. Sample repeatability Type C. (a) Mean un-matched. (b) Variance un-matched. (c) Mean matched. (d) Variance matched.

TABLE I MAXIMUM UNMATCHED VARIANCE IN SAMPLE REPEATABILITY. RE: REAL PART. IM: IMAGINARY PART

TABLE II MAXIMUM MATCHED VARIANCE IN SAMPLE REPEATABILITY. RE: REAL PART. IM: IMAGINARY PART

A. Sample Repeatability Modulated Low State Impedance Types A–C are from the same vendor. Also Type D and Type E are from the same vendor. Plotted mean and variance of modulated low state impedance for unmatched and matched condition, are provided for Type C, Type E and Type F, shown in Figs. 10–12. Type C and Type E are the latest versions of the respective vendor. A summary of tabled data of maximum variance for all types is given in Table I (unmatched condition) and Table II (matched condition). The biggest variance in reactance is seen for Type D and Type E, which are from the same vendor. In the unmatched condition, which will be used for the FOM calculation, this occurs at low power level (Fig. 12), where the reactance has its maximum value. Thus, it does not have a big impact on the averaging. Comparably low values of variance are seen for the remaining transponder types.

B. Dependency on Source Environment Fundamental to validity of the approximations and FOM calculation discussed in Section II is the independency of source impedance in transponder impedance, when taken as function of the delivered power. In the verification method of the present work the delivered power is calculated from knowledge of the source impedance. Data are taken from the power-swept impedance measurement at low impedance

306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 13. Source shift impact Type C. (a) Un-matched condition. (b) Matched condition.

and

.

TABLE III MAXIMUM UNMATCHED TO MATCHED IMPEDANCE DEVIATION. RE: REAL PART. IM: IMAGINARY PART

Fig. 11. Sample repeatability Type E. (a) Mean un-matched. (b) Variance un-matched. (c) Mean matched. (d) Variance matched.

TABLE IV MAXIMUM UNMATCHED TO MATCHED IMPEDANCE VARIANCE. RE: REAL PART. IM: IMAGINARY PART

Fig. 12. Sample repeatability Type F. (a) Mean un-matched. (b) Variance un-matched. (c) Mean matched. (d) Variance matched.

state. The results will partly give information of the individual variation, partly tell how the unmatched to matched condition deviation develops along delivered power. The deviation between unmatched and matched condition, calculated as mean and variance over individuals, is given for Type C, Type E, and Type F, shown in Figs. 13–15. Note that is the difference in complex impedance between unmatched and matched condition, whereas is the difference in reflection coefficient between low and high impedance state. A summary of tabled data of maximum unmatched to matched impedance deviation and variance for all types is given in Tables III and IV respectively.

A general expectation is that the approximation of impedance independency on delivered power looses validity for high power levels. The highest deviation is seen for Type F (Fig. 15) and the same behavior is also seen for Type A, B, and E from the values in Table III. No particular trend could be seen for Type C and Type D. Still the levels within the first 10 dB after transponder activation are considered to be within acceptable limits. This is about to dBm of delivered power, depending on transponder type. Relatively speaking, for Type C and Type D, the deviation is small in imaginary part, since the reactance has its highest values in this power interval. From a real life testing perspective rather the low end of the power interval, after threshold of activation, is of interest. The conclusion is that the approximation of source impedance independency holds, as discussed in Section II. It is also concluded

FRANK et al.: SHIFTED SOURCE IMPEDANCE AND NONLINEARITY IMPACT ON RFID TRANSPONDER COMMUNICATION FOR DRIVE-LEVEL OFFSETS

Fig. 14. Source shift impact Type E. and (a) Un-matched condition. (b) Matched condition.

Fig. 15. Source shift impact Type F. and (a) Un-matched condition. (b) Matched condition.

307

.

Fig. 16. Power sweep of

for fixed source impedance

Fig. 17. Power sweep of

as function average.

.

.

that averaging will give a good representative for the calculation of FOM, treated next. C. FOM Calculation Central in the present work is to derive a FOM for arbitrary source impedance, since the source impedance is generally un-value (12), disknown in an altered reactive field. The cussed in Section II, is the magnitude of the difference between the high and low state reflection coefficient. This is a an extensively used performance parameter in the RFID community [5], [20], [28]. Usually the antenna of an RFID tag is designed to present the conjugate of the transponder low state impedance at threshold delivered power. In Fig. 16, is plotted as function of offset delivered power with . Note that the source impedance is thus kept fixed over the power sweep. It is observed that Type A supersedes the remaining types over the power sweep and Type D has a lower maximum value than all other types. All types except Type A show similar performance. The results in Fig. 16 give useful information of the performance in an RFID system, where the reactive near field can be considered to be unaltered, i.e., the source impedance is constant along the delivered power sweep. New performance criteria are needed in an altered reactive near field, for arbitrary source impedance. The proposed FOM is based on function averaging from integration over specific regions in the source plane, as described in Section II-B. Consider the -value as function average. In this case integration (14) is performed over the suppressed region with

in (13) so . The power sweep is shown in Fig. 17. A more conservative value of the maximum value is now seen in Fig. 17 compared to Fig. 16. Type D also has a more significant lower -value over the power sweep. Next the power sweep of mismatch performance with as function average is considered. In this case in (13). The result is shown in Fig. 18. Type A has the highest FOM and Type F the lowest FOM, which is consistent over the offset sweep. Still the deviation between Type B, C, D, E, and F is not significant. Finally, the impact of both and is plotted in Fig. 19, i.e., all quantities in (13) are included. The results show that Type C exceeds the remaining types in performance, in the lower half of power offset. Types A, B, and C are from the same vendor, of which Type C is the latest released product. For Type D a big difference between excluding and including is seen. Another observation is that at approximately 1 and 4 dB power offset respectively Type E and Type F transition from higher to lower values of performance as compared to Type A, although this is not as evident for Type E. This indicates that nonlinearity will have a big impact when going from an initial threshold state to an offset state, already at quite low power

308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

V. CONCLUSIONS

Fig. 18. FOM sweep without

.

A measurement method for characterization of UHF transponder chip response quality, under a shifted source environment, has been presented. A wake up sequence, conforming to the RFID protocol, standard was created, using the pulse modulation and profile options in a network analyzer. It proved successful in triggering the transponder to respond. It was possible to measure the backscatter response, as the in pulse low and high impedance state. A new figure of merit including the -value and mismatch under source impedance shift has been introduced. The FOM value, which is based on a modulated power sweep, will predict response behavior from the transponder, when the drive level from an arbitrary source is increased. The measurement results are taken for six different chip types. The results show a behavior, depending on chip type, which correlates with RFID printer tests on the RFID protocol level. In particular it was seen that the high threshold power level and read to write offset in power, occurring for certain types, also gave a changed behavior in the figure of merit defined in the present work. ACKNOWLEDGMENT The authors wish to thank Mr. R. Eskilsson, Mr. H. Lundgren, Mr. A. Henkel, and Mr. V. Herrmann at Rohde & Schwarz for loan and support of instrumentation. Especially the pulse profile and differential signaling presented a lot of challenges and time consumption. Rohde & Schwarz were, however, willing to extend the loan several times, which was highly appreciated. REFERENCES

Fig. 19. FOM sweep with

.

offsets. This is an interesting fact, since in particular Types E and Type F have shown deviating performance in printer environment tests over the RFID protocol, also known as profiling tests. The coupler, which is used for inlay interrogation in such tests, is usually a specialized design for strong reactive near-field interaction [29]. Type A is mentioned as comparison here, since during the testing in particular Types A, E, and F were compared. From profiling it has been seen that Type E and Type F have a higher read to write offset although the read threshold is reached at lower power levels. This is the main parameter electrically described by the FOM. A high FOM yields higher flexibility to a change of source impedance, i.e., for a high FOM the mismatch condition does not change drastically during the offset adjustment, independent of the source environment. In other words a reliable reading and writing to the transponder chip can be achieved. It can also be done at low drive power levels. This is another requirement to achieve good RF isolation in a dense tag environment, such as commonly found in a printer cavity. Also Type D has shown deviating performance in printer tests, where higher drive levels are needed for any type of response. However the mismatch flexibility (Fig. 18) is in alignment with the remaining types, yielding immediate read and write response, once the threshold level is reached.

[1] K. Finkenzeller, RFID Handbook: Fundamentals and Applications in Contactless Smart Cards, Radio Frequency Identification and NearField Communication. Hoboken, NJ, USA: Wiley, 2010. [2] J. P. Curty, N. Joehl, C. Dehollain, and M. J. Declercq, “Remotely powered addressable UHF RFID integrated system,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2193–2202, Nov. 2005. [3] A. Facen and A. Boni, “A CMOS analog frontend for a passive UHF RFID tag,” in Proc. 2006 Int. Symp. Low Power Electronics and Design, 2006, pp. 280–285. [4] J. Essel, D. Brenk, J. Heidrich, and R. Weigel, “A highly efficient UHF RFID frontend approach,” presented at the IEEE MTT-S Int. Microwave Workshop on Wireless Sensing, Lokal Positioning and RFID (IMWS 2009), 2009. [5] C.-H. Hoo et al., “Chip impedance matching for UHF RFID tag antenna design,” Progr. Electromagn. Res., vol. 81, pp. 359–370, 2008. [6] C. A. Balanis, Antenna Theory: Analysis and Design, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [7] B. Y. Tsirline, “UHF RFID antennas for printer-encoders Part 1,” High Frequency Electron., vol. 6, no. 9, pp. 28–39, Sep. 2007. [8] B. Y. Tsirline, “UHF RFID antennas for printer-encoders Part 2,” High Frequency Electron., vol. 6, no. 10, pp. 36–45, Oct. 2007. [9] B. Y. Tsirline, “UHF RFID antennas for printer-encoders Part 3,” High Frequency Electron., vol. 6, no. 11, pp. 18–25, Nov. 2007. [10] P. V. Nikitin, K. V. S. Rao, and S. Lazar, “An overview of near field UHF RFID,” in Proc. 2007 IEEE Int. Conf. RFID, 2007, pp. 167–174. [11] D. G. Kuester, D. R. Novotny, J. R. Guerrieri, and Z. Popovic, “Simple test and modeling of RFID tag backscatter,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2248–2258, Jul. 2012. [12] P. V. Nikitin, K. V. S. Rao, R. Martinez, and S. F. Lam, “Sensitivity and impedance measurements of UHF RFID chips,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1297–1302, May 2009. [13] A. Ghiotto, T. P. Vuong, and K. Wu, “Chip and antenna impedance measurement for the design of passive UHF RFID tag,” in Proc. 2010 Eur. Microwave Conf. (EuMC), 2010, pp. 1086–1089. [14] S.-L. Chen and K.-H. Lin, “Characterization of RFID strap using single-ended probe,” IEEE Trans. Instrum. Measurement, vol. 58, no. 10, pp. 3619–3626, Oct. 2009.

FRANK et al.: SHIFTED SOURCE IMPEDANCE AND NONLINEARITY IMPACT ON RFID TRANSPONDER COMMUNICATION FOR DRIVE-LEVEL OFFSETS

[15] H. Yojima, Y. Tanaka, Y. Umeda, O. Takyu, M. Nakayama, and K. Kodama, “Dynamic impedance measurement of UHF passive RFID tags for sensitivity estimation,” in Proc. 2010 Int. Symp. Communication and Information Technologies (ISCIT), 2010, pp. 344–349. [16] G. A. Vera, Y. Duroc, and S. Tedjini, “RFID test platform: Nonlinear characterization,” IEEE Trans. Instrum. Measurement, vol. 63, no. 9, pp. 2299–2305, Sep. 2014. [17] J. Essel, D. Brenk, J. Heidrich, R. Weigel, and D. Kissinger, “Largesignal measurements and nonlinear characterization of an analog frontend for passive UHF CMOS RFID transponders,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 948–959, Feb. 2013. [18] S. A. Maas, Nonlinear Microwave and RF Circuits. Boca Raton, FL, USA: Artech House, 2003. [19] E. V. Damme, J. Verspecht, F. Verbeyst, and M. V. Bossche, Largesignal network analysis—A measurement concept to characterize nonlinear devices and systems Agilent Technol., Santa Clara, CA, USA, Tech. Rep., 2002. [20] L. W. Mayer and A. L. Scholtz, “Sensitivity and impedance measurements on UHF RFID transponder chips,” in Proc. 2nd Int. EURASIP Workshop RFID Technol., 2008, pp. 1–10. [21] M. Frank, M. Ferndahl, M. Thorsell, and P. Enoksson, “Differential impedance measurement method of RFID transponder chips at UHF,” in Proc. 43rd Eur. Microwave Conf., 2013, pp. 68–71. [22] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Boca Raton, FL, USA: Artech House, 1999. [23] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions. New York, NY, USA: Dover, 1970. [24] EPC Radio-Frequency Identity Protocols Class-1 Generation-2 UHF RFID. Lawrenceville, NJ, USA: GS1 EPCGlobal Inc., 2015. [25] R. E. Collin, Foundations for Microwave Engineering. New York, NY, USA: McGraw-Hill, 1992. [26] G. Gonzalez, Microwave Transistor Amplifiers. Englewood Cliffs, NJ, USA: Prentice-Hall, 1997. [27] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Techn., vol. 13, no. 2, pp. 194–202, Sep. 1965. [28] S. Kai, H. Yigang, L. Bing, H. Zhouguo, Z. Yanqing, and Z. Lei, “Theory and measurement of delta RCS for RFID tag on various materials,” in Proc. 2010 6th Int. Conf. Wireless Commun. Networking and Mobile Computing (WiCOM), 2010, pp. 1–4. [29] M. Frank and P. Enoksson, “Phase compensated transmission line for leakage field coupling in UHF RFID applications,” in Proc. 2013 7th Eur. Conf. Antennas Propagation (EuCAP), 2013, pp. 1643–1647.

309

Markus Frank received the M.Sc. degree in electrical engineering from the Chalmers University of Technology, Gothenburg, Sweden, in 1999, where he is currently pursuing the Ph.D. degree since 2011. From 1999 to 2003, he worked as an RF Design Engineer at several companies such as Allgon Mobile Communications, Allgon Microwave, and Via Lund. In 2004 he joined Intermec Printer, focusing on reactive near-field couplers for RFID communication in RFID-enabled barcode printers. Since 2006 he has been employed at SATO Techno Lab Europe, working as an RFID reactive near-field specialist. His research focus is on geometry-independent reactive near-field communication with RFID tags. The project is partly funded by the Swedish Research Council.

Mattias Thorsell (S’08–M’11) received the M.Sc. and Ph.D. degrees in electrical engineering from the Chalmers University of Technology, Gothenburg, Sweden, in 2007 and 2011, respectively. He is currently an Assistant Professor with the Chalmers University of Technology. His research interests are characterization and modeling of nonlinear microwave semiconductor devices.

Peter Enoksson received the Ph.D. degree in 1997 from the Royal Institute of Technology, KTH, Stockholm, Sweden. In, 1997, he became an Assistant Professor and in 2000 was appointed Associate Professor at KTH. He was appointed Professor of MOEMS in 2001 at the Chalmers University of Technology, Gothenburg, Sweden. In 2002, he was appointed Vice Dean of the School of Electrical Engineering and in 2003 Head of the Solid State Electronics Laboratory. Currently, he heads the Micro- and Nanosystems group at the Department of Microtechnology and Nanoscience, MC2. His research focus is on combining MEMS/NEMS with other sciences in novel dedicated and advanced systems. Prof. Enoksson has published more than 200 research journal and conference papers and ten patents. He is an initiator of spin-off companies, winner of the Innovation Cup, referee for several journals, and also a member of the editorial board of the Journal of Micromechanics and Microengineering, the steering committees of MicroMechanics Europe, and of company and projects boards.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Normalization-Free Chipless RFIDs by Using Dual-Polarized Interrogation Filippo Costa, Member, IEEE, Simone Genovesi, Member, IEEE, and Agostino Monorchio, Fellow, IEEE

Abstract—A reliable encoding/detection scheme for chipless radio frequency identification (RFID) tags, free from any normalization procedure, is presented. The key strategy of the present approach consists in storing the information in the difference between vertically and horizontally polarized reflection coefficients of a completely passive tag. The measured reflection coefficients are preemptively filtered in the time domain to remove most of harmful effects due to the antenna coupling and environment multipath, and finally they are subtracted to obtain the differential response. A couple of chipless tag configurations suitable for providing the desired spectral response are presented. The resonators consist of an artificial impedance surface comprising either concentric rectangular loop resonators or square loop resonators loaded with stubs. The presented approach is experimentally verified in a non-anechoic environment, and its robustness is proved. This calibration-free approach could pave the way to practical applicability of chipless RFID tags in realistic scenarios with unknown response. Index Terms—Artificial impedance surface (AIS), chipless RFID, frequency-selective surface (FSS), metamaterials, radio frequency identification (RFID).

I. INTRODUCTION

T

HE main hurdle toward the applicability of radio frequency identification (RFID) technology in place of conventional barcodes is the cost of the tags that, even if has considerably dropped in the last decade, still remains too high [1]. Identification or tracking of objects by using radio frequencies may provide interesting advantages with respect to barcode, such as the non-line-of-sight and the quickness of the reading procedure. However, the advantages are often not sufficient to justify big investments of a company to setting up a new tracking technology. A solution to overcome this problem could be the use of an RF barcode that is eventually low-cost as the optical barcode but still preserves some of the advantages guaranteed by the use of radio frequencies (e.g., quick reading of tags, correct working even in absence of optical visibility). Clearly, the removal of the integrated circuit transforms the tag in a completely passive scatter having some drawbacks with respect to conventional chip-equipped devices. Indeed, it

Manuscript received August 28, 2015; revised November 14, 2015; accepted November 23, 2015. The authors are with the Department of Information Engineering, University of Pisa, 56122-Pisa (e-mail: fi[email protected]; simone.genovesi@iet. unipi.it; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504529

cannot be reprogrammable, and it often requires ultrawideband interrogation systems [2]. However, the RF barcode has also some advantages such as a much lower cost, absence of a minimum power threshold for activating the tag response, and usability in harsh environments. The potentialities in terms of limited cost make chipless technology a good candidate to replace barcode and magnetic cards in several realistic scenarios. Chipless RFIDs can be divided into two main categories: those that store information in the time domain (TD) and those that does it in the frequency domain (FD). The most popular TD tag is the one based on surface acoustic wave (SAW) [3]. However, it is not sufficiently cheap compared to standard RFID tags. Time-domain based chipless tags employing printed delay lines have a limited number of encoded bits per area [4]–[6]. The FD class of chipless tags comprises spectral signature-based tags. These tags encode data into the spectrum using resonant structures associating a bit with the presence or absence of a resonant peak at a predetermined frequency in the spectrum. These tags are promising for their potentially large data storage and low manufacturing costs. So far, a number of chipless tag configurations have been proposed [2], [7]–[9]. FD tags can be partitioned into two main groups. Those which receive, filter and retransmit a interrogating signal through orthogonally polarized antennas with a multiband resonator in between [10] and those employing a set of multifrequency scattering resonators [9]. Beyond the specific configuration adopted to encode the information, the main limitation of chipless technology is that the tag detection requires a calibration procedure based on two or three independent measurements performed on the same scenario (tag, background, and eventually ground plane). Even if in a laboratory environment this procedure is feasible, this is not an option in a real scenario. This type of normalization is feasible only in a few situations where it is possible to store the background response in advance. For example, the case of a conveyor belt or those cases where a tag moves with respect to the interrogating antenna. However, in general, the absence of a reference makes the tag reading nearly unfeasible. In order to overcome this fundamental problem and pave the way to a realistic implementation of chipless technology in more complicate scenarios, we propose a new encoding/decoding scheme based on two simultaneous acquisitions along two orthogonal planes of incidence followed by postprocessing combination of stored data. This approach can be carried out in a realistic scenario by using a reader with a dual-polarized antenna. Some preliminary results of the same method were presented in [11] where the idea of using a dual-polarized interrogation was introduced. However, the reported experimental results were unprocessed and valid only for one-bit tags. Here a novel and

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

reliable algorithm for postprocessing measured data is introduced. Moreover, new tag configurations designed for the dualpolarized interrogation are described and experimentally tested. The paper is organized as follows. The encoding/decoding approach is introduced in Section II. Possible tag configurations able to provide the differential encoding scheme are described in Section III. In Section IV the proposed strategy is experimentally validated for several tag configurations. Section V is devoted to the description of the decision strategy whereas the following Section VI deals with the read range. Concluding remarks are drawn in Section VII.

II. ENCODING/DECODING PROCEDURE The reading of chipless RFID tags requires, in most of practical scenarios, a normalization procedure based on the knowledge of the background response [7], [9], [10], [12]. The procedure allows removing mutual coupling effects between the two ports of the antenna and undesired reflections due to multipath propagation. This calibration can be easily applied in a controlled environment where the background response is characterized with a preliminary measure but it represents one of the main obstacles toward practical applicability of chipless technology. In order to perform a calibration-free reading, three strategies are jointly adopted: dual-polarization interrogation, timedomain gating, and free-space antenna response subtraction. The first necessary step is to encode the information in the difference between two responses such as, for instance, the reflection coefficient of the tag measured with respect vertical and horizontal polarizations. This is the key point since it allows encoding the information in a differential response instead of an absolute one. We remark that the encoding with two polarizations is not adopted to improve the coding capacity of the tag but to make the reading procedure more robust. The second step consists of subtracting the reflection coefficients of the unloaded antenna (i.e., antenna operating in free space, not in the operative scenario) from the reflection coefficients measured in presence of the tag (i.e., antenna in operative scenario). The reason of this subtraction is that every dual-polarized antenna does not have the same reflection coefficient at ports 1 and 2 and hence is intrinsically different from . Since the measured signals in presence of the tag are very small, the intrinsic difference between the two antenna ports could invalidate the decoding procedure. The unloaded reflection coefficients of the antenna are independent of the scenario and they are considered as known parameters. The third step is the time-domain gating which allows removing some of the harmful effects due to the antenna coupling and to the multipath phenomena. To perform this operation, the distance of the tag is estimated by tracking the first structural RCS peak. Time gating is a quite standard technique for radar signal processing [13], microwave imaging [14], electromagnetic measurement [15], or even chipless RFID [16]. However, it has to be pointed out that the sole use of dual-polarization interrogation or time-domain gating does not provide a sufficient intelligible signal at the receiver [17], [12].

Fig. 1. Flowchart of the decoding procedure.

The aforementioned differential coding of information can be achieved by designing a passive resonator characterized by a multiresonant frequency response for each of the two orthogonal polarizations. By tailoring the tags so that the spectral responses are slightly shifted, the received signals can be combined (summed or subtracted) to provide well recognizable high-quality factor frequency peaks. The aforementioned multifrequency responses can be obtained for example by employing either a rectangular loop resonator or a square loop resonator loaded with slightly different stubs along two planar orthogonal planar directions. The details about the adopted tag configurations are given in the next section. The steps followed to accomplish the proposed decoding procedure are summarized in the flowchart reported Fig. 1. Initially, the and the of the antenna are simultaneously measured in the operative scenario with the tag where is to the vertical probing signal and to the horizontal one. Next, the and the of the antennas measured in free space are subtracted to the aforementioned ones. These new signals, named and for convenience, are then postprocessed. More in detail, they are anti-transformed in the time domain and then filtered by using a window for removing most of the effects due to antenna coupling and multipath. The filtered responses are then transformed again into the frequency domain. The amplitude of the two signals is stored and converted into decibels. Finally, they are subtracted, and a threshold decision scheme, based on the standard deviation of the differential signal within the expected frequency window, is adopted. A more advanced elaboration [18], [19] could be also employed at this stage to retrieve the encoded bits.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. COSTA et al.: NORMALIZATION-FREE CHIPLESS RFIDS BY USING DUAL-POLARIZED INTERROGATION

3

III. DUAL-POLARIZED CHIPLESS TAG CONFIGURATIONS

The most important feature of the proposed calibration scheme is the multiresonant backscattering frequency response of the tags for the two orthogonal polarizations (vertical and horizontal). The two responses have to be slightly shifted in frequency domain in order to achieve very sharp peaks when the curves are subtracted in postprocessing. To achieve the desired frequency behavior, we have to introduce a certain asymmetry in the resonator. Among several possibilities, we have selected two promising geometries: the former is a rectangular loop that provides different resonant frequencies if the E-field is aligned to the longer or the shorter side of the loop. The latter is a square loop loaded with stubs of different length along the two main planar directions. It is also desirable having a tag with a ground plane to reduce the sensitivity of the spectral response to the tagged object and eventually to the background. The selectivity of the frequency-domain response is achieved by using resonators arranged in a periodic manner, i.e., frequency-selective surface (FSS) accommodated in the vicinity of a ground plane. The FSS and the ground plane forms an interference device with high spectral selectivity which will be referred as artificial impedance surface (AIS) in the following. The resonant structure can provide both reflection and absorption, whereas the transmission coefficient is equal to zero because of the presence of the ground plane. The AIS, differently from nonperiodic configurations, can be rapidly analyzed as an infinite extent surface by using a periodic method of moment (PMM) [20] or modeled through an equivalent circuit approach [21]. In this paper simulations are carried out by using an in-house developed PMM code [22]. This method uses the electric field integral equation (EFIE) formulation and the Floquet theory to simulate an infinite array of resonators. The advantage of this method with respect to commercial codes is that the computation time is very limited and the results are reliable. In practice, the resonator has a finite dimension, but the frequency response in terms of resonant peaks matches well the measured results [9], [23]. A robust compact and versatile multiresonant FSS configuration is offered by concentric loops [9], [24]. The first approach to design a tag with multiresonant behavior shifted for the two polarizations is to stretch the loops toward one of the two planar axis obtaining a set of concentric rectangular loops. The layout of the proposed unit cell and the side view of the tag is reported in Fig. 2(a) and (b). The degree of stretch, which means different gaps and along and directions, provides the amount of the shift achieved in the frequency domain between the two spectral responses. In this case, every resonant loop encodes a bit of information and the presence or the absence of the loop determine an amplitude modulation on a specific resonant band. The other possible configuration comprises square resonant loops loaded with stubs on the corners. The layout of the unit cell is displayed in Fig. 2(c). The length of the stubs is different along and directions in order to provide the desired shifted frequency response. The use of stubs allows adopting a hybrid coding technique since resonant peaks can be easily moved by changing the length of the

Fig. 2. (a) Layout of the tag from a side view and geometry of the FSS unit cells of the periodic surface on top of the grounded dielectric slab. (b) Several nested loops or (c) three loops loaded with stubs with a different length toward the and directions.

stubs [25]. In the latter case, the information coding is not binary, but it can have a larger base, depending on the number of states of the loop [7]. The use of only three loops guarantees the absence of the coupling between the loop resonators thus providing the independence of all encoded states. By using the hybrid coding technique it is possible to encode almost 16 bits with three loops only [25]. The bit number could be, in principle, further increased (for instance, by using fours loops), but there can be issues about coupling between loops. For the configuration including only rectangular loops, 10 bits can be easily encoded. By decreasing the width of each loops and the gaps between one loop and another, the number can be extended to 20 bits. The main limitation in this case is given by the precision of lithographic process, say 5 mils. Both of tag configurations perform deep absorptions over multiple resonance frequencies given that the substrate is characterized by a suitable amount of losses and the proper thickness [9], [26]. The reflection responses obtained with the proposed configurations are reported in Fig. 3 and in Fig. 4. In both cases, the unit cell periodicity is equal to 15 mm. Each unit cell is discretized with a regular grid of 64 64 pixels leading to a pixel dimension of 0.234 mm, which is still well above the limit of precision of the standard photolithographic process. An FR4 substrate is chosen for its low cost, whereas the thickness of 1.6 mm is chosen to maximize the absorption at the resonances with this specific substrate. In the case of the rectangular loop resonators, 10 bits are achieved by accommodating ten loops one inside another. The ten rectangular loops have mm and mm. In the case of the loaded loops, the width of the stubs is one pixel, and their length is varied to change the encoded states. In both cases, well-visible transitions in correspondence of every resonance frequency are obtained by subtracting the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 3. Amplitude reflection coefficient of a periodic artificial impedance surface comprising ten nested rectangular loops according to the unit cell design mm reported in Fig. 2(b). The geometrical parameters are chosen as mm. The substrate is FR4 with . The unit and cell periodicity is equal to 15 mm.

Fig. 4. Amplitude reflection coefficient of an infinite array of square loops loaded with different stubs printed on top of a grounded dielectric substrate. The reflection coefficient is computed at normal incidence for vertical and hor.The unit cell izontal polarization. The substrate is FR4 with is equal to 15 mm. periodicity

vertically and horizontally polarized reflection coefficients in a logarithmic scale. The smaller is the frequency shift between the response of the two polarizations, the higher is the Q-factor of the subtracted signals. As an example, the curve achieved by subtracting the vertical and horizontal polarized signal of Fig. 4 is reported in Fig. 5. The effect of the stub length on the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Amplitude difference between the reflection coefficients obtained for with vertical and horizontal polarization. Geometrical parameters: equal to , , .

resonant peaks is also highlighted by reporting two additional curves obtained by slightly varying the length of the -directed stub associated to the external loop. As already pointed out, the shift of the resonance frequency can be exploited to enhance the number of encoding states. The simulated results refer to infinite structures which is a good predictor of the spectral response of the truncated structure [9]. However, the response of the infinite periodic surface is not overlaid to the one of a finite surface in correspondence of the first resonance that is usually slightly shifted with respect to the measured data. This is due to the finiteness of the sample, which is not considered in the PMM code. However, this is not a real problem from a practical point of view, since the precise position of the resonances can be found with measurements once for all. When employed in an operative scenario, the periodic impedance surface is truncated to few unit cells and the number of unit cells can be suitably chosen to obtain the required level of radar cross section (RCS), i.e., the desired read range. Generally, a size of 2 2 unit cells, which corresponds to mm , guarantees a sufficient level of scattered power to detect the tag at distances up to 1 m. IV. EXPERIMENTAL VERIFICATION IN NON-ANECHOIC ENVIRONMENT

A

In order to verify the reliability of the proposed encoding scheme, several prototypes have been manufactured and measured. The prototypes have been fabricated by using a low-cost substrate, i.e., 1.6-mm-thick FR4. The response of the tag has been measured through a dual-polarized horn antenna characterized by a gain of 8 dBi at 2 GHz and which increases up to 13.3 dBi at 8 GHz. The two channels, which are characterized by an isolation between 30 and 35 dB in the analyzed frequency range, are used to send the interrogating signals with vertical

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. COSTA et al.: NORMALIZATION-FREE CHIPLESS RFIDS BY USING DUAL-POLARIZED INTERROGATION

Fig. 6. Photograph of the experimental setup.

Fig. 7. Measured tag placed at 45 cm. polarization.

and of the interrogating antenna with the chipless is for vertical polarization, and is for horizontal

and horizontal polarization. The measurements have been performed in a non-anechoic environment, which is the Microwave Laboratory of the Department of Information Engineering of the University of Pisa, with the tag placed at a distance of 45 cm from the reading antenna. A picture of the employed setup is reported in Fig. 6. As a test case, a chipless tag comprising three concentric loops loaded with stubs has been measured and decoded by using the classical calibration procedure and the proposed encoding/decoding scheme. The measured and of the interrogating antenna are reported in Fig. 7. As it is evident, the recorded responses on the two channels, which are and , are quite different. This effect is mainly due to the intrinsic differences between the two ports of the antenna, not to the presence of the tag. However, since the and

5

of the antennas measured in free space are known, they can be subtracted from the collected measurements in presence of the tag. It has to be pointed out that this postprocessing operation is completely independent of the scenario where the tag is embedded in. This preliminary subtraction allows removing the effect of the antenna matching and thus isolating only the signal contribution due to the tag and to the scenario. After that, the signals are transformed in the time domain and windowed. The time gating allows removing some effects due the multipath and antenna coupling. The initial time step of the window applied to the received signals is related to the position of the tag. The first strong peak due to the structural component of the RCS allows identifying this parameter. The duration of the time gating is long enough to avoid any loss of information in the spectral domain. The TD window applied in this case is almost rectangular. There is a slight transition in the beginning of the window which makes the shape of the windows trapezoidal. We tried to use several windows (Hamming, Hanning, Triangular, Rectangular, Kayser, Blackmann-Harris, etc.). However, the final results do not differ substantially provided that the three more important parameters (duration of the window, initial time, initial transition) are suitably set. The most important parameter is the length of the time window, since it determines the bandwidth of the FD filter which convolutes the frequency-domain response of the tag (the time-domain multiplication can be seen as a convolution among the frequency-domain response of the tag and the fast Fourier transform (FFT) of the window). The bandwidth of this filter, i.e., the FFT transform of the TD window, must be smaller or comparable to the bandwidth of the resonant peaks of the chipless RFID resonator. If this condition is not satisfied, the information associated to the RCS response will be lost in the convolution operation. For this reason, it has been estimated that the minimum duration of the window is 15 ns in this specific example where the bandwidth of the peaks is around 50 MHz. The shape of the time-domain window allows having a convolution frequency filter with higher or smaller rejection on side lobes. However, it has to be kept in mind that the time tapering increases the bandwidth of the main lobe for a certain fixed length of the window. The time window has to fulfill to two opposite requirements: it should be long enough to have a frequency response of the time window at least smaller than the frequency bandwidth of the resonant peaks but, at the same time, it should be short enough to filter out the RCS contributions of the objects close to the tag. Consequently, if there exist objects too close to the tag, the accuracy of the proposed technique decreases. The chosen trapezoidal window is a good choice since it allows keeping substantially unchanged the bandwidth of the main lobe of the filter in the frequency domain and to apply some tapering which tends to reduce the contribution of other resonant peaks in the FD convolution. The precise initial time has been optimized empirically concluding that 1 ns before the presence of the strong peaks is a reasonable choice. The time-domain signal and the filtering window are both reported in Fig. 8. The same signal is represented also in terms of distance by using , where is the speed of light, is the roundtrip time, and is the distance of the tag.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 8. Time-domain signal with the time window used to filter it. Time-domain of Fig. 1. signal is obtained by applying the inverse FFT to the signal

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Reflection coefficient for vertical and horizontal polarizations obtained through the standard calibration procedure involving three simultaneous measurements (tag, ground plane of the same size of the tag, and background measurement).

of the tag, and background measurement in absence of the tag) as follows:

Fig. 9. Frequency-domain signals for horizontal and vertical polarizations after time gating.

After the time gating, the two signals are transformed again into the frequency domain (Fig. 9). As it is apparent from Fig. 9, the two signals separately postprocessed are still ambiguous. A number of resonant peaks can be observed, including the three searched ones, but it is complicated to separate the noise from the useful information. The amplitude reflection coefficients obtained for the two polarizations by using the classical normalization procedure [7], [9] are reported in Fig. 10 for comparison,. The standard procedure combines three measurements obtained in the same scenario (tag, ground plane of the same size

On the contrary, by using the approach proposed here, the bit sequence is decoded by using only a single measurement. In order to improve the detectability of the received information, the amplitude of the two postelaborated signals are subtracted in log scale. In this way, a much clearer curve is obtained. To facilitate the assessment of the derived result, the difference curve is compared, in Fig. 11, with the optimal result obtained by subtracting the calibrated signals of Fig. 10 as well as with the simulations of the infinite surface obtained with the PMM code. The curves agree well demonstrating that the use of polarization diversity results in a crucial improvement in the quality of the received signals and thus avoiding unpractical calibration procedures. To further assess the robustness of the presented method, a set of different tag configurations have been measured and decoded. The reflection differences obtained by using the presented calibration-free method are reported in Fig. 12. Different stub lengths of the internal loop characterize the measured tags. As previously pointed out, the variation of the stubs length allows shifting the resonance peak associated to a specific loop and thus improving the coding capacity of the tag. It is evident that, in all cases, the present approach allows following the shift of the third peak. As shown in Fig. 12, it is also possible to appreciate if the curves cross the zero from negative to positive or from positive to negative , thus doubling the coding capacity. This behavior is simply obtained by using an -oriented stub slightly longer than -oriented one or vice versa. It is worth underlining that the other resonant peaks are

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. COSTA et al.: NORMALIZATION-FREE CHIPLESS RFIDS BY USING DUAL-POLARIZED INTERROGATION

7

TABLE I ESTIMATION OF THE STANDARD DEVIATION (STD) OF THE CURVE AND ITS MAXIMUM VALUE WITHIN THE DECISION BANDWIDTH WHILE THE STUB OF THE SECOND LOOP IS VARIED. STANDS FOR POSITIVE TO NEGATIVE SLOPE OF THE CURVE

TABLE II ESTIMATION OF THE STANDARD DEVIATION (STD) OF THE CURVE AND ITS MAXIMUM VALUE WITHIN THE DECISION BANDWIDTH WHILE THE STUB OF THE THIRD LOOP IS VARIED. STANDS FOR POSITIVE TO NEGATIVE SLOPE OF THE CURVE Fig. 11. Measured amplitude difference between the vertically and horizontally polarized reflection coefficients of a three-loop tag. The result obtained by using the standard calibration procedure is compared with the one retrieved by avoiding the subtraction of the background and with simulated result. The simulated result obtained by using the PMM method is superimposed for comparison.

Fig. 12. Measured amplitude difference for five different bit sequences obtained by progressively varying the length of the stubs of the third loop and or . The other stubs are fixed at the imposing , . Detection is perlowest length: formed by avoiding background subtraction.

unperturbed by the variation of the stub lengths associated to the third loop. V. DECISION STRATEGY In order to define a decision strategy, some relevant parameters have been recorded on frequency windows around the

expected resonance frequencies. The frequency windows, as highlighted in Fig. 12, are chosen with a bandwidth of 0.6% around each expected resonance frequency, and the estimated parameters are the maximum absolute value, the standard deviation, and the gradient of the curve. Some additional examples with the values of the aforementioned parameters are reported in Table I and Table II. In those cases, stubs of the second and third loop are varied, respectively. The three resonance frequencies listed in the rows of the table are the ones expected for the stub configuration of the first column. As the stub lengths of the second and third loops are increased, the second resonant frequency (Table I) and the third resonant frequency respectively (Table II) are shifted. It is, therefore, expected to observe high standard deviation and a high maximum value within a new frequency window. Indeed, by observing for instance the values of standard deviation for the frequency of 5.16 GHz in Table II, it is evident that the standard deviation of the curve drops from 12.9 to less than 0.89 when the stub is increased. Moreover, the maximum observed value decreases rapidly by increasing the stubs length. This means that both standard deviation and maximum value can be used to estimate the presence or absence of resonant peak in a certain narrowband frequency window. The standard deviation seems to be a better predictor for deciding about the presence or the absence of a peak within a certain frequency window with respect to the maximum value. The reason is that it is calculated by considering a set of frequency points instead of a single one.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

The standard deviation of the curve is also a good predictor of the noise floor measured when the resonant peak is not present in a certain frequency window. For this reason, it can be used as a minimum threshold for deciding about the presence of the resonant peak. Even very close frequency peaks can be distinguished with a threshold value of 2.5. The number of possible states has however to be decreased if possible intrinsic tolerances of the substrate permittivity are taken into account. For instance, if some variation of the dielectric permittivity among tags is expected, the frequency window band can be doubled, and thus the number of states for each loop is halved. In the present case, the number of encoded bit would decrease from 15.8 to 12.8. Finally, it is pointed out that also phase responses can be exploited to cross-check the accuracy of the decoded bit sequence [27]. VI. READING RANGE According to previous calculations [26] by using the Friis formula the reading range for these kind of tags can be up to 3 m with a power level of 0 dBm and a sensitivity of the receiver of 70 dBm. However, it has to be pointed out that mutual coupling between transmitting and receiving ports of the antenna tends to reduce this ideal value [28]. Indeed, when the distance between the reader and the tag is increased, the coupling level between antennas remain at the same level and the signal received back to the tag decreases. The reading range is in our case also limited by the presence of big objects behind the tag. Indeed, as already pointed out, the used time window has to fulfill to two opposite requirements. It should be long enough to have a frequency response of the time window at least smaller than the frequency bandwidth of the resonant peaks but, at the same time, it should be short enough to filter out the RCS contributions of the objects close to the tag. In our specific non-anechoic environment case, with a wall at 2 m from the tag, the reading range was 50 cm. However, it is not possible to define the reading range independently of the scenario but only an upper bound can be estimated. VII. CONCLUSION A novel calibration procedure for chipless RFID is presented and experimentally verified. The encoding/decoding scheme is based on a differential encoding mechanism followed by timedomain postprocessing. The differential encoding is obtained by subtracting the tag response measured simultaneously along two orthogonal planes of incidence. The passive dual-polarized tags employed to this purpose comprise an artificial impedance surface able to provide multiresonant spectral responses. The methodology allows avoiding the usual unpractical calibration procedure thus paving the way to the employment of chipless technology in realistic operative scenarios. REFERENCES [1] R. Das and P. Harrop, “RFID forecasts, players and opportunities 2009–2019,” IdTechEx Rep., 2009. [2] S. Preradovic and N. C. Karmakar, “Chipless RFID: Bar Code of the Future,” IEEE Microw. Mag., vol. 11, no. 7, pp. 87–97, Dec. 2010. [3] V. P. Plessky and L. M. Reindl, “Review on SAW RFID tags,” IEEE Trans. Ultras., Ferroelectr. Freq. Control, vol. 57, no. 3, pp. 654–668, Mar. 2010.

[4] S. Gupta, B. Nikfal, and C. Caloz, “Chipless RFID system based on group delay engineered dispersive delay structures,” IEEE Antennas and Wireless Propag. Lett., vol. 10, pp. 1366–1368, 2011. [5] A. Lazaro, A. Ramos, D. Girbau, and R. Villarino, “Chipless UWB RFID tag detection using continuous wavelet transform,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 520–523, 2011. [6] R. S. Nair, E. Perret, and S. Tedjni, “A temporal multi-frequency encoding technique for chipless RFID based on C-sections,” Progr. Electromagn. Res. B, vol. 49, pp. 107–127, 2013. [7] A. Vena, E. Perret, and S. Tedjini, “Chipless RFID tag using hybrid coding technique,” IEEE Trans. Microw. Theory and Tech., vol. 59, no. 12, pp. 3356–3364, Dec. 2011. [8] B. Shao, Q. Chen, Y. Amin, R. Liu, and L.-R. Zheng, “Chipless RFID tags fabricated by fully printing of metallic inks,” Ann. Telecommun., vol. 68, no. 7–8, pp. 401–413, Aug. 2013. [9] F. Costa, S. Genovesi, and A. Monorchio, “A chipless RFID based on multiresonant high-impedance surfaces,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 1, pp. 146–153, Jan. 2013. [10] S. Preradovic, I. Balbin, N. C. Karmakar, and G. F. Swiegers, “Multiresonator-based chipless RFID system for low-cost item tracking,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1411–1419, May 2009. [11] F. Costa, S. Genovesi, A. Monorchio, and G. Manara, “Calibration method for periodic surface based chipless tags,” in Proc. RFID Technology Applications Conf. (RFID-TA), 2014, pp. 78–81. [12] A. Blischak and M. Manteghi, “Embedded singularity chipless RFID tags,” IEEE Trans. Antennas Propag., vol. 59, no. 11, pp. 3961–3968, Nov. 2011. [13] V. C. Chen and H. Ling, Time-Frequency Transforms for Radar Imaging and Signal Analysis. Boca Raton, FL, USA: Artech House, 2001. [14] J. Li and P. Stoica, “An adaptive filtering approach to spectral estimation and SAR imaging,” IEEE Trans. Signal Process., vol. 44, no. 6, pp. 1469–1484, Jun. 1996. [15] R. V. De Jough, M. Hajian, and L. P. Ligthart, “Antenna time-domain measurement techniques,” IEEE Antennas Propag. Mag., vol. 39, no. 5, pp. 7–11, Oct. 1997. [16] D. Girbau, J. Lorenzo, A. Lazaro, C. Ferrater, and R. Villarino, “Frequency-coded chipless RFID tag based on dual-band resonators,” IEEE Antennas Wireless Propag. Lett., vol. 11, pp. 126–128, 2012. [17] A. Vena, E. Perret, and S. Tedjni, “A depolarizing chipless RFID tag for robust detection and its FCC compliant UWB reading system,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 8, pp. 2982–2994, Aug. 2013. [18] R. V. Koswatta and N. C. Karmakar, “A novel reader architecture based on UWB chirp signal interrogation for multiresonator-based chipless RFID tag reading,” IEEE Trans. Microw. Theory Tech., vol. 60, no. 9, pp. 2925–2933, Sep. 2012. [19] R. Rezaiesarlak and M. Manteghi, “A space-frequency technique for chipless RFID tag localization,” IEEE Trans. Antennas Propag., vol. 62, no. 11, pp. 5790–5797, Nov. 2014. [20] R. Mittra, C. H. Chan, and T. Cwik, “Techniques for analyzing frequency selective surfaces-a review,” Proc. IEEE, vol. 76, no. 12, pp. 1593–1615, Dec. 1988. [21] F. Costa, S. Genovesi, and A. Monorchio, “On the bandwidth of high-impedance frequency selective surfaces,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 1341–1344, 2009. [22] G. Manara, A. Monorchio, and R. Mittra, “Frequency selective surface design based on genetic algorithm,” Electron. Lett., vol. 35, no. 17, pp. 1400–1401, 1999. [23] F. Costa, S. Genovesi, and A. Monorchio, “Chipless RFIDs for metallic objects by using cross polarization encoding,” IEEE Trans. Antennas Propag., vol. 62, no. 8, pp. 4402–4407, Aug. 2014. [24] B. A. Munk, Frequency Selective Surfaces: Theory and Design. Hoboken, NJ, USA: Wiley, 2005. [25] F. Costa, S. Genovesi, A. Monorchio, and G. Manara, “A robust differential-amplitude codification for chipless RFID,” IEEE Microw. Compon. Lett., 2015. [26] F. Costa, S. Genovesi, A. Monorchio, and G. Manara, “A circuit-based model for the interpretation of perfect metamaterial absorbers,” IEEE Trans. Antennas Propag., vol. 61, no. 3, pp. 1201–1209, 2013. [27] S. Genovesi, F. Costa, A. Monorchio, and G. Manara, “Chipless RFID tag exploiting multifrequency delta-phase quantization encoding,” IEEE Antennas Wireless Propag. Lett., 2015. [28] Y. F. Weng, S. W. Cheung, T. I. Yuk, and L. Liu, “Design of chipless UWB RFID system using a CPW multi-resonator,” IEEE Antennas Propag. Mag., vol. 55, no. 1, pp. 13–31, Feb. 2013.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. COSTA et al.: NORMALIZATION-FREE CHIPLESS RFIDS BY USING DUAL-POLARIZED INTERROGATION

Filippo Costa (M’12) was born in Pisa, Italy, on October 31, 1980. He received the M.Sc. degree in telecommunication engineering and the Ph.D. degree in applied electromagnetism from the University of Pisa, Pisa, Italy, in 2006 and 2010, respectively. From March to August 2009, he was a Visiting Researcher at the Department of Radio Science and Engineering, Helsinki University of Technology, TKK (now Aalto University), Helsinki, Finland. He is currently an Assistant Professor at the University of Pisa. His research is focused on the analysis and modelling of frequency-selective surfaces and artificial impedance surfaces with emphasis to applications in electromagnetic absorbing materials, leaky antennas, radomes, RFIDs, waveguide filters, and methods for retrieving dielectric permittivity of materials. Dr. Costa was selected among the ten outstanding reviewers of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION in 2015. He was recipient of the Young Scientist Award of the URSI International Symposium on Electromagnetic Theory, URSI General Assembly and URSI AT-RASC in 2013, 2014, and 2015, respectively.

Simone Genovesi (M’03) received the Laurea degree in telecommunication engineering and the Ph.D. degree in information engineering from the University of Pisa, Pisa, Italy, in 2003 and 2007, respectively. Since 2003 he has been collaborating with the Electromagnetic Communication Laboratory, Pennsylvania State University (Penn State), University Park, PA, USA. From 2004 to 2006 he was a Research Associate at the ISTI Institute of the National Research Council of Italy (ISTI-CNR) in Pisa. In 2010 he was affiliated with the National Laboratory Radar and Surveillance (RaSS). He is currently an Assistant Professor at the Microwave, Radiation Laboratory, University of Pisa. His research is focused on metamaterials, RFIDs, antenna optimization, and evolutionary algorithms.

9

Agostino Monorchio (SM’04–F’12) received the Laurea degree in electronics engineering and the Ph.D. degree in methods and technologies for environmental monitoring from the University of Pisa, Pisa, Italy, in 1991 and 1994, respectively. He is currently an Associate Professor in the School of Engineering, University of Pisa, and an Adjunct Professor at the Italian Naval Academy of Livorno. His research interests include the development of novel numerical and asymptotic methods in applied electromagnetics, both in frequency and time domains, with applications to the design of antennas, microwave systems, and radar cross-section calculation, the analysis and design of frequency-selective surfaces and novel materials, and the definition of electromagnetic scattering models from complex objects and random surfaces for remote sensing applications. He has been a Reviewer for many scientific journals, and he has been supervising various research projects related to applied electromagnetic, commissioned and supported by national companies and public institutions. Dr. Monorchio has served as Associate Editor of the IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS. He received a Summa Foundation Fellowship and a NATO Senior Fellowship.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

319

Ultrahigh-Sensitivity Mediator-Free Biosensor Based on a Microfabricated Microwave Resonator for the Detection of Micromolar Glucose Concentrations Kishor Kumar Adhikari and Nam-Young Kim, Member, IEEE

Abstract—This paper presents a miniaturized microwave-resonator-based ultrahigh sensitivity mediator-free biosensor for determining the level of glucose in deionized-water glucose solutions and human sera using integrated passive device technology on a gallium–arsenide substrate. The proposed glucose biosensor, which consists of cross-coupled stepped-impedance resonators (SIRs), strongly concentrates electromagnetic energy between the coupling regions at a central frequency of 6.53 GHz. The changes in effective permeability and permittivity , which correlate with the variations in the glucose concentration, effectively change the equivalent series inductance and shunt capacitance of the biosensor resonator. This concept was used for the first time to develop an ultrahigh-sensitivity biosensor based on a low Q microwave resonator. The length of each SIR was meandered width wise, and a meandered-line stub-load was embedded inside the SIR to utilize the equivalent high series inductance and shunt capacitance, respectively. The newly designed present biosensor, which linearly detected glucose level within a wide range of concentration, exhibited an ultrahigh sensitivity (978.7 MHz/mgmL for sera) at least 4.918 times higher than that of previously reported microwave-resonator-based glucose biosensors, a lower detection limit of 0.01928 M, and a rapid detection time of less than 5 s. Supported by S-parameter-based effective-medium-parameter analysis and a sensitivity enhancement principle, the detection accuracy of the proposed biosensor was increased using its glucose-level-dependent spreading of propagation constant and self-resonances of impedance . Index Terms—Integrated passive device (IPD), meandered-line stub-loaded stepped-impedance resonator (SIR), mediator-free glucose sensing, permeability, permittivity, ultrahigh sensitivity.

I. INTRODUCTION

R

ECENTLY, microwave-resonator-based biosensors have been intensively studied for their potential applications in numerous biosensing applications, such as detection of stress biomarkers [1], human cell dielectric spectroscopy [2], and biomolecular binding [3]. These biosensors primarily determine

Manuscript received September 22, 2015; accepted November 17, 2015. Date of publication December 03, 2015; date of current version January 01, 2016. This research was supported by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Korean Government (MSIP) No. 2015R1D1A1A09057081. This work was also supported by a Research Grant of Kwangwoon University in 2015. The authors are with the RFIC Center, Kwangwoon University, Seoul 139701, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2503275

the concentration or physical characteristics of a material under test based on the interaction between the resonator electromagnetic (EM) waves and the material. This interaction results as the changes in the equivalent inductance and capacitance of the resonator, and therefore, the changes in the resonator S-parameters as well as the shift of its central frequency. These changes specifically correlate with the electrical, and therefore, physical characteristics of the sensed material. The resonator-based biosensors offer many advantages including rapid sensing, robustness, and real time detection with low measurement costs [4]. Therefore, studies have increasingly focused on developing microwave-resonator-based biosensors having very small size, high reliability, increased sensitivity, and lower detection limit as well as fast response and suitability for label-free sensing. Microwave-resonator-based biosensors are also considered promising candidates for implementing third-generation glucose biosensors for mediator-free glucose detection [5]–[9]. For this application, sensitivity, indicative of a shift in the central frequency for a variation in the glucose concentration, is one of the most important parameters of interest. Higher sensitivity minimizes the volume and concentration of the glucose sample, and thus, enables the study of minute amounts of glucose sample. Additionally, low-concentration levels avoid the interaction among glucose molecules, which is important for label-free glucose detection [10]. Several previous studies have concluded that the quality ( ) factor of the resonators must be increased to increase the sensitivity of such biosensors [11], [12]. Various optical resonators with very high- factors have been reported previously [13], [14]. However, a number of associated losses, such as dielectric loss, and conductor loss, limit the factor of planar microwave resonators to smaller values. This has led to a strong need for an alternative method to develop a biosensor with high sensitivity based on a lowmicrowave resonator. Our previous work [15] demonstrated a microwave-resonator-based detection of glucose level in deionized water–glucose solutions with concentration ranging from 1 to 5 mg/mL and the experimental results indicated a high sensitivity. However, the reported work did not provide a proper explanation of a resonator design approach to increase the sensitivity. In addition, practical applicability of the biosensor to recover glucose level in human sera was not tested. This paper, which is a noticeable expansion of our previous work and presents glucose detection in a deionized water–glucose solution as well as human sera, illustrates a simple and useful biosensor sensitivity enhancement principle, and shows that a

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

highly sensitive glucose biosensor can be developed using a proper resonator design method despite the low- factor of the resonator. The analysis and results indicate that the variations in the glucose concentration result in changes in the effective permeability and permittivity of the biosensor resonator. These changes, in turn, lead to effective changes in the net series inductance and shunt capacitance of the resonator [16]. Therefore, the structure of the resonator must employ higher equivalent series inductance and shunt capacitance to increase the sensitivity of the glucose biosensor. This study proposes a mediator-free glucose biosensor based on a compact and robust microwave resonator for application to the early-stage detection and monitoring of diabetes mellitus. Section II, which describes the basic sensitivity enhancement principle using the biosensor resonator design approach, also deals with glucose sample preparation, RF measurement system, and resonator fabrication process. The proposed biosensor resonator consists of cross-coupled stepped-impedance resonators (SIRs). The equivalent series inductance of the biosensor resonator was increased by meandering the length of the SIR width wise and utilizing the mutual inductance of the cross-coupled resonators. Additionally, each SIR was internally loaded with a meandered line stub to utilize the enhanced shunt capacitance. The resulting resonator exhibited a central frequency of 6.53 GHz and a loaded factor of 5.8 [17]. Section III, which presents the experimental results and their analysis based on glucose-level-dependent effective-medium-parameters, also demonstrates glucose recovery capacity of the biosensor in human sera. The results indicated that the glucose-level-dependent downward shift in the resonator central frequency detected the glucose concentration in deionized water–glucose solutions (0.75–5 mg/mL) and human sera (1.05–1.85 mg/mL) with high sensitivity of 370.9 and 978.7 MHz/mgmL , respectively. Moreover, the proposed glucose biosensor detected micromolar glucose content with a detection limit of 0.01928 M. In addition, the propagation constant , impedance , and resistance of the biosensor resonator show significant correlation with the glucose level, and therefore, enable the multi-parameter sensitive detection of glucose. II. METHODS AND MATERIALS A. Design, Simulation, and Fabrication of Biosensor Resonator The device geometry of the proposed glucose biosensor consists of two cross-coupled dual-section symmetric SIRs with characteristic impedance and electrical length of and , as illustrated in Fig. 1(a). Each SIR was meandered width wise to increase the series inductance and connected with a 50- impedance-matching transmission line. Each SIR was internally loaded with a meandered-line stub to use the shunt capacitance and reduce the signal transmission loss. For the dual-section symmetric SIR, the resonance condition indicated by can be expressed as [18]

(1)

The SIR with optimized parameters of , , , and and a stub of characteristic impedance 72.3 and electrical length 85.5 were used to generate a resonator with a central frequency of 6.53 GHz and loaded -factor of 5.8. The proposed resonator geometry allows the resonator to strongly concentrate the EM energy between the coupled SIRs, as indicated by the simulated current density at 6.53 GHz in Fig. 1(b), and pass through the tested glucose sample, thereby making the biosensor resonating characteristics sensitive to glucose concentration. Fig. 1(a) also shows the lumped-element equivalent circuit of the proposed biosensor resonator in terms of the net series inductance with net resistive loss and net shunt capacitance ( ). and account for the mutual inductance and capacitance owing to the cross-coupling of the SIRs. The as-designed biosensor resonator was fabricated on a 400- m-thick gallium–arsenide (GaAs) substrate with a dielectric constant of 12.85 and loss tangent of 0.006 using integrated passive device (IPD) technology [19], and an optical microscopy image of top view of the fabricated resonator with an effective area of 7.1712 mm is shown in Fig. 1(c). To begin the biosensor resonator fabrication process, plasma-enhanced chemical vapor deposition (PECVD) was first used to deposit silicon–nitride SiN over the GaAs substrate up to a thickness of 0.2 m as a passivation layer. The passivation layer enhances the adhesion between the substrate and the metal layer. A 0.1- m-thick Ti seed layer was then deposited by RF sputtering. The top sensing layer was implemented using a 0.5- m-thick electroplated Au layer over a 9.5- m-thick electroplated Cu metal layer. Fig. 1(d) shows a scanning electron microscopy (SEM) image of a portion of the fabricated resonator with necessary dimensions. Fig. 1(e) shows a focused ion beam (FIB) image of the passivation and seed layer. B. Sample Preparation and Measurement An aqueous glucose standard solution was prepared using a mixture of deionized-water (Merck Millipore, Billerica, MA, USA) and D-glucose powder (SIGMA, Life Science, GC). Glucose calibration standards with concentrations of 0.75, 1, 2, 3, 4, and 5 mg/mL of glucose were prepared from the stock solution of 5 mg/mL of glucose in deionized water. This range of 0.75–5 mg/mL is suitable for clinical test of glucose because the normal range of blood-glucose level for a diabetic patient ranges from 0.72 to 2.16 mg/mL [20]. To study the performance of the proposed glucose biosensor, blood samples were collected from healthy subjects via antecubital vein puncture. Informed consent from all subjects was obtained prior to collecting their blood samples for glucose testing. These blood samples were centrifuged at 3000 r/min for 12 min to prepare serum samples. To calibrate the biosensor, the obtained serum sample with a base glucose level of 1.05 mg/mL was supplemented with a solution of D-glucose powder and deionized water to prepare serum samples with glucose concentrations of: 1.05, 1.25, 1.45, 1.65, and 1.85 mg/mL. To determine the analytical performance of the biosensor, the S-parameters of the bare resonator was first characterized over a frequency range of 0.1 to 10 GHz using the Agilent 8510C vector network analyzer (VNA). A glucose sample (2 L), which was injected on the gold layer sensing region of

ADHIKARI AND KIM: ULTRAHIGH-SENSITIVITY MEDIATOR-FREE BIOSENSOR

321

Fig. 1. Microwave-resonator-based mediator-free glucose biosensor using IPD technology on GaAs substrate. (a) 3-D schematic layout of the present biosensor and permitbased on cross-coupled stub-loaded meandered-line SIRs and the lumped-element equivalent circuit indicating that the effective permeability depend upon net series inductance and shunt capacitance, respectively. (b) Concentrated EM energy in the sensing region of the coupled resonators. tivity (c) Optical microscopic image illustrating top view and an outline dimensions of the fabricated biosensor. (d) Scanning electron microscopic (SEM) image illustrating a magnified view of a portion of the resonator with its relative dimensions. (e) Magnified cross-section SEM image of fabricated resonator. (f) High-magnification image of adhesion and seed layer.

the resonator surface using a finnpipette, was then immobilized for 5 s and S-parameters were measured in the frequency range mentioned before. To avoid the effect of temperature variation on the permittivity of glucose solution [21], and therefore, the biosensor performance, the electrical measurements were conducted at room temperatures that was recorded using thermocouples to range from 23.4 C to 24.1 C. The measurement of each individual glucose sample was followed by regeneration of the biosensor sensing surface. To do so, the resonator sensing surface was washed thoroughly using injected deionized-water and dried naturally until the biosensor regained the original resonating characteristics. C. Sensitivity Enhancement Principle When an RF EM wave interacts with a glucose sample, the charge in the sample moves and the dipoles reorient; therefore, the electric field is polarized along the propagation path of the biosensor, as shown in Fig. 2. As a result, a charge accumulates at opposite ends of the biosensor. These charges alternate with the frequency of the incident EM wave. In addition, an image charge appears at the ground metal, which forms a

quadruple that consequently alters the local field in their surrounding and ultimately leads to a new effective permittivity of the medium. This new strongly depends on the concentration of the glucose sample as well as the frequency of the EM wave and geometrical structure of the biosensor. The antiparallel displacement current through the capacitor set up by the oscillating charge accumulation between the top sensing metal line of the biosensor and the ground metal plane establishes alternating current. This alternating current loop generates a magnetic moment that causes self-induction as it switches direction. The combined effect of capacitance and self-inductance leads to a glucose-level-dependent changes in effective permeability . Ultimately, the as-produced glucose-level-dependent change in and correspond to the distributed series inductance and shunt capacitance of the transmission line model (taking into account the geometry of the system), respectively, as indicated in Fig. 1(a) and expressed as [16] (2) (3)

322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

posed glucose biosensor under optimized experimental conditions (Fig. 3). The central frequencies of the resonator, indicated by the peak of measured , as shown in Fig. 3(a), were 3.43, 3.53, 3.93, 4.23, 4.63, and 5.03 GHz for glucose samples with concentration of 0.75, 1, 2, 3, 4, and 5 mg/mL, respectively. Therefore, noticeable separations between resonator central frequencies for different glucose levels and a marked downward shift of 3.1 and 1.5 GHz with respect to the bare resonator central frequency of 6.53 GHz for the minimum and maximum glucose concentrations investigated, respectively, indicated that the glucose-level-dependent shift of central frequency was very sensitive. Moreover, the shift of central frequency was directly proportional to the change in glucose concentration via an excellent correlation coefficient and a linear regression equation

(4)

Fig. 2. (a) Schematic of the proposed biosensor illustrating its glucose detection principle based on glucose-level-dependent shift of central frequency and sensitivity enhancement principle and (b) injection of glucose sample on sensing region of the resonator with fixture system using finnpipette and S-parameters characterization using VNA.

The combined variations in the inductance and capacitance lead to a glucose-level-dependent central frequency of the biosensor, shown in Fig. 2. Thus, the glucose level is determined based on the amount of shift in the central frequency of the biosensor bearing glucose sample with respect to the bare biosensor. Therefore, the sensitivity of glucose detection can be increased by enhancing the biosensor resonator net series inductance and shunt capacitance, which lead to sensitive variations in and , and correspondingly a higher shift in the resonator central frequency for a lower variation in glucose concentration. III. RESULTS AND DISCUSSIONS A. Detection in Deionized Water–Glucose Solution Using Shift of Central Frequency The glucose level in the water–glucose solution was quantified for a concentration range of 0.75–5 mg/mL using the pro-

This positive correlation between the downward shift of central frequency and glucose concentration is caused by an interaction of the inductance and capacitance based on a complicated effect between the aqueous glucose and the sensor of the resonator. In addition, the glucose sample viscosity is directly proportional, and therefore, the permittivity is inversely proportional to glucose concentration of water–glucose solution [20], [22]. The biosensor glucose detection sensitivity, as indicated by the slope of (4), was 370.9 MHz/mgmL for the water–glucose solution. Under signal-to-noise ratio , a detection limit of 0.0944 M of glucose in 2 L of the sample was calculated using the optimization study and associated calibration plot [see Fig. 3(b)] [23]. A maximum relative standard deviation (RSD) of 1.2% for a glucose sample of 3 mg/mL obtained by quadruplicate analysis of each individual sample and nonoverlapping error bars indicating the deviation of central frequency confirmed the excellent reproducibility of the biosensor. Fig. 3(a), which also indicates the variations in the resonator reflection coefficient magnitude, shows that the peak value was 32.6 and 15.2 dB for glucose concentration of 0.75 and 5 mg/mL, respectively, and therefore, inversely proportional to the glucose level. However, the changes for other concentrations were not unidirectional and linear, and therefore, could not be used to detect glucose level. This may be attributed to the fact that the reflected energy nonlinearly correlates with the permittivity, and therefore, the glucose concentration [5], [24]. Moreover, the reflected energy will also reflect from the meandered lines of the SIRs and stubs, and repeatedly impinge on the water–glucose sample in various ways. B. Glucose-Level-Dependent Constituent Parameters of Biosensor Resonator To study and analyze the nature of shift in the central frequency, the glucose-level-dependent and of the glucose biosensor were calculated using the following equations [25]: (5) (6)

ADHIKARI AND KIM: ULTRAHIGH-SENSITIVITY MEDIATOR-FREE BIOSENSOR

323

Fig. 3. Measured S-parameters and calibration curve relevant to water–glucose solutions (0.75–5 mg/mL). (a) Glucose-level-dependent shift in central frequency peaks and (b) calibration curve obtained using linear regression of central frequencies and error bars indicated by reflection coefficient indicating a maximum RSD of 1.2% for a glucose sample of 3 mg/mL.

Fig. 4. Glucose-level-dependent effective-medium parameters of the biosensor resonator for water–glucose solutions. (a) Effective permeability . inductance

where and are the normalized impedance and the refractive index, respectively; they were evaluated based on the measured S-parameters using the following equations [26]:

(7) (8) where ( ; is the speed of light in vacuum) is the wavenumber and , the propagation distance of the incident wave. Additionally, the glucose-level-dependent inductance and capacitance were calculated from the measured propagation constant and characteristic impedance using the following equations [27]: (9) (10) where is the angular frequency of the applied EM wave. The variations of of the presented glucose biosensor for a frequency range of 5–8 GHz, which is illustrated in Fig. 4(a), indicate that this parameter clearly correlated with the glucose

and (b) net

level in water–glucose solution for an approximate frequency range of 7–8 GHz. The magnitudes of at the biosensor resonator central frequencies for glucose samples with concentration of 0.75, 1, 2, 3, 4, and 5 mg/mL were 10.36, 9.98, 8.33, 6.44, 3.37, and 2.58, respectively. also exhibited resonance peaks, whose variations indicated the more sensitive variations with the glucose levels than those of other points. crossed the zero values from the positive side and exhibited negative values just ahead of the resonance peaks within an approximate frequency band of 1.6 GHz that approximately ranged from 5.42 to 7.02 GHz. This negative value region in is believed to support the resonance peaks by concentrating the magnetic flux density in parts of the biosensor. The magnitude and frequency of peaks exhibited a positive and negative correlation, respectively, with glucose level. The net inductance of the proposed biosensor for various glucose samples, which is illustrated in Fig. 4(b), also exhibited resonance peaks in an approximate frequency range of 3.02–3.34 GHz. The frequencies and magnitudes of inductance peak positively correlated with the glucose concentration. For glucose levels of 0.75 and 5 mg/mL, inductance exhibited peak value of 16.17 nH at 3.02 GHz and 26.42 nH at 3.34 GHz, respectively. In addition, the magnitudes of the net inductance at the central frequencies for glucose samples with concentration of 0.75, 1, 2, 3, 4, and 5 mg/mL were 4.77, 7.04, 6.91, 5.34, 3.87, and 2.14 nH, respec-

324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

Fig. 5. Glucose-level-dependent effective-medium parameters of the biosensor resonator for water–glucose solutions. (a) Effective permittivity capacitance .

and (b) net

Fig. 6. Measured S-parameters and calibration curve relevant to human serum samples (1.05–1.85 mg/mL). (a) Glucose-level-dependent shift in central frequency peaks and (b) calibration curve obtained using linear regression of central frequencies and error bars indicated by reflection coefficient indicating a maximum RSD of 1.5% for a glucose sample of 1.45 mg/mL.

tively. Therefore, and at the resonator central frequencies were directly proportional to the glucose concentration. Fig. 5(a), which illustrates the dependence of on glucose level, indicated that successively transformed from negative to positive and exhibited peaks within an approximate frequency range of 3.82–4.42 GHz. The magnitude and frequency of the peak positively correlated with the glucose level. The magnitudes at the resonator central frequencies for glucose samples with concentration of 0.75, 1, 2, 3, 4, and 5 mg/mL were 7.07, 8.89, 7.54, 6.32, 5.24, and 6.20, respectively. Fig. 5(b) illustrates the capacitance of the proposed sensor for glucose samples of varying concentration. The results showed that the capacitance also exhibited peak values at frequencies approximately ranging from 3.41 to 4.31 GHz. The capacitance of the resonance peak correlated positively with glucose concentration. The magnitudes of net capacitance at the resonator central frequencies for glucose samples with concentration of 0.75, 1, 2, 3, 4, and 5 mg/mL were 0.080, 0.098, 0.090, 0.084, 0.070, and 0.083 pF, respectively. Therefore, and were maximized at the resonator central frequencies when the glucose concentration was minimized. In summary, , modeled by , and , modeled by , of the biosensor resonator negatively correlated with the glucose level in the sample at the central frequencies. Therefore, the amount of downward-shift in the resonator

TABLE I COMPARISON OF GLUCOSE LEVELS RECOVERED USING PRESENT BIOSENSOR WITH GOLD STANDARD

Each sample was measured four times Water–glucose solution sample Serum sample

central frequency was directly proportional to the glucose concentration. C. Detection in Serum Using Shift of Central Frequency The central frequencies of the resonator, indicated by the peak of measured , as shown in Fig. 5(a), were 2.72, 2.93, 3.13,

ADHIKARI AND KIM: ULTRAHIGH-SENSITIVITY MEDIATOR-FREE BIOSENSOR

325

Fig. 7. Glucose-level-dependent constituent parameters of the biosensor. (a) Propagation constant

and (b) impedance

.

3.26, and 3.53 GHz for serum samples with concentration of 1.05, 1.25, 1.45, 1.65, and 1.85 mg/mL, respectively. The nature of the downward shift in the central frequency was similar to those values in the water–glucose solutions. However, the biosensor resonator exhibited a marked increase in shift of the central frequency for glucose concentration variation of serum sample with respect to water–glucose solution. The shift of central frequency was directly proportional to the change in glucose concentration via an excellent correlation coefficient and a linear regression equation (11) Therefore, the biosensor glucose detection sensitivity for serum samples, as indicated by the slope of the (11), was 987.7 MHz/mgmL . Under signal-to-noise ratio ,a detection limit of 0.01928 M of glucose in 2 L of the sample was calculated using the optimization study and associated calibration plot [see Fig. 6(b)]. A maximum RSD of 1.5% for the glucose sample of 1.45 mg/mL obtained by quadruplicate analysis of each individual serum sample and nonoverlapping error bars indicating the deviation of central frequency confirmed the excellent reproducibility of the biosensor. The mediator-free glucose recovery capacity, and therefore, the practical applicability of the proposed biosensor was corroborated through additional water–glucose solutions and serum sample analysis. The glucose level in each sample was determined from the corresponding central frequency indicated by measured peak using calibrated (4) and (11) for water–glucose solution and serum, respectively. The detected glucose level in each sample was compared with certified data provided by the hospital. The results, which are shown in Table I, indicated that the glucose in each sample was detected with significant accuracy. Samples with lower glucose concentration of 0.05 and 0.2 mg/mL (water–glucose solution), and 0.15 mg/mL (serum), which are less important for clinical test of glucose of diabetic patients, were recovered with slightly less accuracy. However, the recovery of glucose with relative error of 0.86% for a glucose concentration of 0.92 mg/mL and 0.31% for a glucose concentration of 2.95 mg/mL demonstrated that the proposed glucose biosensor can be used to detect a wide range of glucose concentration in sera. Therefore, the calibrated linear regression equations (4) and (11) of the proposed glucose

Fig. 8. Glucose-level-dependent net resistance

of the biosensor.

biosensor can be used to accurately recover the glucose level of deionized water–glucose solution and sera of diabetic patients, respectively. D. Detection in Water–Glucose Solution Based on Derived Parameters The propagation constant , impedance , and resistance of the biosensor resonator were derived from the measured S-parameters for water–glucose solution samples of varying concentrations, as outlined in [28]. The propagation constant varied with frequency and glucose concentration, as illustrated in Fig. 7(a). This behavior was expected because the EM wave propagates thorough glucose samples of varying finite conductivity, , and [29]. However, the effective correlation between the propagation constant and glucose concentration existed only for an approximate frequency range of 2.5–2.85 GHz, which depends upon and, therefore, the resonator structure. This parameter is very useful for sensing glucose because it showed a linear and negative correlation with glucose at 2.65 GHz with a sensitivity of 0.021 mg/mL . The impedance of the biosensor resonator exhibited glucose-level-dependent self-resonance-peaks as a result of self-resonating inductance modeled by variations in . The amplitude and frequency of this impedance correlated negatively with glucose concentration, as shown in Fig. 7(b). The variations in impedance of these resonance peaks detected level of glucose in water–glucose solution samples linearly with a sensitivity of 87.88 mgmL . The

326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 1, JANUARY 2016

TABLE II COMPARISON OF PERFORMANCE PARAMETERS OF PRESENT BIOSENSOR WITH SEVERAL RECENTLY REPORTED GLUCOSE BIOSENSORS

Central Frequency N

A

Not Available

resistance of the biosensor also exhibited self-resonance peaks within an approximate range of 3.1–3.5 GHz, as shown in Fig. 8. The magnitude and frequency of these peaks also positively correlated with the glucose level. Thus, distinct variations of propagation constant and impedance, which depend on the proposed resonator structure, appeared at different frequency bands. Therefore, multi-dimensional glucose detection based on these parameters dictates their wide-frequency-band calculation. However, use of S-parameters, which were measured for a wide frequency band of 0.1–10 GHz, simplified their calculations. Table II indicates that the present glucose biosensor has a noticeable advantage of a higher sensitivity (at least 4.98 times higher than previously reported microwave-resonator-based glucose biosensors). Accompanied by the lower number of the metal layers (two metal layers) that reduced the device fabrication complexity and the cost (three metal layers in [5], [30], and [31]), the proposed biosensor proved to be rapid, simple, and robust. The current biosensor also has a significantly lower detection limit than the reported methods and a wide linear detection range. Moreover, the proposed biosensor was found to be well applicable to recovery of the glucose level from human sera. IV. CONCLUSION Based on the presented sensitivity-enhancement principle, a microwave-resonator-based highly sensitive mediator-free glucose biosensor consisting of cross-coupled meandered-line stub-loaded SIRs using IPD technology has been developed. , , , and , which were calculated from the measured S-parameters to analyze the glucose-level-dependent shift of resonator central frequency, correlated negatively with the

glucose level at the central frequency. Therefore, the shift of central frequency was maximized when the glucose level was minimized. The sensitivity of the biosensor was very high (987.7 MHz/mgmL ) owing to the enhanced series inductance and shunt capacitance of the resonator. In addition, the proposed biosensor enabled a rapid and simple way to detect micromolar glucose content linearly in both deionized water–glucose solutions and human sera within a wide concentration range. ACKNOWLEDGMENT The authors thank Prof. S.-S. Kim and Prof. Y.-H. Jo, both with the Department of Biochemistry and Molecular Biology, Kyung Hee University, Seoul, Korea, for their thoughtful insights and suggestions and guidance in preparing the glucose stock solutions and human serum. The authors also thank Dr. C. Wang, RFIC Center, Kwangwoon University Seoul, Korea, and H.-K. Sung, Korea Advanced Nano Fab Centre (KANC), Seoul, Korea, for his technical support regarding the materials and device fabrication during this work. REFERENCES [1] H. J. Lee, J. H. Lee, S. Choi, I. S. Jang, J. S. Choi, and H. Jung, “Asymmetric split-ring resonator-based biosensor for detection of label-free stress biomarkers,” Appl. Phys. Lett., vol. 103, no. 5, pp. 053702-1–053702-5, Jul. 2013. [2] C. Dalmay, A. Pothier, M. Cheray, F. Lalloue, M. O. Jauberteau, and P. Blondy, “Label-free RF biosensors for human cell dielectric spectroscopy,” Int. J. Microw. Wireless Technol., vol. 1, no. 6, pp. 497–504, Nov. 2009. [3] H. J. Lee et al., “A planar split-ring resonator-based microwave biosensor for label-free detection of biomolecules,” Sens. Actuator B, Chem., vol. 169, no. 5, pp. 26–31, Jul. 2012. [4] J. Kim, A. Babajanyan, A. Hovsepyan, K. Lee, and B. Friedman, “Microwave dielectric resonator biosensor for aqueous glucose solution,” Rev. Sci. Instrum., vol. 79, no. 8, pp. 086107-1–086107-3, Aug. 2008.

ADHIKARI AND KIM: ULTRAHIGH-SENSITIVITY MEDIATOR-FREE BIOSENSOR

327

[5] N. Y. Kim, K. K. Adhikari, R. Dhakal, Z. Chuluunbaatar, C. Wang, and E. S. Kim, “Rapid, sensitive, reusable detection of glucose by a robust radiofrequency integrated passive device biosensor chip,” Sci. Rep., vol. 169, pp. 07807-1–07807-9, Jan. 2015. [6] Y. Cui and P. Wang, “The design and operation of ultra-sensitive and tunable radio-frequency interferometers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3172–3182, Dec. 2014. [7] K. K. Adhikari et al., “Flexible screen printed biosensor with high-Q microwave resonator for rapid and sensitive detection of glucose,” in IEEE MTT-S Int. Microw. Workshop Series on RF Wireless Technol. for Biomed. Healthcare Appl., Dec. 2014, pp. 1–3. [8] T. Yilmaz and Y. Hao, “Electrical property characterization of blood glucose for on-body sensors,” in Proc. 5th Eur. Antennas Propag. Conf., Apr. 2011, pp. 3659–3662. [9] T. Yilmaz, R. Foster, and P. Wang, “Towards accurate dielectric property retrieval of biological tissues for blood glucose monitoring,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3193–3204, Dec. 2014. [10] Y. Cui and P. Wang, “The design and operation of ultra-sensitive and tunable radio-frequency interferometers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3172–3182, Dec. 2014. [11] X. Zhixuan and Z. Zhiping, “Sensitivity analysis of microring resonator based biosensor: The quality factor prospective,” in Optoelectron., Optics Valley of China Int. Symp., Nov. 2006, pp. 44–46. [12] I. M. Rusni, A. Ismail, A. R. Alhawari, M. N. Hamidon, and N. A. Yusof, “An aligned-gap and centered-gap rectangular multiple split ring resonator for dielectric sensing applications,” Sensors, vol. 14, no. 7, pp. 13134–13148, Jul. 2014. [13] Y. Chen, Z. Li, H. Yi, Z. Zhou, and J. Yu, “Microring resonator for glucose sensing applications,” Front. Optoelectron. China, vol. 2, no. 3, pp. 304–307, Jun. 2009. [14] S. H. Hsu, Y. C. Yang, Y. H. Su, S. M. Wang, S. A. Huang, and C. Y. Liu, “Biosensing using microring resonator interferograms,” Sensors, vol. 14, no. 1, pp. 1184–1194, Jan. 2014. [15] K. K. Adhikari, Z. Chuluunbaatar, C. Wang, Y. H. Jo, S. S. Kim, and N. Y. Kim, “Rapid and sensitive detection of glucose based on radio frequency resonator fabricated using integrated passive device technology,” in IEEE MTT-S Int. Microw. Workshop Series on RF Wireless Technol. for Biomed. Healthcare Appl., Dec. 2014, pp. 1–3. [16] G. V. Eleftheriades, A. K. Lyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [17] A. P. S. Khanna and Y. Garault, “Determination of loaded, unloaded, external quality factors of a dielectric resonator coupled to a microstrip line,” IEEE Trans. Microw. Theory Techn., vol. MTT-31, no. 3, pp. 261–264, Mar. 1983. [18] M. Makimoto and S. Yamashita, Microwave Resonator and Filter for Wireless Communication. Berlin, Germany: Springer-Verlag, 2001, pp. 12–14. [19] C. Wang, W. S. Lee, and F. Zhang, “A novel method for the fabrication of integrated passive devices on SI-GaAs substrate,” Int. J. Adv. Manuf. Technol., vol. 52, no. 9, pp. 1011–1018, Jul. 2011. [20] T. Yilmaz and Y. Hao, “Broadband tissue mimicking phantoms and a patch resonator for evaluating noninvasive monitoring of blood glucose levels,” IEEE Trans. Antennas Propag., vol. 62, no. 6, pp. 3064–3075, Jun. 2014. [21] X. J. Liao, G. S. V. Raghavan, J. M. Dai, and V. A. Yaylayan, “Dielectric properties of -d-glucose aqueous solutions at 2450 MHz,” IEEE Trans. Food Res. Int., vol. 36, no. 5, pp. 485–490, Nov. 2003. [22] G. Yoon, “Dielectric properties of glucose in bulk aqueous solutions: Influence of electrode polarization and modelling,” Biosens. Bioelectron., vol. 26, no. 5, pp. 2347–2353, Jan. 2011. [23] A. J. Bard and D. Marcel, Electroanalytical Chemistry, ser. Advances 17. New York, NY, USA: Marcel Dekker, 1991. [24] M. S. Venkatesh and G. S. V. Raghavan, “An overview of microwave processing and dielectric properties of agri-food materials,” Biosyst. Eng., vol. 88, no. 1, pp. 1–18, May 2004. [25] D. R. Smith, S. Schultz, P. Marko, and C. M. Soukoulis, “Determination of negative permittivity and permeability of metamaterials from reflection and transmission coefficients,” Phys. Rev. B, Condens. Matter, vol. 65, no. 19, pp. 195104-1–195104-5, Apr. 2002.

[26] M. Waqas, Z. Akbar, M. A. Saeed, S. Alam, and M. J. Khan, “Double negative metamaterial of copper split ring and graphite materials,” Int. J. Emerg. Trends Sci. Technol., vol. 1, no. 4, pp. 422–428, Jun. 2014. [27] J. J. Zhang and T. Y. Hsiang, “Extraction of subterahertz transmissionline parameters of coplanar waveguides,” in PIERS, Jan. 2007, vol. 3, no. 7, pp. 1102–1106. [28] W. K. Kim et al., “Radio-frequency characteristics of graphene oxide,” Appl. Phys. Lett., vol. 97, no. 19, pp. 193103-1–193103-3, Nov. 2010. [29] T. Abe and Y. Yamaguchi, “Propagation constant below cutoff frequency in a circular waveguide with conducting medium,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 7, pp. 707–712, Jul. 1981. [30] N. Y. Kim, R. Dhakal, K. K. Adhikari, E. S. Kim, and C. Wang, “A reusable robust radio frequency biosensor using microwave resonator by integrated passive device technology for quantitative detection of glucose gel,” Biosens. Bioelectron. (Special Issue), vol. 67, pp. 687–693, Oct. 2014. [31] R. Dhakal, C. Wang, E. S. Kim, and N. Y. Kim, “Complex permittivity characterization of serum with an air-bridge enhanced capacitor for quantifiable detection of glucose,” Appl. Phys. Lett., vol. 106, no. 7, pp. 073702-1–073702-5, Feb. 2015. [32] W. Gao, W. W. Tiju, J. Wei, and T. Liu, “Highly sensensor based on sitive nonenzymatic glucose and H O carbon Ni(OH) electroreduced graphene oxide-multiwalled nanotube film modified glass carbon electrode,” Talanta, vol. 120, pp. 484–490, Dec. 2014. [33] A. Salimi and M. Roushani, “Non-enzymatic glucose detection free of ascorbic acid interference using nickel powder and nafion sol-gel dispersed renewable carbon ceramic electrode,” Electrochem. Commun., vol. 7, no. 9, pp. 879–887, Sep. 2005. [34] D. Ye et al., “A novel nonenzymatic sensor based on CuO nanoneedle/ grapheme/carbon nanofiber modified electrode for probing glucose in saliva,” Talanta, vol. 116, pp. 223–230, Nov. 2013.

Kishor Kumar Adhikari was born in 1983. He received the B.Eng. degree in electronics and communication engineering from Tribhuvan University, Institute of Engineering, Western Region Campus, Pokhara, Nepal, in 2010, and is currently working toward the Ph.D. degree at Kwangwoon University, Seoul, Korea. From May 2010 to August 2011, he was a Lecturer with the Institute of Engineering, Tribhuvan University. His research interest includes RF biosensors using GaAs-based integrated passive device (IPD) technology, noninvasive glucose biosensors, and the silver-nanoparticle-based printing technique for flexible and wearable biosensors.

Nam-Young Kim (M’10) received the Masters and Ph.D. degrees in electronic engineering from the State University of New York (SUNY) at Buffalo, Buffalo, NY, USA, in 1991 and 1994, respectively, the Masters and Ph.D. degree in theology from Midwest University, St. Louis, MO, USA, in 2004 and 2006, respectively. He was then a Research Scientist with the Center for Electronic and Electrooptic Materials (CEEM), SUNY at Buffalo. In 1994, he joined the Department of Electronic Engineering, Kwangwoon University, Seoul, Korea, as a Professor. His main research focus is RF integrated circuits (RFICs), RF nano-devices, and RF nano-bio devices. He is the founder of the RFIC Research Center and also serves as Director of the Fusion Technology Center, Kwangwoon University. He leads the RFIC and compound semiconductor related research group at Kwangwoon University. He has authored or coauthored 175 refereed journal papers, 28 books, and 343 refereed conference papers. He holds over 116 patents and semiconductor design patents.

Digital Object Identifier 10.1109/TMTT.2015.2512520

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail: [email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL 32611 USA E-mail: [email protected] or [email protected]fl.edu

Information for Authors The IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications_standards/publications/authors/auth_names_native_lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications_standards/publications/graphical_abstract.pdf Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2015.2512519