[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 64. No 5

Citation preview

MAY 2016

VOLUME 64

NUMBER 5

IETMAB

(ISSN 0018-9480)

MINI-SPECIAL ISSUE ON 2015 INTERNATIONAL WORKSHOP ON INTEGRATED NONLINEAR MICROWAVE AND MILLIMETRE-WAVE CIRCUITS (INMMiC 2015) Guest Editorial ...................................................................................... G. Crupi and P. Colantonio

1349

MINI-SPECIAL ISSUE PAPERS

Characterization of Parasitic Resistances of AlN/GaN/AlGaN HEMTs Through TCAD-Based Device Simulations and On-Wafer Measurements .......................................................................................................... ............. N. K. Subramani, A. K. Sahoo, J.-C. Nallatamby, R. Sommet, N. Rolland, F. Medjdoub, and R. Quéré Frequency Analysis and Multiline Implementation of Compensated Impedance Inverter for Wideband Doherty High-Power Amplifier Design ............................... A. Cidronali, S. Maddio, N. Giovannelli, and G. Collodi Low-Complexity Stochastic Optimization-Based Model Extraction for Digital Predistortion of RF Power Amplifiers ............................................................................................................... N. Kelly and A. Zhu Passive Intermodulation of Analog and Digital Signals on Transmission Lines With Distributed Nonlinearities: Modelling and Characterization ..................... D. S. Kozlov, A. P. Shitvov, A. G. Schuchinsky, and M. B. Steer

1351 1359 1373 1383

REGULAR PAPERS

EM Theory and Analysis Techniques FE-BI Formulations for Characteristic Modes ..................................................... F.-G. Hu and C.-F. Wang Quantitative Theory for Probe-Sample Interaction With Inhomogeneous Perturbation in Near-Field Scanning Microwave Microscopy ........................................................................................................... ....................... Z. Wei, Y.-T. Cui, E. Y. Ma, S. Johnston, Y. Yang, R. Chen, M. Kelly, Z.-X. Shen, and X. Chen

1396 1402

Devices and Modeling Avalanche Microwave Noise Sources in Commercial 90-nm CMOS Technology ........................................... ............................................................. F. Alimenti, G. Tasselli, C. Botteron, P.-A. Farine, and C. Enz Lumped-Element Equivalent-Circuit Modeling of Millimeter-Wave HEMT Parasitics Through Full-Wave Electromagnetic Analysis ................................ Y. Karisan, C. Caglayan, G. C. Trichopoulos, and K. Sertel An Accurate Empirical Model Based on Volterra Series for FET Power Detectors .... M. A. Andersson and J. Stake

1409 1419 1431

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Stability Analysis and Design Criteria of Paralleled-Device Power Amplifiers Under Large-Signal Regime ........... ........................................................................... L. Pantoli, G. Leuzzi, A. Santarelli, and F. Filicori Power-Scalable Wideband Linearization of Power Amplifiers ..................................... Y. Hu and S. Boumaiza

1442 1456

Passive Circuits Multilayered Coupled Interdigital Resonator Filters for General Chebyshev Filtering Functions ......................... ...................................................... A. Périgaud, S. Bila, S. Verdeyme, D. Baillargeat, and D. Kaminsky Analysis and Design of Balanced Dielectric Resonator Bandpass Filters .................................................... ......................................................................... J.-X. Chen, Y. Zhan, W. Qin, Z.-H. Bao, and Q. Xue Direct Synthesis and Design of a General Sequentially Coupled Wideband Bandpass Filter With N-Transmission Zeros ................................................................................................................ Z. Li and K.-L. Wu Novel Dual-Band Bandpass Filter and Reconfigurable Filters Using Lumped-Element Dual-Resonance Resonators .......................................................................................... J. Xu, W. Wu, and G. Wei 12-GHz Rotary Joint With Substrate Integrated Waveguide Feeder .......................... Y. J. Cheng and Z. J. Xuan Hybrid and Monolithic RF Integrated Circuits Highly Efficient Broadband Continuous Inverse Class-F Power Amplifier Design Using Modified Elliptic Low-Pass Filtering Matching Network ......................................................... M. Yang, J. Xia, Y. Guo, and A. Zhu A Broadband Almost-Digital RF Transmitter With an Efficient Power Amplifier ........................................... ................................................................ W. Jang, R. Cordeiro, A. Oliveira, and N. Borges Carvalho A 102–129-GHz 39-dB Gain 8.4-dB Noise Figure I/Q Receiver Frontend in 28-nm CMOS ............................. ............................................................................................. T. Heller, E. Cohen, and E. Socher Low-Loss Integrated Passive CMOS Electrical Balance Duplexers With Single-Ended LNA ............................. ...................................................................... M. Elkholy, M. Mikhemar, H. Darabi, and K. Entesari Millimeter-Wave Sources at 60 and 140 GHz by Magnetic-Plasmon-Waveguide-Based In-Phase Coupled Oscillator Network in 65-nm CMOS ........................................ Y. Shang, H. Yu, Y. Liang, X. Bi, and M. Annamalai A 60-GHz 19.8-mW Current-Reuse Active Phase Shifter With Tunable Current-Splitting Technique in 90-nm CMOS .................................... Y. Yu, K. Kang, C. Zhao, Q. Zheng, H. Liu, S. He, Y. Ban, L.-L. Sun, and W. Hong Instrumentation and Measurement Techniques A W-Band Micromachined On-Wafer Probe With Integrated Balun for Characterization of Differential Circuits ..... ........................................... C. Zhang, M. Bauwens, N. S. Barker, R. M. Weikle, and A. W. Lichtenberger Formulation for Propagation Factor Extractions in Thru-Reflect-Line/Line-Reflect-Line Calibrations and Related Applications ............................................................................................................ K.-F. Fuh Forming Nanosecond Microwave Pulses by Transformation of Resonant Cavity Mode .................................... ................................ V. A. Avgustinovich, S. N. Artemenko, V. S. Igumnov, S. A. Novikov, and Y. G. Yushkov

1465 1476 1484 1496 1508

1515 1526 1535 1544 1560 1572

1585 1594 1607

RF Systems and Applications SATCOM Retrodirective Array ...................................... N. B. Buchanan, V. F. Fusco, and M. van der Vorst Experimental Investigation of Adaptive Impedance Matching for a MIMO Terminal With CMOS-SOI Tuners ....... ........................................................... I. Vasilev, J. Lindstrand, V. Plicanic, H. Sjöland, and B. K. Lau A UHF Near-Field Link for Passive Sensing in Industrial Wireless Power Transfer Systems ............................. ..................................................................................................... R. Trevisan and A. Costanzo Compact Low-Frequency Metamaterial Design for Wireless Power Transfer Efficiency Enhancement .................. .................................................. E. S. Gámez Rodríguez, A. K. RamRakhyani, D. Schurig, and G. Lazzi A 2.4-GHz CMOS Class-E Synchronous Rectifier ............................................ S. Dehghani and T. Johnson A Proximity Coupling RF Sensor for Wrist Pulse Detection Based on Injection-Locked PLL ........................... ............. B.-H. Kim, Y. Hong, Y.-J. An, S.-G. Kim, H.-J. Lee, S.-W. Kim, S.-B. Hong, G.-H. Yun, and J.-G. Yook

1614 1622 1634 1644 1655 1667

LETTERS

Corrections to “Incident Electric Field Effect and Numerical Dosimetry for a Wireless Power Transfer System Using Magnetically Coupled Resonances” .............................................. S. W. Park, K. Wake, and S. Watanabe Comments on “Design of Highly Efficient Broadband Class-E Power Amplifier Using Synthesized Low-Pass Matching Networks” ................................................................... Q.-F. Cheng, H.-P. Fu, S.-K. Zhu, and C. Liu Authors’ Reply to “Comments on ‘Design of Highly Efficient Broadband Class-E Power Amplifier Using Synthesized Low-Pass Matching Networks’ ” .................................................................. K. Chen and D. Peroulis

1677 1678 1679

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $28.00 per year for electronic media only or $50.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE

K. W U, President A. A BUNJAILEH S. BARBIN

D. W ILLIAMS, President Elect

T. B RAZIL R. G UPTA

R. H ENDERSON W. H ONG

A. JACOB S. KOUL

J. L ASKAR G. LYONS

M. B OZZI, Secretary

M. M ADIHIAN S. PACHECO

Honorary Life Members T. I TOH R. S PARKS

G. P ONCHAK S. R AMAN

A. A BUNJAILEH , Treasurer

J. R AUTIO J. E. R AYAS -S ANCHEZ

S. R EISING M. S ALAZAR -PALMA

A. S ANADA D. S CHREURS

Distinguished Lecturers

P. S TAECKER

C. C AMPBELL R. H. C AVERLY G. C HATTOPADHYAY J.-C. C HIAO

T.-W. H UANG M. JARRAHI J. J. KOMIAK S. KOUL

A. M ORTAZAWI T. NAGATSUMA J. C. P EDRO L. P IERANTONI

M. S TEER

Past Presidents P. ROBLIN D. S CHREURS N. S HINOHARA

A. S TELZER J. W OOD H. Z IRATH

T. L EE (2015) R. W EIGEL (2014) M. G UPTA (2013)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. H ENZE Atlanta: K. NAISHADHAM Austria: A. S PRINGER Baltimore: I. A HMAD Bangalore/India: K. V INOY Beijing: Z. F ENG Belarus: S. M ALYSHEV Benelux: G. VANDENBOSCH Boston: C. G ALBRAITH Bombay/India: M. V. P ITKE Brasilia: J. B EZERRA/ M. V INICIUS A LVES N UNES Buenaventura: C. S EABURY Buffalo: M. R. G ILLETTE Bulgaria: K. A SPARUHOVA Canada, Atlantic: Z. C HEN Cedar Rapids/Central Iowa: C. G. X IE Central & South Italy: L. TARRICONE Central No. Carolina: Z. X IE Central Texas: J. P RUITT Centro-Norte Brasil: M. V. A LVES N UNES Chengdu: Z. N EI Chicago: D. E RRICOLO Cleveland: M. S CARDELLETTI Columbus: A. O’B RIEN Connecticut: C. B LAIR Croatia: D. B ONEFACIC Czech/Slovakia: J. VOVES Dallas: R. S ANTHAKUMAR Dayton: A. T ERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. N ICHOLS Egypt: E. H ASHEESH Finland: V. V IIKARI Florida West Coast: J. WANG Foothills: M. C HERUBIN France: D. BAJON Germany: G. B OECK Greece: R. M AKRI Gujarat/India: S. C HAKRABARTY Harbin: Q. W U Hawaii: K. M IYASHIRO Hong Kong: H. W ONG Houston: S. A. L ONG Houston, College Station: G. H. H UFF Hungary: L. NAGY Huntsville: H. S CHANTZ Hyderabad/India: S. R. N OOKALA India: D. B HATNAGER India/Kolkata: S. S ANKARALINGAM Indonesia: E. T. R AHARDJO Israel: S. AUSTER Japan: N. S UEMATSU Kansai: T. I SHIZAKI Kingston: S. P ODILCHAK Kitchener-Waterloo: R. R. M ANSOUR Lebanon: E. NASSAR Lithuania: B. L EVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. R ADISIC Los Angeles, Metro/San Fernando: T. C ISCO

Macau: C. C. P ONG Madras/India: S. S ALIVAHANAN Malaysia: M. K. M. S ALLEH Malaysia, Penang: B. L. L IM Melbourne: R. B OTSFORD Mexican Council: R. M. RODRIGUEZ -DAGNINO Milwaukee: S. G. J OSHI Monterrey/Mexico: R. M. RODRIGUEZ -DAGNINO Morocco: M. E SSAAIDI Montreal: K. W U Morocco: M. E SSAAIDI Nagoya: J. BAE Nanjing: W. H ONG Nanjing, Hangzhou: L. S UN New Hampshire: E. H. S CHENK New Jersey Coast: J. S INSKY New South Wales: Y. R ANGA New Zealand: A. W ILLIAMSON North Italy: G. O LIVERI North Jersey: A. K. P ODDAR Northern Australia: J. M AZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. R AWAT Norway: M. U BOSTAD Orange County: H. J. DE L OS S ANTOS Oregon: K. M AYS Orlando: K. K ARNATI Ottawa: Q. Z ENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

D OMINIQUE S CHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants

M ARCIA H ENSLEY USA E NAS K ANDIL Belgium

Sweden: A. RYDBERG Switzerland: M. M ATTES Syracuse: D. M C P HERSON Taegu: Y.-H. J EONG Tainan: H.-H. C HEN Taipei: C. M ENG Thailand: C. P HONGCHAROENPANICH Toronto: G. V. E LEFTHERIADES Tucson: H. X IN Tunisia: A. G HARSALLAH Turkey: B. S AKA Twin Cities: C. F ULLER UK/RI: A. R EZAZADEH Ukraine, East: N. K. S AKHNENKO Ukraine, Kiev: Y. P ROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. D UBOVOY Ukraine, West: I. I VASENKO United Arab Emirates: N. K. M ALLAT Uttar Pradesh/India: M. J. A KHTAR Vancouver: S. M C C LAIN Venezuela: J. B. P ENA Victoria: K. G HORBANI Virginia Mountain: T. A. W INSLOW Washington DC/Northern Virginia: T. I VANOV Western Saudi Arabia: A. S HAMIM Winnipeg: P. M OJABI Xian: X. S HI

Associate Editors

Editors-In-Chief

J ENSHAN L IN Univ. of Florida Gainesville, FL 32611-6130 USA

Pikes Peak: K. H U Poland: W. J. K RZYSZTOFIK Portugal: J. C ALDINHAS VAZ Princeton/Central Jersey: W. C URTICE Queensland: K. B IALKOWSKI Rio de Janeiro: J. R. B ERGMANN Rochester: M. S IDLEY Romania: T. P ETRESCU Russia, Moscow: V. A. K ALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. P ROKHOROV Russia, Saint Petersburg: S. P. ZUBKO Russia, Siberia: V. V. S UHOTIN Russia, Tomsk: D. Z YKOV San Diego: J. T WOMEY Santa Clara Valley/San Francisco: N. S HAMS Seattle: S. E BADI Seoul: C. S EO Serbia and Montenegro: B. M ILOVANOVI C´ Shanghai: J. M AO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. K AUFMANN South Brazil: J. R. B ERGMANN Southeastern Michigan: T. O ZDEMIR Southern Alberta: E. F EAR Spain: J. I. A LONSO Springfield: P. R. S IQUEIRA Sri Lanka: A. U. A. W. G UNAWARDENA St. Louis: D. BARBOUR

N UNO B ORGES C ARVALHO Universidade de Aveiro Aveiro, Portugal

X. C HEN Nat. Univ. Singapore Singapore

K AMRAN G HORBANI RMIT Univ. Melbourne, Vic., Australia

J ON M ARTENS Anritsu Morgan Hill, CA USA

O LGA B ORIC -L UBECKE Univ. of Hawaii at Manoa Manoa, HI USA

J.-C. C HIAO Univ. of Texas at Arlington Arlington, TX USA

ROBERTO G OMEZ -G ARCIA Univ. Alcala Madrid, Spain

F RANCISCO M ESA Universidad de Sevilla Seville, Spain

JAMES F. B UCKWALTER Univ. of California at Santa Barbara Santa Barbara, CA USA

A LESSANDRA C OSTANZO Univ. Bologna Bologna, Italy

J IASHENG H ONG Heriot-Watt Univ. Edinburgh, UK

L UCA P ERREGRINI Univ. of Pavia Pavia, Italy

S HENG -F UH R. C HANG Nat. Chung Cheng Univ. Chiayi County, Taiwan A. R IDDLE, Editor-in-Chief, IEEE Microwave Magazine N. S. BARKER, Editor-in-Chief, IEEE Microwave and Wireless Component Letters BARRY L. S HOOP, President K AREN BARTLESON, President-Elect PARVIZ FAMOURI, Secretary J ERRY L. H UDGINS, Treasurer H OWARD E. M ICHEL, Past President

G ILLES DAMBRINE Univ. of Lille Lille, France

T.-W. H UANG C ARLOS S AAVEDRA Nat. Taiwan Univ. Queen’s Univ. Taipei, Taiwan Kingston, ON, Canada J. S TAKE, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. M IYAMOTO, Web Master

IEEE Officers

S. K. R AMESH, Vice President, Educational Activities S HEILA S. H EMAMI, Vice President, Publication Services and Products WAI -C HOONG W ONG, Vice President, Member and Geographic Activities B RUCE P. K RAEMER, President, Standards Association J OSE M. F. M OURA, Vice President, Technical Activities P ETER A LAN E CKSTEIN, President, IEEE-USA W ILLIAM W. M OSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff D R . E. JAMES P RENDERGAST, T HOMAS S IEGERT, Business Administration J ULIE E VE C OZIN, Corporate Governance D ONNA H OURICAN, Corporate Strategy JAMIE M OESCH, Educational Activities E ILEEN M. L ACH, General Counsel & Chief Compliance Officer S HANNON J OHNSTON, Human Resources C HRIS B RANTLEY, IEEE-USA

Executive Director & Chief Operating Officer C HERIF A MIRAT, Information Technology PATRICK D. M AHONEY, Marketing C ECELIA JANKOWSKI, Member and Geographic Activities M ICHAEL F ORSTER, Publications KONSTANTINOS K ARACHALIOS, Standards Association M ARY WARD -C ALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Senior Director, Publishing Operations: F RAN Z APPULLA Director, Editorial Services: DAWN M ELLEY Director, Production Services: P ETER M. T UOHY Associate Director, Editorial Services: W ILLIAM A. C OLACCHIO Associate Director, Information Conversion and Editorial Support: K EVIN L ISANKIE Managing Editor: M ONA M ITTRA Senior Editor: C HRISTINA M. R EZES IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $167.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, c 2016 by The Institute of Electrical and Electronics Engineers, Inc. write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright  All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2016.2555539

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1349

Guest Editorial

W

ELCOME to this T RANSACTIONS’ Mini-Special Issue containing expanded papers from the 2015 IEEE International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits (INMMiC 2015), Taormina, Italy, October 1–2, 2015. INMMiC is a forum for promoting, discussing, and spreading ideas in the field of nonlinear microwave and millimeter-wave circuits and systems. INMMiC was originally launched in Duisburg, Germany, in 1990, and it achieved its present form in Rome, Italy, in 2004. Subsequently INMMiC has been held at different venues around Europe: 2006 in Aveiro, Portugal; 2008 in Málaga, Spain; 2010 in Göteborg, Sweden; 2011 in Vienna, Austria; 2012 in Dublin, Ireland; 2014 in Leuven, Belgium; and 2015 in Taormina, Italy. INMMiC 2017 will be held in Graz, Austria, April 20–21, 2017. With roughly 100 attendees, the Technical Program of INMMiC 2015 included two outstanding keynote presentations by Dr. Charles F. Campbell and Prof. Almudena Suarez; four invited talks given by world-leading distinguished speakers: Prof. Franco Giannini, Prof. Patrick Roblin, Prof. Hermann Schumacher, and Dr. Peter J. Zampardi; and 35 high-quality regular papers (out of 45 submissions from 16 countries) presented in oral (24) or poster (11) formats. The papers were published in the conference proceedings and are also available online via IEEE Xplore. For the second consecutive year, the European Nonlinear Vector Network Analyzer (NVNA) Users’ Forum was successfully held during the first day of the conference. This informal discussion group is devoted to sharing information and issues related to instrumentation utilized in vector large-signal network analysis of microwave circuits and systems that contain nonlinear elements. Authors of the presented papers at INMMiC 2015 were invited to submit significantly expanded versions of their work for publication in this T RANSACTIONS’ Mini-Special Issue. All submissions went through the same review process as regular submissions to this T RANSACTIONS. A total of 11 papers were submitted and, after rigorous reviews, four papers were accepted for publication. The published papers present

new technical contents, beyond the conference papers, derived from the interesting discussions generated at INMMiC 2015. As Guest Editors and Technical Program Committee (TPC) Chairs of INMMiC 2015, we would like to express our sincere gratitude to Prof. Dominique Schreurs and Prof. Jenshan Lin (Editors-in-Chief of this T RANSACTIONS) for providing INMMiC, for the second consecutive year, the opportunity to publish a Mini-Special Issue. Special gratitude also goes to all the reviewers for devoting their valuable time and expertise to review all paper submissions and to Prof. Nuno Borges Carvalho, Associate Editor of this T RANS ACTIONS , for his expert support in handling the review process. On behalf of the conference Steering Committee, we wish to congratulate Prof. Alina Caddemi and Prof. Giorgio Vannini (General Chairs of INMMiC 2015) for a very well organized and successful event that has been the first microwave electronics conference held in Sicily, all the authors of INMMiC 2015 for their high-quality contributions and very interesting presentations, and in particular, the authors of this Mini-Special Issue for submitting their expanded papers. Finally, on behalf of Dr. Michael Gadringer and Prof. Wolfgang Bösch (General Chairs of the INMMiC 2017), we look forward to seeing you in Graz, Austria!

G IOVANNI C RUPI, Guest Editor Department of Engineering University of Messina Messina, 98166, Italy PAOLO C OLANTONIO, Guest Editor Department of Engineering University of Rome “Tor Vergata” Rome, 00133, Italy

_______________________ Digital Object Identifier 10.1109/TMTT.2016.2549898 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Giovanni Crupi (S’04–M’12–SM’13) received the M.Sc. degree in electronic engineering (cum laude) and Ph.D. degree from the University of Messina, Messina, Italy, in 2003 and 2006, respectively. He is currently a tenure track Assistant Professor with the University of Messina, where he has taught/teaches microwave electronics, laboratory of wireless technologies, bioengineering, and optoelectronics. Since 2005, he has been a repeat Visiting Scientist with KU Leuven, Leuven, Belgium, and IMEC, Leuven, Belgium. He has authored or coauthored more than 100 publications in international journals and conferences. He coedited Microwave De-embedding: From Theory To Applications (Academic Press, 2013) and Microwave Wireless Communications: From Transistor to System Level (Academic Press, 2016). He serves as an Associate Editor for the International Journal of Numerical Modelling: Electronic Networks, Devices and Fields and as a Member of the Editorial Board of the International Journal of RF and Microwave ComputerAided Engineering. His main research interests include small- and large-signal modeling of advanced microwave devices. Dr. Crupi is the Chair of the IEEE Microwave Theory and Techniques Society (MTT-S) Fellowship program. He was a Guest Editor for a 2014 Mini-Special Issue on the “2014 IEEE International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits (INMMiC 2014)” of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES. He serves as a Technical Program Committee (TPC) Member of the IEEE INMMiC and TELSIKS conferences and was also TPC Chair of IEEE INMMiC in 2014 and 2015.

Paolo Colantonio was born in Rome, Italy on March 22, 1969. He received the Electronic Engineering degree and Ph.D. degree in microelectronics and telecommunications from the University of Rome “Tor Vergata,” Rome, Italy, in 1994 and 2000, respectively. In 1999, he became a Research Assistant with the University of Roma “Tor Vergata,” where, since 2002, he has been a Professor of microwave electronics. He has authored or coauthored more than 200 scientific papers. He authored High Efficiency RF and Microwave Solid State Power Amplifiers (Wiley, 2009), three book chapters, and four contributions to Wiley’s Encyclopaedia on Microwave Electronics. He is an Associate Editor for the International Jouranl of Microwave and Wireless Technologies. He holds one international patent. His main research activities are in the field of nonlinear microwave circuit design methodologies, nonlinear analysis techniques, and modeling of microwave active devices. Dr. Colantonio was the Technical Program Committee (TPC) Chair of EuMIC 2014 and INMMIC 2015. He serves as a TPC Member for EuMIC, MIKON, and INMMiC.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1351

Characterization of Parasitic Resistances of AlN/GaN/AlGaN HEMTs Through TCAD-Based Device Simulations and On-Wafer Measurements Nandha Kumar Subramani, Amit Kumar Sahoo, Jean-Christophe Nallatamby, Raphael Sommet, Nathalie Rolland, Farid Medjdoub, and Raymond Quéré, Fellow, IEEE Abstract— This paper shows a detailed characterization and estimation of the temperature-dependent on-resistance RON (T ) of AlN/GaN/AlGaN high electron-mobility transistors (HEMTs) through dc and low-frequency (LF) S-parameter measurements. The measurements are carried out at different chuck temperatures (Tchuck ) and the RON (T ) is calculated for different values of gate–source bias (VGS ) of HEMT grown on a silicon carbide (SiC) substrate. Furthermore, we also present the two-dimensional (2-D) physics-based numerical simulation results for the RON (T ) extraction of this device. Knowing RON (T ) values of the device for different source–drain lengths (L SD ), we propose a simplified method to extract the temperature-dependent series contact resistance Rse (T ) and channel sheet resistance Rsh (T ) of the GaN HEMT technology. Index Terms— Gallium-nitride (GaN), high electron-mobility transistor (HEMT), numerical simulation, on-resistance, sheet resistance.

I. I NTRODUCTION HE limitations of conventional semiconductors for RF and microwave power applications have paved the way for wide-bandgap group III–V materials such as GaN, SiC, etc. Among these, GaN material receives much attention due to its superior material properties [1], [2] such as high electron mobility, high saturation velocity, and high breakdown electric field. AlGaN/GaN high electron-mobility transistors (HEMTs) have proven to be an excellent candidate for high-power microwave and mm-wave applications [3]. Moreover, in recent years, the demand for high-frequency performance

T

Manuscript received October 28, 2015; revised January 6, 2016, March 26, 2016, and March 29, 2016; accepted March 29, 2016. Date of publication April 20, 2016; date of current version May 10, 2016. This work was supported by the Agence Nationale de la Recherche (ANR), France, under Contract ANR-13-ASTR-0022 (CROCUS project). This paper is an expanded version from the IEEE MTT-S International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits, Taormina, Italy, October 1–2, 2015. N. K. Subramani, J.-C. Nallatamby, R. Sommet, and R. Quéré are with the CNRS, XLIM, UMR 7252, University of Limoges, F-19100 Brive, France (e-mail: [email protected]; jean-christophe.nallatamby@ unilim.fr; [email protected]; [email protected]). A. K. Sahoo was with the CNRS, XLIM, UMR 7252, University of Limoges, F-19100 Brive, France. He is now with Altis Semiconductor, 91105 Corbeil-Essonnes, France (e-mail: [email protected]). N. Rolland and F. Medjdoub are with IEMN/CNRS, 59650 Villeneuve d’Ascq, France (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549528

of AlGaN/GaN HEMT devices are steadily increasing [4]. In order to extend the frequency of operation of these devices, it is necessary to implement ultra-short gate lengths and using of thin AlGaN barrier [5]. However, reducing the thickness of the AlGaN barrier below a certain limit (about 10 nm) results in a strong degradation of the two-dimensional electron gas density (2DEG) and, thus, poor device performance [6]. Although increasing the Al content in the AlGaN barrier layer can improve the 2DEG density, it also increases the lattice mismatch between the AlGaN and GaN layers, thereby degrading the quality of the heterostructure [7]. Furthermore, implementing the gate recess technique on these devices is difficult and this generally induces high gate leakage and reliability problems [6]. In recent years, AlN/GaN HEMT technology has become popular owing to its high theoretical 2DEG density of 6 × 1013 cm−2 [8]. This is due to the high spontaneous polarization value of AlN material [9] and its wide bandgap of 6.2 eV compared to GaN (3.42 eV). It has been demonstrated [10] that the replacement of the conventional AlGaN barrier layer by AlN/GaN layers offers much higher 2DEG density, allowing the achievement of high drain current (2 A/mm), even using ultra-thin AlN barrier layer thickness well below 10 nm. Furthermore, the AlN/GaN HEMT devices achieve high breakdown voltages and lower on-resistance [11]. This could be a suitable alternative to replace the existing conventional AlGaN/GaN HEMT technology for high-frequency applications. Obtaining a very low on-resistance (RON ) immediately after switching from a high-voltage OFF state to a low-voltage ON state is a critical requirement in power electronics applications [12]. The higher electron mobility in the 2-D quantum well presents a low RON value that enhances the RF poweradded efficiency performance [13]. In RF power GaN HEMT devices, dynamic switching issues occur due to current collapse, gate lag, and drain lag effects, which deteriorates the RF power performance [14]. In power switching applications, this issue is visible, where the RON remains high for a period of time after an OFF–ON switching event [15]. In addition, the temperature has a significant impact on RON . The reduction of the 2DEG mobility with the increase in temperature contributes to the increase in RON [16]. Moreover, a power switching transistor usually operates at a relatively high temperature.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Therefore, the analysis of the temperature-dependent RON is an active research topic to explore. There are numerous papers reported in the literature [16]–[21] for the temperaturedependent characterization of AlGaN/GaN HEMT technology. However, as this AlN/GaN/AlGaN HEMT technology is relatively new, there has been little research on this device technology [22], [23]. The aim of this paper, which extends our previous conference communication [24], is to contribute to the temperature-dependent RON extraction of AlN/GaN/AlGaN HEMT technology using on-wafer measurements and technology computer-aided design (TCAD)-based device simulations. Additionally by applying a simple technique, to extract the temperature- and bias-dependent channel sheet resistance (Rsh ) and parasitic series contact resistance (Rse ) of this technology. The proposed method could be applied to any device technology in order to extract the channel sheet resistance and parasitic contact resistances. In this paper, we attempt to study only the static RON of the device. The drain bias voltage used for the measurements is very low, which implies that there is no visible trapping effect. However, under high biasing and high-temperature operating conditions, the presence of electronic traps in the device structure gets activated and contributes to dynamic RON . Therefore it is useful to analyze the impact of dynamic RON on device performance due to traps [12], [25], [26]. Moreover, the accurate modeling of trapping effects can significantly improve the performance of the large-signal model (LSM) and this is particularly important for designing high-power RF amplifiers [27]–[29]. This paper is organized as follows. Section II briefly describes the HEMT devices-undertest (DUTs) and it also presents the detailed experimental results obtained under different conditions. In Section III, we describe the physics-based TCAD numerical device simulation approach of the AlN/GaN/AlGaN HEMT device and discuss the obtained simulation results. Section IV presents the temperature-dependent RON extraction using the dc simulations, dc, and low-frequency (LF) measurements. The channel sheet resistance extraction methodology and the results obtained are discussed in Sections V and VI, respectively. Finally, Section VII concludes this paper. II. E XPERIMENTAL D ETAILS The AlN/GaN/AlGaN heterostructure field-effect transistors (HFETs) were grown by metal–organic chemical vapor deposition (MOCVD) on a 380-μm SiC substrate. The epilayers consist of a 1.5-μm-thick Al0.08 Ga0.92 N buffer layer, 150-nm-thick GaN channel layer, followed by a 6-nm ultrathin AlN barrier layer and 3-nm in-situ grown Si3 N4 cap layer. Ni/Au Schottky gate contact and Ti/Al/Mo/Au metal stack for ohmic source and drain contacts were deposited directly on top of the AlN barrier layer by etching the in-situ Si3 N4 layer. The in-situ Si3 N4 layer allows for handling strain relaxation resulting from the high tensile stress induced in the device structure because of the growth of the AlN barrier layer on top of the GaN channel layer and thereby giving rise to a high surface quality [6]. The devices with different gate widths, WG of 25, 50, and 100 μm, each with two fingers (n = 2), are fabricated on

Fig. 1. Measured dc–IV output characteristics (I D − VDS ): (a) for different VGS bias between 1.0 and −1.0 V at Tchuck = 25 ◦ C and (b) for different Tchuck between 0 ◦ C and 150 ◦ C at VGS = 1.0 V. Device dimensions: WG = 2 × 100 μm, L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm.

the same wafer. The gate length L G of the devices is 0.2 μm, source–gate separation (L SG ) is fixed to 0.4 μm, and different gate–drain distances (L GD ) of 1–3 μm, respectively, are used for the fabrication of devices. A. DC Measurements On-wafer dc–IV measurements are performed for different geometries of AlN/GaN AlGaN HEMTs grown on an SiC substrate. The measurements have been carried out at different chuck temperatures (Tchuck ) between 0 ◦ C and 150 ◦ C using an Agilent B1500A semiconductor device parameter analyzer (SDA). Fig. 1(a) and (b) shows the measured dc–IV output characteristics of the 2 × 100 μm device with L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm. The output characteristics are shown for different VGS between 1.0 and −1.0 V for a chuck temperature (Tchuck ) of 25 ◦ C and for various Tchuck between 0 ◦ C and 150 ◦ C at VGS = 1.0 V, respectively. The derivatives are calculated from the measured I D − VDS curve at the point where the gate–source voltage, VGS , equals the drain–source voltage, VDS . The corresponding RON [= 1/(d I D /d VDS )] is extracted within the ohmic region of the measured IV characteristics. B. LF Measurements The LF S-parameters measurements in the frequency range of 100 Hz to 100 MHz have been carried out using an Agilent E5061B network analyzer. The schematic and photograph of the DUT and the LF measurement setup is shown in Fig. 2(a) and (b). The S-parameter measurements have been performed at a cold-FET condition [30] (VGS > Vpinch-off and VDS = 0 V). The S-parameters measured are converted into the equivalent Z -parameters and after the addition of parasitic resistances and inductances, the Z 22 parameters can be expressed as [30], [31]   1 1 − Z 22 = Rs + Rd + Rch + j ωL s + ωL d − ωCd ωCs (1) where Rs , Rd , and Rch represent the source, drain, and channel resistances, L s and L d are the parasitic source and

SUBRAMANI et al.: CHARACTERIZATION OF PARASITIC RESISTANCES OF AlN/GaN/AlGaN HEMTs

1353

Fig. 3. Real part of Z 22 parameter in reverse cold-FET condition: (a) for different VGS bias between 1.0 and −1.0 V at Tchuck = 25 ◦ C and (b) for different Tchuck between 0 ◦ C and 150 ◦ C at VGS = 1.0 V. Device dimensions: WG = 2 × 25 μm, L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm.

Fig. 4.

Fig. 2. (a) Schematic of the LF measurement setup in two-port configuration for S-parameters characterization. (b) Photograph of the DUT and the LF experimental setup.

drain inductances, and Cs and Cd represent the parasitic source and drain capacitances. Therefore, the on-resistance (RON ) can be determined from the real part of the measured Z 22 parameter and it is given by Re (Z 22 ) = RON = Rs + Rd + Rch .

(2)

Fig. 3(a) and (b) shows the measured real part of Z 22 for different values of VGS between 1.0 and −1.0 V at Tchuck = 25 ◦ C and for different Tchuck between 0 ◦ C and 150 ◦ C at VGS = 1.0 V, respectively. The corresponding RON is determined as a function of VGS and Tchuck using the real part of the measured Z 22 parameter. III. N UMERICAL S IMULATIONS 2-D physics-based numerical simulations have been carried out using the commercially available TCAD Sentaurus software (formerly ISE-DESSIS) from Synopsys Inc. Poisson’s equation, and continuity equations for both electrons and holes, and the drift-diffusion (DD) model transport equations are solved self-consistently. The schematic of the structure used for the simulation is shown in Fig. 4. The nominal thickness for all layers detailed in the experimental

Cross-sectional structure of simulated AlN/GaN/AlGaN HEMT.

details were used, except for the case of the SiC substrate. The SiC substrate thickness was assumed to be 5 μm, in order to speed up the simulation time. Moreover, it was determined in the simulation that any further increase in thickness of the SiC substrate after 5 μm will have no significant effect on the simulated dc characteristics. The electric field induced due to the piezoelectric and spontaneous polarization contributes to the formation of 2DEG density at the AlN/GaN heterojunction interface even without the need for doping [9]. In the absence of externally applied electric fields, the polarization charges formed are, of course, equal in magnitude and opposite in sign to maintain the overall charge neutrality of the device [32]. The theoretical method used for the calculation of polarization charges was described in [9]. However, in the case of an AlN/GaN HEMT heterostructure, the theoretically calculated polarization charges are slightly higher than the experimentally measured sheet carrier concentration. A positive fixed sheet charge (+σpol ) having a density 2.0 × 1013 cm−2 was defined at the AlN/GaN interface, which is in agreement with the experimental value [11]. The equivalent negative charge (−σpol ) having the same density was placed at the AlN/SiN interface. According to surface donor theory [33], the surface donors are responsible for the 2DEG channel formation in GaN HEMT devices. Hence, donor-like traps (σT D ) with a density of 3.5 × 1013 cm−2 having an energy level of 0.2 eV above the mid bandgap

1354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I S UMMARY OF THE M ATERIAL PARAMETERS U SED IN THE S IMULATIONS

were introduced at the AlN/SiN interface. Electron (σn ) and hole (σ p ) capture cross sections were assumed to be σn = σ p = 1.0 × 10−15 cm−2 . For the simulation, constant mobility and field-dependent mobility models are included for electrons and holes and a Shockley–Read–Hall recombination model is used for carrier generation and recombination. The summary of the material parameters used in the simulations are listed in Table I. A. Calibration of Physical Simulator Physics-based numerical simulations offer an efficient way for analyzing the experimental characteristics. However, in order to make the 2-D simulations physically more meaningful, it is essential to calibrate the physical models used in the simulation to reproduce the experimentally measured characteristics. Moreover, the calibration process is not relatively straightforward and often it is an iterative process. The parameters of interest for the calibration are the polarization charges (±σpol ) at the heterointerface, surface charge, mobility, and saturation velocity of GaN material, Schottky gate work function and contact resistance of the ohmic source and drain contacts. The initial calibration is done by adjusting the gate work function (4.25 eV used) in order to fit the pinchoff voltage in simulation to measurement. By varying the low field mobility and saturation velocity, the linear and saturation region drain current are then calibrated. Based on our measurements, the temperature-dependent ohmic source and drain contact resistances RC (T ) are modeled using the following equation, which is used in the simulation: RC (T ) = RC (Tref ) + λ (T − Tref )

(3)

where RC (Tref ) is the contact resistance (1.080 -mm) at the reference temperature of 0 ◦ C and λ is the temperaturedependence fitting parameter, whose value is 0.0026. Temperature-dependent carrier mobility values were calibrated using a generic model  −(α,γ ) T (4) μn, p (T ) = μlow (T0 ) T0 where μlow represents the low field mobility, T0 is 298 K, and α and γ are the temperature-dependence fitting parameters for electrons (1.7) and holes (2.1), respectively, of the GaN material.

Fig. 5. Comparison of the simulated (solid lines) and measured (symbols) dc–IV output characteristics: (a) for different VGS biases between 1.0 and −1.0 V at Tchuck = 25 ◦ C and (b) for different Tchuck between 0 ◦ C and 150 ◦ C at VGS = 1.0 V. Device dimensions: WG = 2 × 100 μm, L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm.

Under high electric fields, the carrier velocity is no longer proportional to the electric field strength, but normally saturates to a finite value. In order to account for the velocity saturation in the simulation, the field-dependent mobility model proposed by Caughey and Thomas [34] is used, which is expressed by μlow (5) μn, p =    1/β μlow E β 1+ Vsat where Vsat represents the saturation velocity and β is the fitting parameter (i.e., 2.6 for electrons in GaN) that controls the smooth transition between the linear and saturation region of the dependence of velocity on the electric field. B. Results and Discussions The simulation model is calibrated for the 2×100 μm device with L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm. Fig. 5(a) shows the simulated and experimental dc output characteristics for VGS values varying from +1.0 to −1.0 V in 0.5-V steps and at Tchuck = 25 ◦ C. Similarly, Fig. 5(b) shows the comparison of the simulated and experimental characteristics at VGS = 1.0 V and for varying Tchuck between 0 ◦ C and 150 ◦ C. The good agreement between the simulation results and the experimental data confirms the validity of the physical model calibration. Therefore, RON is extracted using the simulation results and then compared with the experimental data in Section IV. IV. T EMPERATURE -D EPENDENT RON E XTRACTION The RON extracted as a function of temperature from the dc–IV and low-frequency S-parameters measurements for the device dimensions of WG = 2 × 100 μm, L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm at VGS = 1.0 V is shown in Fig. 6(a). Both measurements are performed at very low VDS , implying that the measured characteristics are not affected by the traps activated due to the applied drain voltage, which has a significant impact on RON . Therefore, the good agreement obtained between the two methods,

SUBRAMANI et al.: CHARACTERIZATION OF PARASITIC RESISTANCES OF AlN/GaN/AlGaN HEMTs

1355

Fig. 6. (a) RON as a function of Tchuck : extracted from dc and low-frequency measurements for different geometries of AlN/GaN/AlGaN HEMTs on SiC. (b) Comparison of RON values extracted using TCAD simulations (solid line with open symbols) and measurement results (symbols) at VGS = 1.0 V and Tchuck between 0 ◦ C and 150 ◦ C. Device dimensions: WG = 2 × 100 μm, L G = 0.2 μm, L SG = 0.4 μm, and L GD = 2 μm.

Fig. 8. Flowchart for extracting channel sheet resistance (Rsh ) and series contact resistance (Rse ).

Fig. 7. Structure of the transistor showing the series contact resistance Rse (= Rs + Rd ) and channel resistance Rch [35].

as shown in Fig. 6(a), demonstrates that the values obtained are free from the influence of traps and constitute the reference data for comparison with TCAD simulations. However, under large-signal working conditions it will be necessary to take into account the increase of RON values due to traps. In order to verify the RON extraction procedure, the measurements are repeated for various device widths of 2 × 25 and 2 × 50 μm, keeping all other device dimensions identical. The results obtained demonstrate that the extraction procedure remains valid for various device geometries [see Fig. 6(a)]. It is a well-known fact that RON and the device width are inversely related. It can be seen from Fig. 6(a), when the device width is increased by a factor of 2, the corresponding on-resistance of the device is scaled almost by the same factor. Fig. 6(b) shows the comparison of RON extracted from the dc simulation results and the measurement data for the 2 × 100 μm device. A good agreement is observed between the measurement and TCAD simulation for VGS of +1.0 V in the temperature range from 0 ◦ C to 150 ◦ C. V. C HANNEL S HEET R ESISTANCE E XTRACTION S TRATEGY The temperature-dependent on-resistance RON (T ) can be described as the sum of the parasitic series contact resistance Rse (T ) and the channel resistance Rch (T ), as shown in Fig. 7 [35]. Here, Rse (T ) is the sum of the source (Rs ) and drain (Rd ) contact resistances. As shown in Fig. 7, the channel between source and drain can be subdivided into three regions: the source–gate, underneath gate, and gate–drain region. Therefore, the total channel resistance can be expressed

as a series combination of Rsh L SG /WG , Rsh L G /WG , and Rsh L GD /WG , where Rsh is the sheet resistance or square resistance (/) of the channel. The resistance term (Rsh L G /WG ) under the gate is a function of VGS due to the depletion of Schottky gate contact. For a constant gate bias, RON (T ) can be expressed as follows:   L SG + L G + L GD Rsh (T ) (6) RON (T ) = Rse (T ) + nWG d Rsh (T ) (7) Rsh (T ) = Rsh (Tref ) + (T − Tref ) dT d Rse (T ) Rse (T ) = Rse (Tref ) + (8) (T − Tref ) dT where L SG , L G , and L GD are the source–gate, gate, and gate–drain length, respectively. Following the linear variation of RON with temperature (Fig. 6), Rsh (T ) and Rse (T ) can be expressed using a linear approximation, as given in (7) and (8). Here, Rsh (Tref ) and Rse (Tref ) are the reference sheet resistance and contact resistance at a reference temperature Tref , respectively. The source–drain length is given by L SD = (L SG + L G + L GD ). Differentiating (6) with respect to T ,   d Rse (T ) L SD d Rsh (T ) d RON (T ) = + . (9) dT dT nWG dT The procedure for extracting sheet resistance and the series contact resistance using (6)–(9) is illustrated in Fig. 8. Referring to (7) and (8), it is clear that Rsh (Tref ) and Rse (Tref ) can be extracted at the reference temperature and for one particular VGS using (6). The plot of RON (Tref ) against different L SD /nWG (Fig. 8) yields a straight line, whose slope and intercept gives the value of Rsh (Tref ) and Rse (Tref ). Similarly plotting RON as a function of temperature (Fig. 8) yields a straight line, whose slope gives d RON /d T . The d RON /d T

1356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 9. For Tref = 0 ◦ C and measured VGS = 1 V: (a) extraction of Rsh and Rse and (b) extraction of d Rsh /d T and d Rse /d T . Device dimensions: WG = 2 × 50 μm, L G = 0.2 μm, and L GD = 1, 2, and 3.0 μm. TABLE II R ESISTANCES AND D ERIVATIVES AT D IFFERENT VGS U SING M EASUREMENTS

extraction is repeated for different L SD /nWG and by plotting d RON /d T versus L SD /nWG yields a straight line (Fig. 8), whose slope is d Rsh /d T and the intercept is d Rse /d T . Hence, all the unknown parameters in (7) and (8) are now determined and by using these equations, Rsh (T ) and Rse (T ) can be calculated at any temperature within the measurement range of 0 ◦ C and 150 ◦ C. The aforementioned procedure can be repeated for different VGS and, therefore, the sheet resistance and the parasitic contact series resistance can be extracted as function of temperature and gate–source bias voltage.

Fig. 10. (a) Extracted channel sheet resistance Rsh and (b) series contact resistance Rse as function of VGS and Tchuck using LF measurements. Device dimensions: WG = 2 × 50 μm, L G = 0.2 μm, and L GD = 1, 2, and 3.0 μm.

Fig. 11. (a) Extracted channel sheet resistance Rsh and (b) series contact resistance Rse as function of VGS and for different temperatures using TCAD dc simulations. Device dimensions: WG = 2 × 50 μm, L G = 0.2 μm, and L GD = 1, 2, and 3.0 μm. TABLE III R ESISTANCES AND D ERIVATIVES AT D IFFERENT VGS U SING S IMULATIONS

VI. R ESULTS AND D ISCUSSIONS Following the above-described methodology, the extraction of Rsh (T ) and Rse (T ) is performed using RON (T ) data obtained from low-frequency measurements of the devices with WG of 2 × 50 μm, L G of 0.2 μm, L SG of 0.4 μm, and for different L GD of 1.0, 2.0, and 3.0 μm, respectively. The extracted Rsh , Rse , d Rsh /d T , and d Rse /d T at a Tref of 0 ◦ C are shown in Fig. 9 and the corresponding values are given as a function of VGS in Table II. Fig. 10(a) and (b) shows the extracted Rsh (/) and Rse (-mm) as function of VGS for different Tchuck values between 0 ◦ C and 150 ◦ C. It is worth noting that the extracted values of Rsh at 25 ◦ C and for different VGS are in reasonable agreement with the already reported value of 355 / [11] for this device technology. A significant variation of resistance with temperature is found. On the other hand, the devices under characterization exhibit a higher contact resistance. In order to verify the Rsh and Rse extraction methodology in physical simulations, devices with width of 2 × 50 μm, L G of 0.2 μm, L SG of 0.4 μm, and different L GD of

1.0, 2.0, and 3.0 μm, respectively, are used. The calibrated simulation model parameters of the 2 × 100 μm device (Section III) are used here for the simulations. From the simulated dc–IV characteristics, the RON values are extracted at different gate biases and temperatures. Using the proposed method (Fig. 8), the channel sheet resistance and series contact resistance as function of bias and temperature are then determined. Fig. 11(a) and (b) shows the extracted Rsh (/) and Rse (-mm) using the TCAD simulation results. The corresponding extracted resistances at Tref of 0 ◦ C and for different gate biases are given in Table III. The variations in the extracted Rsh (/) and Rse (-mm) between measurements and TCAD simulations (Figs. 10 and 11) are only due to the calibration error. In order to achieve a better

SUBRAMANI et al.: CHARACTERIZATION OF PARASITIC RESISTANCES OF AlN/GaN/AlGaN HEMTs

match, the simulation model needs to be properly calibrated for the 2 × 50 μm devices for different L GD of 1.0, 2.0, and 3.0 μm, respectively. VII. C ONCLUSION In this paper, we first studied the temperature dependency of on-resistance RON of AlN/GaN/AlGaN HEMTs grown on a SiC substrate for various device geometries through dc and low-frequency measurements. We have also presented the 2-D numerical simulation results for the on-resistance extraction of 2 × 100 μm devices. A good agreement has been achieved between the simulated and extracted RON for the temperature range from 0 ◦ C to 150 ◦ C. We then proposed a simplified methodology to extract the temperature dependence of series contact resistance and channel sheet resistance of GaN-based HEMTs. The proposed methodology has been successfully applied on 2 × 50 μm AlN/GaN/AlGaN HEMT devices for various gate–source bias voltages, and the corresponding Rsh (T ) and Rse (T ) are extracted. 2-D TCAD device simulations have been also carried out for the same device dimensions and the temperature dependency of channel and contact resistances have been extracted. R EFERENCES [1] U. K. Mishra, L. Shen, T. E. Kazior, and Y.-F. Wu, “GaN-based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, Feb. 2008. [2] A. K. Sahoo et al., “Small signal modeling of high electron mobility transistors on silicon and silicon carbide substrate with consideration of substrate loss mechanism,” Solid State Electron., vol. 115, part A, pp. 12–16, Jan. 2016. [3] J. S. Moon et al., “Gate-recessed AlGaN-GaN HEMTs for highperformance millimeter-wave applications,” IEEE Electron Device Lett., vol. 26, no. 6, pp. 348–350, Jun. 2005. [4] O. I. Saadat, J. W. Chung, E. L. Piner, and T. Palacios, “Gate-first AlGaN/GaN HEMT technology for high-frequency applications,” IEEE Electron Device Lett., vol. 30, no. 12, pp. 1254–1256, Dec. 2009. [5] F. A. Marino, N. Faralli, T. Palacios, D. K. Ferry, S. M. Goodnick, and M. Saraniti, “Effects of threading dislocations on AlGaN/GaN highelectron mobility transistors,” IEEE Trans. Electron Devices, vol. 57, no. 1, pp. 353–360, Jan. 2010. [6] F. Medjdoub, M. Zegaoui, N. Rolland, and P. A. Rolland, “Demonstration of low leakage current and high polarization in ultrathin AlN/GaN high electron mobility transistors grown on silicon substrate,” Appl. Phys. Lett., vol. 98, no. 22, pp. 223502-1–223502-3, 2011. [7] S. Arulkumaran, T. Egawa, H. Ishikawa, and T. Jimbo, “Characterization of different-Al-content AlxGa1–xN/GaN heterostructures and high-electron-mobility transistors on sapphire,” J. Vac. Sci. Technol. B, Microelectron. Process. Phenom., vol. 21, no. 2, pp. 888–894, Mar. 2003. [8] F. Medjdoub, N. Waldhoff, M. Zegaoui, B. Grimbert, N. Rolland, and P. A. Rolland, “Low-noise microwave performance of AlN/GaN HEMTs grown on silicon substrate,” IEEE Electron Device Lett., vol. 32, no. 9, pp. 1230–1232, Sep. 2011. [9] O. Ambacher et al., “Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures,” J. Appl. Phys., vol. 85, no. 6, pp. 3222–3233, 1999. [10] T. Zimmermann et al., “AlN/GaN insulated-gate HEMTs with 2.3 A/mm output current and 480 mS/mm transconductance,” IEEE Electron Device Lett., vol. 29, no. 7, pp. 661–664, Jul. 2008. [11] F. Medjdoub et al., “Low on-resistance high-breakdown normally off AlN/GaN/AlGaN DHFET on Si substrate,” IEEE Electron Device Lett., vol. 31, no. 2, pp. 111–113, Feb. 2010. [12] D. Jin and J. A. del Alamo, “Methodology for the study of dynamic on-resistance in high-voltage GaN field-effect transistors,” IEEE Trans. Electron Devices, vol. 60, no. 10, pp. 3190–3196, Oct. 2013. [13] A. Jarndal, “AlGaN/GaN HEMTs on SiC and Si substrates: A review from the small-signal-modeling’s perspective,” Int. J. RF Microw. Comput.-Aided Eng., vol. 24, no. 3, pp. 389–400, May 2014.

1357

[14] S. C. Binari, P. B. Klein, and T. E. Kazior, “Trapping effects in GaN and SiC microwave FETs,” Proc. IEEE, vol. 90, no. 6, pp. 1048–1058, Jun. 2002. [15] W. Saito et al., “Suppression of dynamic on-resistance increase and gate charge measurements in high-voltage GaN-HEMTs with optimized field-plate structure,” IEEE Trans. Electron Devices, vol. 54, no. 8, pp. 1825–1830, Aug. 2007. [16] A. Taube et al., “Temperature-dependent electrical characterization of high-voltage AlGaN/GaN-on-Si HEMTs with Schottky and ohmic drain contacts,” Solid State Electron., vol. 111, pp. 12–17, Sep. 2015. [17] A. M. Darwish, B. D. Huebschman, E. Viveiros, and H. A. Hung, “Dependence of GaN HEMT millimeter-wave performance on temperature,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3205–3211, Dec. 2009. [18] G. Crupi et al., “Investigation on the thermal behavior of microwave GaN HEMTs,” Solid State Electron., vol. 64, no. 1, pp. 28–33, Oct. 2011. [19] S. Nuttinck, E. Gebara, J. Laskar, and H. M. Harris, “Study of selfheating effects, temperature-dependent modeling, and pulsed load-pull measurements on GaN HEMTs,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2413–2420, Dec. 2001. [20] S. Vitanov, V. Palankovski, S. Maroldt, and R. Quay, “High-temperature modeling of AlGaN/GaN HEMTs,” Solid State Electron., vol. 54, no. 10, pp. 1105–1112, Oct. 2010. [21] R. Menozzi et al., “Temperature-dependent characterization of AlGaN/GaN HEMTs: Thermal and source/drain resistances,” IEEE Trans. Device Mater. Reliab., vol. 8, no. 2, pp. 255–264, Jun. 2008. [22] A. M. Dabiran et al., “Very high channel conductivity in low-defect AlN/GaN high electron mobility transistor structures,” Appl. Phys. Lett., vol. 93, no. 8, pp. 082111-1–082111-3, 2008. [23] J. Xue, J. Zhang, and Y. Hao, “Ultrathin barrier AlN/GaN high electron mobility transistors grown at a dramatically reduced growth temperature by pulsed metal organic chemical vapor deposition,” Appl. Phys. Lett., vol. 107, no. 4, pp. 043503-1–043503-4, Jul. 2015. [24] A. K. Sahoo, N. K. Subramani, J. C. Nallatamby, N. Rolland, R. Quere, and F. Medjdoub, “Temperature dependent contact and channel sheet resistance extraction of GaN HEMT,” in Integrated Nonlinear Microw. Millim.-Wave Circuits Workshop, 2015, pp. 1–3. [25] M. Meneghini et al., “Temperature-dependent dynamic in GaN-Based MIS-HEMTs: Role of surface traps and buffer leakage,” IEEE Trans. Electron Devices, vol. 62, no. 3, pp. 782–787, Mar. 2015. [26] M. Rzin, N. Labat, N. Malbert, A. Curutchet, L. Brunel, and B. Lambert, “Investigation of the dynamic on-state resistance of AlGaN/GaN HEMTs,” Microelectron. Reliab., vol. 55, no. 9-10, pp. 1672–1676, Aug. 2015. [27] O. Jardel et al., “An electrothermal model for AlGaN/GaN power HEMTs including trapping effects to improve large-signal simulation results on high VSWR,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2660–2669, Dec. 2007. [28] A. Raffo, G. Bosi, V. Vadala, and G. Vannini, “Behavioral modeling of GaN FETs: A load-line approach,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 73–82, Jan. 2014. [29] J. Xu, J. Horn, M. Iwamoto, and D. E. Root, “Large-signal FET model with multiple time scale dynamics from nonlinear vector network analyzer data,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 417–420. [30] G. Chen, V. Kumar, R. S. Schwindt, and I. Adesida, “A low gate bias model extraction technique for AlGaN/GaN HEMTs,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 7, pp. 2949–2953, Jul. 2006. [31] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [32] W. D. Hu, X. S. Chen, Z. J. Quan, C. S. Xia, W. Lu, and P. D. Ye, “Selfheating simulation of GaN-based metal–oxide–semiconductor highelectron-mobility transistors including hot electron and quantum effects,” J. Appl. Phys., vol. 100, no. 7, pp. 074501-1–074501-9, Oct. 2006. [33] J. P. Ibbetson, P. T. Fini, K. D. Ness, S. P. DenBaars, J. S. Speck, and U. K. Mishra, “Polarization effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field effect transistors,” Appl. Phys. Lett., vol. 77, no. 2, pp. 250–252, 2000. [34] D. M. Caughey and R. E. Thomas, “Carrier mobilities in silicon empirically related to doping and field,” Proc. IEEE, vol. 55, no. 12, pp. 2192–2193, Dec. 1967. [35] A. Ansari and M. Rais-Zadeh, “A thickness-mode AlGaN/GaN resonant body high electron mobility transistor,” IEEE Trans. Electron Devices, vol. 61, no. 4, pp. 1006–1013, Apr. 2014.

1358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Nandha Kumar Subramani received the Master of Philosophy degree in electronic and electrical engineering from The University of Sheffield, Sheffield, U.K., in 2015, the Master of Engineering degree in very large scale integration (VLSI) design from the College of Engineering Guindy, Anna University, Chennai, India, in 2012, and is currently working toward the Ph.D. degree in the field of RF and microwave characterization at the University of Limoges, Limoges, France. He is currently with the XLIM Laboratory, University of Limoges. His current research interests include microwave characterization and modeling, physics-based device simulation, and analytical modeling of GaN HEMTs. Amit Kumar Sahoo received the Ph.D. degree in microelectronics from the University of Bordeaux, Bordeaux, France, in 2012. From July 2012 to March 2014, he was a Post-Doctoral Researcher with the IMS Laboratory, University of Bordeaux. From July 2014 to June 2015, he was with the XLIM Laboratory, University of Limoges, Brive, France, as a Post-Doctoral Researcher. He is currently a Device Engineer with Altis Semiconductor, Corbeil-Essonnes, France.

Jean-Christophe Nallatamby received the Ph.D. degree in electronics from the University of Limoges, Brive, France, in 1992. He is currently a Professor with the University of Limoges. His current research is focused on the characterization and modeling of high-speed semiconductor devices with a special emphasis on TCAD physics-based device simulation.

Raphael Sommet received the French aggregation degree in applied physics and Ph.D. degree from the University of Limoges, Brive, France, in 1991 and 1997, respectively. Since 1997, he has been a Permanent Researcher with the CNRS (French National Research Center), as part of XLIM’s C 2 S 2 Nonlinear Microwave Circuits and Subsystems Team. His research interests concern physics-based device simulation, 3-D thermal finite-element simulation, thermal measurements and modeling, model-order reduction, microwave circuit simulation, and generally the coupling of all physics-based simulation with circuit simulation.

Nathalie Rolland received the Ph.D. degree in electronics and HDR degree from the University of Lille 1, Lille, France, in 1989 and 2002, respectively. She is currently a Professor with the Polytech’lille, University of Lille. She is also the Head of the Circuit System and Microwave Application Group, IEMN, Villeneuve d’Ascq, France. She possesses great experience in circuits and subsystems design, assembly, and characterization.

Farid Medjdoub received the Ph.D. degree in electrical engineering from the University of Lille, Lille, France, in 2004. He is currently a CNRS Senior Scientist with IEMN, Villeneuve d’Ascq, France. He is also part of the French observatory of wide-bandgap devices. He has authored or coauthored more than 100 papers. He holds several patents. His research interests are the design, fabrication, and characterization of innovative GaN-based devices. Dr. Medjdoub serves as a reviewer for IEEE journals. He is a Technical Program Committee (TPC) Member for several conferences.

Raymond Quéré (M’88–SM’99–F’09) received the Ph.D. degree in electrical engineering from the University of Limoges, Brive, France, in 1989. In 1992, he became a a Full Professor with the University of Limoges. From 1998 to 2013, he led the Department of High Frequency Devices, Circuits, Signals and Systems, XLIM Laboratory, CNRS/University of Limoges. Since 2013, he has been the Deputy Director of the XLIM Laboratory. His research interests include modeling and design of nonlinear circuits for telecommunications and radar systems. He has authored or coauthored more than 150 publications in international journals and conferences. Dr. Quéré became General Chairman of European Microwave Week in 2005. He is the Holder of the chair Design of Future Integrated Smart RF Transceivers (DEFIS-RF) funded by Thales Alenia Space, the Thales Corporation, and the French Research Agency (ANR).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1359

Frequency Analysis and Multiline Implementation of Compensated Impedance Inverter for Wideband Doherty High-Power Amplifier Design Alessandro Cidronali, Senior Member, IEEE, Stefano Maddio, Member, IEEE, Niccoló Giovannelli, and Giovanni Collodi

Abstract— In this paper, we present a frequency analysis of a compensated impedance inverter and its effective implementation in the context of high-power Doherty amplifier (DPA) design. On the basis of an idealized DPA model, we calculate the expected operative bandwidth and the corresponding maximum drain efficiency as a function of the modulated signal statistics. In addition, we introduce an effective technique for the implementation of the compensated impedance inverter, suitable for preserving its broadband characteristics in the presence of large device’s output capacitance. The implementation technique is based on the generalized equivalent transmission-line equivalence principle, which considers both shortening and lengthening the equivalent transmission lines. We demonstrate that, by the proposed approach, parasitic absorption is possible maintaining a low Q-factor of the equivalent network. The technique is validated by the development of a silicon laterally diffused metal–oxide– semiconductor DPA with optimized peak power and efficiency for applications in the 650–950-MHz band. The fabricated prototype is characterized by an LTE signal with a 20-MHz bandwidth and peak-to-average-power ratio of 7.5 dB and features an average drain efficiency between 37% and 47%, with an average power of 49 dBm across 37.5% of the bandwidth. Index Terms— Broadband power amplifier, Doherty power amplifier (DPA), high-power amplifier, laterally diffusedc metal–oxide–semiconductor (LDMOS).

I. I NTRODUCTION

T

HE mainstream about the development of advanced Doherty power amplifiers (DPAs) considers the improvement of energy efficiency across wide signal dynamics [1]–[3], the assessment of the linearity-versuspeak-power tradeoff [4], [5], as well as the development of design techniques to overcome the operational bandwidth constraints [6]–[8]. In this context, the present paper addresses the topic of the enhancement of the operative bandwidth

Manuscript received November 1, 2015; revised February 12, 2016 and March 28, 2016; accepted March 29, 2016. Date of publication April 27, 2016; date of current version May 10, 2016. This paper is an expanded version from the IEEE MTT-S International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits, Taormina, Italy, October 2015. A. Cidronali, S. Maddio, and G. Collodi are with the Department of Information Engineering, University of Florence, I-50139 Florence, Italy (e-mail: [email protected]; [email protected]; [email protected]). N. Giovannelli was with Infineon Technologies AG, Neubiberg 85579, Germany. He is now with Infineon Technologies America, San Jose, CA 95134 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549524

of a DPA by introducing a new design technique for the development of a DPA output combiner. The technique is based on the innovative use of the equivalent transmission-line equivalence concept and consists of the inclusion of large parasitic device’s elements in the equivalent transmission line, even in the more general case of peak and main devices with different output capacitances. The operating principles of the DPA are well described in the literature [9]: they are based on the load modulation principle enabled by an impedance inversion mechanism. When implemented by distributed transmission lines, the impedance inverter exhibits a bandpass behavior and so presents the main frequency bandwidth limitation to the effective exploitation of a DPA in wideband applications. In this respect, significant research efforts are being pursued to remove this limitation. Despite the availability of consolidated parasitic absorbing techniques for DPA design, [10], [11], the large parasitic capacitors involved in high-power design make the absorption technique less effective in the wideband context. Recently, a new topology for an output combiner was introduced in the literature [12], [13]. It is composed of an impedance inverter and a compensating transmission line between the peak and the summing node. The expected operative fractional bandwidth for this compensated impedance inverter is slightly higher than 50%. Nevertheless, it is affected significantly by the technique adopted to absorb the parasitic device’s capacitance, and, for high-power devices, the available techniques lead to a large residual capacitor’s being included in parallel to the summing node [13], with negative consequences for the output combiner Q-factor [14]. In [15], the authors introduced a new implementation technique principle suitable for this compensated impedance inverter. It is based on the concurrent implementation by equivalent lines, of the main and peak networks as a whole. In this paper, we demonstrate that this approach minimizes the presence of additional lumped capacitors and thus enables the possibility of enhancing the operational bandwidth for those power device technologies impaired by higher output capacitance per power density, such as high-power silicon laterally diffused metal–oxide semiconductor (LDMOS) transistors [16]. With respect to [15], we include the demonstration that this approach provides an accurate approximation of the ideal compensated impedance inverter across a large bandwidth. Additionally, we introduce the demonstration that the

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

proposed implementation technique preserves both the backoff and peak power DPA nominal operations, thus translating to a wider DPA operational bandwidth. For the first time, and in addition to our previous work [15], we present the expected DPA operational bandwidth, estimated by the average drain efficiency versus the frequency as a function of the signal peak-to-average-power ratio (PAPR). The included load modulation simulated data at the peak and main devices support the effectiveness of both the design technique and the prototype demonstrator; the latter is described in detail for the experimental data reproducibility. Finally, the CW characterization is hereby integrated by additional experimental validations that demonstrate the design technique leads to a prototype which is suitable for linearization with a significant expectation of linearity performance, while preserving output power and energy efficiency. This paper is organized as follows. The expected performance of the DPA as function of both the frequency and the PAPR are analyzed in Section II by means of an idealized model. The new technique for wideband absorption is introduced in Section III. Section IV discusses the design of a wideband DPA implemented by the proposed technique. In Section V, we discuss in detail the developed prototype and provide the experimental validation with long-term evolution (LTE) signals in the 650–960-MHz band. II. F REQUENCY A NALYSIS The architecture of a DPA [9] exploits the mechanism of active load modulation, which is provided by the peak device over the main device. Indeed, in the so called backoff state, the main device output termination at the fundamental frequency is R L = 2 · Ropt, where Ropt is the optimum load at peak power for the main device at its intrinsic current generator. This termination is provided by transforming, with an impedance inverter, the termination Ropt/2 seen at the summing node toward the external load. In conventional designs, the impedance inverter is implemented by a quarter-wave transmission line (QWTL), with a characteristic impedance equal to Ropt. When driven in the saturated state, the peak device provides an additional current into the output termination, thus making the effective main devices termination decrease from 2 · Ropt to Ropt. While the QWTL represents an ideal through in full-power operation, it limits the DPA operation in back-off. For this reason, most of the research aimed at extending the operative bandwidth of a DPA concentrates on overcoming this issue. Recently, it was demonstrated that, by including a half-wave transmission line (HWTL) between the peak device and the summing node, the fractional bandwidth can reach 50%, [13]. Here, we analyze, in a simplified model, the impact of this compensated impedance inverter on the average efficiency across the bandwidth, while in the next section we introduce a new technique capable of implementing it in an effective way. A. Simplified Model of the Wideband DPA Fig. 1 shows a simplified circuit model of the wideband Doherty amplifier. It is composed of two controlled current

Fig. 1.

Simplified equivalent circuit of the wideband Doherty amplifier.

generators describing the ideal device’s output current sources connected to the load R L at the sum node, by a QWTL operating as the impedance inverter, on the main side, and an HWTL, at the peak side. The entire network can be described as a two-port network having port one at the main current source and port two at the peak current source. As long as the peak device is in its off-state, its current source is effectively an open circuit, and thus the network actually represents a wideband impedance inverter. In order to analyze across the bandwidth its operation when the peak device kicks in, the transmission matrix of the entire network will be evaluated. It is composed of the terms T = Tm TL T p , where ⎞ ⎛ cos(θm, p ) jRm, p sin(θm, p ) ⎟ ⎜ (1) Tm, p = ⎝ sin(θm, p ) ⎠ j cos(θm, p ) Rm, p ⎞ ⎛ 1 0 ⎠. TL = ⎝ 1 (2) 0 RL Exploiting canonical transformations, the Z matrix is easily derived, and the voltage at the main and peak references are calculated to be  Vm = Z 11 Im + Z 12 I p (3) V p = Z 21 Im + Z 22 I p . For normal operation, the main current grows linearly from 0 to Imax as the normalized drive level ζ goes from 0 to 1, while the peak current is zero until the back-off value ζ = 0.5. The output voltages can be derived by substituting the following analytical expressions into (3): Im = Imax ζ  0, 0 ≤ ζ < 0.5 Ip = j π/2 2Imax (ζ − 0.5) · e , 0.5 ≤ ζ < 1.

(4) (5)

Lastly, to prevent nonphysical conditions, the actual Vm, p are clipped to Vmax as follows:  Vm = max(|Vm |, Vmax ) exp( j φm ( f )) (6) V p = max(|V p |, Vmax ) exp( j φ p ( f )) where φm ( f ) and φ p ( f ) are the voltage phases, which are free to change according to the DPA state. In the following, the normalized values of the parameter are considered: Imax = 1 A, Vmax = 1 V, R p,m = 1 . In addition, θm = 90◦ , θ p = 180◦ at center frequency, f 0 . To realize the optimal load modulation, the load is R L = 0.5 . Fig. 2 shows the voltages at the two ends of the circuit with this parameter set as resulting from (3) assuming the boundary

CIDRONALI et al.: FREQUENCY ANALYSIS AND MULTILINE IMPLEMENTATION OF COMPENSATED IMPEDANCE INVERTER

1361

Fig. 2. Magnitude of the normalized voltages at the peak and main devices as function of the normalized frequency Fn , for the circuit in Fig. 1. Fig. 4. Calculated drain efficiency as function of the normalized frequency Fn , for the conventional DPA.

Fig. 3. Calculated drain efficiency as function of the normalized frequency Fn , for the circuit in Fig. 1.

conditions in (6); the voltages are expressed in terms of the normalized frequency Fn = f 0 / f , where f0 and f are, respectively, the design and the analysis frequencies. From the data, we can easily recognize that the saturation of the main device can occur for a drive level less than ζ = 0.5; nevertheless, due to the phase imbalance between the two voltage contributions, the power delivered to the load is reduced, and this leads to a drain efficiency reduction. In order to calculate the efficiency of the DPA, we first calculate the output power by using the assumption of lossless transmission lines as follows: Re[Vm Im∗ + V p I p∗ ]

. (7) 2 From the definition of the current in (4) and the calculation of the voltages by (3) and (6), we calculate the power delivered to the load. The remaining step for the calculation of the drain efficiency consists in the calculation of the dc power consumption, which, under the simplifying assumption of a peak device operating in mild class-C, is Pout (ζ ) =

Vds [|Im | + |I p |] . (8) π Finally, combining (8) and (7), we can derive the DPA drain efficiency, D E = Pout/PDC , which is presented in Fig. 3. The graphs versus the normalized frequency exhibit a similar behavior for Fn ≤ 1.3, while the DE shows a significant drop for Fn = 1.4. This analysis permits concluding that 60% of the fractional bandwidth in a single tone can be effectively PDC =

considered as an absolute maximum for the development of a DPA exploiting an output combiner based on the topology schematically shown in Fig. 1. If we repeat the DE calculation when imposing θ p ( f ) = 0, we derive the DE versus drive level for a conventional DPA whose impedance inverter is implemented by a single QWTL; the result of this calculation is shown in Fig. 4. Its comparison with the wideband DPA DE clarifies the difference between the two approaches. Indeed, within 50% of the fractional bandwidth, while at back-off the conventional DPA exhibits values of the DE that range between π/4 and 42%, the wideband DPA maintains a DE bounded within π/4 and 68%. This feature leads to a significant improvement on the average DE when the DPA is driven by modulated signal. In Section II-B, we investigate the average DE as a function of both the modulated signal statistic and its carrier frequency. B. Broadband Limitation of Wideband DPA We evaluate now the expected operative bandwidth of the idealized wideband DPA based on the topology shown in Fig. 1 and compare it with the conventional DPA. The comparison is made as a function of exciting modulated signal PAPR at different carrier frequency. From Fig. 4, we observe that the continuous-wave (CW) DE for a conventional DPA as a function of the frequency spreads more at back-off (i.e., ζ = 0.5), thus determining the worst case for the DE bandwidth; in this state, only at center frequency and for the CW signal at the back-off level does the DPA exhibit the peak DE. Instead, at peak power, all of the curves converge at the peak DE, thus determining a significant contribution for those signals having low PAPR. At the contrary, the DE for the wideband DPA maintains constant at least within the 40% of fractional bandwidth (see Fig. 3), thus promising a significant improvement operative bandwidth when evaluated on the average DE. Let us now assume a drive signal having a level probability distribution function characterized by the Rayleigh distribution 2 2 ζ · e−ζ /2σ (9) 2 σ where the parameter σ is related to the linear PAPR by √ σ = P A P R · 2/π. By integrating the DE curves weighted

pd f (ζ ) =

1362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I M AXIMUM AVERAGE DE AND F RACTIONAL BANDWIDTH FOR I DEAL DPA V ERSUS PAPR

Fig. 5. Calculated average drain efficiency as function of the normalized frequency Fn , for the conventional DPA.

TABLE II M AXIMUM AVERAGE DE AND F RACTIONAL BANDWIDTH FOR I DEAL W IDEBAND DPA V ERSUS PAPR

Fig. 6. Calculated average drain efficiency as function of the normalized frequency Fn , for the wideband DPA.

by (9), for the same signal peak power, we derive the average DE as a function of the fractional bandwidth for both the architectures as 1 D E(Fn ) = D E(ζ, Fn ) · pd f (ζ, σ )dζ. (10) 0

The results of the numerical integration in (9) are shown in Figs. 5 and 6, respectively, for the conventional and the wideband DPAs. In the same figures we include, for comparison, the result of the calculated average DE for a CW signal at back-off (i.e., the input level of the onset for the peak device), as a function of the normalized frequency. As expected, the analysis of the two sets of curves reveals the same average DE at center frequency, thus confirming that the two architectures are equivalent; this is related to the electrical length of the HWTL which at the center frequency behaves as a short circuit, thus making the two architectures topologically identical. Nevertheless, it turns out that for the wideband DPA, the maximum average DE is not located at the center band but approximately at ±25% on side. This effect results from the specific shape of the DE shown in Fig. 3, which exhibits higher values to the side of the center frequency band. From the data, we can calculate the bandwidth following the accepted criteria of 10% relative average DE drop at the band’s edge. The calculated bandwidth along with the maximum average DE are presented in Tables I and II for, respectively, the conventional and the wideband DPAs. In comparison to the conventional DPA, the wideband DPA exhibits a significant improvement for the fractional bandwidth, which decreases linearly as the PAPR increases. In contrast, the fractional bandwidth of the conventional DPA exhibits a significant

reduction, moving from PAPR = 3 dB to PAPR = 6 dB, while for higher PAPR it remains almost constant. Similarly in both cases, the maximum of the average DE shows an almost linearly decreasing trend as the PAPR increases, nevertheless the maximum values are comparable. The data relative to the CW signal at back-off confirm that the drain efficiency bandwidth are the same in both cases. It is worth highlighting that the results in Tables I and II consider ideal devices, and we discuss hereinafter how the devices output capacitance reduces significantly the bandwidth of the impedance inverter, also when a wideband absorbing technique is adopted [10]. The proper absorption of the main and peak devices’ output capacitance is discussed in the next section. III. D ESIGN P RINCIPLES OF W IDEBAND DPA S A. Bandwidth Limitation of DPA Output Combiners For high-power and large devices, such as Si LDMOS, [16], the broadband DPA operation [9] is primarily limited by the reduced bandwidth of the impedance inverter that, when implemented by a QWTL, exhibits a narrowband behavior, namely, 28.2%, as presented in Table I. This performance is even more reduced in the presence of device large output capacitance, independently of the technique of parasitic capacitance absorption. In [11], the author introduced the design principle of a multistage impedance transformer aimed at the effective implementation of wideband DPA. The proposed network was composed of three line elements and two shunt susceptances, which were optimized to obtain the best tradeoff between back-off and peak power across the entire bandwidth, the tradeoff being more and more difficult when the required RF bandwidth increases. While more conventional approaches

CIDRONALI et al.: FREQUENCY ANALYSIS AND MULTILINE IMPLEMENTATION OF COMPENSATED IMPEDANCE INVERTER

consider the same parasitics for both devices, the different kind of operation of DPA devices’ pair leads to the necessity of considering a peak matching network, thus increasing the complexity of the wideband design, and capable of absorbing different parasitic capacitors. Recently, to overcome the bandwidth issue of the QWTL, in [12] and [13], the authors introduced compensated impedance inverters. In the implementation under consideration, the additional line does not affect the full power condition, since it is transparent to the − Ropt , but it is capable of widening transformation Ropt ← − 2 Ropt with the bandwidth of the transformation Ropt /2 ← an equiripple behavior. In [14] it was demonstrated that the parasitic capacitance of the transistor can be absorbed by exploiting the technique of the equivalent transmission line. The impedance inverter can be synthesized as a π-line with shunt susceptance being implemented by the device capacitance at the two transmission line ends. In [13] the QWTL and the HWTL are independently synthesized as π-lines, absorbing the parasitic capacitance of the main and the peak device respectively, implying the insertion of an additional capacitance on the sum node. In this paper, we further develop the techniques proposed in [11]–[13] by introducing a new technique based on the splitting of the peak network, which leads to a total of three subnetworks implemented by the appropriate π-networks. We demonstrate that this approach provides an accurate approximation of the compensated impedance inverter across a large bandwidth, and in turn this translates into a wideband DPA operation. B. Equivalent Transmission-Lines Principle The equivalent transmission line implies limited bandwidth operation. This impairment can be easily understood recalling the relationship between the canonical transmission line, characterized by an impedance of Z 0a and a length of θ0a , and its equivalent compensated transmission line, modeled as Z 0b and θ0b , and a pair of shunt susceptances j B S , connected at both ends of the line: The following equations hold: Z 0b = Z 0a B S = Y0a

sin θ0a sin θ0b cos θ0b − cos θ0a . sin θ0a

(11) (12)

This implies that, considering a real capacitor with a constant capacitance, the equivalence is strictly valid at a single frequency [14]; this partly nullifies the advantages of a wideband transformer, since Q grows with the magnitude of the capacitance. It can be demonstrated that the equivalent transmission line presents a higher Q than the canonical one. To satisfy (12) over a wide bandwidth, the hypothetical

frequency-variable equivalent capacitance C(ω) and inductance

L(ω) satisfying (12) should satisfy

C(ω) =

cos(θ0b (ω)) − cos(θ0a (ω)) ωZ 0a sin(θ0a (ω))

(13)

L(ω) =

cos(θ0a (ω)) − cos(θ0b (ω)) . ωZ 0a sin(θ0a (ω))

(14)

1363

Fig. 7. Normalized susceptance loci for the transformation of a QWTL by both a shortened and a lengthened equivalent transmission line.

From (13), it follows that, if θ0a > θ0b , i.e., when the line is shortened, the shunt element is positive, meaning a capacitive susceptance, while the case of elongation, θ0a < θ0b , implies a negative, inductive susceptance. The corresponding characteristic impedance is modified according to (11). In Fig. 7 we present the loci of the constant susceptance needed for the line transformation expressed by (12), where the isoclines are associated with the shunt susceptance of the equivalent line. From the figure, it is evident that, starting from the reference electrical length, a transmission line can be either lengthened or shortened as long as the appropriate susceptance is shunted at the line ends, as long as the transformed impedance Z 0b remains feasible. For instance, having selected a QWTL, Fig. 7 shows the electrical length across a nominal bandwidth ranging from f = 0.75 · f 0 to f = 1.25 · f 0 . The frequency dependence of the electrical length of two lines selected for the transformation and having a nominal electrical length at center frequency of 70◦ and 110◦, respectively, are also shown in the same frequency band: from their intersections with the isoclines we can evaluate the required bs = B S /Y0a . Although the normalized shunt susceptance bs is not constant over the entire frequency range, the two trends are almost identical in absolute value. Letting j BC , respectively, let j B L be the susceptances needed to make the equivalent lines shorter, respectively, longer, the advantage of this transformation is clear: since j BC and j B L follow almost the same path, they are intrinsically resonating over a broad band, thus giving a wide band equivalent line. It is remarkable that around the central area the isoclines exhibit similar slope, converging to exactly 45 degrees for the particular condition θ0a = θ0b , which implies no transformation. Around this line, the isocline curvature is mildly variable, meaning that, for small variations in length, the susceptance is almost invariant with respect to the ratio θ0a /θ0b . Opposite isoclines corresponding to ±bs show the same distance from the bisect line, which is a hint of a natural balance between the two kinds of transformation. It has to be clarified that the analysis carried out is frequencydependent. Thus, if the shunt susceptance for the equivalent line is implemented by either a lumped capacitor or an inductor, the bandwidth of the transformed line is, in principle, narrowband.

To fix ideas, the frequency behavior of C(ω), for the − 70◦ and of

L(ω) for the transformation at 90◦ ←

1364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

and

Fig. 8. Susceptance magnitude of frequency-variable C L, alongside the susceptance of the capacitor C required for the transformation 90◦ ← − 70◦ at f 0 = 830 MHz.

transformation 90◦ ← − 110◦ are depicted in Fig. 8 alongside a real capacitance C, which satisfy the equality of the first transformation only at f 0 = 830 MHz. The data reveal

and C, which confirms the inherthe opposite slopes of C ently narrow-bandwidth nature of the transformation involving

and

lumped elements, while C L are wideband resonating, i.e.,

exhibits an evanescent Q, while the the parallel resonator

LC real C and L are instead characterized by opposite ω and ω−1 model. The above described property is effectively exploited to reduce the Q-factor of the output combiner designed to absorb the output device’s capacitor, as described in Section IV. IV. W IDEBAND DPA D ESIGN A. Design of the Compensated Impedance Inverter The complementary frequency properties of the shortened and elongated lines suggests a new strategy for the design of a compensated impedance inverter, and its involvement in the development of wideband high-power Doherty amplifier. It is considered as a unique three-port network having two ports connected to the main and peak devices’ output ports, while the third is the summing node. A delay line is included in the input path of the main device to balance the devices’ output current phase delay at the summing node. Having fixed the topology, the equivalent network is designed to absorb the different capacitors at the two ends with a simultaneous shortening and lengthening conversion of its parts. This last consideration is the key to the lower Q that characterizes this proposed approach to the implementation of the compensated impedance inverter, compared to the more conventional case of the absorption of the devices’ output capacitance using only transmission line shortening [13]. With reference to the simplified scheme depicted in Fig. 9, which shows the properly arranged compensated transmission lines, the compensated impedance inverter design steps are described in the following subsections. 1) Splitting: The peak line is divided into two subtransmission lines T L 2 and T L 3 , thus the compensated impedance inverter becomes formally composed of three lines, of which

Fig. 9. Schematic representation of the compensated impedance inverter based on equivalent transmission lines, the representation assumes Cm = C p.

the main line is labeled T L 1 . The splitting ratio constitutes a design degree of freedom; the only requirements is that the two transmission lines have electrical lengths satisfying θ2 + θ3 = 180 degree. 2) Compensating Devices’ Parasitic Capacitance: Define Cm and C p as the parasitic capacitance of the main and the peak devices respectively, which in principle are not equal (e.g., due to the difference between the devices or their classes of operation). T L 1 and T L 3 are therefore shrunk, to meet the requirement of susceptance absorption B S1 = jω0 Cm and B S3 = jω0 C p , following (12). In addition, their characteristic impedances are transformed into Z 1a and Z 3a according to (11) to match the impedance of the transmission lines within the device package. At this stage, a degree of residual notabsorbed capacitance is tolerated, also considering the positive effects on harmonics termination. 3) Compensating Inductance: The central T L 2 is consequently elongated to arrange the best trade-off between, being equal to either the susceptance B S1 or the susceptance B S3. The compensating inductive susceptance is expected to follow the behavior of the compensating capacitive across the bandwidth, as depicted in Fig. 8. 4) Virtual LC Group: Assuming, for instance, j B S1 = − j B S2 at the junction of T L 1 and T L 2 there 1 and is the virtual parallel shunt of C L 2 . Because of the wideband resonance for the principle of equivalence, they could be simultaneously eliminated without drawbacks. The same applies to the junction of T L 2 and T L 3 , but since B S2 = −B S3, a small residual capacitance is expected. 5) Final Arrangement: A contribution to T L 1 is given by the transmission line that models the package of the main device, and the same applies to T L 3 about the peak device, while the entire T L 2 need to be implemented. Finally the residual B S2 + B S3 = 0 determines the only reactive element which needs to be included in the circuit. The complete output network should include a suitable broadband matching network capable of transforming the system’s impedance to Ropt /2 at the summing node.

CIDRONALI et al.: FREQUENCY ANALYSIS AND MULTILINE IMPLEMENTATION OF COMPENSATED IMPEDANCE INVERTER

1365

Fig. 11. Comparison between main device termination impedance on Smith chart for different implementations of compensated impedance inverter (cf. Fig. 10) for the back-off state (RL = 4 ) in the frequency range 630–1030 MHz, the data are normalized to Z 0 = 16 .

Fig. 10. Schematic representation of the compensated impedance inverter implementation by equivalent transmission lines. (a) Ideal schematic. (b) Conventional absorption. (c) Proposed technique.

B. Comparison Between the Parasitic Absorption Approaches The plot of Fig. 7 includes the details for the specific implementation of the compensated impedance inverter in Fig. 10(a) operating within a bandwidth centered at 830 MHz, with a characteristic impedance of 8 . Following the classical strategy which involves only transmission-line shortening, thus incorporating only shunt capacitors, we obtain the topology of Fig. 10(b). In this implementation the QWTL is implemented by a transmission line of electrical length θ0b = 31.5 degrees and characteristic impedance 15.3 , with a pair of shunt capacitors of 20 pF at both of the line ends; let us assume that this value corresponds to the main device’s output capacitance. In Section V, we validate this assumption. On the other hand, the HWTL is composed of a cascade of two 90◦ transmission lines; this is due to (11) and (12), which do not lead to physically meaningless results for θ0a = 180 degree. The first of the pair can

be either left unmodified or subjected to shortening, for this comparison we decided to adopt the first approach. The second is implemented by shortening the nominal QWTL involving a transmission line of electrical length θ3b = 35 degrees and characteristic impedance 13.7 , thus involving a pair of shunt capacitors at both line ends whose value corresponds to the peak device’s output capacitance, which is assumed to be 24 pF; also, in this case, let us assume that this value corresponds to the peak device’s output capacitance. In Section V, we validate this assumption. The implementation of the proposed compensated impedance inverter considers again the HWTL as a cascade of two QWTL, implemented by opposite strategies. The first is lengthened, which results in a line having a characteristic impedance of 10.6  and an electrical length of 132 degrees with a shunt susceptance of −104.25 mS at both ends. The second line results from the shortening of the nominal electrical length and exhibits a characteristic impedance of 13.7  and an electrical length equal to 35 degrees, with a shunt susceptance of 125.1 mS. Assuming a center frequency of 830 MHz, the resulting structure is implemented by the topology shown in Fig. 10(c), where the shunt elements consist of a residual capacitor of 3.5 pF. The two above-described implementations are simulated in the frequency range 630–1030 MHz, which corresponds to 48% of the fractional bandwidth. The reflection coefficients at the main device port are shown respectively in Figs. 11 and 12 for both the DPA back-off (RL = 4 ) and saturation states (RL = 8 ), and compared with the ideal compensated impedance inverter in Fig. 10(a). The data show that both the ideal and the equivalent compensated impedance inverter, implemented involving both the equivalent line transformations, exhibit approximately the same Q-factor of about 0.4 in the back-off state, while the classical implementation which employs only a shortened equivalent line for the absorption of the devices’ output capacitance leads to a Q-factor of 3.4 in the back-off state and one much larger in the saturation state. The better performance of the proposed implementation method is due to the wideband compensation between the additional reactive elements needed for the implementation

1366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 13. Simulated load-pull contour for (a) main and (b) peak device. The graphs report the effect of both the parasitic drain capacitance and the package model.

Fig. 12. Comparison between main device termination impedance on Smith chart for different implementations of compensated impedance inverter (cf. Fig. 10) for the peak power state (RL = 8 ) in the frequency range 630–1030 MHz, the data are normalized to Z 0 = 16 .

of the equivalent lengthened transmission line, unlike most approaches, where this is pursued using only a shrinking of the transmission lines. This conclusion motivates the investigation of DPA development exploiting the proposed approach. V. W IDEBAND D OHERTY H IGH -P OWER A MPLIFIER P ROTOTYPE The technique discussed was adopted for the optimization and the development of a prototype exploiting a PTVA042502FC Si LDMOS transistor, operating in the 650–950-MHz band. The devices are biased at 50 V: while the main in quiescent state exhibits a drain current of 0.4 A, the peak is in deep class-C operation. The device nonlinear model was provided by the manufacturer along with the package characterization. For both of the transistors at the drain side, the package model consists of the series of an inductors of 0.51 nH and a transmission line having 8  of characteristic impedance and 3.9 degree of electrical length at 830 MHz. This model permits to estimate the output parasitic capacitance from the simulated load-pull data at the center frequency, which are reported in Fig. 13. The figures report the load-pull loci as achieved by the load-pull simulations and their respective translation according to both the package models and the output parasitic capacitances. The values of the latter, which permit to have the load-pull loci at the intrinsic devices, centered on the real axis are, respectively, Cm = 20 pF, for the main device, and C p = 24 pF for the peak device. The difference has to be attributed to the different classes of operation. For this latter reason we observe that the optimum impedances are 8.2  for the main device and 13.8  for the peak device. The output parasitic capacitance estimation assumes that both the source parasitic elements and the gate-drain capacitance are negligible with respect to the output capacitance. A. Design of the Input and Output Networks A picture of the developed wideband DPA prototype is shown in Fig. 14, where the LDMOS device in its earless package is assembled on a metallic carrier for proper heat

Fig. 14. Photograph of the wideband DPA based on compensated transmission lines developed for validation.

dissipation; the carrier assembles both the input network implemented by a quadrature hybrid and the output broadband matching network, as well as the bias networks. The layout details of the input network are shown in Fig. 15, along with the required lumped components. It is implemented by a quadrature directional coupler designed to uniformly split the signal across the two devices, while maintaining more control on the phase difference if compared with three port devices; the circuit adopts a characteristic impedance at its quadrature output ports of 15 . The input network is composed also of two networks that match the two devices’ input at the intermediate impedance of 15  across the bandwidth. The bias and the stabilization circuits (cf. R3, R4 and C3, C4 in the figure) complete the input network. A comparison between the simulated and measured transmission parameters on a 50-  system impedance are presented in Fig. 16. From the data, we can observe that the measured data exhibit a higher transmission coefficient toward the peak device and this, in spite of the declared symmetry of the power splitting, is unavoidably due to the frequency bandwidth limitation of this specific design. Nevertheless, the DPA design as a whole takes benefit of this impairment because improves the load modulation effect. The design of the output network followed the criteria described in Section IV, considering the optimum termination of 8 , and has been optimized to take into account the actual nonidealities of the layout. To this end, a multi-objective rou-

CIDRONALI et al.: FREQUENCY ANALYSIS AND MULTILINE IMPLEMENTATION OF COMPENSATED IMPEDANCE INVERTER

Fig. 15. Layout of the input network and main and peak matching networks. R1 = R2 = 2 k, R3 = R4 = 5 , R5 = R6 = 100 , C1 = C2 = 120 pF, C3 = C4 = 4.7 μF, C5 = C6 = C7 = C8 = 68 pF.

Fig. 16. Comparison between measured and simulated transmission coefficients toward the peak and main devices’ input; transmission magnitude (bottom: simulation: continuous — symbols: measurements) and phase difference (top).

tine has led to the final layout implemented by the transmission lines and lumped components shown in Fig. 17. The network integrates a multistage broadband matching network between the load and the summing node [17] needed to transform 50  nominally into Ropt /2 = 4 . This was arranged with a meander path and by tapering the width of the transmission lines to fit the space on the board, the final layout resulting from electromagnetic optimizations.

1367

Fig. 17. Layout of the compensated impedance inverter and output matching network. C1 = 2.2 nH, C9 = C15 = C16 = 270 pF, C10 = 22 pF, C11 = C12 = C21 = C22 = 75 pF, C13 = C14 = 1.7 pF, C17 = C18 = 4.7 μF, C19 = C20 = 100 μF.

According to the description given in Section IV-A5, the contribution of the package can be derived directly by the device package model. It corresponds to an electrical length of 13.2 degree that needs to be subtracted by θ1b and θ3b (see Fig. 9). Thus, before the implementation of the output network layout, the transmission lines connected to both the main and the peak drain are shrunk by a length corresponding to 13.2 degree. A small inductor in parallel to the main device’s output was inserted to terminate the second harmonic and to optimize the efficiency across the bandwidth. The capacitor C10 contributes to balance the discontinuity at the summing node, while the parallel C13–C14 constitutes the residual capacitor due to the different absorption of Cm and C p . Lastly, the parallel C11–C12 ac couple the two devices. Fig. 18 presents a comparison between the simulated and measured output network shown in Fig. 17. Both the measurements and the simulations were carried out considering the three-port network, with two ports being referred to the devices’ drain and the third one to the 50- external load; the data shown are normalized to a system impedance of 8 . From the data, we can observe that, assuming the inclusion of the parasitic output devices’ capacitance, the terminations approximate 8 , respectively, 16 , for the peak, respectively, main device, indeed this analysis is somehow equivalent to the back off state, not having the contribution of the peak current. B. Circuit Simulations Circuit simulations were run on the complete schematic, composed of the input and output networks, illustrated in the previous Section. The first set of results, reported in Fig. 19, concerns with the output voltages at the fundamental frequency

1368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 18. Comparison between measured (symbols) and simulated (continuous lines) reflection coefficients at the peak and main devices’ termination, in the frequency range 700–960 MHz with system impedance 8 .

Fig. 20. Simulated current at the intrinsic main and peak devices drain, as a function of the input drive level and frequency.

Fig. 19. Simulated voltage at the intrinsic main and peak devices drain, as a function of the input drive level and frequency.

Fig. 21. Simulated magnitude of the load termination at the intrinsic main device drain, as a function of the input power level and the frequency.

across the design frequency band. For both the main and the peak devices, the voltages are observed at the intrinsic drain terminal; the corresponding drain currents are shown in Fig. 20. From the figures, we can assess that the main device drain voltage across the frequency band maintains values nearly constant about 50 V up to 6-dB input power back-off, as demanded by the operation principle. The peak device drain voltage reaches almost the maximum voltage at the maximum input power level, for the whole set of analysis frequencies. At the lowest analysis frequency, the main device drain voltage results higher than the corresponding value at the other analysis frequencies, nevertheless, at 700 MHz, the maximum main device drain current approximates the nominal value of 6.25 A (i.e., 50 V/8 ) at the full power. The higher values of the main device drain current, observed at the higher frequencies, are associated to the dispersion of the load impedance which, during the load modulations, slightly reduces its magnitude lower than the target. The main device load modulation [18] is reported in Fig. 21 across the frequency band; in general, the values are within ±1  at full input drive. Despite the fact that the peak device is operating at its full capacity, observing the behavior of the main device load termination magnitude, shown in Fig. 21, results show that the load modulation is not perfect.

Fig. 22. Simulated magnitude of the load termination at the intrinsic peak device drain as a function of the input power level and the frequency.

At low power, it starts from about 13  instead of 16  as a result of the output network optimization, which was aimed toward proper impedance at full power. The peak device load modulation reported in Fig. 22 shows the expected high value at the low power input, while it converges at the nominal value of about 8 . In general, all of the figures illustrated maintain a significantly flat behavior across the entire design bandwidth, as expected from the analysis provided in Section II.

CIDRONALI et al.: FREQUENCY ANALYSIS AND MULTILINE IMPLEMENTATION OF COMPENSATED IMPEDANCE INVERTER

Fig. 23. Photograph of the measurement setup based on a calibrated nonlinear VNA with modified test set. At the prototype under test left side are visible the amplifier driver and the high-power reference signal directional coupler.

Fig. 24. Comparison of prototype simulated and measured pulsed CW drain efficiency across the bandwidth.

VI. E XPERIMENTAL VALIDATION A. CW Experimental Validation The prototype was characterized by using the measurement setup shown in Fig. 23. It is based on a calibrated nonlinear vector network analyzer with a test set modified according to the power levels involved in the measurements. In particular are included an external driver amplifier and a high-power reference signal directional coupler. The measurement set-up is capable to capture the absolute magnitude and the phase, of the output harmonics across the entire frequency band as a function of the driving levels. It handles RF pulse width of 100 μs and 10% duty cycle. The detailed discussion of the high-power measurement setup is beyond the scope of this paper and can be found in [19]. A comparison between simulated and measured pulsed CW data is shown in Fig. 24, for 700, 830, and 960 MHz. The data show that the peak power reaches 54.2 dBm with a maximum DE of 72.5% at 700 MHz, while 53.7 dBm with DE of 50.8% are achieved at 960 MHz. The signal dynamics across which the data exhibit the expected flat behavior, characteristic of the Doherty effect, is limited to 4 dB rather than the expected 6 dB. This is due to the gain compression, shown in Fig. 25, which spans from 2 to 4 dB, depending on the frequency. If the DE data were to be observed versus the input power, the expected DE flat

1369

Fig. 25. Measured pulsed CW power gain versus output power across the bandwidth.

Fig. 26. Measured pulsed CW power phase referred to the input versus output power across the bandwidth.

behavior would be reestablished. For completeness, Fig. 26 shows the data related to the AM/PM for the same frequencies. From the data, we observe a continuous behavior, which is a prerequisite for an effective linearization by predistortion techniques [20]. B. Modulated Signal Experimental Validation The characterization of the prototype with a modulated signal considers an LTE 20-MHz bandwidth and 7.5-dB PAPR, with a carrier between 650 and 950 MHz. For this purpose, we adopted an amplitude calibrated measurement setup based on a vector signal generator. It drives the DPA prototype through a driver amplifier, while a spectrum analyzer captures the output spectrum. The test signal was generated by considering 520 · 103 samples of the in-phase and the in-quadrature signals at 52 Msps clock. The measured average power as a function of the frequency is presented in Fig. 27(a): it spans from 49.5 to 47.9 dBm through the entire frequency range. The data were obtained in accordance with a constant output PAPR of about 5 dB, which reflects the compression of the prototype during the measurements, see Fig. 27(c). The large-signal gain of the DPA prototype in the cited operation conditions is shown in Fig. 27(c): the values range from 14.9 to 16.6 dB with a generally decreasing trend at higher frequency. The corresponding values of the

1370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 28. Spectrum about the carrier of 750 MHz with memory digital pre-distortion; measurement bandwidth 18.015 MHz. TABLE III C OMPARISON W ITH THE Si-LDMOS W IDEBAND DPA S TATE OF THE A RT

Fig. 27. Prototype measured performance for LTE20 test signal. (a) LTE20 average output power. (b) LTE20 average drain efficiency. (c) LTE20 gain and output PAPR.

average DE are presented in Fig. 27(b): a maximum value of 46.5% is reached. The DE remains within a variation of 10% across the entire bandwidth, thus determining 37.5% of the fractional bandwidth. The output power and the average DE experimental data are compared with the corresponding simulated data obtained using the devices’ nonlinear model provided by the manufacturer within an accurate CAD simulation that has also included the electromagnetic simulation data of the input and output networks. Without the inclusion of any linearization technique, the prototype exhibits better than −27.3 dBc of adjacent channel leakage ratio (ACLR), at 48.8 dBm output power. The prototype was evaluated in a laboratory platform which comprises a memory DPD unit operating off-line: the output spectra before and after the

inclusion of the linearization process are reported in Fig. 28 for the carrier frequency of 750 MHz. In this latter case, the total average output power is maintained at 48.8 dBm with a system average DE of 44.3%. The data demonstrate that the prototype is suitable for inclusion in a DPD platform with a significant expectation of linearity performance, while preserving output power and energy efficiency. For this test, a generalized memory polynomial model, [21], of order 9 with memory 5 and envelope-lag 1 is used. The prototype performances are compared in Table III with recently reported results for wideband DPAs in Si-LDMOS technology. From the table, we observe that [13] exhibits the widest fractional bandwidth but at a significantly lower frequency center band. With respect to [12], this work reports better fractional bandwidth of 37.5% versus 19.2%. In addition, with respect to [13] and [12], which adopts larger devices, namely 700 and 350 W, respectively, versus 280 W, this work reports a lower output power back-off with respect to the peak power. VII. C ONCLUSION This paper has presented an investigation of the expected average drain efficiency for a wideband DPA based on a compensated impedance inverter and has compared the idealized performance with those associated with conventional DPAs. It has been shown that, under ideal conditions, varying the PAPR, the expected maximum average drain efficiency is inversely proportional to the PAPR, while the fractional

CIDRONALI et al.: FREQUENCY ANALYSIS AND MULTILINE IMPLEMENTATION OF COMPENSATED IMPEDANCE INVERTER

bandwidth remains within a few percent. The paper has also introduced a new approach to the implementation of the compensated impedance inverter, which is capable of absorbing the large devices’ output capacitance, following a strategy that maintains limited the Q-factor of the resulting output combiner. The introduced technique has been validated by the development of a wideband Si LDMOS Doherty highpower amplifier. Simulation results highlighted the capability of controlling the main figures of the DPA design across the 650–950-MHz design bandwidth. The measured performance with a 20-MHz LTE signal and 7.5-dB PAPR exciting signals across the bandwidth yields a fractional bandwidth of 37.5%, estimated on the basis of the average drain efficiency. Across the bandwidth, the DPA exhibited at least 49-dBm maximum average power with up to 47% of average drain efficiency with an output PAPR of 5 dB. R EFERENCES [1] K. Bathich and G. Boeck, “Design and analysis of 80 W wideband asymmetrical Doherty amplifier,” Int. J. Microw. Wireless Technol., vol. 7, no. 01, pp. 13–18, 2015. [2] N. Giovannelli, T. Vlasits, A. Cidronali, and G. Manes, “Efficiency and linearity enhancements with envelope shaping control in wideband envelope tracking GaAs PA,” in Proc. IEEE MTT-S Int. Workshop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits, Apr. 2011, pp. 1–4. [3] H. Jang, P. Roblin, C. Quindroit, Y. Lin, and R. Pond, “Asymmetric Doherty power amplifier designed using model-based nonlinear embedding,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3436–3451, Dec. 2014. [4] J. Kim, B. Fehri, S. Boumaiza, and J. Wood, “Power efficiency and linearity enhancement using optimized asymmetrical Doherty power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 425–434, Feb. 2011. [5] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 934–944, 2011. [6] R. Giofre, L. Piazzon, P. Colantonio, and F. Giannini, “An ultrabroadband GaN Doherty amplifier with 83% of fractional bandwidth,” IEEE Microw. Compon. Lett., vol. 24, no. 11, pp. 775–777, Nov. 2014. [7] D. Gustafsson, C. Andersson, and C. Fager, “A modified Doherty power amplifier with extended bandwidth and reconfigurable efficiency,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 533–542, Jan. 2013. [8] R. Giofre, L. Piazzon, P. Colantonio, and F. Giannini, “A Doherty architecture with high feasibility and defined bandwidth behavior,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3308–3317, Sep. 2013. [9] B. Kim, J. Kim, I. Kim, and J. Cha, “The Doherty power amplifier,” IEEE Microw. Mag., vol. 7, no. 5, pp. 42–50, Oct. 2006. [10] J. Qureshi, L. Nan, E. Neo, F. Rijs, I. Blednov, and L. De Vreede, “A wide-band 20 W LDMOS Doherty power amplifier,” in Proc. Conf. IEEE Int. Microwave Symp., May 2010, pp. 1–1. [11] N. Giovannelli, A. Cidronali, P. Singerl, S. Maddio, C. Schuberth, A. Del Chiaro, and G. Manes, “A 250 W LDMOS Doherty PA with 31% of fractional bandwidth for DVB-T applications,” in Proc. Conf. IEEE Int. Microwave Symp., Jun. 2014, pp. 1–4. [12] D.-T. Wu, J. Annes, M. Bokatius, P. Hart, E. Krvavac, and G. Tucker, “A 350 W, 790 to 960 MHz wideband LDMOS Doherty amplifier using a modified combining scheme,” in Proc. Conf. IEEE Int. Microw. Symp., Jun. 2014, pp. 1–4. [13] J. Qureshi, W. Sneijers, R. Keenan, L. deVreede, and F. Van Rijs, “A 700 W peak ultra-wideband broadcast doherty amplifier,” in Proc. Conf. IEEE Int. Microw. Symp., Jun. 2014, pp. 1–4. [14] D. Kang, D. Kim, Y. Cho, B. Park, J. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3474–3483, Dec. 2011. [15] A. Cidronali, N. Giovannelli, S. Maddio, and A. Del Chiaro, “A 300 W Si-LDMOS Doherty PA for 700–950 MHz LTE applications based on a compensated output network design,” in Proc. IEEE MTT-S Int. Workshop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits, Sep. 2015, pp. 1–4.

1371

[16] S. Theeuwen and J. Qureshi, “LDMOS technology for RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1755–1763, Jun. 2012. [17] R. Fagotti, A. Cidronali, and G. Manes, “Concurrent hex-band GaN power amplifier for wireless communication systems,” IEEE Microw. Compon. Lett., vol. 21, no. 2, pp. 89–91, Feb. 2011. [18] P. Colantonio, F. Giannini, R. Giofre, and L. Piazzon, “Theory and experimental results of a class F AB-C Doherty power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 8, pp. 1936–1947, Aug. 2009. [19] P. Roblin, Nonlinear RF Circuits and Nonlinear Vector Network Analyzers: Interactive Measurement and Design Techniques. Cambridge, U.K.: Cambridge Univ., 2011. [20] R. Darraji, F. Ghannouchi, and O. Hammi, “A dual-input digitally driven Doherty amplifier architecture for performance enhancement of Doherty transmitters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 5, pp. 1284–1293, May 2011. [21] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, 2006. [22] A. Ahmed, J. Babesku, J. Schultz, H. H. Ladhani, J. K. Jones, M. Bokatius, and P. Hart, “A 350 W 2 GHz 44% efficient LDMOS power amplifier design with capability to handle a wideband 65 MHz envelope signal,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3.

Alessandro Cidronali (M’89–SM’11) received the Laurea and Ph.D. degrees in electronics engineering from the University of Florence, Florence, Italy, in 1992 and 1997, respectively. From 1999 to 2011, he was an Assistant Professor with the Department of Electronics and Telecommunications, University of Florence, Florence, Italy. From 1999 to 2003, he was a Visiting Researcher with the Motorola Physics Science Research Laboratory. From 2002 to 2005, he was a Guest Researcher with the Non-Linear Device Characterization Group, Electromagnetic Division, National Institute of Standards and Technology (NIST). Under the frame of the IST-EU FP6 Network TARGET (IST-1–507893-NOE), he served as a Workpackage Leader for the transmitters modeling/architectures for wireless broadband access’ work packages. Currently, he is an Associate Professor with the Department of Information Engineering, University of Florence, where he teaches courses on electron devices and integrated microwave circuits. His research activities concern the study of analysis and synthesis methods for nonlinear microwave circuits, the design of broadband microwave integrated circuits and the development of computer-aided design (CAD) modeling for microwave devices and circuits. Prof. Cidronali was a recipient of the Best Paper Award presented at the 61st ARFTG Conference. From 2004 to 2006, he was an associate editor for the IEEE T RANSACTION ON M ICROWAVE T HEORY AND T ECHNIQUES . Currently he is member of IEEE Micrwowave Theory and Techniques Society TC-20 Wireless Communications and TC-27 Vehicular Technologies and Communications.

Stefano Maddio (M’12) was born in Florence, Italy, on September 3, 1978. He received the Laurea and Ph.D. degrees in electronics engineering from the University of Florence, Florence, Italy, in 2005 and 2009, respectively. He was a Research Associate with the Department of Information Engineering, University of Florence, Florence, Italy. His research activities cover both the electromagnetic and electronic topics of the microwave engineering, such as the analysis and design of radiative systems for microelectronics in the field of smart antenna technology for wireless applications, with particular emphasis on the issues of wireless localization and special-purpose antenna systems for Dedicated Short Range Communications. His scientific interests also cover the area of signal elaboration and manipulation at front-end level, with particular emphasis on active and passive filtering and noise mitigation as well as numerical techniques for free and guided electromagnetic propagation.

1372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Niccoló Giovannelli was born in Vienna, Austria, in 1984. He received the M.S. degree (cum laude) in electronic engineering and Ph.D. degree in RF, microwaves and electromagnetics from the University of Florence, Florence, Italy, in 2007 and 2011, respectively. From 2008 to 2011, he was with Nujira Ltd., Cambridge, U.K., where he was developing highefficiency envelope tracking power amplifiers for base stations, TV broadcast, and military applications. From 2011 to 2014, he was with Infineon Technologies, Munich, Germany, as a Senior RF Applications Engineer working on RF power transistors in both LDMOS and GaN technologies. He is currently with Infineon Technologies Americas, San Jose, CA, USA, as a Cellular Applications Engineering Manager. His research interests include high-efficiency RF power amplifier design, RF systems, and advanced transmitter architectures for future base-stations and RF power applications.

Giovanni Collodi was born in Florence, Italy, in 1966. He received the M.S. degree in electronic engineering (first-class honors) and Ph.D. degree in computer science and telecommunication engineering from the University of Florence, Florence, Italy, in 1996 and 2002, respectively. His doctoral work focused on MMIC circuit design and device modeling. From 2001 to 2004, he was a Postdoctoral Researcher with Arcetri Astrophysical Observatory, Department of Information Engineering, University of Florence, Florence, Italy. In 2002, he was also a Visiting Researcher with the Physical Sciences Research Lab Motorola, Tempe, AZ, USA. In the same period, he was a Contract Professor of electronics with the University of Florence. During his postdoctoral work with Arcetri Astrophysical Observatory, he was involved with the ATACAMA Large Millimeter Array radio telescope project (integrated circuit design). In 2005, he became an Assistant Professor with the Department of Information Engineering, University of Florence, where he focused his interest on developing systems for wireless applications. In 2006, he began his involvement with the Interdepartmental Centre Technology and Microsystems for Quality and Environmental Safety (CITMQSA). Since 2007, he has taught a course on technology and systems for wireless application His interest is now focused on the development of microwave systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1373

Low-Complexity Stochastic Optimization-Based Model Extraction for Digital Predistortion of RF Power Amplifiers Noel Kelly, Student Member, IEEE, and Anding Zhu, Senior Member, IEEE

Abstract— This paper introduces a low-complexity stochastic optimization-based model coefficients extraction solution for digital predistortion of RF power amplifiers (PAs). The proposed approach uses a closed-loop extraction architecture and replaces conventional least squares (LS) training with a modified version of the simultaneous perturbation stochastic approximation (SPSA) algorithm that requires a very low number of numerical operations per iteration, leading to considerable reduction in hardware implementation complexity. Experimental results show that the complete closed-loop stochastic optimization-based coefficient extraction solution achieves excellent linearization accuracy while avoiding the complex matrix operations associated with conventional LS techniques. Index Terms— Digital predistortion (DPD), linearization, model extraction, power amplifier (PA), simultaneous perturbation stochastic approximation (SPSA), stochastic optimization.

I. I NTRODUCTION

I

N MODERN wireless base stations, the RF power amplifier (PA) is an inherently nonlinear device that causes in-band distortion as well as out-of-band spectral growth in the transmitted signal. These effects are particularly severe at high output power levels when the PA is operated in a power efficient mode. Digital predistortion (DPD) is an advanced linearization technique that compensates for PA nonlinear effects by applying an inverted model of the PA to the input signal at digital baseband before amplification [1], [2]. To effectively apply DPD, an accurate PA model must be developed first since it is only when the nonlinear characteristics of the PA are accurately modeled and, thus, correctly reversed, that the overall system response to a signal flowing serially through the cascade of DPD-PA can become linear. In recent years, a range of advanced behavioral models for RF PAs have been developed with many derived from the Volterra series [3]–[5]. The coefficients for these models Manuscript received October 31, 2015; revised January 6, 2016; accepted March 22, 2016. Date of publication April 12, 2016; date of current version May 10, 2016. This publication was emanated from research supported in part by research grants from the Science Foundation Ireland (SFI) and co-funded under the European Regional Development Fund under Grant 13/RC/2077 and Grant 12/IA/1267. This paper is an expanded version from the IEEE MTT-S International Workshop on Integrated Nonlinear Microwave and Millimetrewave Circuits (INMMiC), Taormina, Italy, October 1–2, 2015. The authors are with the School of Electrical and Electronic Engineering, University College Dublin, Dublin 4, Ireland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2547383

are typically calculated by using least squares (LS) based algorithms in an indirect learning (IDL) architecture [6]–[8]. The LS algorithm offers high accuracy and fast convergence, but it uses complex matrix multiplications and inversions, which require substantial hardware resources to execute. Furthermore, the complexity of these matrix operations increases with the number of coefficients employed in the DPD model and the number of samples used in the extraction process [9]. As operating bandwidths in wireless communication systems continue to increase, the nonlinear behavior of the PAs becomes more complicated. It leads that more coefficients will be used in the DPD models, which, in turn, causes the LS operation to become more complex and power consuming. In addition, in future small-cell base stations, cost and energy consumption of the digital part itself is expected to become a major consideration because the power savings in the RF become smaller. Thus, low-complexity coefficient extraction solutions for DPD are highly desirable. In [10], a stochastic optimization-based DPD coefficient calculation technique was proposed as a low-complexity alternative to the LS solution. It was derived from the simultaneous perturbation stochastic approximation (SPSA) algorithm that uses the measurements of the loss function with a random perturbation on the model coefficients to determine the coefficient updating direction and finally find the optimum solution. By using this approach, the gradient approximation only requires two function measurements per iteration regardless of the number of coefficients involved. The coefficient perturbation process only requires a simple addition and subtraction operation, which leads to substantial savings in hardware resource usage in the model extraction. Due to limited space, only the basic concept was given and the SPSA was only applied in the IDL structure in [10]. In this paper, we first present the complete idea of the SPSA algorithm and then give a step-by-step guide to implementation of an enhanced version of the SPSA algorithm that is specifically suitable for the DPD coefficient extraction. Using information from previous iterations, the proposed modification substantially improves convergence speed. To effectively reuse hardware resources and optimize system performance, a complete SPSA-based model extraction approach using the closed-loop coefficient estimation architecture is also given. Experimental results show that the proposed approach can achieve comparable linearization performance, but use considerably less hardware resources compared to the conventional LS algorithm.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 1.

DPD system with IDL model extraction architecture.

Fig. 2.

This paper is organized as follows. In Section II, the conventional DPD model extraction is briefly reviewed and the associated challenges are highlighted. Stochastic optimization using the SPSA algorithm is introduced in Section III and the proposed novel application-specific solution is given in Section IV. Section V discusses practical application of the technique in the closed-loop coefficient estimation architecture, while Section VI reports simulation and experimental results. The overall findings of the work are summarized in Section VII. II. C ONVENTIONAL DPD M ODEL E XTRACTION Much effort has been devoted in recent years to developing efficient DPD behavioral models, typically with the goal of reducing the number of terms while maintaining model accuracy [3]–[5]. One recent example, the decomposed vector rotation (DVR) model [11], relates the PA input signal, x(n), ˜ and its output, y˜ (n), as shown in (1), where ai and cs,i are the model coefficients, y˜ (n) =

M 

ai x(n ˜ − i)

i=0

+ +

M S   s=1 i=0 M S  

˜ − i )| − βs | e j θ(n−i) cs,i,1 ||x(n ˜ − i )| − βs | e j θ(n−i) |x(n)| ˜ cs,i,21 ||x(n

s=1 i=0

+··· .

(1)

For calculation of the DPD model coefficient vector,  C = a1 , a2 , . . . , cs,1,1, . . . , the IDL architecture is commonly used [2], [6]–[8]. In this architecture, the model extraction is conducted by using a post-inverse model, where the output of the PA, y˜ (n), is used as input of the model while the input of the PA, u(n), ˜ is used as the expected output. Since the post-inverse model has the identical structure as that used for the pre-inverse DPD model, the extracted coefficients for the post-inverse model can be directly copied to the DPD block, as illustrated in Fig. 1. To extract the post-inverse model coefficients, the standard LS algorithm can be employed and the coefficient vector,  C, is given by −1  ˆ = YH Y YH U (2) C where (·) H represents the Hermitian transpose and (·)−1 is the matrix inverse operator. The vector U is formed from the DPD output signal samples u(n) ˜ and Y is a matrix constructed using measured samples of the PA output, y˜ (n), in which each

Loss function measurement in the SPSA algorithm.

column corresponds to a term in the DVR behavioral model in (1). The large matrix inversion and multiplication operations required to execute (2) are computationally complex and resource intensive. For instance, to extract 50 coefficients with 8000 digital samples, it requires over 40000000 complex multiplication operations. Implementing such algorithms in digital hardware requires substantial dedicated hardware resources and occupies a large chip area. To reduce the computational complexity, iterative coefficient extraction techniques can be considered. In particular, the recursive least squares (RLS) and least mean squares (LMS) algorithms have been applied in DPD and PA modeling [12], [13]. The RLS algorithm is an example of a quasi-Newton optimization method in which the coefficient update equation uses an approximation to the Hessian matrix at each iteration. Although the RLS algorithm avoids operations involving large matrices, maintaining an accurate approximation of the Hessian matrix still requires significant complexity, particularly for higher order models. Alternatively, gradient descent-based methods, such as LMS, rely on a simple first-order approximation to determine the update direction. In the LMS algorithm, a highly efficient approximation to the loss function gradient vector is used where large matrix calculations are avoided and implementation complexity is greatly reduced. However, LMS is very slow to converge and the algorithm typically struggles to achieve the desired model accuracy. III. SPSA A LGORITHM SPSA is an efficient stochastic optimization algorithm that follows an iterative procedure where incremental updating of adjustable parameters is used to converge towards the desired minimum or maximum of an objective function. For a given iteration, k, the SPSA algorithm calculates an updated coefficient vector according to   ˆk ˆ k − ak gˆ k C ˆ k+1 = C (3) C where  Ck is the existing coefficient vector and ak is a weighting factor used to control convergence speed. The term gˆ k ( Ck ) represents the loss function gradient at the coefficient vector  Ck and is responsible for determining the direction of the algorithm update. The key idea of the SPSA is that, Ck ), is estimated by using the loss function gradient, gˆ k ( measurements on the loss function instead of conducting direct differential calculation, which substantially reduces the computational complexity [14], [15]. Let us take a simple PA forward model, shown in Fig. 2, as an example and assume that the model is constructed by using

KELLY AND ZHU: LOW-COMPLEXITY STOCHASTIC OPTIMIZATION-BASED MODEL EXTRACTION FOR DPD OF RF PAs

1375

a nonlinear function with a set of coefficients. The goal is to find the optimum coefficient values that result in the closest match between the predicted output y  [n] and the measured output y[n] with input x[n]. To extract the coefficients, a random perturbation sequence, k is added and subtracted (with weighting ck ) from the current forward model coefficient vector,  Ck , generating two additional coefficient vectors, ˆ k + ck k ˆ+ = C C k − ˆ =C ˆ k − ck k . C k

(4)

By applying the two coefficient vectors to the model, two sets of model output data can be obtained and two loss function − measurements, L( C+ k ) and L(Ck ), are performed. The loss function gradient is then simply approximated by ˆ k) = gˆ k (C

ˆ −) ˆ + ) − L(C L(C k k . ˆ+ −C ˆ− C k

(5)

k

Fig. 3.

and the resulting coefficient update equation is given by ˆ k+1 = C ˆ k − ak C

ˆ + ) − L(C ˆ −) L(C k k . ˆ+ −C ˆ− C k

(6)

k

Since all elements of  Ck are randomly perturbed together, the gradient approximation measurements are independent from the number of the coefficients, which significantly simplifies the per-iteration complexity. The perturbation vector itself is randomly generated at each iteration, given by ⎤ ⎡ k1 ⎢ k2 ⎥ ⎥ ⎢ (7) k = ⎢ . ⎥ ⎣ .. ⎦ kW

and a Bernoulli distribution in which +1 and −1 outcomes occur with equal probability is considered a suitable choice to satisfy convergence requirements [15]. Based on the description above, a single iteration of the SPSA algorithm can then be summarized as follows. Step 1) Generate perturbation vector k . − Step 2) Calculate temporary coefficients  C+ k and Ck . − Step 3) Measure error function values L( C+ k ) and L(Ck ). Step 4) Obtain gradient approximation gˆ k ( Ck ). Step 5) Update coefficient vector  Ck . Using the update equation in (6), SPSA iteratively calculates an optimum coefficient vector for a given system with a very low number of operations per iteration. Specifically, as shown above, at each iteration the algorithm requires only a small number of simple addition and subtraction operations, as defined in (4) and (5), and generation of the perturbation vector in (7). The progression of the SPSA algorithm over four iterations across a sample error surface is depicted in Fig. 3. IV. M ODIFIED SPSA In the general form outlined above, the SPSA can be applied across a wide range of optimization scenarios. However, in this work only a single application of the algorithm is considered,

Evolution of the SPSA algorithm over four iterations.

namely, calculation of a set of DPD coefficients. This restricted operating environment can be exploited to develop the original algorithm into a higher performing application-specific technique, as discussed below. A. Quadratic Interpolation In conventional DPD, the normalized mean squared error (NMSE) is often used as a metric to quantify the linearization performance [16]. The NMSE measures the total power of the error vector between the ideal and modeled waveforms, normalized to the ideal signal power. It is defined as N |e(n)|2 (8) NMSE =  N n=1 2 n=1 |u ideal (n)| where N is the total number of samples, and e(n) is the difference between the ideal and measured signals e(n) = u meas (n) − u ideal (n).

(9)

Owing to the requirements of conventional extraction techniques, the vast majority of modern DPD behavioral models are designed to ensure a linear relationship between the model output and its coefficients. For these linear-in-parameters models, it follows that, for each sample, the error measured at the model output has a linear relationship with the model coefficients. This, in turn, leads that, if we choose NMSE as the loss function, the output of the loss function can be expressed as a quadratic function of the model coefficients [13]. This special feature of the loss function can be used to improve SPSA performance in extracting the DPD coefficients. In the standard implementation, the loss function gradient is approximated as the slope of a line between two points located in the vicinity of the coefficient estimate, as shown in Fig. 3. When the loss function is known to have a quadratic form, however, the interpolated function is no longer an approximation, but an accurate representation of the loss function along the chosen perturbation vector. As a result, the updated

1376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 4. Evolution of the quadratic interpolation SPSA algorithm over four iterations.

coefficient estimate can be found by moving directly to the minimum of the interpolated function ˆk − ˆ k+1 = C C

ˆ + ) − L(C ˆ − )) k (L(C k k . ˆ − ) + L(C ˆ + ) − 2L(C ˆ k) L(C k

(10)

k

For quadratic modeling of the loss function, three unique points are required. In addition to the two temporary coef− ficient vectors,  C+ k and Ck used in conventional SPSA, a third measurement is taken by directly using the current Ck ). Fig. 4 depicts the evolution of coefficient,  Ck , to give L( this process over a number of iterations for a single coefficient. Successive quadratic interpolations can be made and the coefficient set can be accurately updated to reduce the loss function value at each iteration. Using quadratic interpolation to improve SPSA performance was mentioned in [17], and the Appendix here provides further detail on the derivation of (10). B. Steep-Descent SPSA Algorithm Although results show that the performance in quadratic interpolation is consistent, the standard Bernoulli perturbations typically generate shallow quadratic functions that provide only a relatively small improvement at each iteration. This leads to long convergence time in model extraction. To improve convergence speed for SPSA, in this work, we propose to supplement the standard Bernoulli-based perturbations with calculated steep perturbation sequences designed to generate more efficient slices of the loss function surface. As shown in Fig. 4, as the SPSA algorithm progresses, unique coefficient sets and their related loss function points are generated at each iteration. Since the model is linear-inparameters, the loss function surface is thus quadratic, which leads that not only the coefficient sets associated with each iteration, but also any combination of two different coefficient sets, shall fall on a quadratic curve. For instance, as shown in Fig. 5, a coefficient set from iteration 1 and another set from iteration 5 can be used to form a new quadratic curve. This unique feature allows us to “intelligently” use the existing information from previous iterations to choose an efficient search direction.

Fig. 5.

Steep-descent perturbation vector generation.

To explain how this works, consider the example taken above of moving from a point on the quadratic on iteration 1 to the one on iteration 5. After the first iteration we select a C1 )) and similarly point and label it with coordinates ( C1 , L( after the fifth iteration, we select a second point ( C5 , L( C5 )). If we subtract  C1 from  C5 , we find the perturbation vector, SD , required to move between the points. Now, we define a third coefficient set  C5 + SD and obtain the associated loss function point L( C5 + SD ). The three points ( C1 , L( C1 )), C5 )), and ( C5 +SD , L( C5 +SD )) can now be used to ( C5 , L( form a quadratic curve. Using this curve a new minimum point and corresponding coefficient set can be found. Different from the normal Bernoulli-based quadratic searching where the perturbation weighting is constant across the coefficient set, here the weighting varies. If two coefficient sets are located a short distance apart along the horizontal axis, but are separated by a large vertical distance, a steep quadratic curve can be formed and, thus, the minimum point can be found much faster. We call this approach steep-descent SPSA (SD-SPSA). For the technique to work, the main challenge is in finding a suitable perturbation vector SD . To further explain, as shown in Fig. 5, at a given iteration k, to calculate SD , the SD-SPSA algorithm selects a point, denoted ( CSD , L( CSD )),  on the qth past loss function, L k−q (C), such that there exists a steep slope between it and the current coefficient vector/loss Ck )). The perturbation vector required function point, ( Ck , L( to move between these two points is calculated and designated the new steep descent perturbation, SD . Efficient calculation of the numerical values for  CSD is necessary if the SD-SPSA algorithm is to be effective. Using data from a past iteration, k–q, the desired  CSD coefficients  can be expressed in terms of Ck−q and k−q as   ˆ SD = C ˆ k−q + cstep × k−q C (11) where cstep is a constant determining the location of  CSD on the past loss function curve. The value of cstep for a given iteration is constant across all terms in the coefficient vector  CSD . Thus, (11) can be rearranged to give an expression CSD , for cstep in terms of a single term in  cstep =

ˆ SD, p − C ˆ k−q, p C . k−q, p

(12)

KELLY AND ZHU: LOW-COMPLEXITY STOCHASTIC OPTIMIZATION-BASED MODEL EXTRACTION FOR DPD OF RF PAs

1377

As shown in Fig. 5, we define a single term in  CSD, p as ˆ SD, p = C ˆ k, p + w C

(13)

where w is chosen as a small value to ensure a steep slope between the two points. The resulting numerical value is used to determine cstep in (12), which is, in turn, applied to (11) to find  CSD . Finally, the desired steep descent perturbation vector, SD , is calculated as simply the perturbation required to move between the current coefficient set  Ck to the set  CSD , ˆ SD − C ˆ k. SD = C

(14)

Once SD is determined, the SD-SPSA algorithm follows an identical procedure to the standard quadratic interpolation SPSA for the remainder of the iteration. Two temporary coefficient vectors are formed, ˆ+ = C ˆ k + ck SD C k − ˆ =C ˆ k − ck SD C k

(15)

and with the current coefficient vector  Ck , three loss function measurements are generated. Quadratic interpolation is performed on the resulting three unique loss function points and the updated coefficient estimate is calculated according to (10). Calculating the steep descent perturbation sequence relies on storing both the perturbation sequence, k , and the coefficient vector,  Ck , from past iterations. The number of loss functions to be stored can be a user-defined parameter of the algorithm. To prevent unnecessary implementation complexity, it is desirable to limit the memory depth as much as possible without impacting performance. It has been observed in this work that, by storing iteration data points at regular intervals instead of consecutively, overall storage requirements can be drastically reduced without significantly impacting on performance. For example, drawing on data from the past 1000 consecutive iterations, similar performance can be achieved by storing 100 data samples taken periodically over the same 1000 iterations. V. P ROPOSED DPD C OEFFICIENT E XTRACTION Two architectures are commonly used in DPD coefficient extraction: open-loop IDL and closed-loop adaptation. As shown in Fig. 1, the IDL puts the DPD block outside the training loop and uses the PA output as the input and the DPD output as the expected output to train a postinverse model first and then copy the coefficients to the pre-inverse (DPD) block. It can converge very quickly, but the final accuracy may be affected by linear impairments of the feedback loop [18]. More importantly, a complete post-distortion model must be constructed in the training process, which can increase the hardware implementation cost of the coefficient extraction. In contrast, outlined in Fig. 6, the closed-loop approach places the DPD model inside the estimation loop and iteratively updates the coefficients using a separate error model [18], [19]. On each training run, h, the ˆ DPD model coefficients vector C D P D is updated according to ˆ DPD,h+1 = C ˆ DPD,h − λC ˆ error C

(16)

Fig. 6.

SD-SPSA in the closed-loop coefficient estimation architecture.

where the error model coefficients vector,  Cerror , is trained to model the measured error between the original input x[n] and the PA output y[n], e[n] = x[n] − y[n]

(17)

and λ is the adaptation factor. The closed-loop converges slower, but it can typically achieve greater accuracy when it reaches the steady state. Since the error model uses the same input as the DPD model, calculation of the nonlinear modeling terms can be shared between the two blocks, which can reduce hardware resource usage. In this work, we propose to incorporate the SD-SPSA algorithm developed from Section IV into a closed-loop estimation architecture to demonstrate how the SPSA technique can simplify the DPD model extraction process. A. SPSA-Based Closed-Loop Coefficient Extraction Typically, LS estimation is used to calculate the error model coefficients, ˆ error = (X H X)−1 X H E. (18) C where X is a matrix constructed from the input samples, x[n], in which each column corresponds to a term in the DPD model and E is the vector of measured errors, e[n]. As mentioned earlier, LS operation involves large matrix operations. In this work, we propose employing the SD-SPSA algorithm to train the error model. Applying SD-SPSA in this architecture, in order to measure the loss function at each iteration, the error model output, emod [n] in Fig. 6, must be calculated. In other words, we need to run the error model, ˆ error E mod = XC

(19)

where Emod is the vector of error model output samples emod [n]. Since the error model has identical structure to that used in the DPD block and, at each DPD run, the matrix X is already generated in the DPD block, it is not necessary to implement a full copy of the DPD model. Instead, in the error model we only need to read out the terms of the matrix X from the DPD block and multiply with the error coefficients to generate Emod . As shown in Fig. 6, this allows just one set of model terms to be generated in the DPD model and “shared”

1378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I SD-SPSA V ERSUS LS C OMPLEXITY C OMPARISON

Fig. 7.

Closed-loop model extraction procedure.

with the error model where a different coefficient vector is applied. For example, the term ||x˜ (n − i )| − βs | e j θ(n−i) in the DVR model in (1) can be generated just once and multiplied by a different coefficient in the DPD and error models. Implementation of a complete DPD model structure is highly resource-intensive and represents a significant portion of the overall digital hardware requirements of the DPD system [20], utilizing just one DPD model for both coefficient extraction and predistortion significantly reduces the overall complexity. The complete closed-loop DPD extraction process, as outlined in Fig. 7, is composed of two training loops. On a given closed loop coefficient extraction run, system input and output data is captured and used to generate an error signal. The SD-SPSA algorithm then runs in an internal training loop using the captured data to extract the error model coefficients. The conditions for exiting the internal SD-SPSA training loop can be chosen by the user. In our test, we limit a maximum number of iterations as the exiting criteria. When SD-SPSA training is complete, the calculated error model coefficients are passed out of the internal loop and used to update the DPD coefficient estimate, as in (16). If necessary, after the DPD coefficients are updated, new data is captured and the process is repeated until a predetermined linearization target is achieved. B. Complexity Analysis Table I details the SD-SPSA complexity in terms of real multiplications and additions required per iteration. Operations required to generate the error model output at each iteration are also included. Standard LS extraction complexity, as in (18), is included for reference. The results show that the coefficient update complexity in the SPSA is greatly simplified. To quantify the improvement, for an example scenario with N = 8192 samples and K = 50 model terms,

SD-SPSA requires 2490518 real multiplications while LS would need 124483800 operations, leading to a 98% reduction in computational complexity. It is notable that, although not strictly a part of the algorithm itself, the main complexity of SD-SPSA lands on calculating the error model output and the NMSE. For conducting SD-SPSA, the only additional overhead is to calculate the steep descent perturbations. This amounts to K real multiplications and 4K real additions, a minor cost relative to the overall complexity. For completeness, aside from the main steps detailed in Table I, a small number of hardware resources are also required for generation of the random perturbation sequence each iteration. For the SD-SPSA algorithm, past iteration data must be stored. For each iteration, the saved data set contains the original coefficient vector and the associated perturbation vector. Assuming 32-bit accuracy for each complex value, for a DPD model with K coefficients, this corresponds to 32 ×K × 2 bits of data per stored iteration. For the results presented in this paper, the SD-SPSA algorithm stores information from 100 past iterations, leading to a total storage requirement of 32 ×K × 2 × 100 bits of data. A typical DPD model with K = 50 will thus only require 320 kb of storage space. Considering the Xilinx Virtex 7 field programmable gate array (FPGA) family has between 28620 kb and 67680 kb of on-device storage capacity in the form of individual 36-kb block RAM units, this memory requirement is well within the capacity of on-board storage in modern FPGA chips [21]. VI. S IMULATION AND E XPERIMENTAL R ESULTS In this section, simulation results for the SD-SPSA algorithm are first presented before the complete DPD coefficient estimation architecture is evaluated in a full RF test bench.

KELLY AND ZHU: LOW-COMPLEXITY STOCHASTIC OPTIMIZATION-BASED MODEL EXTRACTION FOR DPD OF RF PAs

Fig. 9. Fig. 8.

Quadratic and SD-SPSA NMSE performance. TABLE II

SD-/Q UADRATIC SPSA F ORWARD M ODELING P ERFORMANCE

1379

DPD test platform setup.

level within 1 dB of the LS reference and after 30000 iterations the difference between the two is approximately 0.1 dB. Thus it is shown that using the SD-SPSA algorithm, it is indeed possible to achieve accuracy levels comparable to those obtained with conventional LS techniques. B. DPD Experimental Test

A. PA Modeling Simulation The performance of DPD model extraction solutions heavily relies on the ability of the algorithms to achieve the desired modeling accuracy. To confirm the accuracy of the proposed SD-SPSA algorithm, a forward PA modeling scenario is considered in this section. The training data consists of 14500 input/output samples captured from an LDMOS Doherty PA operated at 37 dBm and excited by a 20-MHz W-CDMA signal. A DVR model, as given in (1), with parameters S = 8 and M = 3, serves as the behavioral model. Both the quadratic SPSA and the SD-SPSA algorithms were tested. The SD-SPSA algorithm was operated with a 2:1 ratio of Bernoulli to steep descent perturbations and 100 past data points were stored with a sampling interval of every ten iterations. The LS estimation, outlined in (2), was also taken as the reference. Fig. 8 reports the NMSE performance over iterations. Both the quadratic SPSA and the SD-SPSA follow a similar training pattern in which convergence speed is high for an initial period of approximately 2000 iterations before slowing down drastically as the NMSE approaches that of the LS solution. For the quadratic SPSA, this plateau effect occurs earlier than in the SD-SPSA solution. After 10000 iterations, the SD-SPSA algorithm converges to a value close to the LS reference. Table II reports the number of iterations required to reach a chosen accuracy level for each algorithm and again, the fast converging SD-SPSA algorithm is shown to outperform standard quadratic SPSA. In addition, in less than 5000 iterations the SD-SPSA algorithm achieves an NMSE

The proposed model extraction solution was then evaluated in a full RF test bench. The test setup was the same as that used in [22], shown in Fig. 9. An LDMOS Doherty PA again was operated at 2.14 GHz. The input signal was generated in MATLAB running on a PC before it was passed to the RF board for modulation and up-conversion and finally sent to the PA. At the PA output, the signal with a pre-determined block length was down-converted and demodulated to baseband, and then captured and returned to the PC for coefficient extraction. Following the model extraction process illustrated in Fig. 7, the experimental procedure is as follows: 1) capture PA input/output signal without DPD; 2) using the captured input/output data, apply the SD-SPSA ˆ error in to calculate the error model coefficients vector C the inner loop of training; 3) update DPD coefficients using (16); 4) using the updated DPD coefficients, generate the predistorted input signal, upload to the RF test bench. 5) re-capture PA output signal generated by the new predistorted signal; 6) repeat 2)–5) until the desired linearization performance is achieved. The sampling rate of the test platform was 368.64 MHz. The closed-loop coefficient adaptation factor λ was 0.7 and on each run 15000 iterations of the SD-SPSA algorithm were used to calculate the error model coefficients. 1) Performance With 20-MHz LTE Signal: A 20-MHz single-band LTE signal with 6.5-dB peak-to-average power ratio (PAPR) was used in the first test. The predistortion model was a DVR-based function with S = 8 and M = 3. Table III reports the performance of the SD-SPSA algorithm over the course of a series of closed-loop estimation training runs in terms of adjacent channel power ratio (ACPR) and NMSE. After ten runs, strong linearization performance is achieved. This is confirmed in Fig. 10 where the AM/AM and AM/PM plots are compared for the signal with and without DPD.

1380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE III

TABLE IV

SD-SPSA DPD P ERFORMANCE FOR 20-MH Z LTE S IGNAL

SD-SPSA DPD P ERFORMANCE FOR 60-MH Z UMTS S IGNAL

Fig. 12. Fig. 10. AM/AM and AM/PM plots for 20-MHz LTE signal with and without DPD.

Fig. 11.

Output spectra for 20-MHz LTE signal.

For comparison between the proposed solution and existing methods, linearization results for a conventional LS algorithm applied in the same closed-loop architecture are reported. As in the SD-SPSA simulations a training set of 15000 input/output samples was captured to perform each training run using the LS algorithm. Results are reported in Fig. 11 and further detailed in Table III where it can be seen that the proposed SD-SPSA solution achieves comparable time-domain NMSE and frequency-domain ACPR performance. We also conducted tests using the LMS and RLS algorithms. Due to the limited number of training samples available, LMS could not converge properly and thus the performance is very poor with NMSE only reaching −30 dB. RLS can achieve similar performance to the SPSA, but RLS requires more complex operations at each iteration.

Output spectra comparison for 60-MHz 12-carrier UMTS signal.

2) Performance With 60-MHz UMTS Signal: To test performance in a wideband extraction scenario, a 60-MHz 12-carrier UMTS signal was used. The signal had 6.5-dB PAPR and was applied in the test bench setup in Fig. 9 with an LDMOS Doherty PA with average output power of 34 dBm. A DVR predistortion model with S = 8 and increased memory length M = 5 was used to account for increased memory effects due to the wider bandwidth. The SD-SPSA algorithm was bandlimited to an observation bandwidth of 140 MHz. Linearization performance is reported in Table IV for DPD with the closed-loop coefficient estimation using both conventional LS and SD-SPSA. Both techniques are shown to achieve similar linearization performance, reducing the ACPR by approximately 20 dB and the NMSE by approximately 30 dB. Fig. 12 reports the measured spectra at the PA output for the wideband signal, confirming the strong linearization performance of the SD-SPSA algorithm, directly comparable to the LS estimation. VII. C ONCLUSION This paper has presented a novel DPD model extraction solution based on a stochastic optimization technique incorporated in a closed-loop coefficient estimation architecture. The proposed algorithm avoids computationally intensive Hessian and gradient calculations, instead using loss function measurements to approximate the gradient and iteratively update the coefficient estimate. The proposed closed-loop technique also avoids generating a second set of model terms as required in IDL structures. This further reduces the system complexity, making it well suited to low-cost FPGA implementation. Experimental results show that the proposed approach achieves excellent linearization performance with accuracy comparable to that achieved using the conventional LS method.

KELLY AND ZHU: LOW-COMPLEXITY STOCHASTIC OPTIMIZATION-BASED MODEL EXTRACTION FOR DPD OF RF PAs

A PPENDIX The quadratic interpolation SPSA update equation in (10) can be developed as follows. For a linear-in-parameters model, NMSE is a quadratic function of the model coefficients [13]. Thus, for a given iteration, k, of the SPSA algorithm, a two-dimensional (2-D) section of the loss function can be defined along a given direction (determined by the perturbation sequence) in terms of any one of the model coefficients  Ck, p as  2 ˆ k, p + φ2,k, p C ˆ k ) = φ1,k, p C ˆ k, p + φ3,k, p (A.1) f (C where the unique quadratic function parameters φ1,k, p , φ2,k, p , and φ3,k, p exist for each model coefficient p and iteration k. The minimum of (A.1) represents the best performance that can be achieved by varying the weighting factor for a given set of fixed coefficient and perturbation vectors. Finding the coefficient set corresponding to the quadratic minimum can be formulated as a Newton-based optimization problem. For a simple quadratic minimization problem, Newton’s method is given by  ˆ ˆ n − μ f (C n ) ˆ n+1 = C C ˆ n) f  (C

(A.2)

where  Cn+1 is the updated optimum parameter estimate,  Cn is Cn ) and f  ( Cn ) are the first the current parameter set, and f  ( and second derivatives of the function f ( Cn ) for which the minimum is sought. For the quadratic in (A.1), the first and second derivatives are given by

and

ˆ k, p + φ2,k, p ˆ k, p ) = 2φ1,k, p C f  (C

(A.3)

ˆ k, p ) = 2φ1,k, p . f  (C

(A.4)

Newton’s method is derived from a second-order truncated Taylor series so only a single iteration is needed to reach the quadratic minimum ˆ k )) = C ˆ k, p − arg min( f (C ˆ C

ˆ k, p + φ2,k, p 2φ1,k, p C . 2φ1,k, p

(A.5)

Substituting for φ1,k, p and φ2,k, p using the algebraic expresˆ , L(C ˆ k )), sions in terms of the three measured points (C k, p + + − − ˆ , L(C ˆ )), and (C ˆ , L(C ˆ )), (A.5) is given by (C k, p k k, p k      ˆ+ − L C ˆ− k, p L C k k ˆ k )) = C ˆ k, p −      . arg min( f (C − + ˆ ˆ ˆ ˆk C L Ck + L Ck − 2L C (A.6) The quadratic interpolation SPSA algorithm generates the updated optimum coefficient estimate using the interpolated quadratic minimum for each term in the coefficient vector. Thus the complete update algorithm at each iteration is a generalized version of (A.6),      ˆ+ − L C ˆ− k L C k k ˆ ˆ     (A.7) Ck+1 = Ck −   − + ˆ ˆk ˆ +L C − 2L C L C k

k

1381

ˆ k are the complete perturbation and coefficient where k and C vectors, respectively. R EFERENCES [1] J. Wood, Behavioral Modeling and Linearization of RF Power Amplifiers. Norwood, MA, USA: Artech House, 2014. [2] F. Luo, Digital Front-End in Wireless Communications and Broadcasting. Cambridge, U.K.: Cambridge Univ. Press, 2011. [3] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [4] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [5] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reduction based Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [6] L. Ding et al., “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [7] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kinball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based Volterra series,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1524–1534, Jul. 2008. [8] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [9] L. Guan and A. Zhu, “Optimized low-complexity implementation of least squares based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 594–603, Mar. 2012. [10] N. Kelly and A. Zhu, “A modified simultaneous perturbation stochastic optimization algorithm for digital predistortion model extraction,” in Int. Integr. Nonlinear Microw. Millimetre-Wave Circuits Workshop, Taormina, Italy, Oct. 2015, pp. 1–3. [11] A. Zhu, “Decomposed vector rotation-based behavioral modeling for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 737–744, Feb. 2015. [12] F. M. Ghannouchi, O. Hammi, and M. Helaoui, “Characterization and identification techniques,” in Behavioral Modeling and Predistortion of Wideband Wireless Transmitters, 1st ed. London, U.K.: Wiley, 2015, ch. 8, pp. 170–183. [13] P. S. R. Diniz, “Fundamentals of adaptive filtering,” in Adaptive Filtering Algorithms and Practical Implementation, 3rd ed. New York, NY, USA: Springer, 2008. [14] J. C. Spall, “Multivariate stochastic approximation using a simultaneous perturbation gradient approximation,” IEEE Trans. Automat. Control, vol. 37, no. 3, pp. 332–341, Mar. 1992. [15] J. C. Spall, “An overview of the simultaneous perturbation method for efficient optimization,” The John Hopkins APL Tech. Dig., vol. 19, no. 4, pp. 482–492, 1998. [16] M. S. Muha, C. J. Clark, A. A. Moulthrop, and C. P. Silva, “Validation of power amplifier nonlinear block models,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 2, pp. 759–762. [17] A. V. Keerthi and P. Choudary, Method and apparatus to optimize adaptive radio-frequency systems, U.S., Patent Patent 0258 591, Oct. 20, 2011. [18] R. N. Braithwaite, “Closed-loop digital predistortion (DPD) using an observation path with limited bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 726–736, Feb. 2015. [19] L. Guan and A. Zhu, “Dual-loop model extraction for digital predistortion of wideband RF power amplifiers,” IEEE Microw. Wireless Compon. Lett, vol. 21, no. 9, pp. 501–503, Sep. 2011. [20] L. Guan and A. Zhu, “Low-cost FPGA implementation of Volterra series-based digital predistorter for RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 866–872, Apr. 2010. [21] “7 series FPGAs overview, 1st ed.,”Xilinx, Inc., San Jose, CA, USA, 2015. [22] L. Guan, R. Kearney, C. Yu, and A. Zhu, “High performance digital predistortion test platform development for wideband RF power amplifiers,” Int. J. Microw. Wireless Technol., vol. 5, no. 2, pp. 149–162, Apr. 2013.

1382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Noel Kelly (S’15) received the B.E. degree in electronic engineering from the School of Electrical and Electronic Engineering, University College Dublin (UCD), Dublin, Ireland, in 2012, and is currently working toward the Ph.D. degree at UCD. He is currently with the RF and Microwave Research Group, UCD. His research interests include low-complexity digital predistortion architectures, efficient field-programmable gate-array (FPGA) implementation solutions and digital predistortion applications for satellite communications.

Anding Zhu (S’00–M’04–SM’12) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, 2004. He is currently a Senior Lecturer with the School of Electrical and Electronic Engineering, UCD. His research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on behavioral modeling and linearization for RF power amplifiers (PAs). He is also interested in wireless and RF system design, digital signal processing, and nonlinear system identification algorithms.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1383

Passive Intermodulation of Analog and Digital Signals on Transmission Lines With Distributed Nonlinearities: Modelling and Characterization Dmitry S. Kozlov, Student Member, IEEE, Alexey P. Shitvov, Member, IEEE, Alexander G. Schuchinsky, Fellow, IEEE, and Michael B. Steer, Fellow, IEEE

Abstract— Passive intermodulation (PIM) often limits the performance of communication systems with analog and digitally modulated signals and especially of systems supporting multiple carriers. Since the origins of the apparently multiple physical sources of nonlinearity causing PIM are not fully understood, the behavioral models are frequently used to describe the process of PIM generation. In this paper, a polynomial model of memoryless nonlinearity is deduced from PIM measurements of a microstrip line with distributed nonlinearity with two-tone CW signals. The analytical model of nonlinearity is incorporated in Keysight Technology’s ADS simulator to evaluate the metrics of signal fidelity in the receive band for analog and digitally modulated signals. PIM-induced distortion and cross-band interference with modulated signals are compared with those with two-tone CW signals. It is shown that conventional metrics can be applied to quantify the effect of distributed nonlinearities on signal fidelity. It is found that the two-tone CW test provides a worst-case estimate of crossband interference for two-carrier modulated signals, whereas, with a three-carrier signal, PIM interference in the receive band is noticeably overestimated. The simulated constellation diagrams for QPSK signals demonstrate that PIM interference exhibits the distinctive signatures of correlated distortion and this indicates that there are opportunities for mitigating PIM interference and that PIM interference cannot be treated as noise. One of the interesting results is that PIM distortion on a transmission line results in asymmetrical regrowth of output PIM interference for modulated signals. Index Terms— Cross-band interference, distributed nonlinearity, intermodulation distortion (IMD), memoryless polynomial model, passive intermodulation (PIM), signal integrity.

I. I NTRODUCTION

I

N WIRELESS communications, intermodulation (IM) distortion is broadly defined as the appearance of additional

Manuscript received November 1, 2015; revised January 19, 2016, March 28, 2016 and March 30, 2016; accepted March 30, 2016. Date of publication April 28, 2016; date of current version May 10, 2016. This work was supported by FP7 Marie Curie ITN ARTISAN under Grant 316426. The work of D. Kozlov was supported by the FP7 Marie Curie ITN project ARTISAN. This paper is an expanded version from IEEE MTT-S International Workshop on Integrated Nonlinear Microwave and Millimetre-wave Circuits, Taormina, Italy, October 2015. D. S. Kozlov, A. P. Shitvov, and A. G. Schuchinsky are with the Institute of Electronics, Communications and Information Technology, Queen’s University Belfast, BT3 9DT, U.K. (e-mail: [email protected]; [email protected]; [email protected]). M. B. Steer is with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27695 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2550046

Fig. 1.

Schematic diagram of cross-band PIM in a BS antenna duplexer.

spectral components, i.e., spectral regrowth, at the output of a nonlinear system that are distinct from the carrier frequencies of input signals and their integer multiples. IM is usually a harmful effect, as the spurious spectrum generated by the transmitted signals may overlap that of received signals in a transceiver front-end. Such co-site interference can cause strong cross-band interference and receiver desensitization and can even render the whole link inoperable [1]. This is a problem in advanced LTE systems which support carrier aggregation in mobile units where fewer resources are available to minimize the sources of PIM through overdesign. The sources of nonlinear interference in communication systems are diverse, but a power amplifier (PA) is usually regarded as a primary source of adjacent transmit (Tx) channel interference. While the out-of-band spectrum can be efficiently rejected by high-performance Tx filters, a bandpass filter itself, as well as power combiners, phase shifters, and beamforming networks in a base station (BS), can cause strong crossband interference due to passive intermodulation (PIM) of modulated carriers. Fig. 1 shows a schematic diagram of PIM occurrence in generic BS front-end and antenna. The thirdorder PIM products of frequency f 3 = 2 f 1 − f 2 , generated by weak nonlinearities of the Tx filter and antenna operated with two high-power carriers of frequencies f1 and f 2 , fall into the receive (Rx) band and distort weak received signals of frequency f3 . This results in receiver desensitisation, reduced signal-to-noise ratio (SNR), and degraded channel capacity. Primary sources of PIM are located in the circuit components shared by the transmit and receive signals such as the transmissions lines and antenna on the antenna-side

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

of the duplexer. Therefore, signal pre-distortion used for linearization of power amplifiers cannot eliminate PIM interference generated by the passive devices. Mitigation of the PIM impact is complicated by the presence of several nonlinearities of diverse physical nature which are difficult to identify and discriminate using existing measurement techniques. Only a mechanism with a unique signature, the electro-thermal resistive effect, has been consistently defined so far [2]. This necessitates the need to use behavioral models for PIM characterisation, and these models require validation for particular situations. Current approaches to assessing the PIM performance of antennas, passive components (e.g., filters, multiplexers and phase-shifters), circuit boards and communications payloads are incorporated in various communication and radar standards. The commonly used technique is a two-tone continuous wave (CW) test, specified by IEC62037–1 (2012) standard [3]. The test involves scalar measurements of the power levels of PIM products of the third or higher orders in the receive (Rx) band generated due to mixing of two transmitted (Tx) carriers of specified discrete frequencies as illustrated in Fig. 1. For example, a typical pass/fail threshold for the third-order PIM (PIM3) products generated by a BS antenna is specified as −112 dBm with two 43 dBm discrete CW carriers. This PIM level is commensurate with the thermal noise power of −114 dBm in 1 MHz bandwidth. Swept carrier frequency measurements are also used to monitor PIM variations across Rx band. Some commercial PIM analyzers support swept carrier power measurements but such tests are rarely used in practice. The merits and limitations of the standard two-tone CW PIM tests were discussed in [4] in the context of cable assemblies and connectors. However, adequacy and utility of PIM characterisation with two discrete CW tones, which is cost effective as compared to using wideband modulated signals and multiple tones, requires further investigation and justification now when there are many concurrent carriers and protocols with high peak-to-mean envelope ratios (PMEPR). It used to be tacitly assumed that the PIM effect would not depend on the signal type and could be assessed using the two-tone CW models and respective tests. However, there is increased concern in industry about the suitability of the current PIM tests for emerging broadband multicarrier systems that support diverse modulation schemes and the legacy standards, see, e.g., [5]–[7]. Furthermore, carrier aggregation in cost-constrained mobile handsets places increased emphasis on modelling to aid in the design of systems with controlled and predictable levels of PIM. At the same time, signal waveforms become increasingly complicated, and, together with multiple carriers, the PMEPR of combined signals and peak powers can be very large. Therefore, representative signal integrity metrics are now required to capture the essential dynamics of PIM distortion, which could be used for efficient digital correction of the received signals. Correlation analysis techniques are extensively applied to characterization of the in-band and adjacent channel nonlinear distortions in active devices and circuits, [8]–[10]. However, cross-band PIM interference caused by complex modulated signals transmitted through passive components of a Tx chain is still lacking metrics beyond

that based on ideal-mixer models. Thus PIM interference is commonly treated as uncorrelated noise. Alternatively, if the essential dynamics of signal distortion by PIM interference is incorporated into representative signal fidelity metrics, the effect of PIM distortion of received signals could be mitigated with the aid of efficient digital correction of received signals. This paper focuses on modelling PIM interference generated by the distributed nonlinearities of transmission lines (TLs) carrying modulated signals. The PIM simulation concepts for these structures with two-tone CW carriers have been discussed in [2], [11]–[18], and here we develop a behavioral model retrieved from the results of two-tone PIM tests and show that this model can be used for the prediction of PIM distortion of modulated signals on a TL with distributed nonlinearity (TLDN) employed in circuit boards and antenna feeds. The PIM effect in the presence of analog and digitally modulated signals is examined in terms of the commonly used metrics of signal integrity and adjacent channel power ratio deduced from two-tone CW PIM tests. This paper significantly extends and elucidates the modeling concept outlined in the conference summary [11]. In particular, we employ here a novel analysis based on a polynomial model of nonlinearity and suggest an advanced characterization approach. The new results are presented, including those related to the model extraction and simulations of PIM distortion of digital signals. In Section II, an analytical single-input single-output model of two-tone IM generation in a nonlinear network is revisited, and closed-form expressions for PIM products are obtained and related to interference metrics. In Section III, the model is extended to a TLDN, and its parameters are extracted from PIM3 measurements on a microstrip line and subsequently validated. In Section IV, the model of nonlinearity is used in envelope tracking simulations to assess distortions of analog and digitally modulated signals by PIM products generated on the TL. The simulation results for modulated signals are compared with the results of two-tone CW tests using the standard signal integrity metrics. The effects of PIM interference on analog and digitally modulated signals and the suitability of the two-tone CW PIM test for assessment of modulated signals are discussed in the conclusion. II. PIM G ENERATION BY PASSIVE N ONLINEARITY PIM generation by nonlinear mixing of high-power signals is revisited here by considering a memoryless nonlinear device described by a polynomial transfer function (TF). The choice of the model is informed by our experimental studies of PIM generation in printed lines. The results of our measurements in power/frequency sweep modes have shown that a phenomenological memoryless model adequately describes the processes of frequency mixing by a passive nonlinearity on a transmission line. The model, in principle, can be extended to a Hammerstein–Wiener model to include memory effects, but its identification is not possible by conventional PIM testing. In real-world two-port circuits, PIM products generated inside a passive component appear at its output and input ports as the “forward” and “reverse” (also known as “reflected”) PIM products, respectively. For the sake of clarity, the analysis below is limited to the PIM products of odd orders, which fall

KOZLOV et al.: PIM OF ANALOG AND DIGITAL SIGNALS ON TRANSMISSION LINES WITH DISTRIBUTED NONLINEARITIES

Fig. 2.

In the case of CW signals, the spectrum of the output waveform contains components at the carrier frequencies, lower and upper sideband odd order IM frequencies, and odd harmonics of the carrier frequencies. In our analytical model, the output spectrum is truncated to retain only the fundamental frequencies in the Tx band and the odd-order IM products in the immediately adjacent and alternate bands, thus excluding all harmonics and higher-order IM products. The odd-order IM frequencies closest to the carriers’ band satisfy the following condition:

Block diagram of the model structure.

in adjacent Rx band and so are dominant contributors to crossband interference and Rx signal distortion. Generalization to a full polynomial TF is straightforward and is not presented here for brevity. In the discussion below, we refer to intermodulation (IM) phenomena, since the presented formalism is equally applicable to both active and passive nonlinearities. Consider the passive weakly nonlinear device shown schematically in Fig. 2. Its nonlinear TF maps an input two-tone modulated signal x(t) onto an output waveform y(t) which contains the carriers’ harmonics and IM frequencies. The input two-tone signal is represented by the form x(t) = A1 (t) cos [ω1 t + θ1 (t)] + A2 (t) cos [ω2 t + θ2 (t)]

N

y(t) = a1 x(t) + a3 x (t) + · · · + a N x (t) =

(N−1)/2 

a2n+1 x 2n+1 (t)

ωl = (l + 1)ω1 − lω2

M−odd

where y M (t) = e

j θ(t )

n=0

×

n=0

where, without loss of generality, coefficients a1 , a3 , . . . , a N are assumed to be real-valued and time-invariant. It is necessary to note that the polynomial approximation (2) of the nonlinear TF represents a large-signal model of a passive nonlinear device which is symmetrical for the positive and negative voltages. However, when the nonlinearity is associated with nonsymmetrical junctions at the microscopic scale, even-order terms need to be included in the polynomial expansion of the TF. Various models of lumped and distributed passive nonlinearities have been reported in the literature, e.g., for the limiter-type [19], hysteretic [20], dynamic transmission line [21] nonlinearities, and tunnelling currents in MIM contacts [22]. An optimal model of the actual nonlinearity is determined by the specific physical mechanisms, but their discussion is beyond the scope of this paper. For the input signal x(t) of the type shown in (1), the output waveform y(t) in (2) can be obtained in a closed form as detailed in Appendix A. Since the odd-order IM products closest to the carrier bands are usually stronger and often appear in the Rx bands, it is instructive to consider them in more detail using their explicit expressions (A9) for the identical envelops of the carriers with A1 (t) = A2 (t) = A(t) and θ1 (t) = θ2 (t) = θ (t).

a2n+1 2n + 1 2n+1 (t) A 22n+1 n + 1



n+1 n k k − M+1 2

(N−1)/2 

n+1  k=(M+1)/2

(2)

(3)

where l = (M − 1)/2, and |M| is the order of the IM product, M = ±3, ±5, . . . , ±N. The positive values of M correspond to the lower sideband IM products, whereas the negative values to the upper sideband IM products. The respective bandlimited output waveform can be expressed in the following form: ⎧ ⎫ ⎪ ⎪ ⎪ ⎪ N ⎨  ⎬ j [(M+1)/2 ω1 −(M−1)/2 ω2 ]·t yˆ (t) = Re (4) y M (t)e ⎪ ⎪ ⎪ ⎪ ⎩ M=−N ⎭

(1)

where A1,2 (t) and θ1,2 (t) are the modulation amplitude (AM) and phase (PM), respectively, and ω1,2 = 2π f 1,2 are carrier frequencies, and it is assumed that ω1 < ω2 . For the analysis of the odd-order IM products, the TF of a memoryless weak nonlinearity can be described by an oddorder polynomial of power N as 3

1385

(5)

and y M (t) represents the baseband envelope of the carriers and IM products at the device output. For example, M = 3 corresponds to the third-order IM (IM3) product in the lower sideband, which usually overlaps with the Rx band, and its baseband envelope is given by y3 (t) in (5). It is also necessary to remark that the baseband envelopes of the carriers, corresponding to M = ±1, exhibit distortion due to depletion in nonlinear mixing. The utility of the closed-form expressions (4) and (5) cannot be overstated. They enable the spectral-correlation analysis of the cross-band IM interference. When applied to additive white Gaussian noise (AWGN), or other noise-like test signals, the terms in (4) can be orthogonalized to discriminate the correlated and uncorrelated noise terms, similarly to [8], and to enable development of efficient linearization and detection algorithms. At the same time, the analytical relations obtained here provide fairly accurate initial approximations for more detailed numerical analysis of the IM products generated by complex signals when using harmonic balance-based simulation tools. To quantify the appearance of spurious spectral components arising in the Rx band due to the nonlinear mixing of the input signals in the Tx band, it is expedient to use the adjacent band power ratio (ABPR) metric, [23]. The ABPR is defined as the ratio of the mean power of spurious emission in the Rx band in the absence of the received signal from antenna, to the

1386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

transmitter mean power over the Tx band, viz. |Yˆ ( f )|2 ABPR = Rx 2 T x |X ( f )|

(6)

where X ( f ) and Yˆ ( f ) are the Fourier transforms of the Tx input signal, x(t), and the band-limited output signal, yˆ (t), accrued over the Tx and Rx band, respectively. Hence, the output Rx spectrum contains all odd-order IM products defined by (4) that fall inside the Rx frequency band. The ABPR metric proved to be convenient for the assessment of distortion for both discrete-tone and modulated signals. It is also directly related to the dBc measure in the standard two-tone CW PIM test, where the input power per carrier is just 3 dB lower than the Tx power in the denominator of (6). Using the nonlinear TF expansions in (4) and (5), the ABPR can be calculated analytically for a two-tone input signal, once the coefficients an in (2) are determined. In the next section, the polynomial model (2) is extracted from the two-tone scalar PIM measurements in the power sweep mode.

Fig. 3. RMSE of the measurement data fitting with the polynomial approximation (2) by least mean square algorithm versus the polynomial order, N.

III. P OLYNOMIAL M ODEL FOR PIM A NALYSIS OF TLDN The PIM characterization at variable power and frequency of carriers is necessary for identifying distinctive signatures of the dominant nonlinearity. The PIM measurements with swept power, which are somewhat similar to those for a memoryless PA, see, e.g., [24], enable us to deduce a polynomial approximation (2) of the nonlinear TF at a given frequency. Then using the frequency sweep data, the polynomial coefficients in (2) can be made frequency-dependent. However, frequency variations of the polynomial coefficients are normally very small across the specified band and commensurate with overall uncertainty of fitting the data to the polynomial model (2). Therefore, the use of constant polynomial coefficients proved to be sufficient for PIM performance assessment as demonstrated next. A. Polynomial Model for PIM3 on a Microstrip Line Retrieval of the polynomial coefficients in (2) from power sweep measurements of PIM3 is illustrated here for a printed microstrip TL [25]. The test specimens of straight uniform microstrip lines of width W = 1.9 mm and different lengths were fabricated on a single panel of custom-built Taconic TLG-30 PCB material (εr = 3.0, tan δ = 0.0026, substrate thickness h = 0.76 mm), which exhibits fairly strong nonlinearity of the dielectric substrate. The PCB material TLG-30 is not qualified for BS antenna applications, and it was used in our studies only for the purpose of the effect demonstration and model verification. The PCB layout, test fixtures, and the measurement setup are detailed in Appendix B. Forward PIM3 products of frequency 910 MHz (carrier frequencies f 1 = 935 MHz and f 2 = 960 MHz) generated on a reference microstrip line of length L 0 = 914 mm were measured with a commercial Summitek SI-900B PIM analyzer for a range of carrier powers from 24 to 44 dBm. The acquired data were fitted to the polynomial model (2) by minimizing the residual root mean square error (RMSE).

Fig. 4. Comparison of the measured [25] and simulated PIM3 products at the sweep carrier power, P0 at polynomial order N = 23.

The RMSE convergence versus the polynomial order N is shown in Fig. 3. It shows that retaining 12 nonzero odd-order terms (N = 23) in (2) yields a fitting error less than 8% and account for the next non-zero term (N = 25) reduces the error by less than 1%. The PIM3 products simulated with the retrieved polynomial coefficients an for N = 23 (see Appendix C) are juxtaposed with the measurement data in Fig. 4. Their comparison for broad range of carrier power shows good agreement. The model accuracy could be further improved by using the PIM3 data of independent power sweeps of each carrier [25]. The polynomial coefficients an deduced from the twotone PIM3 measurements on the reference microstrip TL of length L 0 = 914 mm were used for evaluating the forward PIM products on TLs of other lengths. As observed in our previous studies [26], forward PIM3 monotonically grows with TL length, L, owing to the close phase matching between the PIM3 products and carriers. This suggests that the coefficients an can be nearly linearly scaled in order to simulate the effect of the transmission line length. Taking also into account attenuation of both the carriers and PIM products due to the losses, the an (L) dependence can be approximated as follows:

1−α L an (L) = an (L 0 ) (7) L0 where α represents the attenuation parameter which is obtained by fitting the simulated PIM3 products with the coeffi-

KOZLOV et al.: PIM OF ANALOG AND DIGITAL SIGNALS ON TRANSMISSION LINES WITH DISTRIBUTED NONLINEARITIES

1387

Fig. 5. Comparison of the simulated (polynomial model (2)–(7) and cascaded model [27]) and measured ([26]) PIM3 products over the range of transmission line lengths.

Fig. 6. Third-, fifth-, and seventh-order PIM products on the reference microstrip TL simulated by the polynomial model in the range of carrier power values.

cients an (L 0 ) from Appendix C to the measurement data [26] for TLs of different lengths. Fig. 5 shows that the PIM3 products simulated over a range of TL lengths with α = 0.1 are in fairly good agreement with the measurements at variable L. In order to further verify validity of the scalable polynomial coefficients an (L), a TL network with distributed nonlinearity was simulated in Keysight Technology’s ADS simulator and compared with the polynomial model (2). The TLDN was modelled as a cascade of electrically short segments each described by an RLC circuit with third-order capacitive nonlinearity, [18], [27]. The model was found to be sufficient for a fixed carrier power level. The capacitive nonlinearity was deduced from the experimental data. The TLDN was analyzed using the formalism of X-parameters in ADS [28]. Fig. 5 shows that the PIM3 characteristics calculated using the polynomial model (2) with scalable coefficients an (L) fully agree with both the ADS simulations and measurements. This confirms that the forward-traveling PIM products generated at each point of the TL add up in phase and the output PIM level monotonically increases with the TLDN length and that it is adequately described by the polynomial model (2) with the scalable coefficients introduced in (7).

order of the polynomial model of nonlinearity, as well as to a larger phase mismatch between the propagating carriers and higher-order PIM products. Further experimental studies are necessary to assess the accuracy of the nonlinear models retrieved from two-tone PIM3 measurements and the effect of the measurement uncertainties. Finally, it is noteworthy that, as the magnitudes of higherorder PIM products become commensurable with PIM3, they should be duly accounted for in the ABPR metric (6), and this may require more terms in the polynomial model (2).

B. Higher Order PIM Products The coefficients an of the polynomial model (2) used so far were deduced from PIM3 measurement data in the lower sideband only. Their applicability to the analysis of higher-order PIM products still needs further assessment. The simulated power sweep characteristics of the fifth and seventh order PIM products, which become increasingly important for the design of modern wideband communication systems, are shown in Fig. 6 for the reference TL, along with the third-order PIM products. All the plots, simulated with the same polynomial coefficients given in Appendix C, exhibit slopes which are in good qualitative agreement with the measurements of a 914 mm long matched nonuniform TL fabricated on the TLG-30 material in [25]. The quantitative discrepancy between the results in Fig. 6 and data in [25] is due to the different TL width. Nonmonotonic behavior of the fifth- and seventh-order PIM products at the higher carrier power is attributed to insufficient

C. Applicability of the Polynomial Model of PIM The polynomial approximation (2) is essentially a behavioral model of nonlinearity which is normally applicable only to lumped or discrete elements. Its coefficients an deduced from the measurement data allow comparative assessment of PIM performance without identifying the physical sources and causes of the nonlinear response. Although such models are sufficient for characterization of electrically small components, they are usually not applicable to distributed nonlinear structures with electrical size commensurate to wavelength. Nevertheless, it turns out that the polynomial model (2) adequately describes forward PIM products generated by distributed nonlinearities. For a microstrip TLDN this is achieved by introducing the length-dependent coefficients an (L) given by (7). Such an extension of (2) is valid only when L is much shorter than the PIM coherence length, i.e., when the phase offset between the carriers and PIM products remains much less than π over the TL length, [16]. For reverse PIM products, the latter condition is met only if TLDN is shorter than half a wavelength, and a more elaborate model should be employed otherwise. Alternatively, PIM generation in a TLDN could be simulated numerically with the aid of the ADS X-parameter solver, e.g., [18]. Then, making use of the TLDN equivalent circuit model with specified nonlinearity, both forward- and reverse-PIM products at the TLDN terminals can be efficiently calculated. However, this approach is far from being straightforward, because retrieval of the nonlinear equivalent circuit parameters from swept-power PIM3 measurement data is prone to slow convergence, and the harmonic balance solver

1388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 8. Two-carrier AM signal spectrum at the TLDN output simulated for m a = 0.1. The chart centre (“Mark 1”) is at frequency 932.5 MHz.

Fig. 7. Equation-based model for the study of cross-band interference caused by the passive TL nonlinearity employed in ADS Circuit Envelope solver.

becomes unstable for the multi-element higher order nonlinear cascaded networks. To alleviate the limitations of such direct numerical simulations, the polynomial model (2) with the extracted coefficients an (L) has been incorporated in the ADS equation-based nonlinear analysis and applied to modelling PIM effects on modulated signals in circuits with distributed nonlinearities. IV. PIM E FFECT ON M ODULATED S IGNALS It was demonstrated in the preceding section that the polynomial model (2), retrieved from measured PIM3 data, adequately describes the weak nonlinearity of the TLDN and fairly accurately predicts PIM generation by two-tone CW signals. The purpose of this section is to explore the characteristics of PIM produced by the distributed passive nonlinearities of a transmission line for various numbers of modulated carriers and different types of modulation. Once the model of nonlinearity has been defined, PIM distortion of analog and digitally modulated signals in the TLDN can be analyzed using the ADS Circuit Envelope solver. For this purpose, a length of TLDN is modelled as a cascade of electrically short sections using the simulation environment shown in Fig. 7, where DUT indicates a TLDN comprising its cascaded subsections. An analog test signal x(t) is synthesized by mixing two CW carriers S1,2 (t) modulated by signals b1,2 (t). In the case of digital modulation, the baseband signals are properly conditioned using raised-cosine filters. An output signal y(t) is described by the polynomial approximation (2) with the coefficients given in Appendix A. It is necessary to note that while the polynomial model of a passive nonlinearity (2) is a memoryless model, it will be seen that the TLDN exhibits a memory-like effect associated with the phase coherence of carriers and PIM products. That is, the small relative growth in phase difference between carriers centered at different frequencies results in asymmetrical regrowth of output PIM interference for modulated signals. A similar asymmetry with amplifiers is attributed to baseband memory effects [29] but that is not the case here. Thus, the memory-like effect inherent to PIM produced by a TLDN is distinct from that of the physical memoryless

mechanism of nonlinearity itself. While in some circumstances the PIM generating mechanism can have baseband memory due to electro-thermal effects [2], [17], [30], this effect is not included in the model used here. A. Amplitude Modulated Signals First consider an input analog amplitude modulated (AM) test signal of the form x(t) = A · [1 + m a sin(ωm t)] · [cos(ω1 t) + cos(ω2 t)]

(8)

where m a is the modulation index, ωm,1,2 = 2π f m,1,2 and f m is the modulation frequency, and f 1 and f 2 are carrier frequencies. (Note that each carrier is modulated with the same modulation and this is also the case with other forms of modulation considered in this paper.) In a realistic scenario of the legacy LTE Band 8, the Tx band spans 925–960 MHz with 5-MHz-wide channels, and the Rx band spans 880–915 MHz. Carriers of frequencies f 1 = 932.5 MHz and f 2 = 957.5 MHz are in two nonadjacent Tx channels separated by the guard channels. The frequency of the modulating baseband sinewave is f m = 1 MHz, and power of the input Tx signal is 43 dBm per active channel, so that the total input transmit power is 46 dBm. The modulation frequency f m and index, m a = 0.1, were chosen to ensure that the modulated carrier signal remained in its respective channel. The TLDN has an electrical length of 720◦ at 945.0 MHz and the nonlinearity of each section is described by the polynomial model (2). The spectrum of the signal yˆ (t) at the output of the simulated TLDN is shown in Fig. 8. It is dominated by the virtually undistorted original spectrum of the AM-modulated carriers in the Tx band. The signal spectrum of each transmit channel has the expected two modulation sidebands around each carrier frequency, i.e., upper- and lower-sideband spectral lines. In AM these sidebands are in-phase and are of the same magnitude determined by the modulation index m a and carrier amplitude A. Signal distortion due to nonlinear effects manifests itself as spectral regrowth around each carrier. Note that the broad spectral spread results from waveform compression in the time domain [31]. Fig. 8 also shows that the output signal contains spectral content above and below the Tx band and particularly in the Rx band. These additional spectral components can be

KOZLOV et al.: PIM OF ANALOG AND DIGITAL SIGNALS ON TRANSMISSION LINES WITH DISTRIBUTED NONLINEARITIES

1389

Fig. 10. Two-carrier PM signal spectrum at the TLDN output simulated for m p = 1. The chart center (Mark 1) is at 932.5 MHz. Fig. 9. Simulated ABPR for the two-tone CW and two-carrier AM signals (m a = 0.1).

identified as third-order and fifth-order sideband PIM products. The spectrum centered at Mark 2 contains a large tone at the lower third- and fifth-order IM frequencies of the two input carriers as well as modulation sidebands. Both lower sideband PIM products are inside the Rx band. It is noteworthy that the PIM spectrum has a broader bandwidth than that of the original modulated signals and resembles the spectral regrowth around each of the carriers. (The spectrum associated with the fifth-order mixing products of the carriers appears to be truncated due to insufficient accuracy of the polynomial model (2) deduced from the two-tone CW PIM3 measurements, as mentioned in Section III-B in relation to Fig. 6.) Engineering intuition of nonlinear behavior in the frequency domain is based on the trigonometric expansion of powers of sums of sinusoids. For discrete tones, the level of the distortion products is used as a metric for characterizing behavior. However, for modulated signals, the appropriate metric is ABPR and calibration is required to gain a qualitative understanding of the impact of PIM for modulated signals. In Fig. 9, the ABPR due to PIM of the two-carrier AM signal is juxtaposed with that for two-tone CW signals. Here, the two types of input signals have the same mean power and the PIM level is plotted as a function of the electrical length θ of the TLDN. The two curves coincide, thus confirming that even though the spurious spectrum of the output AM test signal is spread across the Rx band, the level of the total PIM down-converted to the Rx band remains almost the same as that for the two-tone CW signal. (The small amount of fifthorder PIM power outside the Rx band has little effect.) Thus the conventional two-tone CW PIM test provides an accurate estimate of PIM interference in the Rx band (i.e., cross-band PIM distortion) for a two-carrier AM signal. B. Phase-Modulated (PM) Signals A PM two-carrier signal has the form x(t) = A[cos(ω1 t + m p sin(ωm t)) + cos(ω2 t + m p sin(ωm t))] (9) with the same modulating and carrier frequencies and signal power as for the previously considered case of two-carrier AM signal. The distinct characteristics of a PM signal are the

Fig. 11. Simulated ABPR for two-tone CW signals, and two- and three-carrier PM signals (m p = 1) at the respective power per carrier given in the legend.

infinite extent of its spectrum and the out-of-phase sidebands. This is in contrast to the sidebands of an AM modulated signal which are in-phase. With a phase modulation index m p < 0.5, referred to as narrowband PM, the signal spectrum can be reasonably truncated to the two innermost sidebands and the magnitude of the spectrum then resembles that of AM signals. However, in contrast to AM modulation, the number of discernible sidebands increases with m p . Here, m p = 1 is used to ensure that the discernible power of each modulated carrier remains inside its respective 5 MHz-wide channel. The output spectrum of the TLDN of length θ = 720◦ , driven by the two-carrier PM signal with power 43 dBm in each active channel, is shown in Fig. 10. The spectral symmetry of the distorted signal in the Tx band indicates that baseband memory effects, which are known to cause asymmetry, are not important here. This is consistent with the memoryless polynomial model of nonlinearity used and implies negligible phase mismatch of carriers and forward PIM products on the TLDN length. To assess the impact of PIM on the fidelity of signals appearing in the Rx band, the ABPR of the signal at the output of the line driven by two- and three-carrier PM signals are as shown in Fig. 11, where it is compared with that for a two-tone CW input signals at powers of 43 and 41.2 dBm per channel. The spectral width of each of the distorted PM signals in the Rx band is less than that in the AM two-carrier case, and the ABPR of the output two-carrier PM signal is practically indistinguishable from that of the two-tone CW signal at the

1390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 12. Three-carrier PM signal spectrum at the TLDN output for m p = 1. The chart center (Mark 1) is at 932.5 MHz.

same input power of 43 dBm per carrier. The reduction of the individual carrier powers from 43 to 41.2 dBm results in an ABPR decrease for ∼0.36 dB only, which is determined by a PIM3 power growth rate of ∼1.2 dB/dB in Fig. 6 instead of the commonly assumed theoretical rate of 3 dB/dB for a discrete nonlinearity (of course, this is prior to saturation effects becoming important). The important result inferred from Fig. 11 is that ABPR for the PIM-distorted PM signals, as simulated with the aid of the polynomial model (2) derived from two-tone CW PIM3 measurements, agrees with the ABPR for the PIM-distorted discrete CW tones with the same power per carrier. This was also the case with AM signals, and, since AM and PM are representative of analog modulation in general, the reasonable conclusion is that the effect of PIM on analog modulated signals can be accurately predicted using discrete tone characteristics. The analysis presented here indicates that the ABPR metric adopted for the characterisation of multi-carrier AM and PM signals correlates with the characteristics obtained with discrete CW tones as used in standardized PIM tests. The polynomial model of passive nonlinearity derived from two-tone CW PIM3 measurements can, in principle, enable accurate assessment and prediction of the spectral properties of PIM interference. However, experimental verification for the analog modulated signals is still necessary. The analysis has been further extended to assess ABPR for the PIM generated by a three-carrier PM signal with carrier frequencies f 1 = 932.5 MHz, f2 = 945 MHz 957.5 MHz and having 5-MHz channels and f 3 = contained within the Tx bands of E-GSM900 and LTE Band 8 (925 MHz–960 MHz). The power of each carrier in the tests is 41.2 dBm so that the mean total power of the input signal is 46 dBm. The simulated output spectrum with m p = 1 is shown in Fig. 12, and the corresponding ABPR is presented in Fig. 11 as curve “PM 3-carriers (41.2 dBm)”. The ABPR of the three-carrier PM signal in Fig. 11 is compared with that of the two-carrier PM signal (“PM 2-carrier (41.2 dBm)”) as each carrier has the same power of 41.2 dBm. The ABPR of the three-carrier PM signal is also compared to that of the two-carrier PM signal (“PM 2-carrier (43.0 dBm)”) and the 2-tone signal (“CW 2-tone (43.0 dBm)”) when all the signals have the same total power of 46.0 dBm. Two general features are observed. At first, compared with the two-carrier PM case, the three-carrier PM signals

Fig. 13. Simulated ABPR for two-tone CW, two-carrier BPSK and twocarrier QPSK signals transmitted via the TLDN of electrical length θ .

produce more combinatorial frequencies, and the PIM products spread more evenly over the adjacent Rx band and beyond. As a result, the peak power of a PIM-induced distortion signal is ∼3.5 dB lower than in the two-tone CW case (see Figs. 10 and 12). Second, even though more PIM products are generated with the three-carrier PM signals, the total PIM power in the Rx band is smaller than in the two-tone CW case. This results in the ABPR for the three-carrier PM signal being ∼2.5 dB lower than for the two-carrier PM signal with the same power per carrier, see Fig. 11. Thus, it is reasonable to suggest that the standard two-tone CW PIM3 test provides a conservative assessment of PIM interference resulting from multi-carrier analog-modulated signals, and the overestimate may increase with the number of active channels. C. Digitally Modulated Signals To quantify effect of PIM from a microstrip TLDN with digitally modulated signals, the analysis was extended to BPSK and QPSK modulated two-tone CW carriers each having a power of 43 dBm for a total power of 46 dBm. The ABPRs simulated for these signals are shown in Fig. 13 in comparison with that of a two-tone CW signal. The ABPR calculation accounts for the PIM products in the Rx band resulting from one symbol in each of the active Tx channels. The total interference power generated in the Rx band by the digitally modulated signals is the same as for the two-tone CW signal. To elucidate the effect of PIM on received symbols, the constellation diagrams of a QPSK received signal of power −70 dBm were simulated for the cases of additive white Gaussian nose (AWGN) and/or PIM generated in the Rx band. The PIM interference results from a two-carrier QPSK signal of 46 dBm total power transmitted through the 720◦ long TLDN. The two-carrier signal in the Tx band is defined as before. The AWGN in the Rx band is set to provide the same mean spurious power over the Rx band as that generated by the two-carrier QPSK transmit signal. The resulting constellation diagrams of the intended received signal are shown in Fig. 14. The symbol rates of each transmitted and received signal are the same. It is evident from Fig. 14 that the PIM interference in the Rx band cause correlated distortion of the received

KOZLOV et al.: PIM OF ANALOG AND DIGITAL SIGNALS ON TRANSMISSION LINES WITH DISTRIBUTED NONLINEARITIES

Fig. 15.

1391

Simulated EVM for BPSK and QPSK signals versus E b /E PIM .

Fig. 14. Simulated constellation diagrams of the received QPSK signal in the presence of AWGN and PIM interference generated by 46-dBm two-carrier QPSK signal transmitting through the test TLDN.

QPSK signal, and the constellation diagrams reveal the following characteristic features. 1) PIM products decompose each symbol of the received QPSK signal into a quadruple, i.e., the transmitted signal modulation is superimposed on the received signal. 2) Clockwise rotation of each quadruple around its center occurs, as the signal to interference ratio decreases. 3) The quadruple is skewed to a parallelepiped with unequal sides at lower signal to interference ratios. Indeed, such signal distortions may cause deleterious symbol aliasing, especially in higher order modulation schemes. To assess fidelity of digitally modulated signals, the errorvector magnitude (EVM) is the most commonly used metric. In essence, the EVM is a measure of the symbol constellation deviation from the ideal one due to signal distortion and impairments [32]. It is defined as  Perror (10) EVM = Pref where Perror is the rms power of the error vector and Pref is the average ideal reference vector power. To assess the impact of passive nonlinearity in the transmit chain on received signals, the EVM was evaluated for different modulation types and signal to interference ratios. Fig. 15 shows the EVM for the PIM distorted received signals of two types: 1) BPSK and 2) QPSK modulated two-tone CW carriers of total power of 46 dBm transmitted through the 720◦ long TLDN. The EVM has been simulated versus the ratio E b /E PIM where E b is energy per bit of the received signal and E PIM is PIM energy generated in the Rx band by one bit per active channel transmit Tx signal. It illustrates how EVM

Fig. 16. Simulated EVM for Rx QPSK signal when the Rx distortion is caused by either AWGN or PIM interference.

decreases when the Rx signal power per symbol increases from −90 dBm to −60 dBm. Fig. 15 shows that for any chosen value of EVM, the received QPSK signal has less energy per bit than the BPSK signal, and the difference is larger at low power. This is directly related to the fact that the QPSK signal has lower energy per bit than the BPSK signal with the same energy per symbol, i.e., one-bit-per-channel QPSK signal in the Tx band generates lower PIM in the Rx band. Thus E b and E PIM are smaller for a QPSK signal than for a BPSK signal at the same energy per symbol of the received and transmitted signals whilst their ratio E b /E PIM may be higher at the fixed energy per symbol of the transmitted and received signals. However, the results of Fig. 15 do not allow us to judge whether QPSK modulation is more resilient to PIM interference than BPSK because the QPSK encodes two bits per symbol and, as such, the PIM contribution per symbol will effectively double. Finally, it is instructive to estimate the EVM of QPSK signals in the presence of AWGN and PIM interference in the Rx band. The EVM, simulated with respect to the signal to interference plus noise ratio (SINR) at equal AGWN and PIM power levels is shown in Fig. 16 as the Rx signal power level varies from −90 dBm to −60 dBm. At SINR = 0 dB, the EVM with AWGN is ∼10% higher than that for PIM interference, but their difference gradually decreases at higher SINR. However, taking into account that the PIM level in the Rx band may significantly exceed AGWN level,

1392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

PIM interference may have strong impact on the fidelity of the received signals. Therefore, the distinctive correlation properties of PIM products should be exploited for mitigation of PIM distortion of the received signals. V. C ONCLUSION The objective of this work was to explore the characteristics of PIM generated by distributed passive nonlinearities of a transmission line and characterize the impact of PIM in terms of fidelity metrics for analog and digitally modulated signals in the receive path of an RF front-end. Since the physical origin of PIM is not known in all cases, a behavioral model was adopted for the investigations. It was shown that a polynomial nonlinear model of a short subsection of transmission line accurately modelled PIM when it was cascaded to model the distributed nonlinearity of a microstrip transmission line. This was demonstrated by comparing measured and simulated PIM for a two-tone CW signal for various power levels. The cascaded model was scalable with transmission line length and here was used to determine the effect of line length on PIM. A closed-form transfer function was obtained which mapped an input two-carrier modulated signal at one end of the transmission line to waveform and spectrum at the output of the line. This enabled explicit evaluation of spectral components, and thus PIM-induced interference adequately described by the nonlinearity of the transmission line itself, and predicted the cumulative growth of forward PIM level with line length. The impact of PIM with analog and digitally modulated signals was explored by implementing the polynomial model in the ADS Circuit Envelope solver environment. Using circuit-envelope analysis, spectral regrowth caused by PIM of modulated carriers and the ABPR and, for digitally modulated signals, EVM metrics for PIM interference in the receive band were determined and compared with interference with discrete tone signals. It was shown that the ABPR of PIM3 interference for two-carrier AM and PM signals is practically indistinguishable from that for a two-tone CW signal. At the same time, it was found that ABPR for the three-carrier PM signal appeared to be considerably lower than that for the two-tone CW signal at the same power per carrier. It was found that the standard two-tone CW PIM3 test provides a conservative estimate of PIM interference in the receive band generated by multicarrier modulated transmitted signals. One of the surprising results is that, because of the small relative growth in phase difference between carriers centered at different frequencies, PIM distortion results in asymmetrical regrowth of output PIM interference for modulated signals. The effect of PIM interference, characterized by distortion on a constellation diagram and EVM metrics, with two-carrier digitally modulated BPSK and QPSK signals input to a microstrip line was compared with that with AWGN. The EVM for PIM3 interference was lower than that for AWGN of equivalent power. It was argued that this was because PIM interference results in correlated distortion of the received signal when, as usual, the symbol rate of PIM interference and received signals are the same. This implies that PIM

interference should not be treated as noise and there is a likely possibility that design strategies can be developed to mitigate the effect of PIM interference on receive signals. Therefore, advanced behavioral and physical models of distributed passive nonlinearities could enable more elaborate assessment and mitigation of the effect of PIM on distortion of digitally modulated signals in multicarrier communications systems. A PPENDIX A. Transfer Function for Polynomial Nonlinearity An explicit form of the TF can be obtained by substituting the input waveform (1) in the polynomial model (2). Let us consider a passive weakly nonlinear device shown schematically in Fig. 2. Its TF maps an input two-carrier modulated signal x(t) onto the output waveform y(t), which contains the carrier harmonics and combination IM frequencies. The input signal can be represented in the form x(t) = A1 (t) cos(ω1 t + θ1 (t)) + A2 (t) cos(ω2 t + θ2 (t)) 1 = [z 1 (t)e j ω1 t + z 1 ∗ (t)e− j ω1 t ] 2 1 (A1) + [z 2 (t)e j ω2 t + z 2 ∗ (t)e− j ω2 t ] 2 where z 1,2 (t) = A1,2 (t) exp{ j θ1,2(t)} are the baseband complex envelopes of the modulated carriers. The terms of (2) can be expressed using the binomial expansion

2n+1 1 z 1 (t)e j ω1 t + z 1 ∗ (t)e− j ω1 t 2n+1 x (t) = 2n+1 . +z 2 (t)e j ω2 t + z 2 ∗ (t)e− j ω2 t 2 (A2) In the simplest case z 1 (t) = z 2 (t) = z(t), we have x 2n+1 (t) =

1  ∗ 2n+1 − j ω1 t (e + e− j ω2 t )2n+1 z (t) 22n+1 2n+1  2n + 1 [z(t)]k (e j ω1 t + e j ω2 t )k × . k [z ∗ (t)]k (e− j ω1 t + e− j ω2 t )k k=0 (A3)

Substituting (A3) into (2) gives y(t) =

2n+1−k  [z(t)]k 2n + 1 a2n+1 z ∗ (t) k 22n+1

(N−1)/2  2n+1 

n=0

k=0

×(e j ω1 t + e j ω2 t )k (e− j ω1 t + e− j ω2 t )2n+1−k   (N−1)/2  2n+1  2n + 1 a2n+1 z ∗ (t) 2n+1−k [z(t)]k = 22n+1 k n=0 k=0 n−k

k   k 2n + 1 − k × q p q=0 p=0

× e j [(q− p)ω1+(2k−2n−1−q+ p)ω2 ]t .

(A4)

The odd-order terms in (A4) satisfy the following conditions: ωl = (l + 1)ω1 − lω2

(A5)

KOZLOV et al.: PIM OF ANALOG AND DIGITAL SIGNALS ON TRANSMISSION LINES WITH DISTRIBUTED NONLINEARITIES

1393

where l = (M −1)/2, and |M| is the order of the IM products: M = ±3, ±5, . . . , N. Substituting (A5) into (A4) gives  q− p =l+1 . (A6) 2k − 2n − 1 − q + p = −l Hence k = n + 1.

(A7)

Substituting (A7) into (A4) and retaining only the carrier frequencies and the IM products nearby the carrier frequencies, we obtain the output band-limited response at fundamental and odd-order IM frequencies as y˜ (t) = z(t)

(N−1)/2  n=0

n+1  n

 n+1 a2n+1 2n + 1 2n |z(t)| 22n+1 n + 1 q q=0 p=0

n j [(q− p)ω1+(1−q+ p)ω2 ]t × e p ⎫ ⎧ ⎪ ⎪ ⎪ ⎪ N ⎬ ⎨  j [ω1 (M+1)/2+ω2 (1−(M+1)/2)]t = Re y M (t)e ⎪ ⎪ ⎪ ⎪ ⎭ ⎩ M=−N M−odd

(A8) where y M (t) = e

j θ(t )

×

a2n+1 2n + 1 [ A(t)]2n+1 22n+1 n + 1



n+1 n . (A9) k k − M+1 2

(N−1)/2  n=0 n+1 

k=(M+1)/2

B. Measurement Setup Full details of the PIM measurements have been reported elsewhere, see, e.g., [25] and references therein. The specimens fabricated on the Taconic RF laminate comprised three replicas of 50- uniform microstrip transmission line (see Fig. 17). To ensure the return loss in the Tx and Rx bands better than 20 dB, the microstrip lines were fitted with custom designed low-PIM cable launchers. The launchers were made of the PIM certified cable assemblies with DIN 7/16 connectors cut in halves and tinplated solid copper transition units at the open ends. The cables were soldered to the signal track and ground plane of microstrip line with Sn40/Pb60 solder. The measurements were carried out in anechoic chamber with the aid of a commercial two-port PIM analyser Summitek SI-900B. The acquired data were averaged over the triplet of identical lines and five measurements to reduce the effect of carrier power variations and fabrication tolerances. The obtained data were used for the model extraction.

Fig. 17. Two-port measurements of PIM on microstrip lines. (a) PCB specimen containing three replicas of 50 Ohm microstrip lines in the test fixture; the low-PIM cable launcher with coaxial to microstrip transition is shown in insert; (b) the test PCB connected to the Summitek SI-900B PIM analyzer in anechoic chamber. TABLE I P OLYNOMIAL C OEFFICIENTS R ETRIEVED F ROM THE P OWER S WEEP PIM3 M EASUREMENTS OF L 0 = 914 mm M ICROSTRIP L INE

ACKNOWLEDGMENT The authors would like to thank Dr. E. Doumanis and V. Venkateswaran, Alcatel-Lucent’s Bell Labs, for fruitful discussions.

C. Polynomial Coefficients Table I lists the polynomial coefficients retrieved from the power sweep PIM3 measurements of the L 0 = 914 mm microstrip line.

R EFERENCES [1] R. Butler, A. Kurochkin, and N. Hugh, “Intermodulation products of LTE and 2G signals in multitechnology RF paths,” Bechtel Commun. Techn. J., vol. 2, no. 1, pp. 1–12, Dec. 2009.

1394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[2] J. R. Wilkerson, K. G. Gard, A. G. Schuchinsky, and M. B. Steer, “Electro-thermal theory of intermodulation distortion in lossy microwave components,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, part 1, pp. 2717–2725, Dec. 2008. [3] Passive r.f. and Microwave Devices, Intermodulation Level Measurement—Part 1: General Requirements and Measuring Methods, IEC 62037–12012. [4] D. Weinstein, “Passive intermodulation distortion in connectors, cable and cable assemblies,” in White Paper XP002330778, 2001, pp. 1–9. [5] J.-J. DeLisle, “New modulation schemes raise PIM,” Microw. & RF, vol. 53, no. 3, pp. 36–40, Mar. 2014. [6] R. Butler, “PIM testing: advanced wireless services emphasize the need for better PIM control,” COMMSCOPE White Paper WP-107482-EN (2/14), pp. 1–10, 2014. [7] “Understanding PIM,” in Applicant. Notes, Anritsu Co. [Online]. Available: http://www. anritsu.com [8] K. M. Gharaibeh, K. G. Gard, and M. B. Steer, “Estimation of co-channel nonlinear distortion and SNDR in wireless systems,” IET Microw. Antennas Propag., vol. 1, no. 5, pp. 1078–1085, 2007. [9] J. W. Boyhan, H. F. Lenzing, and C. Koduru, “Satellite passive intermodulation: systems considerations,” IEEE Trans. Aerosp. Electron. Syst., vol. 32, no. 3, pp. 1058–1064, Jul. 1996. [10] P. M. Cabral, J. C. Pedro, and N. B. Carvalho, “Modelling nonlinear memory effects on the AM/AM, AM/PM and two-tone IMD in microwave PA circuits,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 13–23, Jan. 2006. [11] D. Kozlov, A. Shitvov, and A. Schuchinsky, “On passive intermodulation test of analog and digital systems,” in Proc. Int. Workshop Integr. Nonlinear Microw. Millimetre-Wave Circuits, Taormina, Italy, Oct. 1–2, 2015, pp. 1–3. [12] B. A. Auld, M. Didomenico Jr., and R. H. Pantell, “Traveling-wave harmonic generation along nonlinear transmission lines,” J. Appl. Phys., vol. 33, pp. 3537–3545, Dec. 1962. [13] A. P. Foord and A. D. Rawlins, “A study of passive intermodulation interference in space RF Hardware,” ESTEC, Univ. of Kent at Canterbury, Contract 111036, Final Rep, 1992. [14] D. Seron, C. Collado, J. Mateu, and J. M. O’Callaghan, “Analysis and simulation of distributed nonlinearities in ferroelectrics and superconductors for microwave applications,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 3, pp. 1154–1160, Mar. 2006. [15] J. Mateu et al., “Third-order intermodulation distortion and harmonic generation in mismatched weakly nonlinear transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 1, pp. 10–18, Jan. 2009. [16] D. E. Zelenchuk, A. P. Shitvov, A. G. Schuchinsky, and V. F. Fusco, “Passive intermodulation in finite lengths of printed microstrip lines,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 11, part 1, pp. 2426–2434, Nov. 2008. [17] J. R. Wilkerson, P. G. Lam, K. G. Gard, and M. B. Steer, “Distributed passive intermodulation distortion on transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 5, pp. 1190–1205, May 2011. [18] D. Kozlov, A. Shitvov, and A. Schuchinsky, “Characterisation of passive intermodulation in passive RF devices with X-parameters,” in Proc. Loughborough Antennas and Propag, Conf., Loughborough, U.K., Nov. 2014, pp. 64–67. [19] J. Sombrin, “Non-analytic at the origin, behavioural models for active or passive non-linearity,” Int. J. Microw. Wireless Techn., vol. 5, no. 2, pp. 133–140, 2013. [20] A. Ignea and A. De Sabata, “Hysteresis distortions for two-tone signals,” in Proc. 15th IMEKO TC 4 Symp. Int. Meas. Confederation Techn. Committee 4 Symp., Iasi, Romania, 2007, pp. 1–5. [21] A. Ignea and R. Körtvelyessy, “Modeling of the passive intermodulation in Transmission Lines,” in Proc. 9th Int. Symp. Design and Technol. Electron. Packaging, Timi¸soara, Romania, Sep. 2003, pp. 27–30. [22] C. D. Bond, C. S. Guenzer, and C. A. Carosella, “Intermodulation generation by electron tunnelling through aluminum-oxide films,” Proc. IEEE, vol. 67, no. 12, pp. 1643–1652, Dec. 1979. [23] Unwanted Emissions in the Out-of-Band Domain, Recommendation ITU-R SM.1541–62015, pp. 1–33. [24] P. Amb, F. Launa, J. M. Foumier, and J. C. Grasset, “A simple RF power amplifier characterization using AM-AM, AM-PM measurements based on CDMA signal statistics,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 693–696.

[25] A. Shitvov, D. Zelenchuk, and A. Schuchinsky, “Carrier-power dependence of passive intermodulation products in printed lines,” in Proc. Loughborough Antennas and Propag, Conf., Loughborough, U.K., Nov. 2009, pp. 177–180. [26] A. P. Shitvov, D. E. Zelenchuk, A. G. Schuchinsky, and V. F. Fusco, “Passive intermodulation in printed lines: Effects of trace dimensions and substrate,” IET Microw. Antennas Propag., no. 3, pp. 260–268, Mar. 2009. [27] D. Kozlov, A. Shitvov, and A. Schuchinsky, “Passive intermodulation in distributed circuits with cascaded discrete nonlinearities,” in Proc. 9th Eur. Conf. Antennas and Propag., Lisbon, Portugal, Apr. 13–17, 2015, pp. 1–5. [28] D. E. Root, J. Verspecht, J. Horn, and M. Marcu, X-Parameters: Characterization, Modeling, and Design of Nonlinear RF and Microwave Components. Cambridge, U.K.: Cambridge Univ., 2013. [29] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Circuits. Norwood, MA, USA: Artech House, 2002. [30] J. R. Wilkerson, I. M. Kilgore, K. G. Gard, and M. B. Steer, “Passive intermodulation distortion in antennas,” IEEE Trans. Antennas Propag., vol. 63, no. 2, pp. 474–482, Feb. 2015. [31] M. B. Steer, Microwave and RF Design: A Systems Approach, 2nd ed. Raleigh, NC, USA: IET/SciTech Publishing, 2014. [32] R. A. Shafik, S. Rahman, and R. Islam, “On the extended relationships among EVM, BER and SNR as performance metrics,” in Proc. 4th Int. Conf. Electr. Comput. Eng., Dec. 2006, pp. 408–411.

Dmitry S. Kozlov (S’16) was born in Borisov, USSR, in 1988. He received the B.S. and M.S. degrees in radiophysics from Saint Petersburg Electrotechnical University, Saint Petersburg, Russia, in 2009 and 2011, respectively. He is currently the Marie Curie Early Stage Researcher with the Institute of Electronics, Communications and Information Technology (ECIT), Queen’s University Belfast, Belfast, U.K.. His primary research focuses are in the area of microwave and antenna theory, including synthesis of antenna arrays, mechanisms of PIM generation in microwave passive, and tunable devices and wireless energy transfer systems.

Alexey P. Shitvov (M’06) received Diploma Engineer degree in semiconductor devices and microelectronics from Nizhny Novgorod State University, Nizhny Novgorod, Russia, in 1995, and the Ph.D. degree in electronics and electrical engineering from the Queen’s University Belfast, Belfast, U.K., in 2009. From 2000 to 2004, he was a Research Assistant with the Department of Electronics, Nizhny Novgorod State University, Nizhny Novgorod, Russia, working on design and simulation of surface acoustic wave devices. From 2009 to 2014 he was a Royal Academy of Engineering Research Fellow with the ECIT Institute, Queen’s University Belfast (QUB), Belfast, U.K., conducting research on phenomenology and mitigation of passive intermodulation in communication components and systems. He is currently a Lecturer on millimeter-wave and submillimeter-wave passive and active components and devices with the School of Electronics, Electrical Engineering and Computer Science, QUB. His research interests include accurate characterization and modelling of communication nonlinearities, advanced microwave materials, and millimeter-wave communication and sensing system design under hardware constraints.

KOZLOV et al.: PIM OF ANALOG AND DIGITAL SIGNALS ON TRANSMISSION LINES WITH DISTRIBUTED NONLINEARITIES

Alexander G. Schuchinsky (M’97–SM’05–F’14) received Ph.D. degree in radiophysics from Leningrad Electrotechnical Institute, Leningrad and the academic title of Senior Research Scientist, USSR, in 1983 and 1988, respectively. He then became a Senior Research Scientist. He was a Leading Scientist with the Microwave Electrodynamics Laboratory, Rostov State University, Russia, and a Chief Engineer with DeltecTelesystems, New Zealand. During 2002–2015, he was with the School of Electronics, Electrical Engineering and Computer Science, Queen’s University of Belfast, Belfast, U.K. He has published three international patents, four book chapters, and over 200 refereed journal and conference papers. His research interests include physics-based modelling of linear and nonlinear phenomena in complex electromagnetic structures, metamaterials, and nonreciprocal devices, passive intermodulation effects, and characterization of electromagnetic materials. Dr. Schuchinksy was a corecipient of the IEEE 2010 Microwave Prize and received the 2012 V.G. Sologub Award for contribution to Computational Electromagnetics. He was a cofounder and General Co-Chair of the annual conference series “Metamaterials: International Congress on Advanced Electromagnetic Materials in Microwaves and Optics” and is a member of the Board of Directors of the Virtual Institute for Artificial Electromagnetic Materials and Metamaterials, “Metamorphose VI”.

1395

Michael B. Steer (S’76–M’78–SM’90–F’99) received the B.E. (Hons.) and Ph.D. degrees from the University of Queensland, Queensland, Qld., Australia, in 1976 and 1983, respectively. He is currently the Lampe Distinguished Professor of Electrical and Computer Engineering at North Carolina State University (NC State), Raleigh, NC, USA. He has authored more than 470 publications and four books including the textbook Microwave and RF Design: A Systems Approach (SciTech, 2010). Prof. Steer was Secretary of the IEEE Microwave Theory and Techniques Society (MTT-S) in 1997 and was a member of the MTT-S Administrative Committee from 1998 to 2001, and from 2003 to 2006. He is a former editor-in-chief of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He was the recipient of the Presidential Young Investigator Award in 1986, he was the Jack S. Kilby Lecturer in 2003, and, in 1994 and 1996, he was the recipient of the Bronze Medallion from U.S. Army Research for Outstanding Scientific Accomplishment. In 2007, he received a Distinguished Service Recognition Award from IEEE MTT-S. He received the 2010 Microwave Prize for the best paper on Microwave Engineering in any IEEE publication in the preceding year. In 2011, he received the Distinguished Educator Award from IEEE/ MTT-S, was inducted into the Electronic Warfare Technology Hall of Fame sponsored by the Association of Old Crows, and was named one of the Most Creative Teachers in the South by Oxford American Magazine. In 2013, he received the R.J. Reynolds Award for Excellence in Teaching, Research, and Extension from the College of Engineering at NC State.

1396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

FE-BI Formulations for Characteristic Modes Fu-Gang Hu, Member, IEEE, and Chao-Fu Wang, Senior Member, IEEE Abstract— Two types of finite-element boundaryintegral (FE-BI) formulations are developed to determine the characteristic modes (CMs) of general composite material objects. The electromagnetic (EM) fields inside the general objects with inhomogeneous anisotropic material are flexibly formulated using the finite-element (FE) formulations, while the EM fields outside the general material objects are accurately described using the boundary-integral (BI) equations defined on the general objects’ boundary. The developed FE-BI formulations result in two generalized Hermitian eigenvalue (GHE) equations for the solution of CMs. These two novel FE-BI based formulations provide clear physical explanation for the analysis of the CMs of the general objects. It is found that their corresponding eigenvalues indicate the imaginary part of the complex power of CMs, which are zero at resonance. Simulated results for typical dielectric resonators are presented to clearly demonstrate the accuracy of the proposed FE-BI formulations. Index Terms— Characteristic modes (CMs), finite-element boundary-integral (FE-BI) method, generalized eigenvalue (GE) equations, Hermitian matrices, resonance frequencies.

I. I NTRODUCTION

C

HARACTERISTIC MODE (CM) theories have been proposed to solve radiation and scattering problems [1]–[6]. They are also helpful for performing a systematic design of various antennas with electrically small or intermediate sizes [7]–[11]. In 1971, Harrington et al. formulated a CM theory for conducting objects using an electric field integral equation [2]. They developed CM theory for dielectric and magnetic objects using a volume integral equation in [3]. Chang et al. proposed a surface integral-equation-based CM theory for studying the CMs of material objects in [6]. However, there are very few studies on the CM theory using the finite-element boundary-integral (FE-BI) formulations. As is well known, the finite-element (FE) formulations are much flexible to formulate the electromagnetic (EM) fields inside general objects with composite inhomogeneous anisotropic material, whereas the boundary-integral (BI) equations defined on the general objects’ boundary are very accurate to describe the EM fields outside the general objects. The adoption of the FE-BI formulations can possess more flexibility and convenience to accurately model complex structures with inhomogeneous material [12]–[15]. This paper focuses on the development of the FE-BI formulations for the analysis of the CMs of general objects.

Manuscript received July 13, 2015; revised September 23, 2015, January 15, 2016, and March 4, 2016; accepted March 26, 2016. Date of publication April 20, 2016; date of current version May 10, 2016. The authors are with Temasek Laboratories, National University of Singapore, Singapore 117411 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549012

The proposed FE-BI formulations alternatively provide a new technique to determine the resonance frequencies of dielectric resonators, especially for those with complex materials. Instead of directly using the FE-BI coefficient matrix of scattering problems [16], we present the formulations in a more natural and rigorous manner. It is also expected that the proposed novel FE-BI formulations will provide clear physical explanation for the CMs of the general objects. Two types of FE-BI formulations are developed to lead to the corresponding generalized Hermitian eigenvalue (GHE) equations for CMs. These two types of FE-BI formulations are based on the enforcement of the tangential continuity of electric and magnetic fields, respectively. It is found that resultant eigenvalues indicate the imaginary part of the complex power of CMs. The imaginary part of the complex power is zero at resonance. Thus, the resonance frequencies within a frequency band can be identified through checking if the corresponding eigenvalues are zero. Furthermore, the proposed FE-BI formulations are symmetric when the permittivity and permeability of general objects are of the tensors with the symmetric form. When the finite-element method (FEM) is applied to simulate resonators, a perfectly matched layer (PML) is normally used to terminate the outer boundary. Hence, the computational domain for the FE-BI formulations is more compact than that of the FEM. Moreover, the application of the PML will affect the eigenvalue distribution and result in spurious modes. In addition, the FE-BI formulations have some advantages over the IE methods for natural modes (NMs). The FE-BI formulations are more flexible to handle inhomogeneous and anisotropic media than the IE methods. Furthermore, the IE methods usually demand finding the zeros of the determinant of coefficient matrices in source-driven problems [17]–[19], while the FE-BI formulations call for solving generalized eigenvalue (GE) equations at each frequency. When the matrix size is large, some GE solvers, such as the Arnoldi method, are expected to be more efficient than the solvers for searching the zeros of determinants. It is well known that the FE-BI method can be combined with fast algorithms to reduce its computational cost, as discussed in [12], [20], and [21]. The fact that some GE solvers allow for the application of iterative solvers implies the potential improvement of the efficiency of the FE-BI formulations through using existing fast algorithms and preconditioners. CM analysis of several typical dielectric resonators has been carried out to verify the proposed FE-BI formulations. It is observed from the simulated results of a circular dielectric resonator that the simulated resonance frequencies and the field distributions of corresponding CMs closely approximate to those of the NMs [18]. Good agreements can be observed between the results of a rectangular dielectric resonator

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

HU AND WANG: FE-BI FORMULATIONS FOR CHARACTERISTIC MODES

1397

To solve (3), we discretize the volume V into tetrahedral elements. With using these tetrahedral elements, we expand the electric field E2 in V into the linear combination of curlconforming vector basis functions Nm [12], [14]. We also ¯ 2 (H ¯ 2 = η0 H2 ) on expand the normalized magnetic field H S using the same vector basis functions as the electric field on S. Using Nm to test the above equation gives    −1 Nm · ∇ × μ¯¯ r2 ∇ × E2 − k02 ¯¯r2 E2 d V = 0. (4) V

Fig. 1.

Configuration of a 3-D object in a background medium.

obtained using the FE-BI formulations and those obtained using the IE method [19] and/or HFSS software. The FE-BI formulation is presented in Section II. The numerical results are provided and compared with the reference results in Section III. Section IV provides an overall conclusion to finally conclude this paper. II. F ORMULATION Fig. 1 shows a general three-dimensional (3-D) object placed in a background medium that has relative permittivity r1 and permeability μr1 . The 3-D object is an inhomogeneous anisotropic material object that has relative permittivity tensor ¯¯r2 (r) and permeability tensor μ¯¯ r2 (r). We use V to denote the volume inside the 3-D object and S to denote the surface enclosing V . Unit vector nˆ is normal to the surface S and points outward from S. The outside and inside regions are denoted as Region 1 and Region 2, respectively. (E1 , H1 ) and (E2 , H2 ) denote the EM fields outside and inside the 3-D object, respectively. Here, the subscripts 1 or 2 indicates Regions 1 or 2. A. Formulation I: E-Field Continuity When tangential continuity of both electric and magnetic fields are enforced, the resultant resonance frequencies are complex numbers. It implies that, for the real working frequencies, the tangential continuity of EM fields may not be satisfied. Hence, assume there exist surface current densities Js and Ms on S. Introducing surface current density is actually the relaxation of the continuity condition for both fields, which can guarantee the resultant eigenvalue will not the exact solution of complex number and provides with possibility of achieving the real resonance frequencies. The boundary condition on S is given by [22], [23] ¯ 2 ) = J¯ s ¯1−H (1a) nˆ × (H (E1 − E2 ) × nˆ = Ms (1b) ¯ ¯ where H1(2) = η0 H1(2) and Js = η0 J. η0 is the intrinsic impedance of free space. For the case of E-field continuity, let Ms = 0 in (1b), namely, the tangential continuity of electric fields are enforced, (E1 − E2 ) × nˆ = 0.

(2)

On the other hand, E2 is governed by the following equation in the volume V : ∇ × μ¯¯ −1 ∇ × E2 − k02 ¯¯r2 E2 = 0. (3) r2

Applying the vector identity, the divergence theorem, and a Maxwell’s curl equation yields    −1 ∇ × Nm · μ¯¯ r2 ∇ × E2 − k02 Nm · ¯¯r2 E2 d V V  ¯ 2 · nd + j k 0 Nm × H ˆ S = 0. (5) S

Substituting (1a) into the above equation gives   ¯ 1d S m · H − Nm · J¯ s d S = S S   1 −1 ∇ × Nm · μ¯¯ r2 + ∇ j k0 V  × E2 − k02 Nm · ¯¯r2 E2 dV

(6)

where m = nˆ × Nm . ¯ 1 can be found by Moreover, E1 and H ¯ 1 ) + K(E1 × n) ˆ E1 = −η¯ 1 L(nˆ × H 1 ¯ 1) ¯ 1 = − L(E1 × n) ˆ − K(nˆ × H H η¯ 1 where L and K are given by [13]  L(X) = j k1 X(r )G 1 (r, r )d S  S j + ∇  · X(r )∇G 1 (r, r )d S  k 1 S  K(X) = X(r ) × ∇G 1 (r, r )d S  .

(7a) (7b)

(8)

S

√ G 1 = exp (− j k1 R)/(4π R), k1 =√k0 μr1 r1 , and k0 is the wavenumber in free space. η¯ 1 = μr1 /r1 . Substituting (7b) into (6) and making use of (2) yields  − Nm · J¯ s d S S   1 ¯ 1) d S = m · L(nˆ × E2 ) − K(nˆ × H η¯ S  1  1 −1 ∇ ×Nm · μ¯¯ r2 ∇ ×E2 −k02 Nm · ¯¯r2 E2 d V. + j k0 V (9) In addition, combining (7a) with (2) gives ¯ 1 ) − K(nˆ × E2 ) − E2 ]t = 0 [−η¯ 1 L(nˆ × H

(10)

where the subscript t indicates the tangential component of vectors. Discretization of the above equations gives ⎡ ⎤

EI 2 I I I S A A 0 ⎢ S⎥ (11) −Js = ⎣ E2 ⎦ A S I A S S + Cη¯ 1S −D S H1S

1398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

and

Substituting (20) and (21) into (24) gives −η¯ 1 C S H1S − FS E 2S = 0.

(12)

2 P¯n∗ = (1 + j λn )(E n )† Re E n .

and denote the unknowns in the volume V and surface unknowns of the electric fields in Region 2, respectively. H1S denotes the surface unknowns of the normalized magnetic fields in Region 1. The matrix elements are given by  (13) [Js ]m = Nm · J¯ s d S S   1 −1 ∇ ×Nm · μ¯¯ r2 [ A]mn = ∇ j k0 V  (14) × Nn −k02 Nm · ¯¯r2 Nn d V  [C S ]mn = m · L(n )d S (15) S (16) [D S ]mn = m · K(n )d S S [FS ]mn = m · [K(n ) + n × n]d ˆ S. (17)

)† R

E 2I

E 2S

S

Hermitian matrix Re ensures that (E n e E n has to be real. Thus, (E n )† Re E n indicates the real part of the power, which is greater than or equal to zero. Furthermore, as mentioned previously, λn is real. When E n is normalized so that (E n )† Re E n = 2, λn is the negative imaginary part of the normalized complex power. When λn = 0, the CM is at resonance. When λn < 0, it is an inductive mode, and when λn > 0, it is a capacitive mode. B. Formulation II: H -Field Continuity For the case of H -field continuity, let J¯ s = 0 in (1a), namely, the tangential continuity of magnetic fields are enforced ¯ 2 ) = 0. ¯1−H (26) nˆ × (H ¯ 2 is governed by the following equation The magnetic field H in the volume V

C ST = C S and D ST = FS . Substituting (12) into (11) yields −Js = Te E 2

where Te = and E 2 =

[(E 2I )T

AI I

AI S

AS I

AS S

(E 2S )T ]T .

+

(C S +D S C S−1 FS ) η¯ 1

(18) (19)

In terms of Hermitian parts [16],

Te = Re + j X e 1 Re = (Te + Te† ) 2 1 (Te − Te† ). Xe = 2j

(21)

E n denotes the nth-order characteristic electric fields in Region 2. The eigenvalues λn are real since Re and X e are Hermitian. When ¯¯r2 and μ¯¯ r2 are symmetric, X e and Re are real symmetric matrices and the corresponding eigenvectors are real. The eigensolution of (21) is the same as that of the eigenequation obtained from (21) by eliminating E 2I if A I I is invertible. In view of Ms = 0 and (13), the normalized complex power supplied to the whole space (Regions 1 and 2) is given by [22]  ∗ ¯ (22) 2 Pn = − E∗n · J¯ s d S = −(E n )† Js . S

Here the asterisk denotes complex conjugate. P¯n = η0 Pn , and Pn is the supplied power. In addition, using E n† to multiply (18), one can obtain −(E n )† Js = (E n )† Te E n .

(23)

Combining (22) with (23), one can obtain 2 P¯n∗ = (E n )† Te E n .

−1 ¯ 2 = 0. ¯ 2 − k02 μ¯¯ r2 H ∇ × ¯¯r2 ∇ ×H

Using Nm to test the above equation gives    −1 ¯ 2 d V = 0. ¯ 2 − k02 μ¯¯ r2 H Nm · ∇ × ¯¯r2 ∇×H

(27)

(28)

V

Applying the vector identity, the divergence theorem, and a Maxwell’s curl equation yields    −1 ¯ 2 dV ¯ 2 − k02 Nm · μ¯¯ r2 H ∇ ×H ∇ × Nm · ¯¯r2 V  − j k0 Nm × E2 · nd ˆ S = 0. (29) S

(20)

The superscript † denotes conjugate transpose. The GHE equation for CMs can be formulated as follows: X e E n = λn Re E n .

(25)

Substituting (1b) into the above equation gives   − Nm · Ms d S = − m · E1 d S S S   1 −1 ¯2 ∇ × Nm · ¯¯r2 + ∇×H j k0 V  ¯ 2 d V. (30) − k02 Nm · μ¯¯ r2 H Substituting (7a) into (30) and making use of (26) yields  − Nm · Ms d S S ¯ 2 ) + K(nˆ × E1 )]d S m · [η¯ 1 L(nˆ × H = S    1 −1 ¯ 2 d V. ¯ 2 −k02 Nm · μ¯¯ r2 H ∇ ×Nm · ¯¯r2 + ∇×H j k0 V (31) Moreover, combining (7b) with (26) gives  1 ¯ 2) − H ¯ 2 ) = 0. L(nˆ × E1 ) − K(nˆ × H η¯ 1 t Discretization of the above equations gives  −Ms =

(24)

BII

BIS

BSI

B S S + η¯ 1 C S



⎤ H2I 0 ⎢ S⎥ ⎣ H2 ⎦ DS E 1S

(32)

(33)

HU AND WANG: FE-BI FORMULATIONS FOR CHARACTERISTIC MODES

and

1 C S E 1S − FS H2S = 0. η¯ 1

1399

(34)

H2I and H2S denote the interior unknowns and surface unknowns of the normalized magnetic fields in Region 2, respectively. E 1S denotes the surface unknowns of the electric fields in Region 1. The matrix elements are given by  (35) [Ms ]m = Nm · Ms d S S   1 −1 ∇ ×Nm · ¯¯r2 [B]mn = ∇ × Nn j k0 V  − k02 Nm · μ¯¯ r2 Nn d V. (36) Substituting (34) into (33) yields −Ms = Th H2 where

 Th =

BII BSI

BSS

BIS + η¯ 1 (C S + D S C S−1 FS )

(37) Fig. 2. Mode significance of formulation I and formulation II as a function of frequency.

(38)

TABLE I R ESONANCE F REQUENCIES OF C IRCULAR D IELECTRIC R ESONATOR (GHz)

and H2 = [(H2I )T (H2S )T ]T . In terms of Hermitian parts, Th = Rh + j X h 1 Rh = (Th + Th† ) 2 1 (Th − Th† ). (39) Xh = 2j The GHE equation for CMs can be formulated as follows: X h Hn = λn Rh Hn .

(40)

Hn denotes nth-order characteristic normalized magnetic fields in Region 2. Similar to the eigenvalues of Formulation I, the eigenvalues λn of (40) are real. When ¯¯r2 and μ¯¯ r2 are symmetric, X h and Rh are real symmetric matrices and the corresponding eigenvectors are real. In view of Js = 0 and (35), the normalized complex power supplied to the whole space is given by [22]  ¯ n∗ · Ms d S = −(Hn )† Ms . ¯ (41) 2 Pn = − H S

Using

Hn†

to multiply (37), one can obtain −(Hn )† Ms = (Hn )† Th Hn .

(42)

Applying (41) and (42), one can obtain 2 P¯n = (Hn )† Th Hn .

(43)

Substituting (39) and (40) into (43) gives 2 P¯n = (1 + j λn )(Hn )† Rh Hn .

(44)

Hermitian matrix Rh ensures that (Hn )† Rh Hn has to be a real. Thus, (Hn )† Rh Hn indicates the real part of the power, which is greater than or equal to zero. Furthermore, as mentioned previously, λn is real. When Hn is normalized so that (Hn )† Rh Hn = 2, λn is the imaginary part of the normalized complex power. When λn = 0, the CM is at resonance. When λn > 0, it is an inductive mode, and when λn < 0, it is a capacitive mode. Furthermore, it is worth noting that Formulations I and II satisfy duality.

III. N UMERICAL R ESULTS A. Example I In the simulation, Lapack 3.3 with Fortran language is applied to solve the GE equations. The Fortran code using double-precision arithmetic is run on a Linux machine with an Intel Xeon CPU of 2.4 GHz. To validate the proposed FE-BI formulations, a circular dielectric resonator (r2 = 38, μr2 = 1) in free space [16] is studied carefully. It has height h = 4.6 mm and radius a = 5.25 mm, and its center is located at (0, 0, 2.3) mm. It is discretized into 1837 tetrahedral elements. The CPU time is about 249 s per frequency point for all modes. The memory requirement is about 559 MB. The absolute value of all eigenvalues at each frequency are sorted in ascending order. It is then easy to identify which frequencies are at resonance (λn = 0) through plotting the minimum eigenvalue |λn | or the maximum mode significance 1/|1+ j λn | as a function of frequency. Fig. 2 shows the maximum mode significance of two formulations. As shown in Fig. 2, there are five resonance frequencies within [4, 8] GHz. Table I lists these five resonance frequencies calculated using Formulations I and II. It is observed from Table I that the resonance frequencies of both formulations accurately approximate to those of NMs in [17]. The EM fields of the TE01δ mode at 4.9 GHz are also plotted. Figs. 3 and 4 show the EM fields from Formulation I, and Figs. 5 and 6 show the EM fields from Formulation II. More specifically, the electric fields are in the equatorial plane at z = 2.3 mm, and the magnetic fields are in the meridian plane at y = 0. The real part of the electric fields of (21) and magnetic fields of (40) are plotted since the eigenvectors

1400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 6. Real part of the magnetic fields by Formulation II at the resonance point of f = 4.9 GHz in the meridian plane at y = 0. TABLE II R ESONANCE F REQUENCIES OF A NISOTROPIC R ECTANGULAR D IELECTRIC R ESONATOR (GHz) Fig. 3. Real part of the electric fields by Formulation I at the resonance point of f = 4.9 GHz in the equatorial plane at z = 2.3 mm.

is similar to that of the second formulation. Furthermore, the field distributions of the CMs behave very much like those of NMs, as shown in [17]. B. Example II Fig. 4. Imaginary part of the magnetic fields by Formulation I at the resonance point of f = 4.9 GHz in the meridian plane at y = 0.

To further validate the proposed FE-BI formulations, a rectangular dielectric resonator in free space is simulated. The length of the edges along x- and y-directions is ax = a y = 14.98 mm, and the length of the edge along the z-direction is az = 7.48 mm. The number of tetrahedral elements is 1114. Two cases are considered for this rectangular dielectric resonator. The first case is simulated with r2 = 34.2 and μr2 = 1. The CPU time for each frequency point is about 55 s. The memory requirement is about 226 MB. The resonance z mode is f = 3.275 GHz, which is frequency of the TE11δ listed in Table II. As for the simulation of the second case for this rectangular dielectric resonator, r2 is changed to ¯¯r2 = xˆ x35 ˆ + yˆ yˆ 25 + zˆ zˆ 35. The resonance frequency of the lowest order mode is also shown in Table II. The FE-BI results are compared with those of the IE method [19] and HFSS software. Good agreements between them can be observed. IV. C ONCLUSION

Fig. 5. Imaginary part of the electric fields by Formulation II at the resonance point of f = 4.9 GHz in the equatorial plane at z = 2.3 mm.

of (21) and (40) are real. As shown in these figures, the fields of (21) is similar to those of (40) multiplying the imaginary constant j . Thus, the field distribution of the first formulation

In summary, two types of FE-BI formulations for CMs of general objects have been developed. These FE-BI formulations are symmetric when the permittivity and permeability of the general objects are of tensors with the symmetric form. The FE-BI formulations lead to the novel GHE equations for the CMs. The corresponding eigenvalues indicate imaginary parts of the complex power of CMs. These proposed formulations alternatively provide a new technique to determine the resonance frequencies of dielectric resonators filled with composite

HU AND WANG: FE-BI FORMULATIONS FOR CHARACTERISTIC MODES

inhomogeneous anisotropic materials. The resonance frequencies of the CMs obtained from the FE-BI formulations agree well with those obtained using the NM method. Moreover, the field distributions of CMs at resonance behave very much like those of NMs. R EFERENCES [1] R. J. Garbacz and R. H. Turpin, “A generalized expansion for radiated and scattered fields,” IEEE Trans. Antennas Propag., vol. AP-19, no. 3, pp. 348–358, May 1971. [2] R. F. Harrington and J. R. Mautz, “Theory of characteristic modes for conducting bodies,” IEEE Trans. Antennas Propag., vol. AP-19, no. 5, pp. 622–628, Sep. 1971. [3] R. F. Harrington and J. R. Mautz, “Characteristic modes for dieletric and magnetic bodies,” IEEE Trans. Antennas Propag., vol. AP-20, no. 2, pp. 194–198, Mar. 1972. [4] R. F. Harrington and J. R. Mautz, “Control of radar scattering by reactive loading,” IEEE Trans. Antennas Propag., vol. AP-20, no. 4, pp. 446–454, Jul. 1972. [5] R. F. Harrington and J. R. Mautz, “Pattern synthesis for loaded N-port scatterers,” IEEE Trans. Antennas Propag., vol. AP-22, no. 2, pp. 184–190, Mar. 1974. [6] Y. Chang and R. F. Harrington, “A surface formulation for characteristic modes of material bodies,” IEEE Trans. Antennas Propag., vol. AP-25, no. 6, pp. 789–795, Nov. 1977. [7] R. J. Garbacz and D. M. Pozar, “Antenna shape synthesis using characteristic modes,” IEEE Trans. Antennas Propag., vol. AP-30, no. 3, pp. 340–350, May 1990. [8] D. Liu, R. J. Garbacz, and D. M. Pozar, “Antenna synthesis and optimization using generalized characteristic modes,” IEEE Trans. Antennas Propag., vol. 38, no. 6, pp. 862–868, Jun. 1990. [9] A. El-Hajj, K. Y. Kabalan, and R. F. Harrington, “Characteristic modes of a slot in a conducting cylinder and their use for penetration and scattering, TE case,” IEEE Trans. Antennas Propag., vol. 40, no. 2, pp. 156–161, Feb. 1992. [10] A. El-Hajj and K. Y. Kabalan, “Characteristic modes of a rectangular aperture in a perfectly conducting plane,” IEEE Trans. Antennas Propag., vol. 42, no. 10, pp. 1447–1450, Oct. 1994. [11] M. Cabedo-Fabres, E. Antonino-Daviu, A. Valero-Nogueira, and M. F. Bataller, “The theory of characteristic modes revisited: A contribution to the design of antennas for modern applications,” IEEE Antennas Propag. Mag., vol. 49, no. 5, pp. 52–68, Oct. 2007. [12] J. M. Jin, The Finite Element Method in Electromagnetics. New York, NY, USA: Wiley, 2002. [13] J. M. Jin, Theory and Computation of Electromagnetic Fields. Hoboken, NJ, USA: Wiley, 2010. [14] F. G. Hu, C. F. Wang, and Y. B. Gan, “Efficient calculation of interior scattering from large three-dimensional PEC cavities,” IEEE Trans. Antennas Propag., vol. 55, no. 1, pp. 167–177, Jan. 2007. [15] F. G. Hu and C. F. Wang, “Preconditioned formulation of FE-BI equations with domain decomposition method for calculation of electromagnetic scattering from cavities,” IEEE Trans. Antennas Propag., vol. 57, no. 8, pp. 2506–2511, Aug. 2009. [16] F. G. Hu and C. F. Wang, “FE-BI formulation for characteristic modes of general bodies,” in Proc. IEEE AP-S Int. Symp., 2015, pp. 1846–847. [17] D. Kajfez and A. W. Glisson, “Computed modal field distributions for isolated dielectric resonators,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 12, pp. 1609–1616, Dec. 1984. [18] Y. Liu, S. Safavi-Naeini, and S. K. Chaudhuri, “On the determination of resonant modes of dielectric objects using surface integral equations,” IEEE Trans. Antennas Propag., vol. 52, no. 4, pp. 1062–1069, Apr. 2004. [19] Y. Liu, S. Safavi-Naeini, and S. K. Chaudhuri, “Determination of resonant modes of dielectric resonators using MoM-SIE with combined entire-domain and subdomain basis functions,” IEEE Trans. Antennas Propag., vol. 53, no. 2, pp. 883–886, Feb. 2005.

1401

[20] J. Liu and J. M. Jin, “Scattering analysis of a large body with deep cavities,” IEEE Trans. Antennas Propag., vol. 51, no. 6, pp. 1157–1167, Jun. 2003. [21] J. L. Volakis, A. Chatterjee, and L. C. Kempel, Finite Element Method Electromagnetics: Antennas, Microwave Circuits, and Scattering Applications. New York, NY, USA: Wiley, 1998. [22] C. A. Balanis, Advanced Engineering Electromagnetics. New York, NY, USA: Wiley, 1989. [23] R. F. Harrington, Time-Harmonic Electromagnetic Fields. New York, NY, USA: McGraw-Hill, 1961. Fu-Gang Hu (M’06) received the B. Eng. and M. Eng. degrees from Xidian University, Xi’an, China, in 1999 and 2002, respectively, and the Ph.D. degree in electrical engineering from Iowa State University, Ames, IA, USA, in 2010. From 2002 to 2007, he was an Associate Scientist, from 2010 to 2013, a Research Scientist, and from 2014 to August 2015, was a Senior Research Scientist with Temasek Laboratories, National University of Singapore, Singapore. He is currently a Principal Software Engineer with Cadence Design Systems Inc. His technical interests include electromagnetic modeling using the integral-equation methods, higher order finite-element boundary-integral (FE-BI) method, and discontinuous Galerkin finite-element time-domain (DG-FETD) method. Dr. Hu was a recipient of the 2010 IEEE Antennas and Propagation Society Doctoral Research Award. He was also the recipient of the 2010 Research Excellence Award presented by Iowa State University. Chao-Fu Wang (M’98–SM’02) received the B.Sc. degree in mathematics from Henan Normal University, Xinxiang, China, in 1985, the M.Sc. degree in applied mathematics from Hunan University, Changsha, China, in 1989, and the Ph.D. degree in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1995. From 1987 to 1996, he was a Lecturer and then an Associate Professor with the Nanjing University of Science and Technology, Nanjing, China. From 1996 to 1999, he was a Postdoctoral Research Fellow with the Center for Computational Electromagnetics, University of Illinois at Urbana–Champaign. From 1999 to 2001, he was a Research Fellow with the Department of Electrical and Computer Engineering, National University of Singapore (NUS), Singapore. In 2001, he joined Temasek Laboratories (TL), NUS, Singapore, as a Research Scientist, became a Senior Research Scientist in 2007, and a Principal Research Scientist in 2011. He coauthored Characteristic Modes: Theory and Applications in Antenna Engineering (Wiley, 2015). His research interests include fast algorithms for computational electromagnetics, scattering and antenna analysis, ferrite components and their analysis, monolithic microwave integrated circuit (MMIC) design and fast electromagnetic (EM) simulation, fast prediction of EM scattering from large and complex targets, efficient electromagnetic compatibility (EMC)/electromagnetic interference (EMI) modeling for practical platforms, EM scattering, and antenna measurement. Dr. Wang served the IEEE Singapore Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) and Electromagnetic Compatibility (EMC) Chapters as a Committee Member, Secretary, Treasurer, and Vice-Chairman (2003–2012). He served as a Chairman of the IEEE Singapore MTT/AP Chapter in 2013. He has been actively involved in organizing several international conferences in Singapore. He has served as the Publication Chair for RFIT2005, RFIT2007, RFIR2012, and APCAP2012, the Organizing Committee Secretary for IWAT2005 and ISAP2006, and exhibition and sponsorship Chair for APMC2009. He was the corecipient of the 2009 Best ACES Journal Paper Award from the Applied Computational Electromagnetics Society (ACES) for his paper entitled “Analyzing PEC Scattering Structure Using an IE-FFT Algorithm.”

1402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Quantitative Theory for Probe-Sample Interaction With Inhomogeneous Perturbation in Near-Field Scanning Microwave Microscopy Zhun Wei, Yong-Tao Cui, Eric Yue Ma, Scott Johnston, Yongliang Yang, Rui Chen, Michael Kelly, Zhi-Xun Shen, and Xudong Chen

Abstract—A general approach for calculating tip–sample capacitance variation in near-field scanning microwave microscopy is presented. It can be applied to arbitrary tip shapes, thick and thin films, and variation due to inhomogeneous perturbation. The computation domain for the tip–sample interaction problem is reduced to a block perturbation area by applying Green’s theorem, and thus it can save substantial time and memory during calculating either electric field or contrast capacitance for three-dimensional models of near-field microwave microscopy. We show that this method can accurately calculate capacitance variation due to inhomogeneous perturbation in insulating or conductive samples, as verified by finite-element analysis results of commercial software and experimental data from microwave impedance microscopy. More importantly, the method in this paper also provides a rigorous framework to solve the inverse problem, which has great potential to improve resolution by deconvolution. Index Terms—Inhomogeneous perturbation, microwave impedance microscopy (MIM), near-field scanning microwave microscopy (NSMM), tip–sample interaction.

I. INTRODUCTION

N

EAR-FIELD scanning microwave microscopy (NSMM) is a fast-developing technique that is used in various areas including medicine, biology, and physics to investigate properties of nanostructures [1]–[3]. In NSMM, as a tip approaches samples, the electric field between the tip and ground changes, corresponding to the perturbation introduced by the sample under test [4]–[6]. The interaction between tip and sample is difficult to analyze numerically due to the Manuscript received June 17, 2015; revised August 24, 2015, December 21, 2015, and December 29, 2015; accepted February 16, 2016. Date of publication March 18, 2016; date of current version May 10, 2016. The Stanford experimental effort was supported by the Gordon and Betty Moore Foundation under Grant GBMF3133 to ZXS and by the NSF under Grant DMR-1305731. This work was supported in part by the National Research Foundation, Prime Minister’s Office, Singapore, under its Competitive Research Programme (CRP Award NRF-CRP10-2012-04). Z. Wei, R. Chen, and X. Chen are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583, Singapore (e-mail: [email protected]). Y.-T. Cui, E. Y. Ma, S. Johnston, Y. Yang, M. Kelly, and Z.-X. Shen are with the Department of Applied Physics and the Geballe Laboratory for Advanced Materials, Stanford University, Stanford, CA 94305 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2537801

complexity of tip geometry, and large computation area for three-dimensional (3-D) samples. Replacing the tip by a small conducting sphere is widely used to approximate tip–sample interaction [7], [8], but the accuracy of this approximation is questionable due to the important contribution from the upper part of the tip [9], [10]. An approximate analytical solution is also used [11], [12], but the tip geometry is limited to very few specific types [13]–[15]. More importantly, fabricated tips can hardly be of a rigorously regular shape in practice, which further makes the approximate analytical solutions inaccurate and inflexible. Also, the above two methods are mainly used to calculate homogeneous samples and can hardly be applied to samples with inhomogeneous perturbation presented. Another well-known approach is to obtain the capacitance between a metallic tip and an inhomogeneous sample using a boundary integral method [16], but quantitatively speaking, the results can hardly be accurate especially when the perturbation in sample is inhomogeneous due to approximations made in the theoretical part of the method. Moreover, an algorithm called the generalized image charge method (GICM) [17] has also been developed and widely used. It has been applied to evaluate electrostatic interaction between the tip and metallic nanowire over the surface by using the Green’s function of the segment [18], and to calculate the electric field at very small tip–sample distances [19]. Nevertheless, the models used in these papers are two-dimensional (2-D) symmetric, and have not proven to be applicable when the sample under test is 3-D. Therefore, a fast, accurate, and general approach to evaluate the tip–sample interaction with an arbitrary tip and inhomogeneous perturbation is yet to be realized and, in this paper, we propose an approach based on finite-element boundary integral methods to fill this gap. According to our previous work [20], it is found that only a limited region beneath the probe contributes to the tip–sample capacitance in NSMM. Based on this conclusion, we are able to reduce the computation domain of the tip–sample interaction problem to a block area by applying Green’s theorem in the proposed method, and it is fast when computing a 3-D tip–sample interaction problem for both insulating and conductive sample. Contrast capacitance due to various perturbations is calculated using this method and compared with both numerical results obtained by commercial software and experimental images of microwave impedance microscopy (MIM). Moreover, we also show that this rigorous framework

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

WEI et al.: QUANTITATIVE THEORY FOR PROBE-SAMPLE INTERACTION WITH INHOMOGENEOUS PERTURBATION IN NSMM

1403

Fig. 2. Typical near-field microwave microscopy scheme including geometry m, and parameters used in the theoretical calculation of this paper: m, , m, m, m, nm, and m (not to scale).

show the image of an “H”-shape perturbation structure using the theoretical method in this paper. We also compare the computation time of applying the method in this paper in solving the scanning problems with that of using COMSOL Multiphysics. To further demonstrate our theoretical method, an image of a buried sample obtained by MIM is compared with contrast capacitance computed by our method in Section IV. Finally, we summarize our main original contributions in Section V. II. THEORY AND PRINCIPLE Fig. 1. (a) Simple schematic of MIM. (b) Photograph of MIM measurement setup and devices.

of evaluating tip–sample interaction can be further applied to an inverse problem that can improve resolution by deconvolution in the near future. In Fig. 1(a) and (b), a simple schematic and photograph of the experimental setup and devices for MIM are presented [21]. In a MIM measurement, GHz voltage modulation is delivered to the tip of a metallic probe, usually of pyramid shape with a base length of 5 m and an apex diameter of 50 nm [22]. When the tip is brought close to and scanned across the surface of a sample, variations of tip–sample admittance are recorded, the imaginary and real parts of which are denoted as MIM-Im and MIM-Re signals, respectively. For samples under test, the major contribution of impedance perturbation comes from variations of dielectric constant and conductivity. Semi-quantitative information of local permittivity or electrical conductivity is obtained by comparing MIM data to the admittance-permittivity or conductivity curve (response curve) simulated in commercial finite analysis software. Usually a 2-D axisymmetric model of a cone-shaped tip on a large homogeneous sample is used to calculate the admittance between the two, whereas a point-by-point full 3-D simulation remains impractical due to extremely large computation cost. A fast general method of calculating admittance between arbitrary tip and inhomogeneous samples is therefore highly desirable for experiments. This paper is organized as follows. In Section II, we describe the theoretical principle of our method, and propose an approach to implement it. In Section III, we present our results when the perturbation in the sample under test is inhomogeneous, and

The geometry and parameters used in the theoretical calculation are sketched in Fig. 2, and we consider a widely used cone-sphere tip, which is depicted by the height of the whole tip , height of the cone , and the half cone angle . We note that the tip can be of arbitrary geometry and we choose the cone sphere tip as an example to present our modeling. In this paper, frequency is set to be 1 GHz unless otherwise stated. We consider a 3-D sample with two layers. One is called the feature layer, which is a cuboid region with height and width , another one is a bottom surface grounded substrate layer with height and width . We know a priori that all perturbations locate inside a finite region in the feature layer, which is denoted as domain I. Here, we choose domain I as a cuboid with width and height . Outside domain I, other regions of the feature layer and substrate layer are filled with known materials and here we use SiO with relative permittivity of 3.9 for both of them as an example in this paper. In this model, we assume that the top surface of the sample is flat and the distance between the bottom of the tip and the top surface of the sample is . Under a tip–sample bias of 1 V, the background potential, i.e., with the absence of perturbation, is denoted as . The task is to calculate the change in capacitance, referred to as contrast capacitance, when perturbation is present. Our model calculates the potential inside domain I using the finite-element method (FEM) and deals the potential outside via the boundary-element method (BEM). In domain I, the potential satisfies the following equations: (1) For dielectric samples, is a real value representing permittivity of the sample, whereas for conductive materials,

1404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

is replaced by with and to be electrical conductivity and angular frequency, respectively. Following the FEM, domain I is discretized into rectangular brick elements, and (1) can be discretized as [23] (2) where and are evaluated as the integral over the domain I element and its boundary element, respectively. The electrical potential in the exterior region of domain I satisfies the following equation according to Green’s theorem [24]: Fig. 3. Side view of a sample with four layers of perturbation filled in domain and height of and these four layers are filled I. Each layer has a width of with alumina, some certain oxide, glass, and silicon, respectively.

(3) where is the potential due to a unit charge (Green’s function) in the background, i.e., when the perturbation is not presented in the feature layer. Under most cases, has no analytical solution, but it can be evaluated numerically easily using commercial software, and the details is included in the Appendix. and are the boundary of domain I and its inward normal direction, respectively. Following the discretization method in the FEM, the potential at each boundary element node of domain I satisfies the following equation by applying the collocation method to (3):

is the conductance between the probe and sample. where Under a tip–sample bias of 1 V, the capacitance is equal to the real part of and, combined with (6), we have

(4)

(8)

For the perturbation with conductive materials presented, capacitance variation will be frequency dependent. The relationship between the charge on the probe and capacitance is (7)

where

and are calculated as integrals of and over the boundary element of domain I, respectively, and corresponds to on the boundary of domain I. By combining (2) and (4), the potential on the boundary of domain can be easily solved. In this paper, a homogeneous sample, which excludes the perturbation, is chosen as a reference model to obtain reference capacitance between the tip and ground. Contrast capacitance (denoted as ), which is defined as the difference between capacitance in the presence of perturbation and , is evaluated in this paper. According to (3), contrast capacitance between the tip and ground due to the presence of perturbation in the feature layer can be expressed as

(5) and can be calculated as the total where charge on the tip due to a unit charge (Green’s function) and dipole in the background, respectively. The detailed process for deriving is presented in the Appendix. For (5), using the same process in discretizing (3), contrast capacitance on the tip can be evaluated as (6) The matrices and

and are calculated as integrals of over the boundary element of domain I.

III. NUMERICAL VALIDATION Contrast capacitance is evaluated using the theoretical approach (denoted as ) in this paper and compared with the simulation result of COMSOL software (denoted as ). In the first example, domain I is filled with four layers of perturbation, as shown in Fig. 3, and each layer has a height of nm. The materials filled in each layer are alumina, an unknown oxide, glass, and silicon with relative permittivity set to be 9.3, , 6, and 11.7, respectively. Contrast capacitance due to this four-layer perturbation from both theoretical and simulation results, with varying from 6 to 40, is presented in Fig. 4(a). In Fig. 4(b), certain oxide is replaced by conductive materials with relative permittivity of 16 and conductivity of varying from 0.02 to 7.82 S/m. It is found that contrast capacitance calculated by a theoretical approach agrees excellently with that by finite-element software for both insulating and conductive perturbation with relative error smaller than 0.6%. In order to show that the contribution of contrast capacitance in the tip–sample interaction comes primarily from the perturbation of a limited window beneath the tip [20], silicon with permittivity of 12 is filled in domain I. The width of perturbation domain I is gradually increased from a small value to 5 m while other parameters are kept unchanged. Again, is calculated as the capacitance when there is no perturbation presented m . Fig. 5(a) shows the contrast capacitance normalized to m as a function of for three different half cone angles of the tip, and Fig. 5(b) shows the normalized contrast for three different

WEI et al.: QUANTITATIVE THEORY FOR PROBE-SAMPLE INTERACTION WITH INHOMOGENEOUS PERTURBATION IN NSMM

Fig. 4. Capacitance variation due to the four layers of perturbation sample depicted in Fig. 3 for both theoretical and simulation when we change: (a) from 6 to 40 and (b) conductivity of the second layer from 0.02 to 7.82 S/m.

substrate heights . Conclusions can be drawn that the contrast capacitance increases when the size of perturbation domain I is enlarged, but it saturates when reaches some certain value. The conclusions suggest that only a limited region beneath the tip contributes to the contrast capacitance. Besides, from Fig. 5(a), it is found that, with a sharp tip, the contrast capacitance increases faster to saturation than that with a blunt tip, which means that the response on a blunt tip comes from a larger region beneath the tip. This conclusion suggests that under the same condition, high resolution will be achieved for a sharper tip. From Fig. 5(b), we can see that, compared with a thick sample, for a thin film it is easier to achieve higher resolution with other parameters being the same. This conclusion suggests that if it is possible, one should reduce the thickness of the sample under test to achieve better resolution in experiment. One physical reason behind these conclusions is that the electric field concentrates more between the tip and ground for a sharper tip or thinner sample. Another point to be addressed is that, in Fig. 5(a) and (b), perturbation domain I is full of perturbation materials, but, in practice, perturbation normally comes from only a fraction of domain I, e.g., perturbations are often particles or stripes. Our further simulation results also show that, in the latter case, it is much easier for contrast capacitance to reach a saturation point compared with the former case. This is due to the fact that for such small perturbation particles or stripes, the perturbation contribution decreases faster when it is farther away from the tip. To summarize, for situations where perturbation materials occupy

1405

Fig. 5. Contrast capacitance normalized to m varying with perturbation domain I width : (a) for three different values of half cone angle and (b) for three different values of substrate height .

Fig. 6. Cone-sphere tip scans over a 3-D sample with an “H” shape perturbation nm and nm). presented (

only a fraction of domain I, the computational window beneath the tip can be chosen to be a smaller domain. Our further simulation also suggests that for most of the sample in the experiment, corresponding to is good enough for computing contrast capacitance. In the second example, we consider a 3-D sample with an “H” shape perturbation, and a cone-sphere tip is applied to scan over this 3-D structure with a certain tip–sample distance . The substrate area is filled with SiO while the perturbation region is filled with a certain oxide with relative permittivity of 16. The calculation is done with a finite-element package COMSOL 4.3 3-D solver and the result is used as a benchmark to compare with the theoretical results obtained by the method in this

1406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 8. (a) Side view of a buried sample structure and SiO is buried in the Al O layer with a specific pattern. (b) Schematic of the pyramid tip.

Fig. 7. Contrast capacitance image when tip scans over “H” shape perturbation. (a) Simulation results from COMSOL. (b) Results from theoretical approach.

paper. In the calculation of contrast capacitance using a theoretical method, at each scanning point, a window with width of 1.2 m beneath the tip is considered as the perturbation region, which contributes to the contrast capacitance. Fig. 7(a) presents the contrast capacitance image when the tip scans over the sample shown in Fig. 6 in COMSOL, and Fig. 7(b) shows the counterpart obtained by the proposed theoretical method, where we see that both results agree with each other perfectly. If the same computer is used for such a pattern, it takes about 130 min and more than 30-GB RAM to finish the simulation in COMSOL, whereas it takes only about 18 min and 1-GB RAM using the stored Green’s function to compute the contrast capacitance applying the method introduced in this paper. It suggests that our method has a great advantage in the scanning of the 3-D structure over commercial software. Moreover, even if the structures (here, the “H” pattern) fabricated in the same substrate have been changed, we can directly compute the perturbation on the tip without re-storing the Green’s function. Another important advantage of the method in this paper is that it can be directly applied to the inverse problem, and the properties of unknown materials can be reconstructed by solving the inverse problem. By comparing with the exact pattern shown in Fig. 6, we see from Fig. 7(a) and (b) that the perturbation response on a tip is actually a convolution from a region beneath the tip rather than just from a single pixel beneath the tip. If we are able to reconstruct the materials properties by deconvolution, the resolution can be noticeably improved, which will be our research in the near future.

Fig. 9. Capacitance varying with tip–sample distance ( ) for both EFM and MIM (scaled). The horizontal axis denotes the tip–sample distance.

IV. EXPERIMENTAL VALIDATION Fig. 8(a) presents a two-layer standard sample for measuring the dielectric response of MIM. In the measurement, a pyramid tip with the height of 5.3 m, angle of 69 , and apex diameter approximately of 50 nm is used and the schematic of the pyramid tip is depicted in Fig. 8(b) [22]. The sample under test consists of a doped Si layer and Al O layer with permittivity of 9, and SiO with permittivity of 3.9, buried in the Al O layer with a specific pattern. In experiment, electrostatic force microscopy (EFM) is used to calibrate the signal of MIM [25], [26]. In one mode of MIM, the measured signal is directly proportional to the capacitance between the probe and ground. We take the tip–sample approach curve at the same scanning point by both EFM and MIM and match the approach curve between them by a scaling factor (620 aF/V) on MIM as it is presented in Fig. 9. Thus, we are

WEI et al.: QUANTITATIVE THEORY FOR PROBE-SAMPLE INTERACTION WITH INHOMOGENEOUS PERTURBATION IN NSMM

Fig. 10. Capacitance difference between tip–sample distance of 0 and 200 nm: (a) measured by MIM (the dashed-line rectangular represents the specific calculation area in the theoretical part) and (b) computed by the theoretical method in this paper. Each pixel in (b) has an area of 0.25 0.25 m .

able to directly convert the measured signal into capacitance images when the probe scans across the sample in Fig. 8 using the scaling factor. Since there is always an arbitrary offset in the experiment, we take the capacitance difference between two different tip–sample distances to eliminate it. Fig. 10(a) presents the capacitance difference image between a tip–sample distance of 0 and 200 nm measured by MIM. Although there are some small discontinuities due to drifts in experiment, it is found that the buried structure pattern is clearly resolved. In the theoretical part, contrast capacitance at a tip–sample distance of 0 and 200 nm is calculated. Fig. 10(b) shows the capacitance difference between the tip–sample distance of 0 and 200 nm obtained by the theoretical method in this paper. Except for some small discrepancies due to stains in the sample and drifts in experiment, we find that the capacitance difference measured by experiment matches well with results calculated by the theoretical method. We have extracted the data (denoted as A) in the scanning area of Fig. 10(a) and compared with the value of capacitance difference (denoted as B) at the same positon in Fig. 10(b). It is found that the relative error, which is calculated as , is as small as 3.05%. V. CONCLUSIONS A general approach that can be applied to solve the tip–sample interaction problem is presented and verified by both numerical and experimental approach in this paper. The original contributions of this paper are summarized as follows. The first advantage of this approach is that it can be directly

1407

applied to scanning microscopy and saves considerable time and memory. For different scanning points, the region that perturbs the tip-ground capacitance is limited to a box (domain I) beneath the tip and, consequently, for the materials outside this region we can treat them as known homogeneous material due to their negligible contribution to contrast capacitance. Thus, the Green’s function is not changed for different scanning points, and we only need to change the value of the matrix that depends on the properties of perturbation materials during the scanning process. To conclude, the proposed method reduces the 3-D computation domain to the computation box (i.e., the aforementioned effective region) beneath the tip, which avoids using the FEM to compute the whole computational domain (whatever between the tip and the ground) during the scanning process. To simulate 3-D tip–sample interaction for scanning points, the proposed method is much faster than brute-force all-domain methods. The second advantage of this approach is that the framework is applicable to various models regardless of the tip shape, sample type, and perturbation material, and the results are very accurate. For a different setting of tips and samples, we only need to calculate the corresponding Green’s function on the boundary of the effective region. When an analytical Green’s function is not available, we can numerically calculate it and then save it in the library. Note that the numerical evaluation of the Green’s function is needed only once for a given experimental setup, and will not change during the scanning process. The third and potentially most important advantage is that this rigorous approach can be directly applied to the inverse problem in the next step, where we are able to reconstruct the materials properties from the received signal of NSMM by deconvolution, which is able to noticeably improve resolution. APPENDIX CALCULATION OF Calculation of from Green’s theorem [24] is detailed here. In (3), the Green’s function is calculated as (9) is the potential at the poThe physical meaning of sition of due to a unit point charge at the position . Thus, for the case when there is no analytical solution, we can numerically calculate it by putting a unit charge at the position of and evaluate the potential at . For calculating , we only need to replace the charge with a dipole [24] due to the reciprocity principle. We define as the inward normal direction of the probe surface. To calculate the total charge induced on the probe surface, we firstly take the derivative of both sides in (3) with respect to , and then integrate it over the probe. Finally, we multiply both sides by the permittivity of air. We get

1408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

(10) and On the left-hand side of (10), an integral of over the probe surface are total charge on the probe with and without perturbation presented, respectively. Since the voltage on the probe is 1 V, the left-hand side of (10) is directly equal to the contrast capacitance defined in Section III. On the right-hand side of (10), if we change the integral order, it will be the same as the right-hand side of (5), in which (11) in (9) that the physIt is obvious from the definition of ical meaning of is the total charge on the probe due to a unit charge. Similarly, when there is no analytical solution for and , they can be calculated by evaluating the total charge on the tip when a unit charge and dipole are presented, respectively. Additionally, the above method can also be directly applied to calculate capacitance derivative with respect to tip–sample distance , which is a parameter used widely in EFM. One only needs to calculate capacitance for two different tip–sample distances, and then use the finite difference to calculate . REFERENCES [1] K. Lai et al., “Mesoscopic percolating resistance network in a strained manganite thin film,” Science, vol. 329, no. 5988, pp. 190–193, Jul. 2010. [2] J. Hoffmann, G. Gramse, J. Niegemann, M. Zeier, and F. Kienberger, “Measuring low loss dielectric substrates with scanning probe microscopes,” Appl. Phys. Lett., vol. 105, no. 1, pp. 013102-1–013102-4, Jul. 2014. [3] S. Fabiani et al., “Broadband scanning microwave microscopy investigation of graphene,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [4] J. D. Chisum and Z. Popović, “Performance limitations and measurement analysis of a near-field microwave microscope for nondestructive and subsurface detection,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2605–2615, Aug. 2012. [5] V. V. Talanov and A. R. Schwartz, “Near-field scanning microwave microscope for interline capacitance characterization of nanoelectronics interconnect,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1224–1229, May 2009. [6] K. Lai, W. Kundhikanjana, M. A. Kelly, Z. Shen, J. Shabani, and M. Shayegan, “Imaging of coulomb-driven quantum Hall edge states,” Phys. Rev. Lett., vol. 107, no. 17, pp. 176809-1–176809-5, Oct. 2011. [7] A. Guadarrama-Santana and A. García-Valenzuela, “Obtaining the dielectric constant of solids from capacitance measurements with a pointer electrode,” Rev. Sci. Instrum., vol. 80, no. 10, pp. 106101-1–106101-3, Oct. 2009. [8] E. Castellano-Hernández and G. M. Sacha, “Ultrahigh dielectric constant of thin films obtained by electrostatic force microscopy and artificial neural networks,” Appl. Phys. Lett., vol. 100, no. 2, pp. 0231011–023101-3, Jan. 2012. [9] G. M. Sacha, “Influence of the substrate and tip shape on the characterization of thin films by electrostatic force microscopy,” IEEE Trans. Nanotechnol., vol. 12, no. 2, pp. 152–156, Mar. 2013. [10] G. Gramse, G. Gomila, and L. Fumagalli, “Quantifying the dielectric constant of thick insulators by electrostatic force microscopy: Effects of the microscopic parts of the probe,” Nanotechnology, vol. 23, no. 20, pp. 205703-1–205703-7, May 2012. [11] H. P. Huber et al., “Calibrated nanoscale capacitance measurements using a scanning microwave microscope,” Rev. Sci. Instrum., vol. 81, no. 11, pp. 113701-1–113701-9, Nov. 2010.

[12] G. Gramse et al., “Quantitative sub-surface and non-contact imaging using scanning microwave microscopy,” Nanotechnology, vol. 26, no. 13, pp. 135701-1–135701-9, Mar. 2015. [13] S. Hudlet, M. Saint Jean, C. Guthmann, and J. Berger, “Evaluation of the capacitive force between an atomic force microscopy tip and a metallic surface,” Eur. Phys. J. B., vol. 2, no. 1, pp. 5–10, Mar. 1998. [14] B. M. Law and F. Rieutord, “Electrostatic forces in atomic force microscopy,” Phys. Rev. B, Condens. Matter, vol. 66, no. 3, pp. 0354021–035402-6, Jul. 2002. [15] A. Karbassi et al., “Quantitative scanning near-field microwave microscopy for thin film dielectric constant measurement,” Rev. Sci. Instrum., vol. 79, no. 9, pp. 094706-1–094706-5, Sep. 2008. [16] S. Gómez-Moñivas and J. J. Sáenz, “Theory of electrostatic probe microscopy: A simple perturbative approach,” Appl. Phys. Lett., vol. 76, no. 20, pp. 2955–2957, May 2000. [17] G. M. Sacha, E. Sahagún, and J. J. Sáenz, “A method for calculating capacitances and electrostatic forces in atomic force microscopy,” J. Appl. Phys., vol. 101, no. 2, pp. 024310-1–024310-4, Jan. 2007. [18] G. M. Sacha, C. Gómez-Navarro, J. J. Sáenz, and J. Gómez-Herrero, “Quantitative theory for the imaging of conducting objects in electrostatic force microscopy,” Appl. Phys. Lett., vol. 89, no. 17, pp. 173122–1–173122–3, Oct. 2006. [19] G. M. Sacha, “Method to calculate electric fields at very small tip–sample distances in atomic force microscopy,” Appl. Phys. Lett., vol. 97, no. 3, pp. 033115–1–033115–3, Jul. 2010. [20] Z. Wei and X. Chen, “Numerical study of resolution in near field microscopy for dielectric samples,” in IEEE Antennas Propag./USNC/ URSI Int. Nat. Radio Sci. Meeting Symp., Jul. 2015, pp. 910–911. [21] K. Lai, W. Kundhikanjana, M. Kelly, and Z. X. Shen, “Modeling and characterization of a cantilever-based near-field scanning microwave impedance microscope,” Rev. Sci. Instrum., vol. 79, no. 6, pp. 063703–1–063703–6, Jun. 2008. [22] Y. Yang et al., “Batch-fabricated cantilever probes with electrical shielding for nanoscale dielectric and conductivity imaging,” J. Micromech. Microeng., vol. 22, no. 11, pp. 115040–1–115040–8, Nov. 2012. [23] J. Jin, The Finite Element Method in Electromagnetics. New York, NY, USA: Wiley, 2002, pp. 165–175. [24] J. D. Jackson, Classical Electrodynamics. New York, NY, USA: Wiley, 1999, pp. 33–37. [25] M. Farina, D. Mencarelli, A. D. Donato, G. Venanzoni, and A. Morini, “Calibration protocol for broadband near-field microwave microscopy,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2769–2776, Oct. 2011. [26] G. Gramse, M. Kasper, L. Fumagalli, G. Gomila, P. Hinterdorfer, and F. Kienberger, “Calibrated complex impedance and permittivity measurements with scanning microwave microscopy,” Nanotechnology, vol. 25, no. 14, pp. 145703–1–145703–8, Apr. 2014.

Zhun Wei, photograph and biography not available at the time of publication. Yong-Tao Cui, photograph and biography not available at the time of publication. Eric Yue Ma, photograph and biography not available at the time of publication. Scott Johnston, photograph and biography not available at the time of publication. Yongliang Yang, photograph and biography not available at the time of publication. Rui Chen, photograph and biography not available at the time of publication. Michael Kelly, photograph and biography not available at the time of publication. Zhi-Xun Shen, photograph and biography not available at the time of publication. Xudong Chen, photograph and biography not available at the time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1409

Avalanche Microwave Noise Sources in Commercial 90-nm CMOS Technology Federico Alimenti, Senior Member, IEEE, Gabriele Tasselli, Cyril Botteron, Senior Member, IEEE, Pierre-André Farine, Member, IEEE, and Christian Enz, Senior Member, IEEE Abstract— This paper presents a microwave noise source implemented in a commercial CMOS technology. The circuit is based on the avalanche noise generated by both the sourceto-bulk and the drain-to-bulk junctions in reverse breakdown. Two sources of different junction area are fabricated using a standard NMOS transistor in 90-nm CMOS technology having a width of 5- and 20-µm, respectively. From the experimental characterization emerges that the breakdown voltage of the source/drain to bulk diodes is 12.4 V, whereas excess noise ratios (ENRs) of 20 dB (5-µm source) and of 25 dB (20-µm source) are observed at 24 GHz for a current density of about 0.14 mA per square micrometer. Finally, a theoretical explanation of the observed behaviors is proposed by means of an equivalent circuit. The developed noise source can be used in a CMOS system-on-chip (SoC) for a variety of applications ranging from the built-in self test (BIST) of the RF chain to the calibration of fully integrated microwave radiometric sensor. Index Terms— Avalanche noise, built-in self test (BIST), CMOS technology, microwave noise sources, microwave radiometers, receiver gain calibration.

I. I NTRODUCTION

N

OISE sources are key components used in the calibration circuitry of microwave radiometers and radio astronomy receivers [1], [2]. The main calibration technique is based on the injection of a known amount of noise power at the receiver input [3]. With this methodology it is possible to determine the power gain of the receiver and thus to correct for its variations [4]. If two different levels of noise power are injected into the receiver, both power gain and noise figure (or equivalent noise temperature) can be determined, thus performing a full calibration of the system parameters [5], [6]. Another very important application of noise sources is the built-in self test (BIST) of high reliability apparatuses, military receivers, and space-based instrumentation. A class of solid-state microwave noise sources relies on the avalanche noise generated by a pn junction in reverse

Manuscript received June 10, 2015; revised September 5, 2015, January 14, 2016, and February 22, 2016; accepted March 26, 2016. Date of publication April 20, 2016; date of current version May 10, 2016. F. Alimenti is with the Department of Engineering, University of Perugia, 06125 Perugia, Italy (e-mail: [email protected]). G. Tasselli was with the Electronics and Signal Processing Laboratory (ESPLAB), École Polytechnique Fédérale de Lausanne (EPFL), 2000-Neuchâtel, Switzerland. He is now with U-blox Italia S.p.A., 34010 Sgonico TS, Trieste, Italy. C. Botteron, P-A. Farine, and C. Enz are with the Electronics and Signal Processing Laboratory (ESPLAB), École Polytechnique Fédérale de Lausanne (EPFL), 2000-Neuchâtel, Switzerland. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549522

breakdown [7]–[10]. Such a generation mechanism is characterized by a high stability [11] and can be easily controlled through the application of an external bias voltage. This means that the noise source can be switched between two states: a cold (C) and a hot (H) state. When the source is in the cold state, it is equivalent to a resistive termination at ambient temperature, i.e., at the physical temperature of the source itself. When the source is in the hot state, the internal noise generation mechanism takes place and the equivalent noise temperature increases. For avalanche noise sources, typical hot-state temperatures are in the range from 3 × 103 to 3 × 105 K. In the last two decades, on-wafer noise sources [12]–[14] were developed with improved performances both from the point of view of thermal stability and parameter reproducibility, [15], [16]. In addition, the very low amount of parasitic effects (no package, no coaxial to on-wafer adapter) make them ideal for the noise-figure measurement of microwave and millimeter-wave transistors [17]. Up to now, however, no effort has been made to implement a microwave noise source in commercial CMOS technologies. This capability will be of great importance in future millimeter-wave passive imaging applications [18]–[23] and in system-on-chip (SoC) radiometers [24]–[26]. With the noisesource building block, in fact, the calibration circuitry could also be implemented on-wafer. This paper presents a microwave noise source fabricated using a 90-nm bulk CMOS technology. The developed circuit uses the avalanche noise generated by the source-tobulk and drain-to-bulk diodes (connected in parallel) of a standard NMOS transistor. These diodes are driven in reverse breakdown and show a noise generation peak at a current density of about 0.14 μA/μm2 . Two noise sources have been designed using two devices of different widths, namely, 5 and 20 μm. In particular, the first source shows an excess noise ratio (ENR) of 20 dB at 24 GHz, whereas the second one has an ENR of 25 dB at the same frequency. Finally, an equivalentcircuit model [27] is extracted and used to predict both the noise source impedance and the ENR as a function of the biasing current. This paper is organized as follows: the implemented noise sources are described in Section II. Section III then describes the test bench used for the measurement of the ENR. Section IV illustrates the CMOS noise-source equivalent-circuit model. The experimental results are subsequently reported in Section V and compared with the model predictions. Conclusions are finally drawn in Section VI.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

of the fabricated noise source [see Fig. 1(c)] is of 450 μm in width and 60 μm in height, including pads. Finally, it is worth noting that the avalanche current flows into the substrate and, thus, it could affect the normal operation of adjacent components and sensitive circuits, such as lownoise amplifiers (LNAs). In order to guarantee the substrate isolation the avalanche diodes could be fabricated in a triple well, an option commonly available in most of the commercial CMOS technologies. III. E XPERIMENTAL S ETUP

Fig. 1. Schematic of the: (a) integrated microwave noise source, (b) structure of the device, and (c) fabricated prototype. The prototype uses the 90-nm bulk CMOS process from UMC. Two devices with drawn widths W = 5 μm and W = 20 μm have been implemented. The source/drain to bulk diffusions have a width equal to W , a length a = 520 nm, and a depth b = 120 nm. R = 310  is an N -type diffused resistor adopted to limit the reverse breakdown current. C1 = 1 pF is the output coupling capacitor, whereas C2 = 10 pF is a bypass capacitor. Both are realized in MIM technology.

II. N OISE S OURCE The schematic of the proposed noise sources is illustrated in Fig. 1(a). These sources are based on the avalanche noise generated by the source-to-bulk and drain-to-bulk diodes of a NMOS transistor. The two diodes are connected in parallel and driven in reverse breakdown. A standard transistor is used in order to keep the implementation scalable with the process; more specifically, a 1.2-V NMOS device is used. The 90-nm bulk CMOS technology from the United Microelectronics Corporation (UMC) is adopted. The avalanche diodes proposed in this paper are similar to the read-type diodes described in [7]–[9]. These devices are chosen because of their wellknown performance in terms of stability and repeatability of the generated noise power [11]. Furthermore, although not used in this work, guard-rings can be implemented in commercial CMOS processes to reduce edge effects [28, p. 26], [29]. Only wideband components such as RF metal–insulator– metal (MIM) capacitors and RF resistors are used, whereas the output attenuator, which is typically present in laboratory noise generators, is omitted. Two sources are implemented using transistors of different widths, namely, 5 and 20 μm. In particular, considering the length (a = 520 nm) and the depth (b = 120 nm) of the source/drain diffusions, one can estimate the junction areas as 7.8 and 30.6 μm2 , respectively (two diodes in parallel). The source-to-bulk and drain-to-bulk junctions are spaced by 90 nm, i.e., the minimum channel length. A 310- N-type diffused resistor is used to limit the reverse breakdown current whereas, a 10-pF by-pass MIM capacitor, provides the dynamic ground at f > 3 GHz. The generated noise is picked-up from the source/drain terminal of the NMOS transistor by means of a 1-pF MIM capacitor. A compact noise source layout is obtained by placing the components in between the pads (pitch 120 μm). A ground– signal–ground (GSG) output is provided for the RF port while only one additional pad is needed for biasing. The silicon area

In order to describe the noise source behavior, the ENR is used. This parameter is defined by [30] TH − TC ENR = (1) T0 where TH is the effective hot temperature (noise source switched on, i.e., the diode generates avalanche noise), TC is the effective cold temperature (noise source switched off), and T0 = 290 K is the IEEE standard temperature. For perfectly matched noise sources such effective cold temperature is equal to the physical temperature of the source itself. A. Noise-Figure Analyzer A noise-figure analyzer (NFA) is used to determine the ENR of the two sources [31] in the whole frequency range from 3 to 26.5 GHz. The experimental setup is illustrated in Fig. 2, whereas the adopted instrument is the Agilent N8975A. The on-chip noise source requires the use of a GSG RF-probe tip, model Cascade i40, and of a probing station. The resistor Re is adopted to reduce the 28-V supply voltage provided by the NFA to the level V D D needed by the avalanche diodes. A 1.5-m-long RF cable is used to connect the probe tip to the N8975A input. The cable insertion loss is measured over frequency by a vector network analyzer (VNA) and accounted for to refer the ENR measurements to the on-chip port. The cable loss is about 2.9 dB at 24 GHz. The measurements are carried-out as follows. First the N8975A NFA is calibrated using a smart noise source (SNS), model Agilent N4002A. The SNS is then disabled and the external noise source, i.e., our device-under-test (DUT), is activated. According to the N8975A manual, the power levels normalized to k B T0  f are returned, after the measurement, for each frequency point PH (2) SH = k B T0  f PC (3) SC = k B T0  f where PH and PC are the noise power of the DUT in the hot and cold states, respectively, k B is the Boltzmann’s constant and  f = 4 MHz is the equivalent noise bandwidth of the instrument. Now, by definition [30, p. 19], the “effective” noise temperature is the temperature that yields the power emerging from the noise source when it is connected to a non-reflecting load (or, equivalently, the power delivered by the noise source to an ideal 50- resistor). Unfortunately, with the present setup, such an assumption is not verified. This means that the mismatch of both the noise source and the NFA

ALIMENTI et al.: AVALANCHE MICROWAVE NOISE SOURCES IN COMMERCIAL 90-nm CMOS TECHNOLOGY

1411

Fig. 3. ENR of the Agilent 346C noise source measured over frequency. The experiment is carried out with the Agilent N8975A NFA and has the purpose to validate the measurement method. The obtained data are compared with the factory calibration table of the noise source showing an overall agreement better than ±0.4 dB.

As a consequence, the above error is negligible in the present study since it is small with respect to TH . B. Validation of the Experimental Procedure

Fig. 2. Experimental setup used to characterized the fabricated on-wafer noise sources: (a) photograph and (b) schematic. The measurements are carried out with the Agilent N8975A NFA. The resistor Re is adopted to reduce the 28-V supply voltage provided by the analyzer to the level V D D needed by the avalanche diodes. (a) Photograph. (b) Schematic.

should be corrected. The following relationships are adopted: SH TH = H (4) T0 Mns TC SC = C (5) T0 Mns where Mns is the mismatch factor [30, p. 21] given by 1 i Mns =  . 1 −  i rec 2

(6)

s

In particular, rec is the reflection coefficient of the NFA (i.e., of the measurement receiver) and si is that of the noise source under test in each of the two states (i = H for hot and i = C for cold). Approximating the ENR for TH  TC , one gets TH SH = H (7) ENR D ≈ T0 Mns where ENR D is the ENR of the considered avalanche diode (i.e., of the DUT). Another problem caused by the mismatch is that the DUT will reflect the noise wave emitted by the input of the NFA. This reflection will combine with the original noise wave emitted by the source causing a systematic measurement error. For the N8975A, however, the noise wave emitted toward the DUT is of the order of a few hundreds of Kelvin.

In order to verify the above equations, a 346C noise source from Agilent Technologies (i.e., a device different from the SNS adopted to calibrate the analyzer) is used as a DUT. Fig. 3 represents the measured ENR versus the frequency. These data are compared with the factory values given by Agilent Technologies for the specific part number used. As can be seen, the measurements are within ±0.4 dB (as in Fig. 3) from the factory values. IV. E QUIVALENT C IRCUIT An equivalent circuit capable to describe both the impedance and the ENR of avalanche noise sources is illustrated in [27] and will only be briefly recalled here. Such a model is based on the work of Maya et al. [17], which, in turn, uses the fundamental studies on avalanche noise carriedout during the 1960s by Gliden and Hines [7] and Hines [9]. The small-signal equivalent circuit is depicted in Fig. 4. It consists of three sub-blocks, namely, the pad model (left), the coupling and biasing network (center), and the intrinsic avalanche diode (right). The pad model accounts for the frequency response of the output pad (square shape with a 65-μm side). In order to determine its frequency response, a GSG pad is implemented on the test chip and characterized as a standalone device. An Agilent N5242A PNA-X VNA is used for the impedance measurements in the frequency range from 10 MHz to 26.5 GHz. The GSG pad system is contacted with a Cascade i40 probe tip using a manual probing station. The VNA is calibrated with a reference substrate from Cascade; this in such a way as to set the reference plane to the probe tip end. Finally, the pad model is extracted from the impedance measurements using a fitting procedure. The corresponding parameters are reported in the caption of Fig. 4.

1412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

space charge, a finite transit time τd . Such a region can be modeled by the drift impedance Z d [7], (18) ⎡ ⎤ Zd =

1 ⎢ ⎣ ω Cd

+ Fig. 4. Model of the developed CMOS noise sources in the on state. Circuit parameters: C p = 35 fF, L p = 12 pH, R p = 0.25 , Rsub = 25 , Csub = 100 fF, C1 = 1 pF, C2 = 10 pF, and R = 310 . The intrinsic diode 2 accounts for the parameters are described in the text. The current source ı¯na avalanche noise generation within the diode.

The equivalent circuit of the coupling and biasing network, instead, is directly obtained from Fig. 1(a). In this circuit, C1 = 1 pF is the coupling capacitor, R = 310  is the resistor used to bias the avalanche diode, and C2 = 10 pF is the bypass capacitor. Such a capacitor is needed in order to provide a dynamic short circuit to the supply terminal; note that the supply terminal is, in our experiments, contacted with a biasing needle having a relatively high parasitic series inductance. The intrinsic avalanche diode model accounts for the impedance model (Ca , L a , Z d , R j , Rs ) and for the current 2 , responsible for the generation of noise on the basis source ı¯na of the avalanche mechanism. A. Modeling of the Impedance To model the intrinsic impedance of the noise diode, the active device structure is divided into two zones: the avalanche (subscript “a” in Fig. 4) and the drift (subscript “d” in Fig. 4) zones. The avalanche zone is where electron–hole pairs are generated by impact ionization. This region is described by the avalanche capacitance Ca in parallel with the avalanche inductance L a . Following [7], such a zone determines a resonant frequency ωa = 2 π f a , which depends on the breakdown current I0 , according to a square-root law  2 α  v d I0 ωa = . (8) 0 r A In this equation, α  is the ionization coefficient derivative with respect to the E-field, v d is the saturated carrier speed in the crystal, 0 and r are the dielectric constants of the vacuum and of the silicon, respectively, and A is the diode area. The capacitance Ca is given by A (9) la where la is the length of the avalanche zone. The inductance L a can be expressed as Ca = 0 r

La =

1 . ωa2 Ca

(10)

Once generated, the carriers leave the avalanche zone and enter in the drift region experimenting, under the effect of a

1− ⎡

1 1 − cos θ ⎥ ⎦  2 θ ω ωa

1 ⎢ ⎣1 − j ω Cd

⎤ 1−

1 sin θ ⎥ ⎦  2 θ ω

(11)

ωa

where θ is the transit angle and Cd is the equivalent capacitance of the drift zone; the latter can be written as A (12) Cd = 0 r ld ld being the length of the drift region. The relationship between θ (transit angle) and τd (transit time) is obtained under the hypothesis that the carried speed is constant in the crystal and equal to the saturated velocity v d ld . (13) vd Finally, one can also notice that the asymptotic of Z d for ω− → 0 is τd ω Zd ≈ −j 2 . (14) 2Cd ωa Cd θ = ω τd = ω

B. Modeling of the ENR The diode ENR can be computed starting from the noise 2 spectral density. Following the approach suggested current ı¯na in [9, eq. (33)], we have 2 = ı¯na

2q I0 ω2 τx2

(15)

where q = 1.6 × 10−19 C is the elementary charge of the electron, τx is the average time between two ionizations, and I0 is the breakdown (i.e., the bias) current. Observing (15), one can notice that its numerator is the same expression used to describe the shot noise. Recent considerations about the statistics of the avalanche current can be found in [32]. Let us focus now on the circuit model of Fig. 5(a), where Y B and Y P are the networks modeling the biasing/coupling circuit and the pad parasitics, respectively (see Fig. 4). Note that, in this circuits, all the uncorrelated thermal noise sources associated with the resistors are omitted. This approximation holds for the on state and is based on the consideration that the thermal noise due to the physical resistors present in the circuit, namely, the biasing resistor R and the parasitic series resistance Rs , is negligible when compared to the avalanche noise. In the off state, however, such a noise is not negligible and determines the cold temperature TC . The noise power emerging from the device can be determined with the model of Fig. 5(a). Applying the Norton’s theorem to port 2 of the above network, one get the reduced equivalent circuit of Fig. 5(b). In such a circuit, 2 represents the Norton’s equivalent current that is the ı¯neq output current when port 2 is closed in short circuit, 2 2 ı¯neq = |h 21 |2 ı¯na .

(16)

ALIMENTI et al.: AVALANCHE MICROWAVE NOISE SOURCES IN COMMERCIAL 90-nm CMOS TECHNOLOGY

1413

Fig. 5. (a) Circuit adopted for the computation of the noise diode ENR and (b) reduced equivalent circuit according to Norton’s theorem. Y B and Y P are the networks describing the biasing/coupling circuit and the pad parasitics, respectively. R0 = 50  is the reference resistance. (a) Complete equivalent circuit. (b) Reduced equivalent circuit.

To evaluate the equivalent admittance yeq , instead, port 1 is 2 disabled) and the circuit left open (current noise generator ı¯na is observed from port 2. According to Norton, one obtains y12 y21 yeq = y22 − . (17) y11 Using the developed circuit model, one can easily determine the output noise power. This is defined as the power delivered to the reference resistor R0 Nadel =

2 ı¯neq   R0 yeq +

2  f.

1  R0 

Nadel kB f

V. R ESULTS

(19)

where k B = 1.38 × 10−23 J/K is the Boltzmann constant and  f is the bandwidth. Substituting (18) in (19), one gets TH = kB

|h 21 |2   R0  yeq +

2 ı¯na . 2

1  R0 

(20)

The ENR is finally obtained by (1) assuming TH  TC . In order to verify the last assumption, one can consider that, on the basis of thermodynamics,  2   TC = 1 − sC  T j (21) sC being the reflection coefficient of the noise source in the off state and T j being the physical temperature of the chip at the thermal equilibrium. TC can also be estimated observing that the main noise contribution comes from the biasing resistor R coupled to the output noise source port by the capacitor C1 TC ≈

4ω2 R R0 C12

1 + ω2 (R + R0 )2 C12 4 R R0 ≤ · Tj (R + R0 )2

where the right term of the last inequality represents the effective noise temperature of a resistor R measured with a system having a reference impedance R0 = R. As an example, for R = 310 , R0 = 50 , and T j = 313 K (this to account for a slight junction heating), one gets TC ≤ 150 K. In conclusion, the initial approximation TH  TC is well satisfied.

(18)

To compute the ENR it should be recalled that TH is an “effective” noise temperature, thus, according to [30, p. 19], TH =

Fig. 6. Measured avalanche current versus the total voltage V D D applied to the noise sources. From these results it is apparent that the breakdown voltage is about 12.4 V. The experiment is carried out at 294 K.

· Tj (22)

In order to characterize the fabricated CMOS noise sources, static measurements are initially performed. These measurements are intended at determining the avalanche current I0 as a function of the total voltage applied to the noise sources, i.e., V D D in Fig. 1. To this purpose, a Keithley sourcemeter model 2400 is used, and the obtained curves are shown in Fig. 6. From this graph it is apparent that the breakdown voltage is about 12.4 V. The behavior of I0 above the breakdown voltage is determined by the resistor used to bias the CMOS noise sources (i.e., R in Fig. 1) in series with the parasitic junction resistance (i.e., Rs in Fig. 4). Furthermore, it is worth noticing that the static curves were obtained at the thermal equilibrium and this means that the junction temperature increases due to the power dissipated on it. As a consequence, according to the avalanche theory [33], the breakdown voltage increases and this reduces the measured current. The final result is that the curve slope is about 25% lower than that predicted considering a total resistance equal to R + Rs . A. Impedance Results After the static experiments, the fabricated prototypes (see Fig. 7) are characterized at microwave frequencies. The on-wafer impedance measurements are carried out with the same VNA (Agilent N5242A PNA-X) and with the same calibration method described in Section V; the Cascade i40 probe tip is again used to contact the GSG device pads. First, for several biasing voltages (and thus for the corresponding avalanche currents), the input impedances of the

1414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 7. Optical microscope image of a CMOS avalanche noise source during the on-wafer characterization. It is possible to note both the Casacde i40 probe tip and the biasing needle.

two fabricated noise sources are measured over frequency. The contributions due to both pad and coupling/biasing networks are then de-embedded: as a consequence, the impedances of the intrinsic avalanche diodes are obtained, and correspond to those of the right block in Fig. 4. Such results are reported in Fig. 8 for the device with W = 20 μm and in Fig. 9 for the device with W = 5 μm. Both figures represent the real and the imaginary parts of the intrinsic impedances for two values of the reverse voltage. For V D D = 13 V, the resonance at the avalanche frequency is apparent whereas, for the other value of V D D , this happens outside the considered frequency range. At this point, the noise source equivalent circuit is extracted by the fitting procedure described in [27] and in [17]. Such a procedure is repeated for each biasing voltage and for the two sizing, i.e., for W = 20 μm and for W = 5 μm. The extracted model parameters are divided in bias-dependent and bias-independent ones and are reported through Tables I–IV. The model parameters are then used to calculate the intrinsic impedance of the diodes and the results of these calculations are depicted in Figs. 8 and 9 together with the measurements. From the comparison emerges the capability of the model to correctly predict the impedance tuning effects of the avalanche diode with the biasing current. The maximum relative error

Fig. 8. Small-signal impedance of the intrinsic noise diode as a function of frequency: (a) real and (b) imaginary parts. The measurements are compared with the model in [7]. The device with W = 20 μm is considered at two bias voltages, namely, V D D = 13 V (I0 = 1.26 mA) and V D D = 14.5 V (I0 = 4.11 mA). (a) Real part. (b) Imaginary part.

between measurements and model is less than 24% for both the sizing and all the biasing reported in the figures. Finally, the reflection coefficients of the avalanche diodes are plotted in Fig. 10. These coefficients are referred to the input pads (i.e., include both the pad parasitics and the coupling/biasing network) and are shown, versus the frequency, for two bias conditions. In the on condition each diode is biased in the reverse breakdown. In the off condition both the diodes are assumed at V D D = 0 V. From the analysis of this figure emerges that the noise sources are not matched to R0 = 50 . In future design such a problem can be mitigated using an output on-chip attenuator, as commonly done in laboratory noise sources [28, p. 26]. It is worth noting that the measurements in Fig. 10 are compared with the impedance simulations. In the on conditions, the simulations are based on the above-described impedance

ALIMENTI et al.: AVALANCHE MICROWAVE NOISE SOURCES IN COMMERCIAL 90-nm CMOS TECHNOLOGY

1415

TABLE II B IAS -I NDEPENDENT PARAMETERS —20-μm N OISE S OURCE

TABLE III B IAS -D EPENDENT PARAMETERS —5-μm N OISE S OURCE

TABLE IV B IAS -I NDEPENDENT PARAMETERS —5-μm N OISE S OURCE

junction capacitance C J : the value of these parameters are quoted in Table V and scale with the junction area. B. ENR Results

Fig. 9. Small-signal impedance of the intrinsic noise diode as a function of frequency: (a) real and (b) imaginary parts. The measurements are compared with the model in [7]. The device with W = 5 μm is considered at two bias voltages, namely, V D D = 13 V (I0 = 0.64 mA) and V D D = 14 V (I0 = 1.67 mA). (a) Real part. (b) Imaginary part.

TABLE I B IAS -D EPENDENT PARAMETERS —20-μm N OISE S OURCE

model with the parameters reported from Tables I–IV. In the off condition, instead, the junction is simply modeled by the parasitic series resistor Rs (see Fig. 4) in series with a suitable

The ENR is measured according to the methodology described in Section III. The experimental setup of Fig. 2 is adopted and, after the calibration of the NFA, the 28-V instrument output is used to switch on and off the DUT. The ENR values are finally obtained with (7), where S H is returned by H , instead, is evaluated from the the NFA itself. The factor Mns instrument manual (reporting the receiver mismatch) and from the reflection coefficient measurements of each noise source, including the 1.5-m RF cable. The latter measurements are carried out with the same VNA mentioned above. The first result is related to the current spectral density that is extracted from the measured ENR, as described in [17]. In particular, the following procedure is adopted: 1) the ENR is related to the current spectral density by (1) and (20), in the approximation TH  TC ; 2) the functions h 21 and yeq are evaluated using the impedance model; and 3) the obtained values are normalized by 2q I0 . The normalized current spectral density is plotted in Fig. 11 against the frequency and compared with (15). In this case the reverse voltage is kept constant and, more precisely, the 5-μm device is biased at 14 V with a current of 1.67 mA, whereas the 20-μm devices is biased at 14.5 V and draws a current of 4.11 mA. The best fitting between model and experiments is found for τx (the average time between two ionizations) equal to 0.55 and 0.43 ps in the case of the 20 and 5-μm diodes, respectively. As it can be seen, both the slope and the absolute values of the normalized spectral current density are well predicted using the 1966 Hines theory. Furthermore, the above τx values are very close to the 0.5-ps prediction reported in [9, p. 162].

1416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

2 /(2q I ) versus the frequency. Fig. 11. Normalized current spectral density ı¯na 0 Comparison between the data extracted from the ENR measurements and the model established by (15). The 5-μm device is biased at 14 V with a current of 1.67 mA. The 20-μm devices is biased at 14.5 V and draws a current of 4.11 mA.

Fig. 10. Reflection coefficients of the avalanche diodes at the input pads versus bias and frequency. In the on condition the device with W = 20 μm is considered at V D D = 14.5 V (I0 = 4.11 mA), whereas the one with W = 5 μm is plotted for V D D = 14 V (I0 = 1.67 mA). In the off condition both the devices are assumed at V D D = 0 V. In all the cases, measurements and model are compared. (a) W = 20 μm. (b) W = 5 μm. TABLE V D IODE PARAMETERS IN THE OFF C ONDITION

The second results is illustrated in Fig. 12 where the ENR of each source is plotted against frequency; the two noise sources are biased as above. Again, the measurements are compared with the model developed in Section IV and the simulations are based on the previously found τx values. Fig. 12 shows, for both the sources, an ENR decay between 3 and 4 dB in the

Fig. 12. Comparison between measured and modeled ENR versus the frequency. The two noise sources are biased as in Fig. 11. The agreement between measurements and model is ±0.8 dB. The gray-filled regions correspond to the ENR interval obtained by considering the absolute maximum and the absolute minimum of the mismatch factor.

whole frequency range. The agreement between measurements and model is within ±0.8 dB in all the cases. Finally, the ENR behavior is studied versus the avalanche current density. To this purpose the frequency has been set to 24 GHz and the reverse voltage is varied from 12.5 to 14.5 V. The obtained results are depicted in Fig. 13 as a function of the avalanche current density. It is found that the ENR shows a peak between 0.13 and 0.15 mA/μm2 (i.e., at 0.14 mA/μm2 in average). The peak is also predicted by the model simulations and is due to bias dependence of the impedance mismatch between each noise diode and the output load. It is worth noticing here that the same behavior is in agreement with the early observation reported in [10]. The above result demonstrates that the output noise power can be controlled by

ALIMENTI et al.: AVALANCHE MICROWAVE NOISE SOURCES IN COMMERCIAL 90-nm CMOS TECHNOLOGY

1417

ACKNOWLEDGMENT The authors are grateful to T. Debogovich, Laboratory of ElectroMagnetics and Acoustics (LEMA), and W. Grabinski, Characterization Platform, École Polytechnique Fédérale de Lausanne (EPFL), for the measurements with the NFA and with the semi-automatic probe-station. The authors also acknowledge Keysight Technologies for the donation of the ADS software licenses. R EFERENCES

Fig. 13. Measured ENR at 24 GHz versus the avalanche current density. Both the two fabricated noise sources exhibit a peak noise generation at about 0.14 mA/μm2 . The maximum deviation between model and experiments is less than 0.8 dB over the whole biasing range.

scaling the junction size, while keeping the current density at the level for which the peak noise generation occur. This is, indeed, the point of minimum sensitivity with respect to bias so it is a very desirable operation point for maximum stability. The CMOS avalanche noise sources were experimented during more than one year of research. Several chips were tested and the measurements were repeated with a custom test bench in the frequency range from 20 to 26.5 GHz. Although this does not constitute a rigorous reliability and repeatability test we always get ENR values within ±1.3 dB from one experiment to another. VI. C ONCLUSIONS In this paper, a standard NMOS transistor in 90-nm CMOS technology has been used to realize a microwave noise source. The noise is generated by the carrier avalanche in the drain– bulk and source–bulk junctions connected in parallel. To this purpose a voltage greater than 12.4 V must be applied to the junctions in such a way as to push them into reverse breakdown. Two different junction sizes have been tested, showing a peak in the generated noise power when the current density is around 0.14 mA/μm2 . At 24 GHz, an ENR of about 20 and 25 dB is obtained for the 5- and the 20-μm sources, respectively. The measured power spectral density has a roll-off between 3 and 4 dB in the whole frequency range from 3 and 26.5 GHz. The experiments are in agreement with the predictions of an equivalent-circuit model in terms of both impedance (24%) and ENR (±0.8 dB). The proposed study demonstrates the feasibility of noise sources compatible with commercial CMOS technologies and usable in SoC microwave radiometers. Other applications could be related to the BIST of RF receivers. With the above technology, a custom layout can be generated including not only a diode of optimized area, but also an output attenuator and a high stability biasing circuit.

[1] M. E. Tiuri, “Radio astronomy receivers,” IEEE Trans. Antennas Propag., vol. AP-12, no. 12, pp. 930–938, Dec. 1964. [2] N. Skou, Microwave Radiometer Systems: Design and Analysis. Norwood, MA, USA: Artech House, 1989. [3] F. T. Ulaby, R. K. Moore, and A. K. Fung, Microwave Remote Sensing. Norwood, MA, USA: Artech House, 1981. [4] N. Jarosik, “Measurement of the low-frequency-gain fluctuations of a 30-GHz high-electron-mobility-transistor cryogenic amplifier,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 2, pp. 193–197, Feb. 1996. [5] M. H. Hersman and G. A. Poe, “Sensitivity of the total power radiometer with periodic absolute calibration,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 1, pp. 32–40, Jan. 1981. [6] G. Tasselli, F. Alimenti, S. Bonafoni, P. Basili, and L. Roselli, “Fire detection by microwave radiometric sensors: Modeling a scenario in the presence of obstacles,” IEEE Trans. Geosci. Remote Sens., vol. 48, no. 1, pp. 314–324, Jan. 2010. [7] M. Gliden and M. E. Hines, “Electronic tuning effects in the read microwave avalanche diode,” IEEE Trans. Electron Devices, vol. ED-13, no. 1, pp. 169–175, Jan. 1966. [8] R. H. Haitz and F. W. Voltmer, “Noise studies in uniform avalanche diodes,” Appl. Phys. Lett., vol. 8, no. 10, pp. 381–383, Nov. 1966. [9] M. E. Hines, “Noise theory for the read type avalanche diode,” IEEE Trans. Electron Devices, vol. ED-13, no. 1, pp. 158–163, Jan. 1966. [10] R. H. Haitz and F. W. Voltmer, “Noise of a self sustaining avalanche discharge in silicon: Studies at microwave frequencies,” J. Appl. Phys., vol. 39, pp. 3379–3384, 1968. [11] M. Kanda, “An improved solid-state noise source,” IEEE Trans. Microw. Theory Techn., vol. MTT-24, no. 12, pp. 990–995, Dec. 1976. [12] A. Lazaro, M. C. Maya, and L. Pradell, “Measurement of on-wafer transistor noise parameters without a tuner using unrestricted noise sources,” Microw. J. (Euroglobal ed.), vol. 45, no. 3, pp. 20–67, Mar. 2002. [13] M. C. Maya, A. Lazaro, and L. Pradell, “Cold-FET ENR characterisation applied to the measurement of on-wafer transistor noise parameters,” in 32nd Eur. Microw. Conf., Milan, Italy, Sep. 2002, pp. 1–4. [14] M. C. Maya, A. Lazaro, and L. Pradell, “Noise model of a reverse biased cold FET applied to the characterization of its ENR,” IEE Microw. Opt. Technol. Lett., vol. 40, no. 4, pp. 326–330, Feb. 2004. [15] L. P. Dunleavy, J. Randa, D. K. Walker, R. Billinger, and J. Rice, “Characterization and application of on-wafer diode noise sources,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2620–2628, Dec. 1998. [16] P. Beland, S. Labonte, L. Roy, and M. Stubbs, “A novel on-wafer resistive noise source,” IEEE Microw. Guided Wave Lett., vol. 9, no. 6, pp. 227–229, Jun. 1999. [17] M. C. Maya, A. Lazaro, and L. Pradell, “Extraction of an avalanche diode noise model for its application as an on-wafer noise source,” IEE Microw. Opt. Technol. Lett., vol. 38, no. 2, pp. 89–92, Jul. 2003. [18] A. Tessmann, “220-GHz metamorphic HEMT amplifier MMICs for high-resolution imaging applications,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2070–2076, Oct. 2005. [19] T. S. Nicolson, P. Chevalier, B. Sautreuil, and S. P. Voinigescu, “Singlechip W-band SiGe HBT transceivers and receivers for Doppler radar and millimeter-wave imaging,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2206–2217, Oct. 2008. [20] J. Lynch et al., “Passive millimeter-wave imaging module with preamplified zero-bias detection,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1592–1600, Jul. 2008. [21] J. May and G. Rebeiz, “Design and characterization of W-band sige RFICs for passive millimeter-wave imaging,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1420–1430, May 2010. [22] A. Tomkins, P. Garcia, and S. Voinigescu, “A passive W-band imaging receiver in 65-nm bulk CMOS,” IEEE J. Solid-State Circuits, vol. 45, no. 10, pp. 1981–1991, Oct. 2010.

1418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[23] E. Dacquay et al., “D-band total power radiometer performance optimization in an SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 813–826, Mar. 2012. [24] F. Alimenti, S. Leone, G. Tasselli, V. Palazzari, L. Roselli, and D. Zito, “IF amplifier section in 90 nm CMOS technology for SoC microwave radiometers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 731–733, Nov. 2009. [25] L. Aluigi, L. Roselli, S. White, and F. Alimenti, “System-on-chip 36.8 GHz radiometer for space-based observation of solar flares: Feasibility study in 0.25 μm SiGe BiCMOS technology,” Progr. Electromagn. Res., vol. 130, pp. 347–368, 2012. [26] L. Mereni, D. Pepe, and D. Zito, “Analyses and design of 95-GHz SoC CMOS radiometers for passive body imaging,” Analog Integr. Circuits Signal Process., vol. 77, no. 3, pp. 373–383, Dec. 2013. [27] F. Alimenti, G. Tasselli, C. Botteron, P.-A. Farine, and C. Enz, “Avalanche noise diodes: A compact circuit model compatible with advanced cad tools,” in 23rd Int. Noise Fluctuations Conf., Xi’an, China, Jun. 2015, pp. 1–4. [28] H. L. Swain and R. M. Cox, “Noise figure meter sets records for accuracy, repeatability, and convenience,” Hewlett-Packard J., vol. 34, no. 4, pp. 23–34, Apr. 1983. [29] A. Hastings, The art of Analog Layout. Upper Saddle River, NJ, USA: Prentice-Hall, 2001. [30] Application Note 57-1: Fundamental of RF and Microwave Noise Figure Measurements. Santa Clara, CA, USA: Agilent Technol., 2000. [31] L. Belostotski, “A calibration method for RF and microwave noise sources,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 178–187, Jan. 2011. [32] J. Gabelli and B. Reulet, “Full counting statistics of avalanche transport: an experiment,” Phys. Rev. B, Condens. Matter, vol. 80, no. 16, pp. 161203-1–161203-4, Oct. 2009. [33] TSV/Zener Theory and Design Considerations Handbook. Phoenix, AZ, USA: ON Semicond., 2005. Federico Alimenti (S’91–A’97–M’06–SM’09) received the Laurea and Ph.D. degrees in electronic engineering from the University of Perugia, Perugia, Italy, in 1993 and 1997, respectively. In 1996, he was a Visiting Scientist with the Technical University of Munich, Munich, Germany. Since 2001, he has been with the Department of Engineering, University of Perugia, where he teaches the “Microwave Electronic” class. From 2011 to 2014, he was the Scientific Coordinator of the ENIAC ARTEMOS project. In the summer 2014, he was a Visiting Professor with the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. He has authored or coauthored more than 200 papers in journals and conferences. He holds two patents. He has an H-index equal to 14 (Scopus). His research interests are about microwave integrated circuit design and electronics on cellulose. Dr. Alimenti was the recipient of the 1996 URSI Young Scientist Award and the 2013 IET Premium (Best Paper) Award. He was the Technical Program Committee (TPC) Chair of the 2013 IEEE Wireless Power Transfer Conference.

Gabriele Tasselli received the Ph.D. degree in electronics from the University of Perugia, Perugia, Italy, in 2011. From 2003 to 2008, he was a R&D Engineer with WiS s.r.l., a spin-off company of the University of Perugia, where he contributed to the telemetry system for the Formula One World Championship. From 2008 to 2011, he was a Senior R&D Engineer with the ART-Group S.p.A., during which time he focused on VEGA launcher telemetry and other RF/microwave applications such as transceivers and radars. From 2011 to 2014, he was a Post-Doctoral Researcher with the Electronic and Signal Processing Laboratory (ESPLAB), Ecole Polytechnique Fédérale de Lausanne (EPFL), Neuchâtel, Switzerland, where he designed CMOS integrated circuits (ICs) for GNSS receivers and millimeter-wave sensors. He is currently a Senior R&D Engineer with U-blox Italia S.p.A., Trieste, Italy, where he is currently involved with the development of cellular modems for long-term evolution (LTE) and for the new cellular Internet of Things technology. He has authored or coauthored numerous journal and conference papers.

Cyril Botteron (SM’06) received the Electronics Engineering degree from the University of Applied Sciences, Le Locle, Switzerland, in 1991, and the Ph.D. degree in electrical and computer engineering from the University of Calgary, Calgary, AB, Canada, in 2003. From 1991 to 2000, he was an R&D Engineer and Project Leader for different companies in the USA, Switzerland, and Canada. In 2003, he became a Maitre Assistant with the Université de Neuchâtel, Neuchâtel, Switzerland, where he lectured, as well as created and developed two research groups with activities related to global navigation satellite systems (GNSSs) and ultra-wideband (UWB) technology. In 2009, he joined the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he is currently involved in teaching, as well as leading, managing, and coaching the research and project activities of the Positioning, Navigation, Timing, Sensing and Communications (PNTSC) SubGroup. He has authored or coauthored over 100 publications in major journals and conferences. He holds five patents. Dr. Botteron has been an ION Professional Member since 2003. He has served on the Program Committee or Organization Board of various international conferences and workshops.

Pierre-André Farine (M’85) was born in La Chaux-de-Fonds, Switzerland. He received the B.Sc. degree in microengineering from HES-SO (formerly the ETS Ecole Technique Supérieure), Le Locle, Switzerland, in 1974, and the M.Sc. and Ph.D. degrees in microengineering from the University of Neuchâtel, Neuchâtel, Switzerland, in 1978 and 1984, respectively. For 18 years he was involved with the Swatch Group R&D Ltd., as a Department Leader in the development of high-tech products such as pager watches, connected watches including GSM dual-band, GPS watches, and integrated sensors such as pressure, compass, altimeter, accelerometers, gyros and temperature sensors. From 2002 to 2010, he was a Full Professor with the the Institute of Microengineering IMT, University of Neuchâtel. Since 2009, he is a Full Professor with the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he leads the Electronic and Signal Processing Laboratory ESPLAB. He is active in the study and implementation of low-power microelectronics circuits for applications covering WSN, UWB IR, GNSS systems, and video and audio processing for portable and embedded devices. He has authored or coauthored more than 250 publications in technical journals and conferences. He holds 65 patent families (more than 300 patents). Prof. Farine has served as a member of Program Committees and has been the Program Chair for international conferences. He is an ION Member.

Christian Enz (S’83–M’84–SM’11) received the Ph.D. degree from the Swiss Federal Institute of Technology (EPFL), Lausanne, Switzerland, in 1989. He is currently a Professor with the EPFL, Director of the Institute of Microengineering, and Head of the Integrated Circuit (IC) Laboratroy. Until April 2013, he was Vice President (VP) of the Swiss Center for Electronics and Microtechnology (CSEM), Neuchâtel, Switzerland, where he headed the Integrated and Wireless Systems Division. Prior to joining CSEM, he was Principal Senior Engineer with Conexant (formerly Rockwell Semiconductor Systems), Newport Beach, CA, USA, where he was responsible for the modeling and characterization of MOS transistors for RF applications. His technical interests and expertise are in the field of ultra-low-power analog and RF integrated circuit (IC) design, wireless sensor networks, and semiconductor device modeling. He was a codeveloper of the EKV MOS transistor model. He has authored or coauthored more than 200 scientific papers and has contributed to numerous conference presentations and advanced engineering courses. Dr. Enz is an Individual Member of the Swiss Academy of Engineering Sciences (SATW). He was an elected Member of the IEEE Solid-State Circuits Society (SSCS) Administrative Committee (AdCom) from 2012 to 2014. He is the Chair of the IEEE SSCS Chapter of Switzerland.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1419

Lumped-Element Equivalent-Circuit Modeling of Millimeter-Wave HEMT Parasitics Through Full-Wave Electromagnetic Analysis Yasir Karisan, Graduate Student Member, IEEE, Cosan Caglayan, Graduate Student Member, IEEE, Georgios C. Trichopoulos, Member, IEEE, and Kubilay Sertel, Senior Member, IEEE Abstract— We present a broadband lumped-element parasitic equivalent circuit to accurately capture the frequency response of electromagnetic (EM) interactions inside the structure and surrounding environment of high electron-mobility transistors (HEMTs). A new mutual inductance term is included to account for the high-frequency magnetic field coupling between device electrodes. An analytical method is also proposed, for the first time, to extract the gate-to-drain mutual inductance L MGD , which creates an undesirable inductive feedback path from output to input at millimeter wavelengths. Based on the suggested extrinsic equivalent circuit, we propose a novel multistep parameter extraction procedure that utilizes direct analytic extraction and linear regression techniques systematically to determine the parasitic component values. The accuracy and robustness of the presented extraction algorithm are established via comprehensive comparisons between EM simulations, measurements, and frequency responses of the suggested equivalent circuits up to and beyond 300 GHz in the millimeter-wave (mmW) band. The key parasitic elements that are most detrimental to the microwave performance are identified and optimized through subsequent circuit analysis. Design guidelines are provided for optimum device layout selection to achieve the highest frequency performance. It is demonstrated through a full-wave simulation based parametric study that around 20% improvement in maximum oscillation frequency is achievable via optimization of device gate finger number and unit finger width. Index Terms— Electromagnetic (EM) coupling, high electronmobility transistor (HEMT), layout optimization, parasitic equivalent circuit model.

I. I NTRODUCTION VAILABILITY of accurate device models with wideband accuracy is of utmost importance in realizing RF integrated circuits with the fewest number of fabrication iterations [1]. For instance, compact transistor models for

A

Manuscript received December 19, 2014; revised April 22, 2015, July 1, 2015, January 5, 2016, and January 26, 2016; accepted March 26, 2016. Date of publication April 20, 2016; date of current version May 10, 2016. Y. Karisan was with The ElectroScience Laboratory, Electrical and Computed Engineering Department, The Ohio State University, Columbus, OH 43212 USA. He is now with Peregrine Semiconductor, San Diego, CA 92121 USA (e-mail: [email protected]). C. Caglayan and K. Sertel are with The ElectroScience Laboratory, Electrical and Computed Engineering Department, The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]; [email protected]). G. C. Trichopoulos was with The ElectroScience Laboratory, Electrical and Computed Engineering Department, The Ohio State University, Columbus, OH 43212 USA. He is now with the School of Electrical, Computer, and Energy Engineering, Arizona State University, Tempe, AZ 85281 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549520

complex device topologies is critical for the design of stateof-the-art microwave monolithic integrated circuits (MMICs). As the frequency of operation is pushed continuously toward millimeter-wave (mmW) band, the device experiences a serious degradation in its performance. This unavoidable drop in performance arises both from intrinsic device behavior, and external elements comprising parasitic resistance, capacitance, and inductance of device interconnects [2]. Although equivalent-circuit modeling has been a workhorse in integrated-circuit design, conventional models cannot capture the underlying physics of this deterioration in device performance. Perhaps more importantly, the effects of device topology- and material-dependent parasitic couplings associated with electromagnetic (EM) interactions within the device composition and its periphery become as important as those of intrinsic device characteristics at millimeter wavelengths. In this respect, high-fidelity small-signal device models, which consider intrinsic and extrinsic behavior concurrently, are necessary for effective design of high-performance mmW integrated circuits. In accordance with the underlying solid-state and EM behavior, components of small-signal device models can be partitioned into nonlinear intrinsic elements and linear extrinsic parasitic couplings [3]. Therefore, equivalent-circuit extraction procedures begin with identifying bias-independent extrinsic components, followed by subtracting their contributions from the experimental data. Thereafter, bias-dependent intrinsic elements are decided as a function of the externally applied bias voltage. We note here that extrinsic equivalent circuit is bias independent, and depends essentially on physical geometry of the device, including interconnects, electrode structures, and probing pads. Accurate modeling of extrinsic parasitic elements is thus indispensable since any erroneous calculation of extrinsic components will result in misinterpretation of intrinsic device performance. Over the past decade, small-signal equivalent circuits of RF transistors have been primarily studied using measurementbased characterization of fabricated devices [4]–[6]. However, this time-consuming and expensive procedure can only be applied to a limited number of device models, typically supplied by the manufacturer. This is due to the need for fabrication and characterization of a separate device when a geometric dimension within the device topology is varied. Another issue related to the equivalent-circuit extraction using measured S-parameters is the ill conditioning of the problem

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 1. 3-D schematics illustrating the structure of a mmW HEMT. (a) Top view. (b) Side view.

since there are many more unknowns in the circuit model than the number of equations provided by the experimental data [7]. In order to circumvent this issue, numerical optimization algorithms must be employed, particularly for the extraction of extrinsic parasitic elements. However, the performance of such optimization-driven methods is extremely susceptible to starting parameter values. This is a major shortcoming since they are very likely to converge to inaccurate local minima with physically meaningless values for the elements in the equivalent circuit. Thus, a new modeling technique, which can ensure modeling accuracy and design flexibility at the same time, is desperately needed. Here, we develop such a procedure based on full-wave computational models of three-terminal devices. Commercial full-wave EM simulators have also been used recently for the analysis of extrinsic parasitic couplings and lumped-element equivalent circuit extraction [8]. Nevertheless, a numerical optimization tool was employed for the extraction of parasitic components based on simulation data, which still did not provide enough number of equations for precise determination of the extrinsic circuit components. Consequently, a fully analytic parasitic extraction procedure that guarantees a physically representative set of parasitic component values is still lacking. The primary purpose of this paper is to characterize the EM coupling effects that impact the performance of mmW high electron-mobility transistors (HEMTs). Shown in Fig. 1 is the three-dimensional (3-D) topology of a mmW HEMT [9] that is considered in this paper. A conventional lumped-element extrinsic circuit model is employed to predict the frequency response of parasitic couplings in the low-microwave and mmW frequency range. Starting with the conventional circuit model, a new gate-to-drain mutual inductance L MGD is introduced to account for the magnetic flux linkage between gate and drain electrodes. All major electrically and magnetically induced power dissipation mechanisms of HEMTs are thus accounted for by the proposed parasitic equivalent-circuit model. Based on this improved small-signal circuit model, we present a novel systematic multi-step parameter-extraction method to determine the components of the equivalent circuit. The accuracy and robustness of the new methodology are validated through comprehensive comparisons between fullwave EM simulations, measurements, as well as the frequency responses of the proposed test standards up to 325 GHz.

In addition, the impact of EM interactions on microwave performance of HEMTs is evaluated using the proposed equivalent circuit. Subsequently, the device layout is optimized with the objective of improving RF performance. Design guidelines are provided for device topology optimization to accomplish the highest speed attainable from the extrinsic device. The impact of device topology on performance of HEMTs has traditionally been studied through a cumbersome measurementbased approach where many devices with varying geometrical dimensions are fabricated [10]. Subsequently, their measured responses are tabulated and the equivalent-circuit parameters are determined through a brute-force curve fitting. On the contrary, the proposed EM simulation-based equivalent-circuit extraction strategy is an analytical procedure where individual circuit elements are isolated and accurately determined. As such, the proposed approach also provides the circuit designer with complete freedom in terms of device layout optimization. In contrast to our recent work [11]–[15], in this paper, we present for the first time a fully analytical method to accurately extract the complete set of parasitic elements, including the proposed gate-to-drain mutual inductance. The improved modeling accuracy of the new model is clearly illustrated through comparison between conventional and the proposed HEMT parasitic equivalent circuits. In addition, a complete set of experimental data is presented to demonstrate the accuracy of the suggested methodology. Furthermore, the major parasitic elements that are most detrimental to the microwave performance are identified and readily optimized through subsequent circuit analysis. This paper is organized as follows. In Section II, a detailed description of the structure and geometry of a mmW HEMT with two gate fingers is provided. Following this, a lumpedelement parasitic equivalent circuit, intended to achieve broadband modeling accuracy at mmW frequencies, is elaborated. Based on the proposed extrinsic equivalent-circuit model, a novel multi-step systematic parameter extraction algorithm is developed. In Section III, the validity of the presented parameter-extraction routine is proven via exhaustive comparisons between full-wave EM simulations, measurements, and equivalent-circuit frequency responses of the proposed test structures up to 325 GHz. Section IV is devoted to assessment of the adverse impact of EM field interactions on microwave performance of the device under study. The key parasitic components that are most detrimental to the performance are identified through circuit analysis. In Section V, the device periphery is optimized with the purpose of improving speed at millimeter wavelengths. Finally, concluding remarks are made in Section VI. II. N EW PARASITIC E QUIVALENT C IRCUIT FOR HEMT S In this section, we briefly describe a representative HEMT geometry and the extrinsic EM mechanisms that impact device parasitics. A 3-D HEMT structure illustrated in Figs. 1 and 2 was developed and analyzed in Ansoft Technologies’ High Frequency Structure Simulator (HFSS) [16]. Subsequently, the full-wave simulation data is used in Agilent Technologies’ Advanced Design System (ADS) [17] to determine

KARISAN et al.: LUMPED-ELEMENT EQUIVALENT-CIRCUIT MODELING OF mmW HEMT PARASITICS

Fig. 2. Two-finger HEMT layout with coplanar ground–signal–ground (GSG) probe pads. The geometry dimensions are w1 = 20 μm, w2 = 4 μm, w3 = 2 μm, d1 = 10 μm, d2 = 28 μm, d3 = 9 μm, s1 = 14 μm, s2 = 2 μm, and s3 = 2 μm.

1421

as (REG , L EG ), (RED , L ED ), and (RES , L ES ), respectively. These inductances present a high impedance to the incoming and outgoing RF current flow as the frequency increases, and tend to open circuit the intrinsic device. In addition to these device electrode-related parasitic interactions, the pad-to-pad feedforward capacitance–conductance pairs (CPGS , G PGS ), (CPGD , G PGD ), and (CPDS , G PDS ) are in parallel with the above-mentioned parasitic components. These also create alternative low-impedance RF current flow paths between device terminals. Finally, the mutual inductance L MGD between gate and drain electrodes is incorporated into the proposed circuit model, for the first time, to capture the gate-to-drain magnetic field coupling. The magnetic field coupling between device electrodes originate from their placement in parallel with very close proximity to each other. The consequent inductive crosstalk between gate and drain electrodes creates an undesirable feedback path in addition to that created by gate-to-drain inter-electrode capacitance of CEGD . This may give rise to instability of the device, and deteriorate high-frequency performance. As proven in the following, the proposed mutual inductance L MGD is crucial in extending the modeling accuracy beyond 100 GHz in the mmW band. B. Extraction of the External Parasitic Circuit Elements Using Representative Test Structures

Fig. 3. Lumped-element equivalent-circuit model for HEMT describing extrinsic parasitic couplings.

the extrinsic equivalent-circuit elements of HEMT, as shown in Fig. 3. A. Lumped-Element Equivalent-Circuit Model of HEMT External Parasitics The complete small-signal lumped-element equivalentcircuit model of the HEMT proposed here is shown in Fig. 3. This improved model includes both extrinsic linear parasitic coupling effects and an intrinsic nonlinear subcircuit as a general nonreciprocal two-port network. As seen, the inter-electrode capacitance–conductance pairs (CEGS , G EGS ), (CEGD , G EGD ), and (CEDS , G EDS ), are connected in parallel with the nonlinear intrinsic device. At high frequencies, these capacitive elements are likely to shunt out the intrinsic transconductance G INT M , which provides the gain mechanism of the device. Also included in series with the parallel combination of intrinsic device and inter-electrode capacitance–conductance pairs are the resistance–inductance pairs of gate, drain, and source electrodes, which are denoted

The extrinsic parasitic model of the HEMT, shown in Fig. 3, involves 19 circuit elements. As noted before, these circuit elements are often determined in a single step by fitting the measured response of the device to the expected circuit behavior. Although this procedure can also be applied using simulation data, the large number of circuit elements that need to be concurrently determined makes the single-step parameter-extraction procedure rather sensitive and unreliable. Here, we develop a multi-step approach for determining the frequency-dependent parasitic elements of the HEMT by strategically dividing the extrinsic circuit model into a number of subcircuits, which can be easily fit to experimental or simulation data. Starting with a significantly simplified layout, where the gate and drain electrodes are completely removed from the original device topology, as shown in Fig. 4(a), we propose a six-step process to systematically isolate and identify each parasitic element in the extrinsic circuit. Fig. 4 illustrates the six proposed layouts of the algorithm. The corresponding lumped-element equivalent circuits for each of the test layouts are given in Fig. 5. In the first step, gate and drain electrodes are removed, and device contact pads are simulated (or measured) to quantify the degree of electrical coupling and dielectric loss inside the semiconductor layer. The pad layout and the associated equivalent circuit are shown in Figs. 4(a) and 5(a). As seen, only six parasitic elements are needed to capture the response of the layout in the first step. In the second step, device pad and electrode on the drain side are eliminated, and the electrodes of the gate terminal are elongated to connect to the gate pad replicated on the drain end. The layout of the THRU1 standard and the corresponding

1422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 4. Proposed on-wafer test standards for HEMT lumpedelement parasitic circuit extraction. (a) PADS. (b) THRU1. (c) THRU2. (d) SHORT1. (e) SHORT2. (f) OPEN.

equivalent circuit are given in Figs. 4(b) and 5(b), respectively. As seen, this symmetric device configuration introduces the gate resistance REG and inductance L EG . In addition, the inter-electrode capacitance–conductance pair of (CEGS , G EGS ) is included to account for the electrical coupling and the dielectric loss between gate and source electrodes. In the third step, the pad and electrodes of the gate terminal are discarded, and the electrode of the drain terminal is connected to the drain pad replicated on the gate side (THRU2 standard), as shown in Figs. 4(c) and 5(c). The basic aim of investigating this symmetric structure is to capture the variation of resistance RED and inductance L ED of the drain electrode, as the frequency increases steadily into the mmW range. In the fourth step, the device electrode on the drain end is removed, and the electrodes of the gate terminal are connected to the source electrodes, which are short circuited to the ground conductor of the coplanar waveguide (CPW) environment. The layout for this standard (SHORT1), and the corresponding equivalent circuit are illustrated in Figs. 4(d) and 5(d), respectively. The objective of this structure is to isolate the degeneration resistance RES and inductance L ES of the source electrode that degrade the device speed and noise performance severely. In the fifth step, the electrode of the drain terminal is introduced again, and the gate terminal remains short circuited to the source terminal (SHORT2 standard), as depicted

Fig. 5. Proposed lumped-element extrinsic circuit models of HEMT for different steps of parasitic extraction. (a) PADS. (b) THRU1. (c) THRU2. (d) SHORT1. (e) SHORT2. (f) OPEN.

in Figs. 4(e) and 5(e). The main goal of doing so is to capture the impact of L MGD and the associated inductive coupling on overall device impedance.

KARISAN et al.: LUMPED-ELEMENT EQUIVALENT-CIRCUIT MODELING OF mmW HEMT PARASITICS

Finally, in the sixth step, the entire geometry of the HEMT is studied to identify the remaining inter-electrode fringe capacitances and conductances. The layout of this OPEN standard and the related equivalent circuit are given in Figs. 4(f) and 5(f). We note here that the study of the OPEN test pattern is similar to the measurement of the pinched-off cold HEMT (VGS < V P and VDS = 0 V) in conventional HEMT equivalent circuit extraction. In the pinched-off condition, channel conductivity is suppressed, and the device is pushed into a passive state for the determination of extrinsic parasitic network elements [18]. It should also be emphasized that the pad-to-pad capacitances are estimated at the lower end of the simulated frequency range in Step I of the suggested parameter-extraction algorithm. At low microwave frequencies, the reactance associated with the pad inductances is practically short circuit and, hence, has a negligible impact on the overall frequency response. That is why pad inductances are omitted from the equivalent circuit of the PADS standard in Fig. 5(a). Pad inductances are very conveniently extracted from simulation and measurement of THRU1 and THRU2 standards with varying finger width. Those inductances are taken into consideration so as to accurately model the frequency behavior of the PADS standard above 100 GHz in the mmW band. C. Theoretical Analysis of Extrinsic Parameter-Extraction Algorithm With the above-mentioned strategic choices for six standard layouts, we proceed next to constructing the HEMT equivalent circuit using EM simulations (or measured S-parameters). In Step I, the pad-to-pad capacitances and conductances are determined using the pad layout of Fig. 4(a). We apply a simple linear regression fit to the low-frequency Y -matrix representation of the equivalent circuit shown in Fig. 5(a) to calculate the lumped parasitics using the following matrix relation for the two-port π-network:



(G PGS + j ωCPGS )  +(G PGD + j ωCPGD) −(G PGD + j ωCPGD ) 

[Y PADS ] = 



.

−(G PGD + j ωCPGD)

1423

where (G EGS + j ωCEGS )/2 −1   +(REG + j ωL EG )

[Y GATE ] = 



−(REG + j ωL EG )−1

is the admittance matrix representation of the gate electroderelated parasitic couplings. Subsequently, least squares error fit to the elements of [Y GATE ] can be applied to identify the associated gate-electrode parameters. It is essential to recognize that the lower diagonal entry of the second term on the right-hand side of (2) is different from that of [Y PADS ] expressed in (1). This is because the HEMT layout is not symmetric, i.e., gate and drain pads have different geometries and, hence, slightly different capacitive coupling with the source pad, which is connected to the CPW ground. In Step III, the resistance RED and inductance L ED of the drain electrode are computed from the THRU2 standard based on the equivalent circuit seen in Fig. 5(c). Similarly to Step II, the simulation or measurement data of the THRU2 test pattern is first rearranged to cancel the influence of pad parasitics and obtain the auxiliary admittance matrix [Y DRAIN ], which accounts for the admittance matrix representation of drain electrode-related parasitics. A linear curve fitting is then applied to the elements of [Y DRAIN ] to determine the drain electrode-related parasitic quantities. In Step IV, source electrode resistance RES and inductance L ES are found from the SHORT1 standard using the equivalent circuit in Fig. 5(d). To do so, simulation (or measurement) results of the SHORT1 standard is first corrected for the effects of pad parasitics and the gate-to-source inter-electrode capacitance–conductance pair of (CEGS , G EGS ) by calculating [Y SOURCE ] = [Y SHORT1] − [Y PADS ]   (G EGS + j ωCEGS)/2 0 − . 0 0

(4)

Based on the above, the source resistance RES and inductance L ES can be computed using RES = Re

(1)

[Y GATE ] = [Y THRU1 ]  (G PGS + j ωCPGS ) −(G + j ωC ) PGD PGD  +(G PGD + j ωCPGD )   −   (G PGS + j ωCPGS )  −(G PGD + j ωCPGD ) +(G PGD + j ωCPGD) (2)

+(REG + j ωL EG )−1

(3)

(G PDS + j ωCPDS )  +(G PGD + j ωCPGD)

In Step II, the gate resistance REG and gate inductance L EG are obtained from the simulation (or measurement) of THRU1 test structure, with the equivalent circuit provided in Fig. 5(b). Initially, the pad-related parasitics extracted in Step I are deembedded from the full-wave simulation results of the THRU1 standard using

    (G EGS + j ωCEGS)/2  −(REG + j ωL EG )−1





Im



1 SOURCE Y11



1

− REG

(5)

SOURCE Y11

L ES =

. (6) ω − L EG Next, in Step V, the gate-to-drain mutual inductance L MGD is determined using the SHORT2 standard, as shown in Fig. 5(e). Initially, the effects of pad-related parasitics and inter-electrode capacitance–conductance pairs of (CEGS , G EGS ) and (CEDS , G EDS ) are deembedded from the simulated (or measured) data of the SHORT2 layout using



[Y MTL ] = Y SHORT2 − Y PADS −



(G EGS + j ωCEGS )/2

0

0

(G EDS + j ωCEDS)

 . (7)

1424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Afterwards, we transform the auxiliary admittance matrix [Y MTL ] into an impedance matrix [Z MTL ]

 (REG + RES )  + j ω(L EG + L ES )  [Z MTL ] =   (REG + RES )  + j ω(L EG + L ES ) − j ωL MGD

(REG + RES ) + j ω(L EG + L ES ) − j ωL MGD (REG + RED + RES ) + j ω(L EG + L ED ) + j ω(L ES − 2L MGD ) +(G EGD + j ωCEGD)−1

      .     

(8) By incorporating the above system of equations into a least squares error-fitting routine, one can easily extract the gateto-drain mutual inductance of L MGD . In the sixth and final step, the inter-electrode capacitance– conductance pairs of (CEGS , G EGS ), (CEGD , G EGD ), and (CEDS , G EDS ) are estimated using then OPEN standard in Fig. 4(f). To do so, the influence of parallel-connected padparasitics and series-connected device electrode parasitics are first factored out from the OPEN standard frequency response using



[Y ELCTR ] = ([Y OPEN ] − [Y PADS ])−1 − [Z SERIES ]

−1

where

 

[Z SERIES ] = 

(REG + RES ) + j ω(L EG + L ES ) RES + j ω(L ES − L MGD )

(9)



RES + j ω(L ES − L MGD )   (RED + RES ) + j ω(L ED + L ES )

 

(10) is the impedance matrix representation of electrode-related resistances and inductances, and



(G EGS + j ωCEGS) +(G EGD + j ωCEGD) −(G EGD + j ωCEGD) 

[Y ELCTR ] = 





−(G EGD + j ωCEGD)

(G EDS + j ωCEDS )  +(G EGD + j ωCEGD) (11)

is the admittance matrix description of inter-electrode capacitances and conductances. Subsequently, a linear regression fit to the elements of [Y ELCTR ] can be utilized to identify the inter-electrode capacitances and conductances. The estimations of the circuit parameters obtained from (1)–(11) provide excellent starting values for further numerical optimization through the least squares error-fitting algorithm. To assess the accuracy of the proposed extraction process, the normalized error metric is computed between the full-wave simulated and modeled S-parameters of the device [19]. For the test patterns described in Fig. 4, the average percentage error is less than 10%. III. E XPERIMENTAL V ERIFICATION OF THE P ROPOSED E QUIVALENT-C IRCUIT M ODEL To demonstrate the accuracy of the HEMT lumpedelement parasitic equivalent-circuit extraction procedure developed above, we characterized the HEMT geometry depicted

Fig. 6. Micrographs of on-wafer HEMT test standards. (a) PADS. (b) THRU1. (c) THRU2. (d) SHORT1. (e) SHORT2. (f) OPEN.

in Fig. 2 over the frequency range of 10–325 GHz. All six proposed test standards were fabricated on a 3-in GaAs wafer by depositing a single-layer of 0.3-μm-thick Au. Fig. 6 shows die photographs of the fabricated HEMT test structures. We note that these test standards are passive structures and do not incorporate any active device. Small-signal measurements of cold (zero-bias) devices can be used to extract parasitic elements [21]. As such, it is sufficient to consider only the HEMT access topologies and metallization without an active device region to study the effects of external parasitics. In full-wave simulations, the loss tangent of the substrate was set to tan δ = 0.006 [22], which is in accordance with the low-loss characteristic of the GaAs material system. The S-parameters of the fabricated test standards were also measured using a non-contact probe setup [20] over the 90–325-GHz band. Since the network analyzer frequency extenders are available only for limited bandwidths, the measurements were taken in three steps over the sub-bands of 90–140, 140–220, and 220–325 GHz, respectively. The operation principle of the non-contact probes is conceptually described in the schematic diagram presented in Fig. 7, where the measured test standard is indicated in the inset. The actual implementation of this quasi-optical system, which is also used in measurement of our test structures, is shown in Fig. 8. An offset-short calibration method was employed for two-port calibration with respect to device reference planes, as illustrated in Fig. 2. On-wafer calibration standards were fabricated on the same substrate as HEMT test patterns shown in Fig. 6. The predicted and measured S-parameters were compared to highlight the extent of agreement between full-wave EM simulations and the experimental data. The extracted gate, drain, and source inter-pad capacitances and conductances (CPGS , G PGS ), (CPGD , G PGD ), and (CPDS , G PDS ) are shown in Fig. 9(a) and (b), respectively. In accordance with the physical layout of the test structure, the feedthrough capacitance of CPGD between the gate and drain pads is calculated to be at least 10× smaller than the remaining pad capacitances. As such, CPGD is often omitted

KARISAN et al.: LUMPED-ELEMENT EQUIVALENT-CIRCUIT MODELING OF mmW HEMT PARASITICS

1425

Fig. 9. Equivalent capacitances and conductances associated with the device pads. (a) CPGS , CPGD , and CPDS . (b) G PGS , G PGD , and G PDS .

Fig. 10. Device electrode-related equivalent resistance and inductance terms: (a) REG , RED , and RES and (b) L EG , L ED , L ES , and L MGD . Fig. 7.

Block diagram representing the non-contact probe setup.

Fig. 8. Non-contact probe setup for S-parameter measurement in mmW frequency range [20].

from similar extrinsic equivalent circuits reported previously in the literature [23]. In addition, the linearly increasing behavior of the substrate conductances with frequency is in close correlation with the complex-valued dielectric-constant relation of G(ω)/C(ω) = ω tan δ [24]. The gate, drain, and source electrode resistances and inductances (REG , L EG ), (RED , L ED ), and (RES , L ES ) are calculated in Steps II–IV, respectively, and plotted in Fig. 10(a) and (b). Compared to almost constant interconnect resistances published previously in the literature [25], the extracted values increase rapidly as the operation frequency varies from the low-microwave to mmW band. This dramatic rise in device metallization resistance is due to the current crowding phenomenon, as

described in [26], corresponding to the concentration of current flow to the outer surface of the conductor at high frequencies. On the other hand, the gate, drain, and source electrode inductances were not observed to exhibit a noticeable change as a function of frequency. The gate-to-drain mutual inductance of L MGD (computed using simulation of the SHORT2 standard) is also given in Fig. 10(b). To the best of the authors’ knowledge, this is the first time analytical extraction of the gate-to-drain mutual inductance of HEMT transistors has been carried out using experimental data. The limited number of investigations that can be found in the literature are based solely on numerical optimization [27]. This subject has not received substantial attention to date due to the relatively lower frequencies of interest ( 0, then the efficiency of the overall amplifier is both a function of ηmain and ηlin . Since the PA is now less saturated, ηmain will be reduced as a result, and ηtotal will be decreased. The power-added efficiency (PAE) of the overall system is also affected by the addition of the LA. This is because the total input power is now comprised of both the input power to the PA and LA. Thus it is important that the LA has a sufficiently high transconductance, else it can significantly decrease the overall gain and, hence, the PAE. It should also be noted that the input power to the PA is reduced after the addition of the LA because the PA now only has to supply a portion of the output power. IV. M AIN PA AND LA C O -D ESIGN In light of the previous analysis, the following method was developed for the design of a prototype system. 1) Design a high-efficiency main PA with the necessary RF bandwidth.

2) Design an LA with the following goals: • an output impedance that places β −1 ( f ) as far away from −1 as possible; • a sufficiently high transconductance (Ilin /Vin ). 3) Connect the LA output to the load of the main amplifier, as shown in Fig. 1, and implement a time delay and scaling factor for the LA input, given by td and G o , respectively. 4) With a two-tone signal as input, tune the LA’s Norton current and output impedance in simulation by adjusting td , G o , and the LA’s bias to improve both IMD3L and IMD3U while avoiding excessive power consumption. 5) Verify the system’s performance with modulated signals by performing co-simulation. A. PA and LA Design Both the main PA and the LA were realized on a 1.9-mm-thick ROGERS RT/Duroid 6006 substrate. The PA was designed as a class-AB PA was designed using a 6-W Cree Inc. gallium nitride (GaN) transistor (CGH40006), and the simplified real frequency technique [16] was used to achieve a frequency of operation between 700 and 1000 MHz. The LA was designed using the same 6-W transistor. A smaller sized transistor could be used for the design of the LA since it will have a lower output power than the main PA, but 6 W was the smallest commercial GaN transistor available from Cree Inc. R f and Rs were used to control the output impedance and transconductance of the LA. R f should not be too small or there will be a significant amount of power dissipation through it. At the same time, R f should be smaller than the impedance magnitude of the parasitic gate drain capacitance it is in parallel with. The gate bias of the LA’s transistor affects the output impedance and transconductance of the LA. This is because the LA transistor’s transconductance, gm , is reduced by having a low gate bias; this, in turn, will increase the LA’s output impedance and decrease the LA’s transconductance, given previously in (19) and (20), respectively. Furthermore, the efficiency of the LA is determined by the LA transistor’s gate bias. The lower the bias, the higher the LA’s efficiency. A schematic of the final design is given in Fig. 7. The smallsignal transconductance of the LA is plotted in Fig. 8 and the

HU AND BOUMAIZA: POWER-SCALABLE WIDEBAND LINEARIZATION OF PAs

Fig. 8.

Simulated small-signal transconductance of the LA.

1461

Fig. 10.

Simulated β −1 ( f ) profile.

Fig. 11. Simulated IMD3 of the PA with a two-tone input signal with tones 1 and 2 at 840 and 860 MHz, respectively.

Fig. 9. Simulated small-signal output impedances of the: (a) LA and (b) PA.

small-signal output impedances of the LA and PA are plotted in Fig. 9 for the entire bandwidth of the PA. The value of β −1 ( f ) is plotted in Fig. 10. β −1 ( f ) remained outside of the unity circle centered at −1 for the entire frequency band of interest, thus satisfying the constraint specified by (13). B. Linearization Analysis Using a Two-Tone Input A two-tone signal was sent through the PA and LA in simulation. The frequencies of the two tones, f 1 and f 2 , were set at 840 and 860 MHz, respectively. IMD3L and IMD3U at the output was then minimized by tuning the following values. First, while td is initially adjusted to compensate for the difference in group delay between the LA and PA, it can also be slightly offset to tune the phase of the LA’s intermodulation distortion current, and therefore the phase of α( f ) at an

intermodulation distortion frequency. However, this should be done sparingly as the phase of the output signal at f 1 and f2 will be offset as well. In addition to td , the LA transistor’s gate bias was tuned to set both the phases and magnitudes of the LA’s intermodulation distortion frequency Norton currents such that the criterion specified by (12) can be achieved. G o can also be adjusted to control the magnitudes of the LA’s Norton currents at f 1 and f 2 for the purpose of adjusting the LA’s fundamental power contributions, as stated in (7). The setting of G o also affects the phases and magnitudes of the intermodulation distortion currents. The IMD3 before and after the addition of the LA is plotted in Fig. 11 where the LA was biased in deep class AB, with a bias current of 15 mA, while td and G o were set to 1.32 ns and 2, respectively. The IMD3 of the PA improved by up to 20 dB after the addition of the LA. Also plotted is the normalized magnitude and phase of gain of the two tones in Fig. 12. The magnitude and phase variation of the gain was significantly reduced, which demonstrates the technique’s ability to mitigate both AM–AM and AM–PM nonlinearities. However, the absolute value of the gain was reduced by the additional input power required by the LA. At 30-dBm output power, the gain was decreased from about 17.5 to 12.5 dB. C. Linearization Under Modulated Signals Using the design values found through the two-tone signal simulations, the PA and LA were then driven by wideband modulated signals. The design was simulated using Keysight’s Advanced Design System (ADS) RF and

1462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 13. Simulated PA output frequency spectrum with a 40-MHz bandwidth modulated signal.

Fig. 14.

Photograph of the fabricated PA with LA.

Fig. 15.

Photograph of measurement setup.

Fig. 12. Simulated and normalized: (a) magnitude and (b) phase of the gain of the PA with a two-tone input signal with tones 1 and 2 at 840 and 860 MHz, respectively.

Ptolemy co-simulations. A modulated signal with a bandwidth of 40 MHz was used to drive the PA. The signal consisted of a 15-MHz bandwidth long-term evolution (LTE) signal in band 1, and a 15-MHz bandwidth wideband code division multiple access (WCDMA) signal in band 2. The carrier frequencies of the two bands were 25 MHz apart. The PAPR of the signal was 8.4 dB, and the output power was kept at 29.3 dBm. The frequency spectrum at the output of the PA is plotted in Fig. 13 for the cases with and without the LA. After the addition of the LA, the adjacent channel leakage ratio (ACLR) was improved by 15.2 dB from −31.9 to −47.1 dBc and the error vector magnitude (EVM) was improved from 5.1% to 2.5%. V. M EASUREMENT R ESULTS A photograph of the fabricated PA and LA is shown in Fig. 14. The PA and the LA both used a 28-V drain supply, and the biasing currents for the PA and the LA were 100 and 10 mA, respectively. Keysight’s M8190A arbitrary waveform generator (AWG) was used to generate the RF input signal to the PA and LA through its channel 1 and 2, respectively. The time delay td was realized and tuned by delaying the input signal uploaded to the AWG’s channel 2, while the gain G o was realized and adjusted by controlling the output amplitude of channel 2 relative to channel 1. The output from channel 1 and channel 2 were then amplified through

two RF drivers (ZHL-42). A photograph of the complete measurement setup is shown in Fig. 15. The same 40-MHz bandwidth signal used for co-simulation was used to drive the PA, and the performance with and without the LA was measured. The output frequency spectrum is plotted in Fig. 16(a) for an average output power of 29.1 dBm. The ACLR was improved by up to 13.2 dB, while the EVM was improved from 6.5% to 3.7%. Next, two modulated signals with very wide bandwidths were used to drive the PA and the performance was measured with and without the LA. The first modulated signal had a bandwidth of 80 MHz and a PAPR of 9.6 dB. The signal consisted of a 20-MHz bandwidth WCDMA signal in band 1 and a 20-MHz bandwidth LTE signal in band 2. The carrier frequencies of the two bands were 60 MHz apart. The output frequency spectrum is plotted in Fig. 16(b) for an average output power of 28.1 dBm. The second modulated signal had a bandwidth of 160 MHz and a PAPR of 8.9 dB was used

HU AND BOUMAIZA: POWER-SCALABLE WIDEBAND LINEARIZATION OF PAs

Fig. 16. Measured PA output frequency spectrum with: (a) 40-MHz, (b) 80-MHz, and (c) 160-MHz bandwidth modulated signals.

to drive the PA. The signal consisted of a 20-MHz bandwidth WCDMA signal in band 1, a 20-MHz bandwidth LTE signal in band 2, and another 20-MHz bandwidth WCDMA signal in band 3. The output frequency spectrum is plotted in Fig. 16(c) for an average output power of 28.8 dBm. The linearity improvements achieved for the three modulated signals are summarized in Table I. Although significant linearity improvements were achieved for every signal, it should be noted that the ACLR improvement does degrade with increasing bandwidth. One of the reasons is the LA’s bandwidth was limited by the large delay through its negative feedback path. In the prototype design a discrete transistor was used and the feedback path

1463

needed to be routed around the transistor. This issue can be mitigated by realizing the topology in an integrated circuit technology. Moreover, the bandwidth of this linearization technique is limited by the mismatch in group delay between the main PA and the secondary amplifier across frequency. According to Fig. 16, out-of-band IMD3 distortions were also improved. For the modulated signal with 80-MHz bandwidth, IMD3 distortions around 760 and 940 MHz were reduced by 8.1 and 8 dB, respectively. For the modulated signal with 160-MHz bandwidth, its IMD3 distortions around 710 and 990 MHz were reduced by 7 and 7.5 dB, respectively. The reduction of these IMD3 distortions is critical for the operation of the entire transceiver system. When sufficiently large, IMD3 distortions can leak into the receiver path and cause receiver desensitization [17]. Furthermore, out-of-band fifth-order intermodulation distortion (IMD5) is also reduced after the addition of the LA. In Fig. 16(a), it can be seen that the IMD5 distortions around 787.5 and 912.5 MHz were reduce by about 6 and 7.6 dB with the LA. The DE of the combined amplifier decreased by about 7–8 percentage points after the addition of the LA. Note that the power consumption overhead of the LA in this prototype is still significantly less than a conventional DPD solution. For example, in the case where the PA is driven by a modulated signal with 40-MHz bandwidth, the use of LA added about 1.6 W of dc power consumption, while a conventional DPD system would require several watts of power. Moreover, this dc power consumption should scale down with the PA’s maximum power as previously discussed. Therefore, the lower the PA’s power rating, the more beneficial the present solution is in terms of power consumption when compared with DPD. Finally, the power consumption of the LA does not increase with higher bandwidth output signals. The DE stayed constant when the input signal bandwidth increased from 40 to 160 MHz. A DPD solution, on the other hand, will require a higher digital clock rate for wider bandwidth output signals, and the overall system’s complexity as well as power and hardware costs will increase significantly. While DPD is capable of achieving better linearization, the proposed technique provide a lower power and lower cost alternative that is practically viable for future applications involving small cells and large scale antenna systems. It is worth mentioning the differences between the LA and the peaking amplifiers in linearity optimized Doherty topologies [14], [15]. First, while tuning the gate bias of the peaking amplifier is effective in improving the Doherty amplifier’s linearity, this approach has only been demonstrated with narrowband signals. Since it is specifically designed to implement the linearization mechanisms previously outlined in Section II, the LA was able to linearize the PA even when it was driven by modulated signals with much higher bandwidths than the ones used in [14] and [15]. Secondly, the LA is not designed to enhance the PA’s back-off efficiency. Thus, when the LA was used to linearize the class-AB PA, the overall efficiency was lower than that of a Doherty amplifier. However, the LA can be envisaged to linearize

1464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I M EASURED PA P ERFORMANCE W ITH AND W ITHOUT LA

high-efficiency Doherty amplifiers, thereby achieving better linearity-efficiency tradeoff. VI. C ONCLUSION In this paper, a novel RF linearization technique based on the addition of an LA was proposed and analyzed. As a proof of concept, an LA was designed for a broadband class-AB PA with a center frequency of 850 MHz. Measurement results showed that the LA can significantly improve the PA’s linearity even when it is amplifying signals with a modulation bandwidth as wide as 160 MHz. In the case where the PA was driven by a modulated signal with 40-MHz bandwidth, the PA’s ACLR was improved by up to 13 dB with the addition of the LA, allowing it to achieve an ALCR of −45 dBc without any additional linearization techniques. Furthermore, the power and cost overhead of the proposed linearization technique does not increase with the input signal bandwidth and are scalable such that it remains low compared to the PA’s power range and cost. This makes the proposed technique very suitable for use in small-cell and future 5G PA designs. ACKNOWLEDGMENT The authors would like to thank H. Golestaneh for the insightful discussions on PAs. The authors would also like to acknowledge the support of Cree Inc., Durham, NC, USA, for providing the large-signal transistor models, and Keysight, Santa Rosa, CA, USA, for donating the Advanced Design System (ADS) software. R EFERENCES [1] M. Steer, “Beyond 3G,” IEEE Microw. Mag., vol. 8, no. 1, pp. 76–82, Feb. 2007. [2] F. Raab et al., “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 814–826, Mar. 2002. [3] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [4] D. Lopez-Perez, I. Guvenc, G. de La Roche, M. Kountouris, T. Quek, and J. Zhang, “Enhanced intercell interference coordination challenges in heterogeneous networks,” IEEE Wireless Commun., vol. 18, no. 3, pp. 22–30, Jun. 2011. [5] T. Rappaport, W. Roh, and K. Cheun, “Mobile’s millimeter-wave makeover,” IEEE Spectr., vol. 51, no. 9, pp. 34–58, Sep. 2014. [6] E. Larsson, O. Edfors, F. Tufvesson, and T. Marzetta, “Massive MIMO for next generation wireless systems,” IEEE Commun. Mag., vol. 52, no. 2, pp. 186–195, Feb. 2014. [7] F. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [8] A. Ghosh, R. Ratasuk, B. Mondal, N. Mangalvedhe, and T. Thomas, “LTE-advanced: Next-generation wireless broadband technology invited paper,” IEEE Wireless Commun., vol. 17, no. 3, pp. 10–22, Jun. 2010.

[9] F. Roger, “A 200 mW 100 MHz-to-4 GHz 11th-order complex analog memory polynomial predistorter for wireless infrastructure RF amplifiers,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2013, pp. 94–95. [10] N.-S. Jung, N.-I. Kim, and G.-H. Cho, “A new high-efficiency and superfidelity analog audio amplifier with the aid of digital switching amplifier: class K amplifier,” in 29th Annu. IEEE Power Electron. Specialists Conf./PESC’98 Rec., May 1998, vol. 1, pp. 457–463. [11] F. Wang et al., “Wideband envelope elimination and restoration power amplifier with high efficiency wideband envelope amplifier for wlan 802.11g applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 645–648. [12] D. Webster, J. Scott, and D. Haigh, “Control of circuit distortion by the derivative superposition method MMIC amplifier,” IEEE Microw. Guided Wave Lett., vol. 6, no. 3, pp. 123–125, Mar. 1996. [13] D. Webster and D. Haigh, “Low-distortion MMIC power amplifier using a new form of derivative superposition,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 2, pp. 328–332, Feb. 2001. [14] Y. Cho, K. Moon, B. Park, J. Kim, H. Jin, and B. Kim, “Compact design of linear Doherty power amplifier with harmonic control for handset applications,” in 10th Eur. Microw. Integr. Circuits Conf., Sep. 2015, pp. 37–40. [15] Y.-S. Lee, M.-W. Lee, and Y.-H. Jeong, “Linearity-optimized power tracking GaN HEMT Doherty amplifier using derivative superposition technique for repeater systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 427–430. [16] D. Y.-T. Wu, F. Mkadem, and S. Boumaiza, “Design of a broadband and highly efficient 45 W GaN power amplifier via simplified real frequency technique,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1090–1093. [17] H.-T. Dabag, H. Gheidi, S. Farsi, P. Gudem, and P. Asbeck, “All-digital cancellation technique to mitigate receiver desensitization in uplink carrier aggregation in cellular handsets,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4754–4765, Dec. 2013. Yushi Hu (GSM’11) received the B.A.Sc. and M.A.Sc. degrees from the University of Waterloo, Waterloo, ON, Canada, in 2010 and 2012, respectively, and is currently working toward the Ph.D. degree at the University of Waterloo. His research interests include the linearization and efficiency enhancement of RF power amplifiers for cellular base-station applications.

Slim Boumaiza (S’00–M’04–SM’07) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004, respectively. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada, where he leads the Emerging Radio System Research Group, which conducts multidisciplinary research activities in the general area of RF/microwave and millimeter component and system design for wireless communications. His current research interests include RF/digital signal processing and mixed design of intelligent RF transmitters; design, characterization, modeling, and linearization of high-efficiency RF power amplifiers; and reconfigurable and software-defined transceivers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1465

Multilayered Coupled Interdigital Resonator Filters for General Chebyshev Filtering Functions Aurélien Périgaud, Stéphane Bila, Serge Verdeyme, Dominique Baillargeat, and Didier Kaminsky

Abstract— This paper presents compact multilayer interdigital filters for realizing general Chebyshev (i.e., quasi-elliptic) filtering functions. Several coupling elements are proposed for implementing a wide range of couplings between quarter-wavelength resonators, which are placed on a unique layer or stacked thanks to a multilayer technology. Several multipole filters are designed and fabricated using low-temperature co-fired ceramic (LTCC) technology. Designs and experimental results are detailed in order to appreciate the potential of the proposed technology. The multilayer interdigital filters proposed in this paper are designed especially for electronic warfare specifications from S- to Ku-band. Index Terms— Filter synthesis, low-temperature co-fired ceramic (LTCC), microwave filter, multilayer technology.

I. I NTRODUCTION

M

ICROWAVE filters designed for electronic warfare result usually in a tradeoff between losses, selectivity, and compactness. Generally, such filters have to cover a moderate to wide fractional bandwidth (typically > 20%) and provide a high rejection (typically > 40 dB) from S- to Ku-band. Among the possibilities offered to design both compact, wideband, and highly selective filters, circuits made of coupled interdigital resonators are often selected. In order to reduce the footprint, traditional interdigital filters may be modified, introducing capacitive effects [1], [2] or lumped elements [3], optimizing the shape [4]–[9], or stacking resonators [9], [10]. With the techniques mentioned previously, it becomes difficult to introduce cross-couplings in the filter structure, in order to control several transmission zeroes for realizing general Chebyshev (i.e., quasi-elliptic) filtering functions. The interest of vertically stacked interdigital structures, for implementing compact moderate to wideband bandpass filters, has been demonstrated [12], [13]. This paper extends this work, proposing a set of coupling elements for planar or stacked pairs of interdigital resonators, which can be used for realizing compact quasi-elliptic filters, i.e., with controlled transmission zeroes, using a multilayer technology. Manuscript received February 24, 2016; accepted March 15, 2016. Date of publication April 14, 2016; date of current version May 10, 2016. A. Périgaud, S. Bila, S. Verdeyme, and D. Baillargeat are with XLIM UMR 7252, Université de Limoges/CNRS, 87060 Limoges, France (e-mail: [email protected]; [email protected]). D. Kaminsky, retired, was with Thales Airborne Systems, 78990 Elancourt, France. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2544309

Fig. 1. Electrical field distributions for: (a) even and (b) odd modes in a stripline.

Section II proposes several coupling elements for implementing both positive and negative couplings of adjustable magnitude. These preliminary designs are then validated by the fabrication and the measurement of two triplets in Section III. Section IV is dedicated to the design of several interdigital filters based on folded networks, for both reducing the footprint, facilitating the realization of cross-couplings required for implementing quasi-elliptic filters. The design of such compact filters may be degraded by unexpected couplings occurring between resonators that are not coupled theoretically. Additional designs are proposed, intending to exploit these unexpected couplings for simplifying the structure or trying to annihilate them in order to control precisely the position of transmission zeroes. Finally, filter prototypes are fabricated and measured, showing the performances and the limitations of proposed structures. II. C OUPLING B ETWEEN H ORIZONTAL AND V ERTICAL I NTERDIGITAL R ESONATORS A. Theoretical Background The designs proposed in this paper are synthesized applying the theory of coupled-resonator networks, which is based on the determination of a coupling matrix associated to an equivalent network [11]. Despite that a coupling matrix is related to a narrowband equivalent network, a filter with a moderate or a wide bandwidth (e.g., 20% or 30%) can be handled in practice [12]. In order to implement general Chebyshev filtering functions, cross-couplings between non-adjacent resonators have to be realized. These couplings have to be of different nature so that both positive and negative couplings can be implemented. Consequently, particular attention is paid to the coupling sign and the definition given in [14] is adopted. It comes that, for two coupled resonators, the order of appearance of even and odd modes along the frequency spectrum determines the sign of the coupling. Even and odd modes for a stripline are described in Fig. 1. The coupling (M) between two resonators

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 2. Layer-by-layer description of triplet #1: resonators 1 and 3 on layer L4, resonator 2 on layer L8 (edge on the left is a symmetry plane). Self-couplings (res. frequencies) are controlled mainly by l1 and l2, I/O couplings by p1, couplings with res. 2 by w1 and w2, coupling between res. 1 and 3 by l3 and w3. TABLE I (a) R ESONATORS ON S AME L AYER AND IN O PPOSITE D IRECTIONS

Fig. 3. (a) 3-D overview of triplet #1. (b) Coupling diagram (coupling values are normalized with respect to fractional bandwidth, FBW = 20% here, and underlined values are self-coupling terms, provided only if different from zero).

Fig. 4. S-parameters (ideal response, EM simulation, and measurements) of triplet #1.

is then expressed by M=

2 − f2 f odd even 2 + f2 f odd even

(1)

where f even and f odd are the resonant frequencies of even and odd modes, respectively. B. Coupling Configurations Table I(a)–(d) describes the coupling configurations implementing positive and negative couplings between interdigital resonators. One can make a difference between resonators placed on the same layer and resonators placed on different

layers. Again, one can make a difference between resonators having opposite directions and resonators having the same direction, to form Table I(a)–(d). In each case, initial coupling configurations (A1, B1, C1, and D1) do not use any additional elements and the coupling can be expressed analytically [12]. Other configurations are derived from these four initial configurations, employing a coupling element in order to control both the magnitude and the sign of coupling. One can note that new configurations are too complex to be described analytically.

PÉRIGAUD et al.: MULTILAYERED COUPLED INTERDIGITAL RESONATOR FILTERS FOR GENERAL CHEBYSHEV FILTERING FUNCTIONS

1467

TABLE I (Continued.)

TABLE I (Continued.)

(b) R ESONATORS ON S AME L AYER AND IN S AME D IRECTION

(c) R ESONATORS ON D IFFERENT L AYERS AND IN O PPOSITE D IRECTIONS

TABLE I (Continued.) (d) R ESONATORS ON D IFFERENT L AYERS AND IN S AME D IRECTION

Reviewing all configurations analyzed in Table I(a)–(d), one can draw the following conclusions. • With a direct link between interdigital resonators, whatever the position of the resonators (A2, B2, and D4), a strong negative coupling can be achieved; so this coupling element can be used in the main coupling path where strong couplings are generally required.



Additional elements (A3–A5, B3–B6, C3, and D3) can transform a negative coupling into a positive coupling. The main drawback is the resonant frequency of the coupling element, which becomes a problem if is less than three times the center frequency of the filter. The different shapes allow modulating the magnitude of coupling and the parasitic resonant frequency.

1468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 5. Layer-by-layer description of triplet #2: resonators 1 and 3 on layer L4, resonator 2 on layer L8 (edge on the left is a symmetry plane). Self-couplings are controlled by l1 and l2, couplings with res. 2 by w1 and w2, coupling between res. 1 and 3 by hl1.



Configuration C2 is an aperture in the ground plane placed between stacked resonators in order to reduce the positive coupling when the distance between resonators is fixed. • Configurations B7, B8, and D2 use a coupling element, which is approximately λg/12 long (λg being the guided waveguide) and allows to increase the negative coupling. The element resonates approximately at 3 f 0 ( f 0 being the center frequency), which avoid additional spurious resonance. B8 includes via rows, which can be useful to avoid or decrease cross-couplings. Finally, these configurations also admit via-walls that can be used for controlling cross-couplings, as discussed later in Section IV. For synthesizing a filtering function, these coupling elements are not considered as non-resonatingnodes (NRNs) [15], but the approach is sufficiently accurate to determine initial dimensions of the filter precisely before a final optimization [16], [17]. III. D ESIGN AND FABRICATION OF T RIPLET F ILTERS In order to validate the approach, two triplet filters have been synthesized first. The filters provide a 2-GHz passband centered around 10 GHz, in order to estimate the relevance of this technology for electronic warfare systems in the frequency band covering 2–18 GHz. As all filters presented in this work, the two triplets have been fabricated using the LTCC process of VTT [18] using 10–12 layers of Ferro A6 (εr = 5.9, h = 97 μm). The first triplet is intended to exhibit a transmission zero in the upper stopband. The coupling element described in configuration B8 is used for implementing the negative coupling. The via-wall contributes to increase the negative coupling. Implementing the same coupling with configuration B1 would require a smaller gap that will not be practical for implementing higher order filters made of coupled pairs of resonators. Indeed, a minimum distance between resonators on the same layer remains necessary in order to limit unexpected couplings. The price to pay is the resonant frequency of the additional element occurring approximately at 2.1 f 0 . The filter structure is detailed in Figs. 2 and 3, and its scattering parameters are given in Fig. 4.

Fig. 6. (a) 3-D overview of triplet #2. (b) Coupling diagram (FBW = 20%).

Fig. 7. S-parameters (ideal response, EM simulation, and measurements) of triplet #2.

Theoretical response, EM simulations, and measurements are in good agreement. The return loss is almost correct and the transmission zero is well positioned. The rejection is better than 20 dB up to 18 GHz. The second triplet, described in Figs. 5 and 6, provides a transmission zero in the lower stopband. The positive cross-coupling is realized using the element described in configuration B4. As shown in Fig. 7, the filter exhibits two transmission zeroes. The extra transmission zero is due to an unexpected coupling. One can note that the two transmission zeroes are

PÉRIGAUD et al.: MULTILAYERED COUPLED INTERDIGITAL RESONATOR FILTERS FOR GENERAL CHEBYSHEV FILTERING FUNCTIONS

1469

Fig. 8. Layer-by-layer description of filter #1.1 (filter #1 for specification #1): resonators 1 and 6 on layer L3, resonators 2 and 5 on layer L5, and resonators 3 and 4 on layer L7 (edge on the right is a symmetry plane).

Fig. 9. (a) 3-D overview of filter #1.1. (b) Coupling diagram (FBW = 24%).

Fig. 11. (a) 3-D overview of filter #1.2. (b) Coupling diagram (FBW = 27%).

Fig. 10. S-parameters (ideal response, EM simulation, and measurements) of filter #1.1.

not clearly visible and that the return loss is less than expected; however, considering typical tolerances in the fabrication process, measurements and simulations agree quite well. As a conclusion, these two triplets validate the proposed approach for designing compact quasi-elliptic interdigital filters. However, these designs also highlight some limitations. • Additional coupling elements allow controlling couplings, but also bring spurious resonances. • Unexpected couplings can appear, degrading the response and requiring additional work for optimizing the design.

Fig. 12. S-parameters (ideal response, EM simulation, and measurements) of filter #1.2.

IV. G ENERAL C HEBYSHEV V ERTICAL I NTERDIGITAL F ILTERS Section III validated the usage of coupling elements for implementing transmission zeroes. Considering these preliminary designs, several quasi-elliptic interdigital filters have been designed. All interdigital filters presented in this

1470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 13. Layer-by-layer description of filter #1.2: resonators 1 and 8 on layer L3, resonators 2 and 7 on layer L6, resonators 3 and 6 on layer L9, and resonators 4 and 5 on layer L12 (edge on the right is a symmetry plane).

Fig. 14. Layer-by-layer description of: (a) filters #2.1 and (b) #3.1: resonators 1 and 6 on layer L4, resonators 2 and 5 on layer L7, resonators 3 and 4 on layer L10 and L12, respectively, for filters #2.1 and #3.1 (edge on the right is a symmetry plane).

section are based on a folded coupling network, either with vertical or horizontal arrangements. This network has several advantages: the footprint is reduced since the structure may be implemented with only two resonators per layer, the resonator arrangement facilitates the introduction of cross-couplings, and the access ports can be placed on the top layer avoiding transitions used in [12]. The quasi-elliptic interdigital filters are designed with respect to two specifications: the first filtering pattern is centered at 11.8 GHz with a 2.8-GHz (24%) bandwidth, and the second one is centered at 10.1 GHz with a 2.7-GHz (27%) bandwidth. The rejections have to be better than 40 dB at f 0 ± 2.5 GHz ( f 0 being the center frequency), from dc up to 18 GHz. Initial designs for both specifications are presented first. These designs are then optimized with respect to unexpected couplings that occur in such compact structures. A. Initial Designs In order to limit unexpected couplings in initial designs, via-walls have been introduced, from the bottom to the top of the structure, separating pairs of resonators placed on a same layer. 1) Specification #1: For the first specification, a coupling matrix corresponding to a filtering function with six poles and four symmetric transmission zeroes is synthesized. The design is referenced as filter #1.1, for filter design #1 satisfying specification #1. The coupling diagram is given in Fig. 9.

In order to realize the main coupling path from resonator 1 to resonator 3, the quarter-wavelength resonators are stacked and separated by L-shaped slots, as shown in Fig. 8. Coupling between resonators 3 and 4 is realized by an H-shaped element (configuration B4). Three layers below the coupling element are required in order to reduce the capacitance formed with the ground and thus prevent a spurious resonance of the coupling element. The negative coupling between resonators 2 and 5 is obtained by a direct link (configuration B2), and the positive coupling between resonators 1 and 6 is obtained by a coupling line (configuration B5). Theoretically, four transmission zeroes are expected, but as shown in Fig. 10, only three transmission zeroes (two are located around 14 GHz) have been obtained after optimizing the EM model. This behavior due to remaining unexpected couplings is confirmed by measurements. Here again, EM simulation and measurements show a correct agreement, the dispersions being in the range of fabrication tolerances. 2) Specification #2: An eight-pole filter is designed with respect to the second specification. A coupling matrix has been synthesized first, allowing the placement of six transmission zeroes. The structure corresponding to the initial coupling matrix has been optimized, taking into account realizable and unexpected couplings. The coupling diagram of this design referenced as filter #1.2 is given in Fig. 11. As described in Fig. 13, the main coupling path is realized by stacked

PÉRIGAUD et al.: MULTILAYERED COUPLED INTERDIGITAL RESONATOR FILTERS FOR GENERAL CHEBYSHEV FILTERING FUNCTIONS

1471

TABLE II P ERFORMANCE AND S IZE OF P ROPOSED D ESIGNS

Fig. 16. S-parameters (ideal response, EM simulation, and measurements) of filter #2.1.

Fig. 15. (a) 3-D overview of filter #2.1. (b) Coupling diagram (FBW = 24%).

resonators placed in opposite directions and coupled through rectangular slots (C2). The slots allow reducing the coupling magnitude significantly. The negative coupling between resonators 4 and 5 is obtained by a direct link (A2), the positive coupling between resonators 3 and 6 by an H-shaped element (A4) and the negative coupling between resonators 1 and 8 by proximity (A1). The filter response is shown in Fig. 12. Once again, the maximum of transmission zeroes has not been reached because of unexpected couplings. Simulations and measurements are in good agreement also. One can note that even with a higher number of poles, this filter (#1.2) remains compact as compared in Table II. Indeed, with the arrangement of interdigital resonators in a folded network, the footprint remains the surface of two coupled resonators, whatever the number of poles, leading to highly compact filters. B. Exploitation of Unexpected (Extra) Couplings Previous designs have shown that, even with via-walls, unexpected (extra) couplings are still present. The next designs

Fig. 17. (a) 3-D overview of filter #3.1, (b) Coupling diagram (FBW = 24%).

intend to exploit these couplings in order to replace coupling elements between resonators, simplifying the filtering structure. The approach has been tested for designing two filters with respect to specification #1 (filters #2.1 and #3.1).

1472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 18. S-parameters (ideal response, EM simulation, and measurements) of filter #3.1.

Fig. 21. (a) 3-D overview of filter #3.2. (b) Coupling diagram (FBW = 27%).

Fig. 19. (a) 3-D overview of filter #2.2. (b) Coupling diagram (FBW = 27%).

Fig. 20. S-parameters (ideal response, EM simulation, and measurements) of filter #2.2.

Suppressing a cross-coupling in the coupling diagram of filter #1.1 (Fig. 9), two new coupling diagrams can be synthesized. The first solution corresponds to filter #2.1, whose coupling diagram is presented in Fig. 15; and the second solution corresponds to filter #3.1, whose coupling diagram is presented in Fig. 17. Exploiting the additional extra couplings, four transmission zeroes can be placed in the frequency response. However, since

Fig. 22. S-parameters (ideal response, EM simulation, and measurements) of filter #3.2.

extra couplings cannot be adjusted, the “regular” couplings have to be tuned for optimizing the rejection and the return loss simultaneously with EM simulations. For the first design (filter #2.1), described in Figs. 14(a) and 15, the main coupling path is realized with stacked resonators coupled through rectangular slots (C2). The negative coupling between resonators 3 and 4 is realized by a direct link (B2), and the positive coupling between resonators 2 and 5 by a line (B5). As shown in the simulation presented in Fig. 16, four transmission zeroes are generated, even if those zeroes are not clearly visible in the measurements. The second filter (filter #3.1), described in Figs. 14(b) and 17, is almost the same, except that the positive cross-coupling is now between the resonators 1 and 6. Once again, two additional transmission zeroes are found in simulation as shown in Fig. 18. In both case, simulations and measurements are in good agreement. These two designs show that extra couplings may be used for generating additional transmission zeroes; however, their positions cannot be controlled precisely and are very sensitive to fabrication tolerances. Comparing the three designs, filter #2.1 satisfies the specifications with a

PÉRIGAUD et al.: MULTILAYERED COUPLED INTERDIGITAL RESONATOR FILTERS FOR GENERAL CHEBYSHEV FILTERING FUNCTIONS

1473

Fig. 23. Layer-by-layer description of filters: (a) #2.2 and (b) #3.2: resonators 1–3 on layer L4, resonators 4–6 on layer L10, and separation ground plane on layer L7.

simplified structure and with increased margins with respect to filter #1.1. Filter #3.1 satisfies the specifications with a simplified structure also, but one can note from Table II that higher insertion loss is found for this device. C. Annihilation of Unexpected (Extra) Couplings Since the position of additional transmission zeroes cannot be controlled precisely, it can be preferred to cancel extra unexpected couplings [19]–[21]. In order to annihilate these couplings, a ground plane is utilized between symmetrically arranged resonators. In order to realize this perfect ground plane, one-half of the resonators is arranged horizontally on a same layer and is separated from the other half by a metallic plane formed on the layer between the two parts. In this case, the footprint

is increased since the total surface attains approximately half of the surface of a planar interdigital filter. However, the realization of transmission zeroes for the design of quasielliptic filters is facilitated using apertures in the ground plane. In this part, two quasi-elliptic interdigital filters are designed following this approach, with respect to specification #2. The two designs, filters #2.2 and #3.2, are a four-pole filter and a six-pole filter described in Figs. 19 and 21, respectively. For both designs, the main coupling path is realized in two parts, on two layers separated by a ground plane. As depicted in Fig. 23, the connection, between upper and lower layers, is realized with a direct link by vias (D4) through the metallized ground plane. Positive cross couplings are realized by vertical I-shaped elements (D3) and the negative coupling between

1474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

resonators 1 and 6 in filter #3.2 is realized by a slot (D1) in the ground plane. Simulations and measurements for the two designs are presented in Figs. 20 and 22, respectively. One can see a very good agreement in both cases with very stable transmission zeroes. Filter #2.2 does not satisfy the specification (specification #2) in terms of rejection, but filter #3.2 realizes the specified function with better insertion loss performance, compared to the initial structure (filter #1.2). The reduction of losses is mainly due to the better control of transmission zeroes, allowing to reduce the number of coupled resonators. However, these filters require transitions, which increase again the surface and some additional losses, even if losses are compensated partially by a better quality factor of the resonators (see Table II). V. C ONCLUSION In order to design compact, wideband, and highly selective bandpass filters, a set of coupling elements for multilayered interdigital resonators have been proposed. These structures have been tested and validated by the design and the fabrication of two triplet filters with a focus on the generation of transmission zeroes for implementing quasi-elliptic filtering functions. From these preliminary results, several quasi-elliptic interdigital filters have been designed and fabricated with respect to two specifications required for electronic warfare systems in X-band. The proposed designs allow reducing the footprint to the surface occupied by two resonators only; however these compact designs are subject to unexpected couplings, which can degrade the behavior of the filter. Concerning the first specification, a particular attention has been paid to the control and the exploitation of extra (unexpected) couplings for simplifying the filtering structures. Concerning the second specification, unexpected couplings have been annihilated by introducing intermediate ground planes in order to control more precisely the position of transmission zeroes. Several design examples have been fabricated and characterized, and their main performances have been summarized in Table II. R EFERENCES [1] D. T. Hoa and I. S. Kim, “Miniaturized low insertion loss multilayer capacitively loaded step-impedance interdigital bandpass filter,” in Proc. Asia–Pacific Microw. Conf., Bangkok, Thailand, Dec. 2007, pp. 1–4. [2] Y. Zhang, K. A. Zaki, A. J. Piloto, and J. Tallo, “Miniature broadband bandpass filters using double-layer coupled stripline resonators,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 8, pp. 3370–3376, Aug. 2006. [3] T. Yang, M. Tamura, and T. Itoh, “Super compact low-temperature co-fired ceramic bandpass filters using the hybrid resonator,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp. 2896–2907, Nov. 2010. [4] C.-Y. Chang, C.-C. Chen, and H.-J. Huang, “Folded quarter-wave resonator filter with Chebyshev, flat group delay, or quasi-elliptical function response,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, USA, Jun. 2002, pp. 1609–1612. [5] R. K. Settaluri, A. Weisshaar, and V. K. Tripathi, “Compact multi-level folded-line bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, USA, Jun. 2000, pp. 311–314. [6] C. Cheng-Chung, C. Yi-Ru, and C. Chi-Yang, “Miniaturized microstrip cross-coupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 120–131, Jan. 2003.

[7] J. D. Rhodes, “The stepped digital elliptic filter,” IEEE Trans. Microw. Theory Techn., vol. MTT-17, no. 4, pp. 178–184, Apr. 1969. [8] L. Rigaudeau et al., “LTCC 3-D resonators applied to the design of very compact filters for Q-band applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2620–2626, Jun. 2006. [9] A. I. Abunjaileh, I. C. Hunter, and C. Bird, “A new coupling technique for cross-coupled bandpass filters with arbitrarily located transmission zeros,” in Proc. 41st Eur. Microw. Conf., Manchester, U.K., Oct. 2011, pp. 191–193. [10] Z.-C. Hao and J.-S. Hong, “Multilayer interdigital ultra-wideband filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, Jun. 2011, pp. 1–4. [11] A. E. Atia and A. E. Williams, “Narrow bandpass waveguide filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [12] A. Périgaud, S. Bila, S. Verdeyme, D. Baillargeat, and D. Kaminsky, “Synthesis of vertical interdigital filters using multilayered technologies,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 965–974, Apr. 2012. [13] A. Périgaud, S. Bila, D. Baillargeat, S. Verdeyme, and D. Kaminsky, “Multilayer interdigital structures for highly selective compact bandpass filters,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 2, pp. 93–95, Feb. 2014. [14] J.-S. Hong and M. J. Lancaster, “Coupled resonator circuits,” in Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001, ch. 8, sect. 8.2, p. 249. [15] G. Macchiarella and S. Amari, “Direct synthesis of prototype filters with non-resonating nodes,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 305–308. [16] S. Bila et al., “Finite-element modeling for the design optimization of microwave filters,” IEEE Trans. Magn., vol. 40, no. 2, pp. 1472–1475, Mar. 2004. [17] F. Seyfert, L. Baratchart, J. P. Marmorat, S. Bila, and J. Sombrin, “Extraction of coupling parameters for microwave filters: Determination of a stable rational model from scattering data,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, USA, Jun. 2003, vol. 1, pp. 25–28. [18] K. Kautio, “Design guidelines—Low temperature co-fired ceramic modules,”VTT Tech. Res. Center, Espoo, Finland, 2014, [Online]. Available: http://www.vtt.fi/files/research/mel/ltcc_design_rules.pdf [19] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of microstrip bandpass filters with multiorder spurious-mode suppression,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [20] M. Moradian and M. Tayarani, “Spurious- response suppression in microstrip parallel-coupled bandpass filters by grooved substrates,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1707–1713, Jul. 2008. [21] S. Sun and L. Zhu, “Periodically nonuniform coupled microstrip-line filters with harmonic suppression using transmission zero reallocation,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1817–1822, May 2005.

Aurélien Périgaud was born in Limoges, France, in 1981. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 2009. He is currently a Research Engineer in electronics with XLIM, University of Limoges. His research activities are mainly dedicated to the packaging of millimeter-wave modules and to the design of novel microwave resonators and filters.

Stéphane Bila was born in Paris, France, in September 1973. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 1999. He then held a one-year post-doctoral position with the French Space Agency (CNES), Toulouse, France. In 2000, he became a Researcher with the National Centre for Scientific Research (CNRS), and joined IRCOM (now XLIM), Limoges, France. His research interests include numerical modeling, optimization, and computer-aided techniques for the advanced synthesis of microwave components and circuits.

PÉRIGAUD et al.: MULTILAYERED COUPLED INTERDIGITAL RESONATOR FILTERS FOR GENERAL CHEBYSHEV FILTERING FUNCTIONS

Serge Verdeyme was born in Meilhards, France, in June 1963. He received the Doctorat degree from the University of Limoges, Limoges, France, in 1989. He is currently a Professor with XLIM (formerly IRCOM) and Vice-President of the University of Limoges, where he is in charge of the Scientific Council. His main area of interest concerns the design and optimization of microwave devices.

Didier Kaminsky was born in Paris, France, in 1946. He received the 3rd cycle Ph.D. degree from the Electronic Laboratory, Paris VI University, Paris, France, in 1972. Now retired, he spent his entire career with the Thales Airborne Systems, Elancourt, France, where he was first involved in the field of microwave components (solid-state amplifiers and oscillators) and then in the design of high-performance broadband microwave receivers for electronic warfare (EW) systems. His main research interest was integration and miniaturization.

Dominique Baillargeat was born in Le Blanc, France, in 1967. He received the Ph.D degree from the University of Limoges, Limoges, France, in 1995. From 1995 to 2009, he was an Associate Professor and then Professor with IRCOM (now XLIM), Limoges, France. From 2009 to 2013, he was Director of CINTRA and a Visiting Professor with Nanyang Technological University (NTU), Singapore. Since 2013, he has been Director of XLIM. His fields of research concern the development of methods for the design of microwave devices. These methods include computer-aided design (CAD) techniques based on hybrid approach coupling electromagnetics, circuits and thermal analysis, synthesis, and electromagnetic optimization techniques.

1475

1476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Analysis and Design of Balanced Dielectric Resonator Bandpass Filters Jian-Xin Chen, Member, IEEE, Yang Zhan, Wei Qin, Member, IEEE, Zhi-Hua Bao, and Quan Xue, Fellow, IEEE

Abstract— This paper introduces an effective approach for differentially exciting the rectangular dielectric resonator (DR), which lays a foundation for designing balanced DR bandpass filters (BPFs). By analyzing the properties of the DR, it can be found that the TE11δ mode can be used for differential-mode (DM) operation while TM11δ mode can be used for common-mode (CM) operation. Meanwhile, the two modes are split by drilling a hole at the center of the DR for enhancing the CM suppression of the proposed BPFs. According to the field distributions of the TE11δ mode, two types of differential feeding schemes (namely, feeding schemes I and II) are investigated, where the TE11δ mode can be well excited for constructing the DM passband. Two balanced BPFs based on the two feeding schemes are respectively designed, showing good DM bandpass response. A new asymmetric coupling route is introduced in the balanced BPF using the feeding scheme II to realize two transmission zeros in the lower and upper stopbands, improving the selectivity. For demonstration, it is fabricated and measured. The simulated and experimental results with good agreement are presented, which exhibits the best performance of the balanced BPFs so far, such as insertion loss (IL), selective skirt, and CM suppression. Index Terms— Balanced bandpass filter (BPF), dielectric resonator (DR), differential feeding scheme, transmission zero.

I. I NTRODUCTION

T

HE balanced/differential topology has attracted much attention of researchers and engineers in constructing microwave circuits and systems due to its high immunity to noise and crosstalk. Therefore, many microwave devices have been designed in balanced topologies, such as amplifiers, mixers, oscillators, and antennas [1]–[3]. The filter, as a key signal selective device in a differential microwave system, should also be in the differential topology to replace the combination of a single-ended filter with two baluns for reducing the cost and the size, as shown in Fig. 1. Accordingly, in the past few years, several classes of balanced filters have been developed by using printed circuit board (PCB) technology [4]–[8], lowtemperature co-fired ceramic (LTCC) [9], [10], and substrate

Manuscript received August 31, 2015; revised January 23, 2016; accepted March 18, 2016. Date of publication April 7, 2016; date of current version May 10, 2016. This work was supported by the National Natural Science Foundation of China under Grant 61371111, Grant 61271136, and Grant 61501263, and by the Six Types of Talents Project of Jiangsu Province (2011-DZXX-014). J.-X. Chen, Y. Zhan, W. Qin, and Z.-H. Bao are with the School of Electronics and Information, Nantong University, Nantong 226019, Jiangsu Province, China (e-mail: [email protected]). Q. Xue is with the State Key Laboratory of Millimeter Waves, Department of Electronic Engineering, and the CityU Shenzhen Research Institute, City University of Hong Kong, Hong Kong. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2546260

Fig. 1. Different schematic diagrams of balanced-to-balanced filtering networks. (a) Cascaded baluns and single-ended filter. (b) Fusion design of balanced filter.

integrated waveguide (SIW) cavity [11]–[13], etc. However, due to the Q-factor limitation of the employed resonators, the performance of the designed filter, such as insertion loss (IL) and selectivity, is not good enough to be acceptable in many practical and industrial applications. In addition, a narrowband fractional bandwidth (FBW) less than 1% is difficult to realize using the above-mentioned technologies. The dielectric resonators (DRs) have been extensively applied in modern wireless communication systems, such as cellular base stations, satellite payloads, and various styles of antennas, owing to their high unloaded-Q factor, high power capacity, superior temperature stability, etc. [14]–[18]. Over the past decades, various high-performance microwave components and circuits based on the DRs, such as filters, multiplexers, oscillators, and antennas, have been explored [19]–[23]. The most common and popular DRs employed in these designs are the rectangular and cylindrical resonators, operating in the dominate modes TE11δ and TE01δ , respectively. However, they are mostly used in the designs of single-ended circuits [24]–[29]. In [30], a differentially fed rectangular DR antenna is presented, which validates the potentials of the DR in the differential topology applications. In our previous studies [31], a novel second-order balanced bandpass filter (BPF) has been developed based on the DRs. However, detailed research on the DR under differential-mode (DM) and common-mode (CM) operations has not been conducted. Meanwhile, the microstrip line, which owns a low quality factor, is applied to differentially feed the DR. Therefore, further improvement of the performance remains desirable. In this paper, the investigation of two new differential feeding schemes I and II for the rectangular DR in the metal cavity, using probe feeding structures, is conducted for

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CHEN et al.: ANALYSIS AND DESIGN OF BALANCED DR BPFs

Fig. 2.

1477

Rectangular DR.

designing high-performance balanced BPFs. It can be observed by analyzing the DR that TE11δ and TM11δ modes correspond to DM and CM resonances, respectively. Additionally, drilling a hole at the center of the DR can split them so that high CM suppression can be achieved in the designs of the proposed BPFs. A balanced second-order BPF based on the feeding scheme II is implemented, and two transmission zeros in the lower and upper stopbands are achieved by introducing cross couplings. The simulated and measured results are presented to validate the proposed idea.

Fig. 3. Field distributions of the TE11δ mode of the rectangular DR. (a) E-field in x–y-plane. (b) E- and H -field in x–z-plane. (c) E- and H -field in y–z-plane.

II. D IFFERENTIAL F EEDING S CHEMES FOR THE R ECTANGULAR DR A. Properties of the Rectangular DR Fig. 2 shows the three-dimensional (3-D) view of a typical rectangular DR. It resonates with lots of modes at different frequencies, i.e., TEmn(s+δ) and TMmn(s+δ) generally, where m, n, and s are the numbers of half-waves inside the DR along the x-, y-, and z-directions, and 0 < δ < 1 [31]. At resonances, the electromagnetic (EM) fields could be well restricted in the DR along x- and y-directions. However, the EM fields will partly transmit out of the DR in the z-direction since 0 < δ < 1. The EM field distributions could be calculated by the hybrid magnetic wall method based on the Helmholtz equation and boundary conditions [15]. For the TEmn(s+δ) mode, the EM field expressions are presented as follows: ⎧ j ωμ0 ∂ Hz j ωμ0 ∂ Hz ⎪ ⎪ ⎨ E x = − k 2 + γ 2 ∂y E y = k 2 + γ 2 ∂ x z z ∂ 2 Hz ∂ 2 Hz 1 1 ⎪ ⎪ H = ⎩ Hx = 2 y k + γz2 ∂ x∂z k 2 + γz2 ∂y∂z ⎧ ⎪ ⎨ A1 sin k x x · sin k y y · sin (k z z + ϕ) , 0 ≤ z ≤ L (1) Hz = A2 sin k x x · sin k y y · e−αz (z−L) , z≥L ⎪ ⎩ α z z z≤0 A3 sin k x x · sin k y y · e , and k x2 + k 2y + k z2 = εr k02 , 0 ≤ z ≤ L k x2 + k 2y + αz2 = k02 , z ≥ L and z ≤ 0 mπ nπ (s + δ) π ky = kz = kx = A L B  π −1 αz ϕ = − tan 2 kz

Fig. 4. Field distributions of the TM11δ mode of the rectangular DR. (a) H -field in x–y-plane. (b) E- and H -field in y–z-plane.

where k is the wavenumber at the operation frequency, γz is the propagation constant along the z-direction, μ0 is the permeability in vacuum, and εr is the relative permittivity of the DR. Similarly, for the TMmn(s+δ) mode, the EM field expressions can be defined by the following equations: ⎧ ∂ 2 Ez ∂ 2 Ez 1 1 ⎪ ⎪ E = y ⎨Ex = 2 k 2 + γz2 ∂y∂z k + γz2 ∂ x∂z ∂ E j ωε j ωε ∂ E z ⎪ z ⎪ Hy = − ⎩ Hx = 2 2 2 k + γz ∂y k + γz2 ∂ x ⎧ ⎪ ⎨ A1 cos k x x · cos k y y · cos (k z z + ϕ) , 0 ≤ z ≤ L E z = A2 cos k x x · cos k y y · e−αz (z−L) , (2) z≥L ⎪ ⎩ α z z z≤0 A3 cos k x x · cos k y y · e , where ε is equal to ε0 εr for 0 ≤ z ≤ L, while it is equal to ε0 for z ≥ L and z ≤ 0. Among all the modes, TE11δ and TM11δ modes are the lowest frequency modes. According to (1) and (2), their EM field distributions are shown in Figs. 3 and 4, respectively. Generally, the TE11δ mode functions as the dominate mode of the DR with lowest resonant frequency while the TM11δ mode is the lowest frequency spurious response when a small ratio L/(A + B) is chosen [31]. According to the EM field density

1478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 5. E-field distributed density of the rectangular DR in x–y-plane. (a) TE11δ . (b) TM11δ (red represents the strongest density).

Fig. 7. Feeding scheme I for the rectangular DR enclosed by a metal cavity with dimensions ( A1 × B1 × H = 58 × 55 × 30 mm3 ). (a) 3-D view. (b) Cross view.

Fig. 8. Feeding scheme II for the rectangular DR in a metal cavity with dimensions ( A11 × B1 × H = 66×55×30 mm3 ). (a) 3-D view. (b) Cross view.

Fig. 6. TE11δ - and TM11δ -mode resonant frequencies ( f TE and f TM ) and their ratio ( f TM / f TE ) against the hole radius r.

of the DR shown in Fig. 5, drilling a hole at the center of the DR can split the two modes because the E-field density at the center is high for the TM11δ mode while low for the TE11δ mode. Fig. 6 shows the simulated resonant frequencies ( f TE and f TM ) of TE11δ and TM11δ modes against the radius r of the hole, where the DR with a dielectric constant εr = 38 and dimensions of A × B × L = 40 × 30 × 10 mm3 is enclosed by a metal cavity with dimensions of 58 × 55 × 30 mm3 . As r increases from 0 to 6.5 mm, both fTE and f TM are shifted up while the frequency ratio, i.e., f TM / f TE is enlarged. B. Feeding Schemes According to the TE11δ -mode EM field in Fig. 3 and the Ampere’s right-hand screw rule, two types of differential feeding schemes, i.e., schemes I (face-to-face probe pair) and II (parallel probe pair) shown in Figs. 7 and 8, respectively, are proposed for exciting the TE11δ mode of the rectangular DR. Each probe is with one short end. Considering the frequency band of interest, under conventional single-ended excitation by removing one probe of differential feeding pair in Fig. 7, two resonance modes, namely, T E 11δ and TM11δ , will be excited. Under DM excitation, the symmetrical plane A– A of the DR, as shown in Fig. 3, would become an electrical wall so that only the resonance mode with an electrical wall, namely, the TE11δ mode, can be excited. Under CM excitation, the symmetrical plane A– A of the DR, as shown in Fig. 3, would become a magnetic wall,

so that only the resonance mode with a magnetic wall, namely, the TM11δ mode, can be excited. Fig. 9 shows the simulated frequency responses of the DR fed by single-ended probe and feeding scheme I, respectively. Fig. 9(a) and (b) is for the DRs with hole radius r = 0 and 5 mm, respectively. As can be seen from Fig. 9, when the DR is fed by the single-ended probe, there are two resonances (represented by S11 ) that occur within the frequency band of interest, i.e., the TE11δ mode and TM11δ mode. When the DM (CM) signal is applied to the DR through feeding scheme I, only the TE11δ (TM11δ ) mode is excited dd (S cc ) in Fig. 9). For both cases of (represented by S11 11 r = 0 and 5 mm, f TE keeps almost constant under either single-ended or DM excitation of the DR, and f TM also remains unchanged under either single-ended or CM excitation. Similar results could be obtained for feeding scheme II in Fig. 8. Accordingly, the traditional design method of the single-ended DR filter can be applied in the proposed designs. It is worth mentioning that the TM11δ mode generally acts as the lowest frequency spurious when the TE11δ mode is used as fundamental frequency in the traditional designs of singleended BPFs (as can be seen from Fig. 9), resulting in a narrow upper stopband. In this balanced design, the TM11δ mode acting as CM resonance cannot be excited by the DM signals, which implies that the upper stopband of the DM frequency response can be extended in the following designs of the balanced BPFs. The design theory on the balanced filter could also be based on the coupled resonator theory, similar to that of the singleended filter in [32]. The difference is that the resonators should be differentially fed when extracting the coupling parameters of the differential filter. Basically, the design theory on the

CHEN et al.: ANALYSIS AND DESIGN OF BALANCED DR BPFs

1479

Fig. 11. Extracted Q e of feeding scheme I: (a) against h f 1 (l f 1 = 25 mm and g1 = 3 mm are fixed) and (b) against l f 1 and g1 (h f 1 = 12 mm is fixed).

Fig. 12. Extracted k d against window width W1 , as shown in Fig. 9, where D = 4 mm is fixed. Fig. 9. Simulated frequency responses of the DR fed by feeding scheme I and single-ended probe. (a) r = 0 and (b) r = 5 mm.

Fig. 10.

Balanced DR BPF using feeding scheme I.

balanced filter in this paper is similar to that summarized in [5]. III. BALANCED DR F ILTER BASED ON F EEDING S CHEME I Fig. 10 shows the layout of the balanced second-order DR BPF using feeding scheme I in Fig. 7. It consists of two identical metal cavities with dimensions A1 × B1 × H = 58 × 55 × 30 mm3 , as shown in Fig. 7, including two DRs in the cavities, where h 1 = 12 mm and h 2 = 8 mm are fixed. The DRs used in all designs of this paper are with a dielectric constant εr = 38, a loss tangent tan δ = 2.5 × 10−4 , dimensions of A × B × L = 40×30×10 mm3 , and hole radius r = 6 mm. The simulation in this paper is conducted by using

the commercial software Ansoft HFSS, which can directly simulate the DM and CM responses by setting each port pair to be balanced. By properly choosing the DR geometry for constructing the DM passband, the CM response resulting from the TM11δ mode can be tuned away from the DM passband, as can be seen from Fig. 6. The design of the DM passband depends on extracting the external Q factor (Q de ) and coupling coefficients (k d ) between the two coupled DRs under DM operation [32]. Q de is controlled by three parameters g1 , l f 1 , and h f 1 , as shown in Fig. 11(a) and (b). When the feeding probes are located at the central line of the DR in the z-direction, i.e., h f 1 = h 2 + L/2 in Fig. 7, Q de has a minimum value. As h f 1 increases or decreases, Q de is enlarged, as shown in Fig. 11(a). Meanwhile, as l f 1 increases or g1 decreases, Q de becomes small, as indicated in Fig. 11(b). The coupling coefficient k d is mainly determined by the coupling window width W1 , as shown in Fig. 12. As W1 increases, k d is enlarged. The proposed Chebyshev DR BPF is with a center frequency of 1.82 GHz and a 3-dB FBW of 0.3%. Accordingly, a 0.06-dB-ripple FBW of about 0.17% is used to determine the lumped-element values of a low-pass prototype filter: g0 = 1, g1 = 0.541, and g2 = 0.5465. The differential Q de and k d of the filter can then be attained as follows: g0 g1 = 302 (3) Q de = FBW FBW M12 = √ = 0.0031 (4) g1 g2

1480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 15. Coupling route for the DM passband of the balanced BPF in Fig. 14.

Fig. 13. Simulated frequency responses of the balanced DR BPF using feeding scheme I.

Fig. 16. Extracted Q e of feeding scheme II: (a) against h f 2 (l f 2 = 28 mm and g2 = 4 mm are fixed) and (b) against l f 2 and g2 (h f 2 = 15 mm is fixed).

As shown in Fig. 15, the main couplings, M Sd 1 , M12 , and occur through the TE11δ mode of the DRs, while the d cross-couplings, M Sd 2 , M Sd Ld , and M1L , occur through the TE101 mode of the waveguide cavity without considering the DR [33]. M Sd 2 means that the source excites the TE101 d mode in the first cavity to feed the DR2. M1L is in the same d d situation. M S L means that the signals transmit from the source to the load through the TE101 mode. As the electric field distribution of the TE101 mode is mainly along the y-axis, the feeding probes along the y-axis (feeding scheme II in Fig. 8) can easily excite the TE101 mode, but the feeding probes along the x-axis (feeding scheme I in Fig. 7) cannot. Therefore, only feeding scheme II can provide cross couplings for generating transmission zeros close to the DM passband. The main design procedure is similar to the filter using feeding scheme I, i.e., depending on the extracted main coud ). The cross couplings, pling parameters (M Sd 1 , M12 , and M2L which are much smaller than the main couplings, could be determined once the filter structure is defined by these main coupling parameters. The main coupling parameters could be obtained by the following equations: 1 (6) M S d 1 = M2L d =  FBW · Q de kd (7) M12 = FBW where Q de could be extracted as shown in Fig. 16 and k d could also be approximately determined based on Fig. 12. The specification of the proposed balanced DR BPF here is the same as that of the BPF in Fig. 10, and the FBW in (6) and (7) is also 0.06-dB-ripple FBW. Similarly, the dimensions can be obtained as follows: g2 = 4.0 mm, l f 2 = 28.0 mm, h f 2 = 15 mm, W2 = 10.0 mm, and D = 4.0 mm. According to the synthesis method in [34], d , M2L

Fig. 14.

Balanced DR BPFs using feeding scheme II.

where the FBW means 0.06-dB-ripple FBW. Based on the extracted Q de and k d in Figs. 11 and 12, respectively, the dimensions of the balanced BPF in Fig. 9 can be determined by fine tuning, i.e., g1 = 3.0 mm, l f 1 = 25.0 mm, h f 1 = 12 mm, W1 = 20.0 mm, and D = 4.0 mm. Fig. 13 shows the simulated DM and CM frequency responses of the balanced DR BPF. Good DM passband at 1.82 GHz is realized and high CM suppression in and near the passband is achieved because the ratio ( f TM / f TE ) is enlarged by the hole of the employed DR. IV. BALANCED DR F ILTER BASED ON F EEDING S CHEME II Fig. 14 shows the balanced second-order DR BPF using feeding scheme II. It consists of two identical metal cavities with dimensions A11 × B1 × H = 66 × 55 × 30 mm3 , as shown in Fig. 8, including two DRs in the cavities, where h 1 = 12 mm and h 2 = 8 mm are fixed. The coupling route under DM operation is depicted in Fig. 15, where S d and L d represent the source and load, and R1 and R2 represent the employed DR1 and DR2 in Fig. 14. Accordingly, the coupling matrix is used to guide the design of the proposed BPF, as follows: ⎤ ⎡ 1 2 Ld Sd ⎢ Sd 0 MS d 1 MS d 2 MS d L d ⎥ ⎥ ⎢ 1 M 0 M12 M1L d ⎥ M =⎢ (5) Sd 1 ⎥. ⎢ ⎣ 2 MS d 2 M12 0 M2L d ⎦ L d M S d L d M1L d M2L d 0

CHEN et al.: ANALYSIS AND DESIGN OF BALANCED DR BPFs

1481

Fig. 17. Simulated (solid line) and theoretical (dashed–dotted line) DM frequency responses of the balanced DR BPF using feeding scheme II.

the total coupling matrix of the BPF is synthesized as follows: ⎡ ⎤ Sd 1 2 Ld ⎢ Sd 0 1.014 −0.02 −0.00145 ⎥ ⎢ ⎥ ⎢ M =⎢ 1 1.014 0 1.128 −0.02 ⎥ ⎥. ⎣ 2 −0.02 1.128 0 1.014 ⎦ L d −0.00145 −0.02 1.014 0 (8) The signs of the couplings are determined according to the following rules. 1) The signs of inductance coupling and capacitance coupling are opposite and relative. Here, we define inductance coupling as “+” and capacitance coupling as “−.” 2) The feeding structures are short-circuited wires so that d M Sd 1 and M2L are inductance couplings. Thus, their signs are “+.” The couplings M12 occur through the inductance window between the two waveguide cavities. Therefore, it is also inductance couplings and defined as “+.” 3) The signal delays +90◦ from the feeding structure to the first cavity without the DR1 (TE101 mode), and another +90◦ from the first cavity to the second one (TE101 mode). When the signal in the second cavity is converted from TE101 mode into TE11δ mode, another +90◦ occurs. In total, the phase change of the signal from the feeding structure to the second cavity with the DR2 is +270◦ , meaning a capacitance coupling. d Therefore, the signs of M Sd 2 and M1L are defined to be “−.” 4) The signal delays +90◦ from the source to the first cavity (TE101 mode), and +90◦ from the first cavity to the second one (TE101 mode), then another +90◦ from the second cavity to the load. Similarly, the total phase change from the source to the load is +270◦ , resulting in a capacitance coupling. Thus, the signs of M Sd Ld are also defined to be “−.” Due to the cross-coupling incorporation, two transmission zeros will appear near the passband. Fig. 17 shows the theoretical and simulated frequency responses of the designed balanced DR BPF using feeding scheme II, well predicting

Fig. 18. Simulated (dashed–dotted line) and measured (solid line) frequency responses of the balanced DR BPF. (a) Narrowband view. (b) Wideband view (the inset is the photograph of the implemented BPF).

the transmission zeros near the DM passband. Thus, the proposed feeding scheme II, creating two transmission zeros, provides an easy way to design balanced BPFs with improved selectivity. V. M EASUREMENT AND D ISCUSSION To verify the proposed method for designing a balanced BPF, the above BPF using feeding scheme II is fabricated and measured. The measurement is carried out by using the four-port Agilent N5230A PNA-L network analyzer, which can directly measure the DM and CM S-parameters, i.e., S dd and S cc . For measurement, the most important thing is to make sure that the metal wires of each balanced port are as symmetric as possible. By doing so, good CM suppression could be obtained. Fig. 18 shows the simulated and measured frequency responses of the proposed balanced DR BPF, showing good agreement. The photograph of the implemented balanced DR BPF is given as the inset of Fig. 18(b). The measured DM passband is centered at 1.82 GHz, and the 3-dB FBW is 0.32%. The return loss in the passband is better than 20 dB, while the minimum IL is 0.55 dB (including the loss of the SMA connectors). The CM suppression is more than 50 dB in the DM passband. There exists a risen-up around 2.2 GHz with DM excitation, which is the second harmonic of the DR, i.e., TE21δ mode. A cylindrical hole is dug at the

1482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I C OMPARISON OF THE P ROPOSED BALANCED DR BPF W ITH O THER BALANCED BPF S

center of the DR to further push the TM11δ mode harmonic to higher frequency so that the in-band CM suppression can be enhanced significantly. Two TZs could be introduced aside the passband to greatly improve its selectivity, by flexibly and properly aligning the feeding structures. Table I exhibits the comparison of the proposed narrowband BPF with other balanced BPFs based on the PCB, LTCC, and SIW technologies, as well as the DR with microstrip feeding structures. Obviously, due to the inherent advantages of the DR, the proposed balanced DR BPF showcases narrower bandwidth, sharper roll-off in the stopband, better CM suppression, and lower IL in the passband simultaneously. VI. C ONCLUSION This paper has built a guide rule for differentially feeding the rectangular DR enclosed by a metal cavity. Based on this, two types of feeding schemes have been presented, and then narrowband balanced filters with high performance based on the feeding schemes have been designed. The design procedures of the balanced DR BPFs have been given in detail. The demonstration balanced BPF owns advantages of narrow bandwidth (0.32%), low IL, good CM suppression, and excellent selectivity. Therefore, the proposed balanced DR BPFs could be applied in many wireless communication systems, especially in base stations, which require balanced topology. If necessary, each balanced port pair can be realigned to be adjacent for specific applications. R EFERENCES [1] W. R. Eisenstadt, B. Stengel, and B. M. Thompson, Microwave Differential Circuit Design Using Mixed-Mode S-Parameters. Boston, MA, USA: Artech House, 2006. [2] S. Liao, P. Wu, K. M. Shum, and Q. Xue, “Differentially fed planar aperture antenna with high gain and wide bandwidth for millimeter-wave application,” IEEE Trans. Antennas Propag., vol. 63, no. 3, pp. 966–977, Mar. 2015. [3] T. S. P. See, X. Qing, W. Liu, and Z. N. Chen, “A wideband ultrathin differential loop-fed patch antenna for head implants,” IEEE Trans. Antennas Propag., vol. 63, no. 7, pp. 3244–3248, Jul. 2015.

[4] W. J. Feng and W. Q. Che, “Novel wideband differential bandpass filters based on T-shaped structure,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1560–1568, Jun. 2012. [5] C.-H. Wu, C.-H. Wang, and C. H. Chen, “Stopband-extended balanced bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 507–509, Jul. 2007. [6] J. Shi and Q. Xue, “Novel balanced dual-band bandpass filter using coupled stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 19–21, Jan. 2010. [7] C. Shao, J.-X. Chen, J. Shi, and Z.-H. Bao, “Compact dual-mode differential bandpass filter using stepped impedance resonators,” Microw. Opt. Technol. Lett., vol. 56, no. 9, pp. 2055–2058, Sep. 2014. [8] T. B. Lim and L. Zhu, “Highly selectively differential-mode wideband bandpass filter for UWB application,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 3, pp. 133–135, Mar. 2011. [9] J.-X. Chen, C. Shao, and Q.-Y. Lu, “Compact LTCC balanced bandpass filter using distributed-element resonator,” Electron. Lett., vol. 49, no. 5, pp. 354–356, Jan. 2013. [10] H.-C. Chen, C.-H. Tsai, and T.-L. Wu, “A compact and embedded balanced bandpass filter with wideband common-mode suppression on wireless SiP,” IEEE Trans. Compon., Packag. Manuf. Technol., vol. 2, no. 6, pp. 1030–1038, Jun. 2012. [11] C. Jin, J.-X. Chen, H. Chu, and Z.-H. Bao, “X-band differential bandpass filter with high common-mode suppression using substrate integrated waveguide cavity,” Electron. Lett., vol. 50, no. 2, pp. 88–89, Jan. 2014. [12] X. Xu, J. P. Wang, and L. Zhu, “A new approach to design differentialmode bandpass filters on SIW structure,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 635–637, Dec. 2013. [13] H. Chu, P. Li, and J.-X. Chen, “Balanced substrate integrated waveguide bandpass filter with high selectivity and common-mode suppression,” IET Microw. Antennas Propag., vol. 9, no. 2, pp. 133–141, 2015. [14] C. Wang and K. Z. Zaki, “Dielectric resonators and filters,” IEEE Microw. Mag., vol. 8, no. 5, pp. 115–127, Oct. 2007. [15] D. Kajfez and P. Guillon, Dielectric Resonators. Norwood, MA, USA: Artech House, 1986. [16] Y. Kobayashi and M. Minegishi, “Precise design of a bandpass filter using high-Q dielectric ring resonator,” IEEE Trans. Microw. Theory Techn., vol. MTT-35, no. 12, pp. 1156–1160, Dec. 1987. [17] A. Panariello, M. Yu, and C. Ernst, “Ku-band high power dielectric resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 382–392, Jan. 2013. [18] K. M. Luk and K. W. Leung, Dielectric Resonator Antennas. London, U.K.: Res. Studies Press, 2003. [19] D.-D. Zhang, L. Zhou, L.-S. Wu, L.-F. Qiu, W.-Y. Yin, and J.-F. Mao, “Novel bandpass filters by using cavity-loaded dielectric resonators in a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1173–1182, May 2014. [20] M. Hoft, “Y-shape dielectric dual-mode resonator,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3066–3071, Dec. 2008. [21] X. Wang and K.-L. Wu, “A TM01 mode monoblock dielectric filter,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 2, pp. 275–241, Feb. 2014. [22] S. J. Fiedziuszko and S. Holme, “Dielectric resonators raise your highQ,” IEEE Microw. Mag., vol. 2, no. 3, pp. 51–60, Sep. 2001. [23] J. K. Plourde and C.-L. Ren, “Application of dielectric resonators in microwave components,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 8, pp. 754–770, Aug. 1981. [24] R. Zhang and R. R. Mansour, “Low-cost dielectric-resonator filters with improved spurious performance,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 10, pp. 2168–2175, Oct. 2007. [25] S. B. Cohn, “Microwave bandpass filters containing high-Q dielectric resonators,” IEEE Trans. Microw. Theory Techn., vol. MTT-16, no. 4, pp. 218–227, Apr. 1968. [26] J.-F. Liang and W. D. Blair, “High-Q TE01 mode DR filters for PCS wireless base station,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2493–2500, Dec. 1998. [27] S. Bastioli and R. V. Snyder, “Inline pseudoelliptic TE01δ -mode dielectric resonator filters using multiple evanescent modes to selectively bypass orthogonal resonators,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3988–4001, Dec. 2012. [28] Q.-X. Chu, X. Ouyang, H. Wang, and F.-C. Chen, “TE01δ -mode dielectric-resonator filters with controllable transmission zeros,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1086–1094, Mar. 2013. [29] J.-F. Liang, K. A. Zaki, and A. E. Atia, “Mixed modes dielectric resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 12, pp. 2449–2454, Dec. 1994.

CHEN et al.: ANALYSIS AND DESIGN OF BALANCED DR BPFs

[30] B. Li and K. W. Leung, “On the differentially fed rectangular dielectric resonator antenna,” IEEE Trans. Antennas Propag., vol. 56, no. 2, pp. 353–359, Feb. 2008. [31] J.-X. Chen, Y. Zhan, W. Qin, Z.-H. Bao, and Q. Xue, “Novel narrowband balanced bandpass filter using rectangular dielectric resonator,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 289–291, May 2015. [32] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, second ed. Hoboken, NJ, USA: Wiley, 2011. [33] S. Bastioli and R. V. Snyder, “Inline pseudoelliptic TE11δ -mode dielectric resonator filters using multiple evanescent modes to selectively bypass orthogonal resonators,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3988–4001, Dec. 2012. [34] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 9, pp. 1559–1564, Sep. 2000.

Jian-Xin Chen (GSM’06–M’11) was born in Nantong, Jiangsu Province, China, in 1979. He received the B.S. degree from Huai Yin Teachers College, Jiangsu Province, China, in 2001, the M.S. degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2004, and the Ph.D. degree from the City University of Hong Kong, Kowloon, Hong Kong, in 2008. Since 2009, he has been with Nantong University, Nantong, Jiangsu Province, China, where he is currently a Professor. He has authored or coauthored more than 80 internationally referred journal and conference papers. He holds three Chinese patents and two U.S. patents. His research interests include microwave active/passive circuits and antennas, low-temperature co-fired ceramic (LTCC)-based millimeter-wave circuits and antennas. Dr. Chen was the recipient of the Best Paper Award presented at the Chinese National Microwave and Millimeter-Wave Symposium, Ningbo, China, in 2007. He was Supervisor of the 2014 iWEM Student Innovation competition winner, Sapporo, Japan.

Yang Zhan was born in Nanjing, Jiangsu Province, China, in 1991. He received the B.Sc. degree from Nantong University, Nantong, China, in 2013, and is currently working toward the M.Sc. degree in electromagnetic field and microwave technology at Nantong University. His current research interests include antennas, microwave filters, and baluns. Mr. Zhan was the winner of the iWEM 2014 Student Innovation competition, Sapporo, Japan.

1483

Wei Qin (S’09–M’14) was born in Jiangsu, China. He received the B.Sc. degree in electronic engineering and M.Sc. degree in electromagnetic fields and microwave technology from Southeast University, Nanjing, China, in 2007, and 2010, respectively, and the Ph.D. degree in electronic engineering from the City University of Hong Kong, Hong Kong, in 2013. From July 2013 to November 2013, he was a Senior Research Associate with the State Key Laboratory of Millimeter Waves (HK), City University of Hong Kong. Since 2014, he has been with the School of Electronics and Information, Nantong University, Nantong, Jiangsu Province, China, where he is currently an Associate Professor. His research interest is focused on the design and application of microwave devices and antennas.

Zhi-Hua Bao was born in Nantong, Jiangsu Province, China, in 1955. He received the B.S. degree from Chongqing University, Chongqin, China, in 1982, and the M.S. degree from the Nanjing University of Posts and Telecommunications, Nanjing, China, in 1985. In 1988, he joined Nantong University, as a Lecturer. He is currently a Professor with the School of Electronics and Information, Nantong University. He has authored or coauthored over 60 journal papers. He holds four Chinese patents. His research interests include modern communication theory and technology, communications-specific integrated circuit designs, and RF/microwave active and passive circuits.

Quan Xue (M’02–SM’04–F’11) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined UESTC as a Lecturer, and became a Professor in 1997. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, Hong Kong, where he is currently a Chair Professor of Microwave Engineering. He is also the Director of the Information and Communication Technology Center (ICTC center), the Deputy Director of the CityU Shenzhen Research Institute, and the Deputy Director of State Key Laboratory of Millimeter Waves, Hong Kong. From June 2011 to January 2015, he was the Associate Vice President (Innovation Advancement and China Office). He has authored or coauthored over 260 internationally referred journal papers and over 100 international conference papers. His research interests include microwave passive components, active components, antenna, microwave monolithic integrated circuits (MMICs), and RF integrated circuits (RFICs). Prof. Xue served the IEEE as an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom) member from 2011 to 2013. He was an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (2010–2013). He is an Associate Editor for the IEEE T RANSACTIONS ON I NDUSTRIAL E LECTRONICS (2010–present).

1484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Direct Synthesis and Design of a General Sequentially Coupled Wideband Bandpass Filter With N -Transmission Zeros Zhiliang Li and Ke-Li Wu, Fellow, IEEE

Abstract— This paper presents a general sequentially coupled wideband bandpass filter and its direct synthesis and design theory. The filter can achieve the same number of controllable transmission zeros (TZs) as that of resonators above the passband. Each resonator consists of a pair of shunt connected capacitively loaded stub and a grounded inductive stub. Resonators are connected through a short section of transmission line with designated electric length. The short connecting lines allow the filter a wide spurious free stopband. For given specifications and prescribed TZs, the elementary lumped element circuit model can be directly synthesized. Three basic lumped element circuit building blocks are approximated by legitimate distributed element circuits with which the wideband distributed element circuit can be systematically obtained. To demonstrate the performance of the proposed filter configuration and the effectiveness of the developed theory, a fourth and an eighth order of filter prototypes were designed and measured, showing the promising potential for practical applications. Index Terms— Bandpass filter, Chebyshev response, inline, microstrip, synthesis, transmission zeros (TZs), wideband filter.

I. I NTRODUCTION ITH the advances of wireless communication systems, people have witnessed the evolution of three generations over the past 15 years from analog to advanced digital modulations. The latest generation of the wireless system not only occupies more frequency bands, but also needs to accommodate the coexistence with the systems of earlier generations. Therefore, there is an inevitable trend to build a heterogeneous network with which wireless communication of different working bands are integrated to share some common infrastructure equipment, such as antennas and outdoor radio units, as much as possible. To combine signals of multiple bands and to provide sufficient isolation between channels, a combiner using wideband channel filters with controllable transmission zeros (TZs) would be a convenient choice. To this end, the synthesis and

W

Manuscript received September 30, 2015; revised February 19, 2016; accepted March 17, 2016. Date of publication April 25, 2016; date of current version May 10, 2016. This work was supported in part by The Chinese University of Hong Kong under a postgraduate scholarship and in part by the Development and Reform Commission of Shenzhen Municipality under Grant Shen Fa Gai (2013) 1673. (Corresponding author: Ke-Li Wu.) The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, and also with the Shenzhen Engineering Laboratory of Wireless Locating Technology and System, Shenzhen Research Institute, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549534

design techniques of a wideband filter with designated TZs become a popularly concerned research subject. Although the coupling matrix concept has been extensively used to design the narrowband filters with finite TZs [1], it is not suitable for the design of a wideband filter as it does not involve the frequency dispersion of both resonators and coupling elements. In [2] and [3], the coupling matrix is extended to wide bandwidth cases by taking into the frequency dependence of couplings, which finds yet few applications. Besides the coupling matrix approach, various wideband bandpass filters have been designed on the basis of commensurate TEM transmission lines [4]–[6], the cascaded low-pass/high-pass filters [7]–[9], multiple-mode resonators [10], ring resonators [11]–[13], and so on. To introduce TZs for a wideband filter, many ways are proposed [14]–[17]. In [14], TZs are generated to enhance the skirt selectivity of the conventional stub bandpass filter by introducing the I/O cross coupling. In [15] and [16], two transmission paths are exploited to achieve signal cancellation at TZ frequencies. In [17], a short-circuited multi-conductor transmission line (MTL) is shunted between two series MTLs to generate two TZs on both sides of the passband. Although an increasing number of wideband bandpass filters have been reported, most of them are based on the use of λ/4 or λ/2 lines, which usually suffers from the bulky layout size and closely located spurious resonance modes. Besides, only a few of them gives the systematic synthesis procedure to determine the circuit parameters. In the authors’ opinion, a legitimate way to design a wideband filter is to develop an ad-hoc synthesize and design procedure of a valid circuit model for a specific filter configuration. In [18], a type of compact bandpass filter that is capable of providing both wide bandwidth and multiple TZs above the passband is proposed. The filter adopts a semi-lumped resonator, which consists of a shunt connected stepped impedance stub and a grounded inductive stub, as shown in Fig. 1(a). When a step impedance stub resonates, the main trunk of the filter is short circuited and, hence, a TZ is introduced. Semilumped resonators are sequentially coupled by λ/4 transmission lines, which are simply treated as constant admittance inverters in the design methodology. Due to the narrowband nature of the inverters, the filter is recently redesigned by exploiting a two-step aggressive space-mapping optimization algorithm [19]. In this work, a more general filter configuration, as depicted in Fig. 1(b), is proposed. The main difference from the circuit

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

LI AND WU: DIRECT SYNTHESIS AND DESIGN OF GENERAL SEQUENTIALLY COUPLED WIDEBAND BANDPASS FILTER WITH N -TZs

Fig. 2.

1485

Approximation of lumped element I/O coupling inductor.

design specifications to filter layout. Through the examples, the attractive features of the proposed filter are demonstrated. II. A PPROXIMATION AND T RANSFORMATIONS OF BASIC C IRCUIT E LEMENTS In order to directly design the distributed element circuit that matches the synthesized lumped element circuit, one must find a set of appropriate basic distributed circuit elements that can replace the corresponding lumped circuit elements in a wideband sense. Concerning the approximated replacement, lumped elements in the synthesized circuit can be categorized into basic building blocks, i.e., the I/O coupling inductor, the inter-resonator coupling inductor, and the resonator.

Fig. 1. (a) Topology of ninth-order filter designed in [18]. (b) Distributed circuit schematic of the wideband filter considered in this work. (c) Its lumped element circuit representation.

shown in Fig. 1(a) is that the connecting lines here can be as short as less than λ/8, and is designated by the designer. The new configuration benefits in the following ways. Firstly, the spurious resonance in the vicinity of twice center frequency f 0 introduced by the λ/4 connecting lines can be avoided; the concerning spurious resonance now mainly depends on resonators. Moreover, it helps shorten the main trunk of the filter and thus reduces the insertion loss. Importantly, the short connecting lines make the approximation of the lumped element circuit, as depicted in Fig. 1(c), by a distributed element circuit possible in a wide frequency range. Thanks to the approximation, the distributed element circuit can be directly synthesized by a two-step procedure. Firstly, the lumped element circuit is exactly synthesized for given specifications. The lumped element circuit is converted into a distributed element circuit based on an approximation of ABC D matrices at critical frequencies for each coupling element and resonator. This paper is organized as follows. Firstly, the approximation between a distributed element circuit and its lumped element circuit of each building block of the proposed filter structure is established in Section II. Section III elaborates the conversion from the directly synthesized lumped element circuit into the distributed element circuit. In Section IV, the properties and the synthesis procedure of the filtering function of the proposed filter are discussed, from which the lumped element circuit of the filter can be derived in Section V. As an important design parameter, the selection of rejection factor ε0 will be discussed in detail in Section VI. Finally, two wideband filter design examples are given in Section VII from

A. Approximation of Lumped I/O Circuit by Distributed Circuit As illustrated in Fig. 2, the lumped I/O circuit of a coupling inductor is approximated by a distributed circuit that consists of a short section of transmission line, an ideal transformer, and a shunt connected capacitor, all being cascaded. It will be shown later that the transformer and the shunt capacitor will be absorbed through circuit transformations. By equating the ABC D matrices of the two circuits in Fig. 2 at the center frequency f 0 ,   1 j ω0 L t 0 1  1   cos θ0 j Z t sin θ0 0 × n = j Yt sin θ0 cos θ0 0 n   1 0 × j ω0 C p 1 ⎤ cos θ0 − n Z t ω0 C p sin θ0 j n Z t sin θ0 ⎥ ⎢ = ⎣ j Y nsin θ ⎦ t 0 + j nω0 C p cos θ0 n cos θ0 n the approximating circuit element can be obtained as

sin (2θ0 ) −1 ω0 L t θ0 = tan n = sec θ0 C p = − Zt 2ω0 Z t ⎡

(1)

(2)

where the characteristic impedance Z t needs to be designated and can be exploited as a design freedom. Obviously, θ0 decreases as Z t increases. It can be observed, from Fig. 3, that the larger the Z t is chosen, the better the approximation can be achieved in a wideband sense. The S-parameters are calculated at f 0 = 1.7 GHz and L t = 2.6 nH by choosing Z t as 50 and 120 , respectively. It is seen from (1) and (2) that introducing the shunt connected C p and the transformer

1486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 3. Comparison between responses of lumped element I/O coupling inductor and distributed element circuits with Z t = 50  and 120 .

Fig. 5. Comparison between responses of lumped element coupling inductor and distributed element circuits with Z t = 70  and 120 .

Fig. 4. Approximation of lumped element inter-resonator coupling inductor.

allow (1) being held to approximate an inductor using a short transmission line without introducing another negative shunt capacitor at the input port. B. Approximation of Inter-Resonator Coupling Inductor The inter-resonator coupling inductor can be approximated by a short transmission line with a shunt capacitor on each side, as depicted in Fig. 4. The shunt capacitance will be combined with that in a lumped circuit model of a short transmission line. Equating the ABC D matrices of the two circuits at f 0 results in (3) as follows:   1 j ω0 L t 0 1       1 0 cos θ0 j Z t sin θ0 1 0 × × = j Yt sin θ0 cos θ0 j ω0 C p 1 j ω0 C p 1 ⎡ ⎤ cos θ0 − ω0 C p Z t sin θ0 j Z t sin θ0 ⎦ = ⎣( j Yt sin θ0 + j 2ω0 C p cos θ0− cos θ0 −ω0 C p Z t sin θ0 2 2 j ω0 C p Z t sin θ0 ) (3) which leads to the equivalence conditions

ω0 L t (cos θ0 − 1) Cp =  2  θ0 = sin−1 Zt ω0 L t

(4)

where Z t is chosen as a design freedom and is expected to be as large as possible for the sake of a good approximation in a wideband sense. By introducing C p , (3) can be held for a short transmission line. For example, for f 0 = 1.7 GHz and

Fig. 6. Approximation of lumped element resonator by a distributed element resonator.

L t = 4.4 nH, choosing Z t as 70 and 120 , and calculating θ0 and C p by (4), the responses of the two circuit models are shown in Fig. 5. It can be observed that with a large Z t the distributed circuit can well represent the ideal lumped inductor. C. Approximation of Resonator Circuit As illustrated in Fig. 6, the lumped resonant circuit is replaced by a distributed resonant circuit that consists of a capacitively loaded stub in parallel with a short-circuited stub. Note that the shunt capacitor C p is generated from previous approximations. To approximate the lumped element resonant circuit by a distributed element circuit, one can equate their admittances at the lower band-edge frequency f1 , the center frequency f 0 , and the upper band-edge frequency f 2 , i.e., Ys ( f i ) = Ys ( fi )

fi = − j Yr cot k θr f0

fi j ωi C + j Yr tan (1 − k) θr f

, 0 + Yr fi Yr − ωi C tan (1 − k) θr f0 i = 0, 1, and 2

(5)

through which parameters Yr , C, and k for distributed circuits can be numerically solved. It is also noted the admittance

LI AND WU: DIRECT SYNTHESIS AND DESIGN OF GENERAL SEQUENTIALLY COUPLED WIDEBAND BANDPASS FILTER WITH N -TZs

Fig. 7.

Calculated characteristic impedance Z r versus θr .

Fig. 9. Impedance or admittance scaling with a transformer. (a) Scaling of a serially connected impedance. (b) Scaling of a shunt connected admittance.

Fig. 10.

Fig. 8. Imaginary part of input admittances of lumped and distributed resonators with different θr : (a) in the passband and (b) in the upper stopband.

equivalence is only valid in the passband. In other words, the TZ will be relocated after the approximation. In approximating the lumped circuit of a resonator, the electrical length θr is chosen as a design freedom. For example, for f 1 = 1.4 GHz, f 0 = 1.7 GHz, f 2 = 2.1 GHz, C p = −0.59 pF, L p = 7.53 nH, Cr = 2.68 pF, and L r = 0.59 nH, the parameters of the distributed element circuit can be calculated by (5) with different θr . Fig. 7 shows the characteristic impedance Z r versus θr . Observe that the characteristic impedance Z r required becomes larger as θr decreases. The shortest θr is limited and mainly depends on the realizability of Z r . For a typical microstrip realization, Z r should not exceed 120  and, hence, θr has to be longer than 40◦ inferring from Fig. 7. To see how θr affects the approximation accuracy, the input admittances of lumped resonators and distributed resonators with θr = 40◦ , 65◦ , and 90◦ are compared in Fig. 8. It shows that the admittances are nearly the same in the passband [ f 1 , f 2 ], but the admittance poles (TZs) are positioned differently. The TZs of the distributed resonator models are located closer to the passband as θr increases. This discrepancy can be accommodated by overshooting those TZs at higher frequencies. It is also noted that there exists another TZ at 4.17 GHz when θr = 90◦ , as shown in Fig. 8(b). This is because the short-circuited stub acts as a λ/2 resonator at that frequency. For the cases of θr < 90◦ , the second TZ also exists, but is located even further away. It can be found that the spurious

1487

Scaling of an inductive -circuit through two transformers.

resonance of the distributed resonator circuit approaches the passband as θr increases. Therefore, θr should be as short as possible to push the spurious resonance far away from the passband. Overall, there exists a tradeoff between the Z r being moderate and the spurious resonance being far away from passband when choosing an appropriate θr . D. Admittance Scaling and Transformer Transformations Two basic circuit transformations will be used here to eliminate the two ideal transformers introduced for replacing the two I/O coupling inductors. One transformation is scaling of a serially connected impedance or shunt connected admittance, as illustrated in Fig. 9. The equivalence of this transformation is obvious and the equivalent circuit elements can be obtained in a straight manner. Another circuit transformation is scaling of an inductive -circuit through two transformers, as illustrated in Fig. 10, in which two ideal transformers on the two sides of a -network of inductors can be “absorbed” by the network. By equating their ABC D matrices of the two circuits in Fig. 10 as

⎤ ⎡ Lt Lt n2 1 + s ⎥ ⎢ n1 L p2 ⎢ n1n2 ⎥ ⎣ n 1 n 2 (L P1 + L P2 + L t ) n 1 Lt ⎦ 1+ s L p1 L p2 n2 L p1   ⎡ ⎤  Lt  s Lt 1+  ⎢ ⎥ L p2 ⎢ ⎥  ⎢ =⎢  ⎥    ⎥ (6) ⎣ L p1 + L p2 + L t L t ⎦ 1+  s L p1 L p2 L p1

1488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 11. Complete steps of the conversion process: approximating I/O coupling inductors, shifting ideal transformers with elements scaled, eliminating ideal transformers, approximating inter-resonator coupling inductors, and finally, lumped element resonators.

where s = j ω, the updated inductances of the -network can be determined as Lt L t = n1n2 L t L p1   L p1 = n 1 L p1 (n 1 − n 2 ) + n 1 L t L t L p2  . L p2 = (7) n 2 L p2 (n 2 − n 1 ) + n 2 L t III. C ONVERSION F ROM L UMPED C IRCUIT I NTO D ISTRIBUTED C IRCUIT M ODEL Having introduced the required circuit approximations and transformations, the synthesized lumped element circuit shown in Fig. 1(c) can be converted into a distributed circuit shown

in Fig. 1(b) in order to directly design the filter layout. Fig. 11 illustrates the major steps in the conversion process: the process begins with the approximations of two I/O coupling inductors. The introduced transformers are then shifted to the sides of the shunt inductors of the N − 1th and Nth resonator, respectively, using the admittance scaling. The two ideal transformers are absorbed by updating L t N , L p(N−1) , and L p N using (7). With the second approximation, inter-resonator coupling inductors are replaced by short connecting lines. Finally, all lumped element resonators are approximated by distributed element resonators by adopting the third approximation. Having noted in the conversion, the shunt capacitor C pi does not exist in neither the synthesized lumped element circuit, nor the final distributed element circuit, but actually acts as an intermediate element. In essence, it represents

LI AND WU: DIRECT SYNTHESIS AND DESIGN OF GENERAL SEQUENTIALLY COUPLED WIDEBAND BANDPASS FILTER WITH N -TZs

the connecting-line-induced susceptance that perturbs adjacent resonators, and must be taken into account. Otherwise, the passband will have obvious frequency shift with deteriorated return loss after the conversion. Due to the nature of the approximation, some deviations between the responses of the lumped element circuit and distributed element circuit will be inevitable. However, people may only be concerned with the response deviation in the passband and the discrepancy of the furthest TZs in filter design. Since the latter issue can be accommodated by over shooting the TZs, as discussed earlier, the key issue left is how to minimize the deviation in the passband. As noticed in previous illustrative examples, it is the approximation of coupling inductors instead of resonators that introduces the major deviation in the passband. A legitimate way to make the approximation accurate in a wide frequency range is either to choose a high characteristic impedance Z t or to have a small coupling inductance. The first option is natural, but limited by the highest Z t that can be realized. Fortunately, the second option is also available as there is a wide selection range of coupling inductances for a set of given passband, in-band return loss, and TZs, as will be discussed in Section VI. IV. P OLYNOMIAL F ILTERING F UNCTION By induction, it can be concluded that the overall ABC D matrix of the lumped element circuit proposed in Fig. 1(c) can be written in the following form: [ ABC D] =  N

1

p2i+1 s 2i+1   N N a2i+1 s 2i+1 i=0 b2i+2 s 2i+2 i=0 N N (8) × 2i 2i+1 i=0 c2i s i=0 d2i+1 s i=0

where a2i+1 , b2i+2 , c2i , d2i+1 , and p2i+1 are all real coefficients, and p2N+1 = 1, i.e., the denominator polynomial P, is monic. The corresponding scattering matrix [S] can be obtained from the ABC D matrix as ⎤ ⎡ P   F 1 ⎢ S11 S21 ⎥ = ⎣P ε ⎦ S12 S22 E ∗ F ε 1 = 2N+2 ei s i ⎤ ⎡i=0 N 2i+1 2N+2 i=0 p2i+1 s i fi s ⎥ ⎢ i=0 ε ⎥ (9)  ×⎢ N 2i+1 ⎦ ⎣ 2N+2 i=0 p2i+1 s i i i=0 (−1) f i s ε where the coefficients ei , f i are real and e2N+2 = 1, f 2N+2 = 1. Note that two constraints on polynomials need to be implied. The first one is that the roots of P must be all on the imaginary axis as the circuit produces N explicit finite TZs by shunt branches of series LC and a TZ at dc by shunt inductors. The second one is the existence of nonimaginary roots of F. Since E is real and strictly Hurwitz, its roots are either in a conjugate pair or on the negative real axis where the number of roots must be even because E is of even degree. Thus, the product of roots of E, i.e., e0 , is positive.

1489

As the main trunk of the filter is shorted to ground through the shunt inductors, the reflection coefficient S11 = −1 at dc, i.e.,  F  f0 = = −1. (10)  E s= j 0 e0 From (10), f 0 , or the product of roots of F, is negative. Thus, nonimaginary roots do exist for F. Otherwise, f 0 must be positive, which contradicts with f 0 being negative. According to the relation between the transmission coefficient and the filtering function, |S21 |2 =

1 1 + (ε FN )2

(11)

where ε is the ripple factor, the required filtering function can be expressed as s 2N+2 + f 2N+1 s 2N+1 + · · · + f1 s 1 + f0 F = 2N+1 . P s + P2N−1 s 2N−1 + · · · + P3 s 3 + P1 s (12) Under the imposed constraint that the roots of F are either on the imaginary axis of the complex s plane or symmetrically located about the imaginary axis, the odd-order term in F will vanish and, thus, the expression can be simplified to FN =

s 2N+2 + f 2N s 2N + · · · + f2 s 2 + f 0 F = 2N+1 . P s + P2N−1 s 2N−1 + · · · + P3 s 3 + P1 s (13) Due to the existence of nonimaginary roots, at most N roots of F can be located in the passband [ω1 , ω2 ] on the positive imaginary axis and naturally they have mirrors on the negative imaginary axis. The left two nonimaginary roots of F must then lie on the real axis because F is real and even. To generate a generalized Chebyshev response (equiripple in the passband with specified TZs) for a specific filter circuit model, the filtering function should be appropriately developed. The conventional filtering function with a general Chebyshev characteristic used to synthesize a narrowband filter in the low-pass domain [1] does not generally fit a wideband filter. Instead, a bandpass filtering function needs to be specially developed for a wideband filter. In [2], a bandpass transversal equivalent circuit, which is able to create N −1 TZs with N resonators, is proposed. To introduce one more TZ, a -shaped network of inductors or capacitors can be added between the source and the load in the transversal circuit [20]. It can be verified that this modified circuit possesses the property  S11 s= j 0  = −1 (14) S11  FN =

s= j ∞

which demonstrates the existence of nonimaginary roots of F. To incorporate this property in the filtering function, a new term T0 is introduced in the filtering function [20]. As a key feature, T0 varies between (0, 1] in the passband and equals to 1 at two band edges, which leads to the degree of F increased from 2N to 2N + 2, but possibly the number of imaginary roots of F remained to be 2N. Specifically, the

1490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

filtering function can be expressed by  FN (ω) = cosh cosh

−1

(F1 (ω)) +

N 

 cosh

−1

( f k (ω))

k=2

(15)

where F1 (ω) = ε0 F1t (ω) = ε0 (T0t (ω) f1t (ω) + G t (ω)) + ω1 ω2 (ω1 + ω2 )|ω| 1 T1 (ω) − T1 (z k ) f k (ω) = T1 (ω) 1− T1 (z k )    1 2 2 2 2ω − ω + ω T1 (ω) = 2 1 2 ω2 − ω12      2 ω12 −z 12 ω22 −z 12 ω2 −ω12 ω2 −ω22     G (ω) = (ω1 + ω2 ) ω22 − ω12 |ω| ω2 − z 12 ⎧ ⎪ ⎨T0t (ω) = T0 (ω) |ω1 = ω1t , ω2 = ω2t f 1t (ω) = f 1 (ω) |ω1 = ω1t, ω2 = ω2t ⎪ ⎩ G t (ω) = G (ω) |ω1 = ω1t , ω2 = ω2t T0 (ω) =

ω2

(16) (17)

(18)

(19)

(20)

(21)

where z k (k = 1, . . . , N) are TZs, ω1 and ω2 are the lower and upper angular band edges, respectively. The rejection factor ε0 is used to adjust the out-of-band rejection for a given bandwidth and TZs z k . The intermediate frequencies ω1t and ω2t depend on ε0 , and are calculated by the following the criteria [20]: F1 (ω1 ) = ε0 F1t (ω1 ) = −1 F1 (ω2 ) = ε0 F1t (ω2 ) = 1. (22) From (15), the filtering function can be rephrased in a ratio of polynomials F and P, which can be obtained by a set of recursive processes [20]. It is found that the filtering function (13) is in the same form as that of (15), which satisfies the second circuit constraint and can be used to synthesis the proposed lumped element circuit shown in Fig. 1(c). V. C IRCUIT M ODEL E XTRACTION Once the polynomials E, F, and P are obtained from the filtering function, the overall ABC D matrix of the filter can be obtained. As a classical circuit synthesis approach, it is well described in [1] how to extract circuit elements sequentially from the ABC D matrix for low-pass prototype filters. In [21], extracting procedures for some typical LC configurations have been explained by removal from an open-circuit or shortcircuit impedance. To extract the circuit elements according to the circuit topology in Fig. 1(c), an ABC D matrix is to be used here. Since each resonator is responsible for one finite TZ, how to arrange the TZs along the inline path from the input to the output should be determined beforehand. Obviously, the arrangement is not unique. As shown in Fig. 12, with the TZs arranged, the whole extraction can be done in three phases, which are: 1) to extract a series inductor and a shunt branch of series LC; 2) to extract a shunt inductor; and 3) to extract the remainder circuit

Fig. 12. Circuit element extraction scheme. (a) Extraction phase 1) and 2). (b) extraction phase 3).

that consists of L p N , L t (N+1) , and an ideal transformer with the transforming ratio 1:n t . Before proceeding to phase 3), it needs to repeat phases 1) and 2) N times and N − 1 times, respectively. The extracted circuit elements in phases 1) and 2) can be obtained through the following derivation:   1 A1n B1n P1 C1n D1n   1 A2n + s L t i C2n B2n + s L t i D2n = (23) C2n D2n P  2  1 A2n B2n P2 C2n D2n ⎡ ⎤     2 2 A3n s 2 −szi B3n s 2 − szi ⎣ s A3n    2 ⎦ 2 s B3n + D 2 +C3n s 2 − szi 3n s − szi L ri L ri = 2) 2 P3 (s − szi 1 P3





A3n B3n C3n D3n ⎡ ⎤ Brn Arn 1 ⎣ ⎦ 1 1 = + Crn Brn + Drn Pr Arn s L pi s L pi

(24)

(25)

where szi is the TZ assigned to the series L ri and Cri resonator circuit, the subscript n denotes the numerator polynomials, e.g., A1n is the numerator polynomial of A1 , etc. Since the series inductor does not contribute to any TZ, P1 and P2 share the same roots. Thus, without any scaling, P1 = P2 . However, P3 should not have the roots ±szi as the series L ri and Cri

LI AND WU: DIRECT SYNTHESIS AND DESIGN OF GENERAL SEQUENTIALLY COUPLED WIDEBAND BANDPASS FILTER WITH N -TZs

have been extracted and, hence, is related to P2 by   2 P2 = P3 s 2 − szi .

1491

(26)

From (23), D1n = D2n and B1n = B2n + s L t i D2n . With B2n (szi ) = 0 from (24), the series inductance L t i can be determined by B2n(szi ) = B1n (szi )−szi L t i D1n(szi ) = 0 or L t i =

B1n(szi ) . szi D1n(szi ) (27)

With L t i known, B2n = B1n − s L t i D1n , and then B3n can be obtained consequently by B3n =

B2n . 2 s 2 − szi

(28)

L ri and Cri can then be determined by D2n (szi ) − B3n (szi )

szi szi B3n (szi ) = 0 or L ri = L ri D2n (szi )

and Cri =

−1 2L ) (szi ri

.

(29)

(30)

In extraction phase 2), the shunt inductance L pi should be designated as a design freedom. Since the shunt inductance is not totally extracted at node i , the remainder circuit still possesses a TZ at dc and, thus, P3 = Pr . The remainder ABC D matrix is determined from (25) after L pi is excluded. In extraction phase 3), the transforming ratio n t is usually not equal to 1 unless L p1 through L p(N−1) are specially chosen in phase 2). The left elements in the remainder circuit can be determined by equating the remainder ABC D matrix and the ABC D matrix of the circuit in Fig. 12(b), i.e., ⎤ ⎡ 1 sn t L t (N+1)   ⎥ ⎢ nt A fr Bfr

⎥ . (31) =⎢ ⎣ L t (N+1) ⎦ 1 C fr D fr   nt 1 + L pN sn t L p N Solving (31) leads to nt =

1 Afr

L t (N+1) =

A fr Bfr s

L pN =

A fr . sC f r

(32)

Note that only B and D terms of the ABC D matrix are used in extraction phase 1) and 2). Alternatively, it is also sufficient to determine the element values by using the A and C terms in these two phases. As there is no ideal transformer in the target lumped element circuit, the transformations illustrated in Figs. 9 and 10 can be used here to eliminate the ideal transformer. To be specific, the extracted ideal transformer is shifted to the side of L p N and, consequently, L t (N+1) has to be scaled. The transformer can then be absorbed into the  network of L t N , L p(N−1) , and L p N using (7). With L p(N−1) updated, the design freedom actually turns to be L p1 through L p(N−2) , which leads to an infinite number of possible solutions even for the fixed arrangement of TZs. However, some of them are impractical because certain shunt inductances are so large that the approximations of resonator circuits will require stubs

Fig. 13. Responses of: (a) lumped element circuit and (b) distributed element circuit for different rejection factor ε0 .

of unrealizable high characteristic impedances. Even though extending the electrical length helps decrease the characteristic impedance requirement, it inevitably pushes the spurious resonance towards the passband. To ensure all the shunt inductors are realizable, the designation of L p1 through L p(N−2) should be appropriate through several iterations. In particular, when both the TZs and the designated inductances are arranged symmetrically, the resultant lumped element circuit will be symmetrical. However, due to numerical errors, there would be some degree of asymmetry, especially for a high degree filter. To obtain a strictly symmetrical circuit, the extraction could be carried out alternately from the input port and the output port. VI. S ELECTION OF R EJECTION FACTOR As mentioned in Section IV, the rejection factor ε0 should be designated to compute the filtering function. By choosing a large ε0 , the upper stopband rejection could be enhanced as all TZs are located in the upper stopband. For illustration, a second-order filter, of which all circuit elements can be uniquely determined, is considered here. The center frequency is chosen to be 2 GHz with 400-MHz bandwidth (FBW = 20%). The two TZs are assigned at 3 and 4 GHz, respectively. The TZ embedded in F1 is 3 GHz. The characteristic impedance of the connecting lines are set to be Z t 1 = Z t 2 = Z t 3 = 100 , and the electrical length θr of resonators 1 and 2 are set to 60◦ at 2 GHz. The responses of the lumped element circuit and the distributed element circuit for different ε0 are shown in Fig. 13. It can be observed that a larger ε0 contributes to a larger rejection in the upper stopband of the lumped element circuit. However, the response of the distributed element circuit benefits little from the increased ε0 . Actually, the location of TZs is the key factor that affects the stopband performance of the distributed circuit whereas ε0 plays a secondary role. Therefore, ε0 can be used to adjust circuit element values instead of out-of-band rejection. To ensure the circuit realizable, the value of ε0 would be limited to a range. The lower bound of the range is determined by the I/O coupling inductances as they can be unrealizably negative for a small ε0 . As ε0 increases, the shunt inductances become larger and so do the characteristic impedances of stubs. Hence, the upper bound for ε0 is governed by the realizable maximum characteristic impedance. In the achievable range of ε0 , it prefers a moderate

1492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I C IRCUIT E LEMENT VALUES OF L UMPED E LEMENT C IRCUIT FOR E XAMPLE 1

TABLE II C IRCUIT E LEMENT VALUES OF D ISTRIBUTED E LEMENT C IRCUIT FOR E XAMPLE 1

or small ε0 to well approximate coupling inductors over a wide band. It is noteworthy that, not only ε0 , but also filter order, fractional bandwidth, and return-loss requirement determine the realizability of design. For a fixed filter order, TZs, ε0 , and electrical lengths of resonator stubs, larger shunt inductances, and hence, higher characteristic impedances of resonators are required to achieve a larger fractional bandwidth. Generally speaking, a larger factional bandwidth or lower return-loss level is at the expense of poorer stopband rejection. Therefore, to realize a large factional bandwidth and to enhance the stopband rejection, increasing the filter order is a convenient option. VII. D ESIGN E XAMPLES The first design example is a fourth-order wideband bandpass filter with center frequency at 1.7 GHz, 40% fractional bandwidth, 20-dB in-band return loss, and four TZs arranged as 4, 2.5, 3, and 3.5 GHz, respectively, for the resonators counting from left to right. The TZ embedded in F1 is 4 GHz and the rejection factor for F1 is chosen to be ε0 = 30. It is worth mentioning that it does not matter to choose which finite TZ as z 1 in computing the filtering function. Actually, as long as the passband, z k , and stopband rejection level are given, the filtering function is uniquely determined. The rational expression of the filtering function is obtained as (33), shown at the bottom of this page, where ω has been divided by 2π × 109 . To make the shunt inductances nearly the same in all the resonators, the extraction has been done by several iterations with different trials of the inductance values. The finally extracted element values of the lumped element circuit and the distributed element circuit are listed in Tables I and II, respectively. The characteristic

F4 (ω) =

Fig. 14. Responses comparison: (a) in passband and (b) in a broad frequency range.

impedances of connecting lines are all set to be 90 , whereas the electrical lengths of resonate lines all set to be 68◦ at the center frequency. As all the shunt inductances are nearly the same, the characteristic impedance of different resonate lines are similar to each other. Responses of the lumped element circuit and its converted distributed element circuit are compared in Fig. 14. The comparison shows that the responses agree very well in the passband, but the TZs of the distributed element circuit are lower than those achieved in the lumped element circuit. To implement the design, a Rogers 5880 substrate with a dielectric constant of 2.2, loss tangent of 0.0009, thickness of 0.508 mm, and copper thickness of 0.017 mm is used. Having had the distributed circuit element values known, the line widths and lengths can be determined by available formulas characterizing microstrip line. The lumped capacitance to ground is realized by a radial stub in this design. The tapping point on each resonator line is estimated by the tapping ratio ki (i = 1, 2, 3, and 4). The radial stub dimensions and tapping positions are then designed for each single resonator by comparing the responses of their electromagnetic (EM) models and their circuit models. After each resonator is designed, the initial filter layout can be generated by cascading all the designed resonators together with their connecting lines. Since the distributed circuit model can accurately describe the layout, the obtained initial response is sufficiently good. With slight optimization, the filter can be designed to meet the specifications. The layout and the photograph of the fabricated filter are shown in Fig. 15. The initial layout dimensions with further adjustments in parentheses by optimization is shown in Table III. The small dimension adjustments in the final design validate the effectiveness of the design approach. It is seen that the dimension adjustments are mainly on the lengths of connecting lines, which may be due to the parasitic couplings among adjacent resonators. The EM simulation (with loss) and the measured response are compared in Fig. 16. The first spurious resonance occurs at the frequency 2.5 times above the center frequency. The measured insertion loss is about 0.35 dB at lower band edge of 1.4 GHz, 0.41 dB at the

0.9360ω10 − 9.4608ω8 + 21.767ω6 + 59.596ω4 − 294.66ω2 + 300.33 4.8298 × 10−4 ω9 − 2.101 × 10−2 ω7 + 3.2991 × 10−1 ω5 − 2.2111ω3 + 5.3249ω

(33)

LI AND WU: DIRECT SYNTHESIS AND DESIGN OF GENERAL SEQUENTIALLY COUPLED WIDEBAND BANDPASS FILTER WITH N -TZs

Fig. 16.

1493

Simulated versus measured response of the fourth-order filter. TABLE IV C IRCUIT E LEMENT VALUES OF L UMPED E LEMENT C IRCUIT FOR E XAMPLE 2

Fig. 15.

(a) Layout and (b) fabricated fourth-order filter.

TABLE V C IRCUIT E LEMENT VALUES OF D ISTRIBUTED E LEMENT C IRCUIT FOR E XAMPLE 2

TABLE III F ILTER I NITIAL L AYOUT D IMENSIONS AND A DJUSTMENTS IN PARENTHESES FOR E XAMPLE 1 ( ALL D IMENSIONS ARE IN mm)

center frequency of 1.7 GHz, and 1.03 dB at the upper band edge of 2.1 GHz. The second design example is an eighth-order wideband bandpass filter with center frequency at 2 GHz, 50% fractional bandwidth, and 20-dB in-band return loss. Eight TZs are arranged symmetrically at 6, 5, 4, 3, 3, 4, 5, and 6 GHz, respectively, for the resonators counting from left to right. The TZ embedded in F1 is chosen to be 4 GHz and the rejection factor ε0 is set to be 15. The rational expression of the filtering function is found as (34), shown at the bottom of

this page, where ω has been divided by 2π × 109 . To ensure the extracted lumped element circuit symmetrical, the shunt inductance in each resonator from opposite ends share a common designated value. For the filter with a symmetric structure, the extraction is carried out alternately from the two opposite ends. In this example, the shunt inductances are arbitrarily designated. The extracted element values of the symmetrical lumped element circuit and the distributed element circuit are shown in Tables IV and V, respectively. The characteristic impedances Z t 3 through Z t 5 are chosen to be lower than 100  to lengthen the connecting lines, which helps to reduce the parasitic coupling among adjacent resonators. Electrical length θr3 is chosen to be 40◦ instead of 60◦ so that the characteristic

F8 (ω) 0.112ω18 −3.58ω16 +46.6ω14 −304ω12 +862ω10 +940ω8 −1.52×104ω6 +4.82 × 104 ω4 − 6.96×104ω2 +3.95×104 = 7.40×10−10ω17 −1.27×10−7ω15 +9.27×10−6ω13 −3.73×10−4ω11 +9.05×10−3ω9 −0.135ω7 +1.22ω5 −6.00ω3 +12.4ω

(34)

1494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 17. Response comparison: (a) in passband and (b) in a broad frequency range.

Fig. 19.

Simulated versus measured response of the eighth-order filter.

from 2.62 to 9 GHz (4.5 f 0 ), which demonstrates the superior upper stopband performance of the proposed filter as compared with [14]–[18]. The measured insertion loss is about 0.72 dB at the lower band edge of 1.5 GHz, 0.71 dB at the center frequency of 2 GHz, and 3.75 dB at the upper band edge of 2.5 GHz, which can be improved by fine tuning the matching at the upper band edge. VIII. C ONCLUSION

Fig. 18.

(a) Filter layout and (b) photograph of fabricated filter. TABLE VI

F ILTER I NITIAL L AYOUT D IMENSIONS AND A DJUSTMENTS IN PARENTHESES FOR E XAMPLE 2 ( ALL D IMENSIONS ARE IN mm)

impedance Z r3 is not too low. The responses of lumped and distributed circuits are compared in Fig. 17. The substrate used and the way to design the layout dimensions are the same as those used in the first design example. Fig. 18 shows the layout and the photograph of the fabricated filter prototype. Table VI shows the initial layout dimensions and optimized adjustments. The EM simulated (with loss) and the measured responses are compared in Fig. 19. It shows that around 6 GHz (3 f 0 ) there are a few spurious peaks, which are well predicted by Fig. 17(b) and can be attributed to the harmonic resonances of the first two resonators from the input and output ports. Despite this, over 40-dB upper stopband rejection is achieved

In this paper, a general sequentially coupled wideband bandpass filter that is able to provide the same number of controllable TZs as that of resonators above the passband has been proposed. The direct synthesis and design theory, from the lumped element circuit model to the wideband distributed element circuit model, has also been developed. Different from the previous work, the connecting lines between resonators can be very short, instead of being fixed at λ/4. This feature allows a much wide spurious-free stop-band when the filter is used as a harmonic rejection filter. The approximations of three basic lumped element circuit building blocks by their corresponding distributed element circuits have been proposed, which makes an accurate layout design of a wideband bandpass filter possible. To demonstrate the superior performance of the proposed filter and the effectiveness of the proposed direct synthesis and design theory, two practical filter prototypes were designed, fabricated, and measured. Very good agreement has been observed between the responses of the synthesized lumped element circuit, the converted distributed element circuit, and the EM model of the designed layout and the measurement, showing the promising potential of the proposed theory for practical applications. R EFERENCES [1] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. New York, NY, USA: Wiley, 2007. [2] S. Amari, F. Seyfert, and M. Bekheit, “Theory of coupled resonator microwave bandpass filters of arbitrary bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2188–2203, Aug. 2010. [3] W. Meng, H.-M. Lee, K. Zaki, and A. Atia, “Synthesis of wideband multicoupled resonators filters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 593–603, Mar. 2011.

LI AND WU: DIRECT SYNTHESIS AND DESIGN OF GENERAL SEQUENTIALLY COUPLED WIDEBAND BANDPASS FILTER WITH N -TZs

[4] R. J. Wenzel, “Exact design of TEM microwave networks using quarterwave lines,” IEEE Trans. Microw. Theory Techn., vol. MTT-12, no. 1, pp. 94–111, Jan. 1964. [5] M. C. Horton and R. J. Wenzel, “General theory and design of optimum quarter-wave TEM filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-13, no. 5, pp. 316–327, May 1965. [6] R. J. Wenzel, “Synthesis of combline and capacitively loaded interdigital bandpass filters of arbitrary bandwidth,” IEEE Trans. Microw. Theory Techn., vol. MTT-19, no. 8, pp. 678–686, Aug. 1971. [7] C.-L. Hsu, F.-C. Hsu, and J.-T. Kuo, “Microstrip bandpass filters for ultra-wideband (UWB) wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2005, pp. 679–682. [8] R. Gomez-Garcia and J. I. Alonso, “Systematic method for the exact synthesis of ultra-wideband filtering responses using high-pass and lowpass sections,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 10, pp. 3751–3764, Oct. 2006. [9] C. W. Tang and M. G. Chen, “A microstrip ultra-wideband bandpass filter with cascaded broadband bandpass and bandstop filters,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 11, pp. 2412–2418, Nov. 2007. [10] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [11] L. H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp-rejection, and wideband microstrip bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [12] H. Ishida and K. Araki, “Design and analysis of UWB bandpass filter with ring filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1307–1310. [13] S. Sun and L. Zhu, “Wideband microstrip ring resonator bandpass filters under multiple resonances,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 10, pp. 2176–2182, Oct. 2007. [14] H. Shaman and J.-S. Hong, “Input and output cross-coupled wideband bandpass filter,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2562–2568, Dec. 2007. [15] J.-Y Li, C.-H. Chi, and C.-Y. Chang, “Synthesis and design of generalized Chebychev wideband hybrid ring based bandpass filters with a controllable transmission zero pair,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3720–3731, Dec. 2010. [16] W. J. Feng, W. Q. Che, Y. M. Chang, S. Y. Shi, and Q. Xue, “High selectivity fifth-order wideband bandpass filters with multiple transmission zeros based on transversal signal-interaction concepts,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 89–97, Jan. 2013. [17] J. J. Sánchez-Martínez, E. Márquez-Segura, and S. Lucyszyn, “Synthesis and design of high-selectivity wideband quasi-elliptic bandpass filters using multiconductor transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 1, pp. 198–208, Jan. 2015. [18] J. Bonache, I. Gil, J. García-García, and F. Martín, “Compact microstrip band-pass filters based on semi-lumped resonators,” IET Microw. Antennas Propag., vol. 1, pp. 932–936, Aug. 2007. [19] M. Sans, J. Selga, A. Rodríguez, J. Bonache, V. E. Boria, and F. Martín, “Design of planar wideband bandpass filters from specifications using a two-step aggressive space mapping (ASM) optimization algorithm,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3341–3350, Dec. 2014.

1495

[20] R. Zhang and L. Zhu, “Synthesis of dual-wideband bandpass filters with source–load coupling circuit,” IEEE Trans. Microw. Theory Tech., vol. 62, no. 3, pp. 441–449, Mar. 2014. [21] R. Saal and E. Ulbrich, “On the design of filters by synthesis,” IRE Trans. Circuit Theory, vol. CT-5, no. 4, pp. 284–327, Dec. 1958.

Zhiliang Li received the B.Eng. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2013, and is currently working toward the Ph.D. degree at The Chinese University of Hong Kong, Shatin, NT, Hong Kong. His current research interests include passive RF and microwave circuits and filters.

Ke-Li Wu (M’90–SM’96–F’11) received the B.S. and M.Eng. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, as a Research Engineer and a Group Manager. In March 1993, he joined the Corporate Research and Development Division, COM DEV International, where he was a Principal Member of Technical Staff. Since October 1999, he has been with The Chinese University of Hong Kong, Hong Kong, where he is a Professor and the Director of the Radiofrequency Radiation Research Laboratory (R3L). His current research interests include partial element equivalent circuit (PEEC) and physics-based model-order reduction for electromagnetic (EM) modeling of high-speed circuits, RF and microwave passive circuits and systems, synthesis theory and computer-aided tuning of microwave filters, antennas for wireless terminals, low-temperature co-fired ceramic (LTCC)-based multichip modules (MCMs), and RF identification (RFID) technologies. Prof. Wu is a Member of the IEEE MTT-8 Subcommittee (Filters and Passive Components) and also serves as a Technical Program Committee (TPC) Member for many prestigious international conferences including the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was an Associate Editor for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES from 2006 to 2009. He was the recipient of the 1998 COM DEV Achievement Award and the Asia–Pacific Microwave Conference Prize in 2008 and 2012, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Novel Dual-Band Bandpass Filter and Reconfigurable Filters Using Lumped-Element Dual-Resonance Resonators Jin Xu (

), Wen Wu, Senior Member, IEEE, and Gao Wei

Abstract— In this paper, a lumped-element dual-resonance resonator (LE-DRR) is proposed to design dual-band bandpass filter (DB-BPF) and reconfigurable filters. Two resonant modes of proposed LE-DRR are controlled by two diverse branches. The mixed electric and magnetic coupling (MEMC) implemented by two capacitors to provide electric coupling and one inductor to provide magnetic coupling is employed in the LE-DRR filters design. As an example, a 0.9/1.57-GHz DB-BPF with 3-dB fractional bandwidth (FBW) of 22.2%/11.8% is designed firstly. A reconfigurable DB-BPF with two independently controlled passbands is then designed. The measured results show that the central frequency (CF) of the 1st passband can be tuned from 0.617 to 0.817 GHz, and the CF of the 2nd passband can be tuned from 1.386 to 2.02 GHz. Finally, a multi-function reconfigurable filter is proposed by introducing two pairs of p-i-n diodes in the reconfigurable DB-BPF. The proposed multi-function reconfigurable filter has four operating modes, i.e., a reconfigurable DB-BPF operating mode, an all-stop filter operating mode, and two single-band tunable BPF operating modes. The all-stop filter operating mode has a 10.2-dB rejection within the interested frequency range. The CFs of two single-band tunable BPF operating modes can cover a continuous frequency range from 0.56 to 1.619 GHz. The proposed LE-DRR filters are compatible with an integrated circuit process. Index Terms— Dual-band, mixed electric and magnetic coupling (MEMC), p-i-n diode, reconfigurable bandpass filter, varactor diode.

I. I NTRODUCTION

T

HE development of modern wireless communication technologies has raised the new requirement of multiservice multi-mode transceivers. As an essential component in such transceivers, dual-band bandpass filters (DB-BPFs) had attracted much research interests over the past few years, and

Manuscript received August 25, 2015; revised January 24, 2016 and March 18, 2016; accepted March 23, 2016. This work was supported in part by the National Natural Science Foundation of China under Grant 61401358, by the Fundamental Research Funds for Central Universities under Grant 3102014JCQ01058 and Grant No.30920140122005, and by the State Key Laboratory of Millimeter Waves Open Research Program under Grant K201614. J. Xu is with the School of Electronics and Information, Northwestern Polytechnical University, 710072 Xi’an, China, the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, 210094 Nanjing, China, and also with the State Key Laboratory of Millimeter Waves, Southeast University, 210096 Nanjing, China (e-mail: [email protected]). W. Wu is with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, 210094 Nanjing, China (e-mail: [email protected]). G. Wei is with the School of Electronics and Information, Northwestern Polytechnical University, 710072 Xi’an, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2548458

various approaches to design DB-BPFs have been reported, such as a pair of stub loaded resonators (SLRs) in [1], a single patch resonator in [2], coupled lines multimode resonator in [3], shorted stub loaded ring resonator in [4], and the quadmode resonator in [5]. These DB-BPFs in [1]–[5] has two passbands with fixed frequency responses, such as two fixed central frequencies (CFs) and bandwidths (BWs), and they are worked at two specified operating modes. The future multi-service or software-defined wireless and radar systems require that the CFs or BWs of the filter can be controlled. Therefore, the reconfigurable filters with varied passbands have attracted extensive attention in the recent years. Thus far, various approaches to design reconfigurable filters have been reported. Among them, planar single-band electronically reconfigurable BPFs using semiconductor varactor diodes are widely investigated, mainly due to their small size, low fabrication cost, high tuning speed, and reliability. In [6]–[8], single-band reconfigurable BPFs with constant absolute BW or constant fractional BW are designed. Furthermore, an octave-tunable filters with surface mounted lumped tuning elements is reported in [9], but its circuit size are relatively large and too many tuning elements are needed. To widen the frequency tuning range of varied passband, a filter bank with three stacked tunable filters at different frequency bands are designed and developed in [10]. However, the necessary switching network are not realized to switch among three tunable filters [10] so as to realize continuous tuning. Multilayer configuration used in [10] also increases the installation complexity. There are also some attempts to design reconfigurable DB-BPFs, such as a pair of tunable dual-mode resonators in [11]–[13], a pair of tunable tri-mode resonators in [14], a pair of tunable stub-loaded stepped-impedance resonators in [15], and a third-order tunable DB-BPF with tunable common resonator in [16]. The CFs of two passbands in [12]–[16] can be controlled independently, but all of the above-referred reconfigurable DB-BPFs [11]–[16] are based on the distributed resonators, which often occupies a relatively large circuit area, resulting in high fabrication cost in the integrated circuit process. Moreover, in [13], [15], and [16], the return loss (RL) of the other passband varies significantly when one passband is tuned, and two passbands cannot be controlled independently in [11]. Research on lumped-element reconfigurable DB-BPFs has been rarely reported. In this paper, a pair of lumped-element dual-resonance resonators (LE-DRRs) with mixed electric and magnetic

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where ω = 2π f p N1 = (L 1 − L t )[L t (L 0 + L 2 ) + L 0 L 2 ]C1 C2 q N1 = −{(L 0 + L t )(L 1 − L t )C1 +[L t (L 0 + L 2 ) + L 0 L 2 ]C2 } r N1 = L 0 + L t p D1 = [L 0 L 2 + (L 0 + L 2 )L 1 ]C1 C2 q D1 = − {(L 0 + L 1 )C1 + (L 0 + L 2 )C2 } r D1 = 1 p N2 = (L 1 − L t )[L t (L 0m + L 2 ) + L 0m L 2 ]C1e C2e q N2 = −{(L 0m + L t )(L 1 − L t )C1e +[L t (L 0m + L 2 ) + L 0m L 2 ]C2e } r N2 = L 0m + L t p D2 = [L 0m L 2 + (L 0m + L 2 )L 1 ]C1e C2e q D2 = − {(L 0m + L 1 )C1e + (L 0m + L 2 )C2e } r D2 = 1 L0 Lm C1e = C1 + 2Ce1 C2e = C2 + 2Ce2 . (2L 0 + L m ) Thus, the frequency response of proposed LE-DRR DB-BPF can be given by L 0m =

Fig. 1. (a) Circuit model of proposed LE-DRR. (b) Circuit model of proposed LE-DRR DB-BPF with MEMC. (c) Even-mode equivalent circuit of LE-DRR DB-BPF. (d) Odd-mode equivalent circuit of LE-DRR DB-BPF. (e) Coupling routing scheme of LE-DRR DB-BPF with MEMC.

coupling (MEMC) is proposed to design reconfigurable filters. The discussion in Section II shows that two resonant modes of the proposed LE-DRR can be controlled independently. In Section III-A, a compact LE-DRR DB-BPF with two fixed CFs at 0.9/1.57 GHz is designed. In Section III-B, the capacitors in the LE-DRR DB-BPF are replaced by the varactor diodes, and a reconfigurable DB-BPF with independently controlled passband frequencies is designed. In Section III-C, the p-i-n diodes are further introduced, and a multi-function reconfigurable filter with four operating modes, i.e., a reconfigurable DB-BPF operating mode, an all-stop filter operating mode, and two different reconfigurable single-band BPF operating modes, is designed. Conclusions are then presented in Section IV. II. P ROPOSED LE-DRR DB-BPF AND I TS C OUPLING ROUTING S CHEME Fig. 1(a) shows the circuit model of the proposed LE-DRR, which consists of three inductors L 0 , L 1 , and L 2 (L 1 > L 2 ), and two capacitors C1 and C2 . Two capacitors Ce1 and Ce2 and one inductor L m connect a pair of LE-DRRs to constitute the DB-BPF with MEMC, as shown in Fig. 1(b). Two 50-  feeding lines are directly connected to two inductors L 1 to serves as I/O ports. The even-/odd-mode equivalent circuits of proposed LE-DRR DB-BPF are shown in Fig. 1(c) and (d), respectively. Its one-port input impedance Z ine and Z ino can be derived as j ω( p N1 ω4 + q N1 ω2 + r N1 ) p D1ω4 + q D1 ω2 + r D1 j ω( p N2 ω4 + q N2 ω2 + r N2 ) = p D2ω4 + q D2ω2 + r D2

Z ine =

(1a)

Z ino

(1b)

Z ine Z ino − Z 02 (2a) (Z ine + Z 0 )(Z ino + Z 0 ) Z 0 (Z ine − Z ino) (2b) S21 = S12 = (Z ine + Z 0 )(Z ino + Z 0 ) where Z 0 = 50  is the port impedance. The even/odd equivalent circuits resonate when DEN(Z ine ) = 0 and DEN(Z ino) = 0, respectively, where DEN(Z in ) denotes the denominator of the input impedance Z in . In this design, the 2 −4p r designing parameters are selected to meet q D1 D1 D1 > 0 2 and q D2 − 4 p D2r D2 > 0. Therefore, the proposed LE-DRR DB-BPF has four transmission poles, i.e., two even-mode resonant modes f e1 and f e2 , and two odd-mode resonant modes f o1 and f o2 , which are determined by     −q − q 2 − 4 p r D1 D1 1  D1 D1 f e1 = (3a) 2π 2 p D1     −q + q 2 − 4 p r D1 D1 D1  1 D1 (3b) f e2 = 2π 2 p D1     2 1  −q D2 − q D2 − 4 p D2r D2 f o1 = (3c) 2π 2 p D2     2 1  −q D2 + q D2 − 4 p D2r D2 . (3d) f o2 = 2π 2 p D2 It is known from (3) that four resonant modes are independent on the tapped position L t . f e1 and f e2 are independent on Ce1 , Ce2 , and L m , and these two resonant modes are actually two natural resonant frequencies ( f 01 and f 02 ) of the proposed LE-DRR, f01 = f e1 (4a) f 02 = f e2 . (4b) S11 = S22 =

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: NOVEL DB-BPF AND RECONFIGURABLE FILTERS USING LE-DRRs

3

coupling path for the 1st passband (E 1 ), and Ce2 mainly provide electric coupling path for the 2nd passband (E 2 ). There is an MEMC path for each passband, which means that there will be a TZ due to the cancelling effect of MEMC around each passband. The TZ due to the cancelling effect of the MEMC is located at the lower sideband of passband when the electric coupling is greater than the magnetic coupling, otherwise it is located at the upper sideband. Two passband frequencies f c1 and f c2 can be approximated by averaging the even- and odd-mode frequencies as ( f e1 + f o1 ) (5a) 2 ( f e2 + f o2 ) (5b) f c2 ≈ 2 f ci will be lower than f 0i when f ei > f oi , otherwise f ci will be higher than f 0i . The external quality factors of two passbands Q e1 and Q e2 can be extracted from f c1 ≈

π [ f e1 τ S11( f e1 ) + fo1 τ S11( f o1 )] (6a) 2 π [ f e2 τ S11( f e2 ) + f o2 τ S11( f o2 )] (6b) Q e2 ≈ 2 where τ S11( f i ) is the group delay of S11 at the frequency f i [8]. The tapped position L t in the LE-DRR is used to tune Q e1 and Q e2 . The coupling coefficients of two passbands k1 (= (E 1 − M1 )/(1 − M1 E 1 )) and k2 (= (E 2 − M2 )/ (1 − M2 E 2 )) can be calculated by the following equation as well studied [17]: Q e1 ≈

Fig. 2. Variation of f 01 and f 02 of LE-DRR against different values of: (a) L 1 and C1 , (b) L 2 and C2 , and (c) L 0 .

k1 ≈ k2 ≈

According to (3a) and (3b), Fig. 2 shows the variation of f 01 and f 02 against different values of L 1 , C1 , L 2 , C2 , and L 0 . As shown in Fig. 2(a), f01 can be independently controlled by C1 when a large value of L 1 is selected. As shown in Fig. 2(b), f 02 can be independently controlled by C2 . As shown in Fig. 2(c), both f01 and f 02 move towards lower frequencies as L 0 increases, but f p2 / f p1 decreases very slightly. The above discussion means that two natural resonant frequencies of LE-DRR ( f 01 and f02 ) can be independently controlled, and two passband frequencies of LE-DRR DB-BPF should be also independently controlled. The transmission zeros (TZs) of the LE-DRR DB-BPF fulfill the condition Z ine = Z ino. The closed formulas of the TZs are not given here since these formulas are extremely complicated and the TZs are actually dependent on the resonant modes. To further explain the operation mechanism of the LE-DRR DB-BPF, Fig. 1(e) gives its coupling routing scheme. The LE-DRR I provides two resonant modes I1 and I1, and the LE-DRR II provides two resonant modes II2 and II2. Two resonant modes I1 and II1 (with natural resonant frequency at f 01 ) constitute the 1st passband, and another two resonant modes I2 and II2 (with natural resonant frequency at f02 ) constitute the 2nd passband. L m provide magnetic coupling path for two passbands (M1 and M2 ), Ce1 mainly provide electric

2 − f2 ) ( f e1 o1 2 + f2 ) ( f e1 o1 2 − f2) ( f e2 o2 2 + f2) ( f e2 o2

(7a) (7b)

k1 or k2 is greater than zero when the electric coupling is dominant, otherwise the magnetic coupling is dominant. k1 and k2 can be tuned by Ce1 , Ce2 , and L m . Since f o1 and f o2 are dependent on Ce1 , Ce2 , and L m , f c1 and f c2 will be also changed when Ce1 , Ce2 , and L m are tuned to meet the required k1 and k2 . III. LE-DRR F ILTERS D ESIGN AND M EASUREMENT A. Fixed Frequency LE-DRR DB-BPF An LE-DRR DB-BPF operating at fc1 = 0.9 GHz and f c2 = 1.57 GHz are firstly designed. A Chebyshev lowpass filter prototype with ripple fractional bandwidth (RFBW) of 9.48% and in-band RL of 20 dB is applied to the 1st passband. The calculated Q e1 and k1 are 7.0323 and 0.1572, respectively. The RFBW of the 2nd passband is not given since two RFBWs of this DB-BPF are actually dependent on each other. The design goal of RL of the 2nd passband (RL2 ) is better than 20 dB. The design procedures of 0.9/1.57-GHz LE-DRR DB-BPF is given as follows. 1) The 1st step is to determine two natural resonant frequencies of LE-DRR ( f 01 and f02 ). For design simplicity, L 0 = 4.4 nH, L 1 = 22.5 nH, and L 2 = 5.6 nH are set. According to the results in Fig. 2, C1 = 1.08 pF and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4.

Fig. 3. (a) Extracted k1 and k2 versus Ce1 (Ce2 = 0.2 pF), and extracted Q e1 and Q e2 versus L t (Ce1 = 0.3 pF and Ce2 = 0.2 pF). (b) Extracted k1 , k2 , Q e1 , and Q e2 versus Ce2 (L t = 2.7 nH and Ce1 = 0.24 pF).

C2 = 1.15 pF are selected. The calculated f 01 and f 02 by (3a) and (3b) are 0.914 and 1.574 GHz, respectively, which are slightly larger than f c1 and f c2 . 2) The 2nd step is to tune Ce1 , L m , and L t to meet the required Q e1 and k1 . L m provide the magnetic coupling for two passbands, and L m = 7.5 nH is also set for design simplicity. Fig. 3(a) shows the variation of k1 and k2 versus Ce1 . As Ce1 varies from 0 to 0.4 pF, k1 increases from the negative value (magnetic coupling is dominant) to the positive value (electric coupling is dominant). k2 almost keep constant as Ce1 varies, which means that the RL of the 1st passband (RL1 ) can be independently controlled by Ce1 . According to Fig. 3(a), the ideal value of Ce1 is about 0.3 pF to meet the required k1 = 0.1572. Fig. 3(a) also shows the variation of Q e1 and Q e2 versus the tapped position L t . As L t increases, Q e1 decreases, but Q e2 increases. According to Fig. 3(a), L t = 2.7 nH is selected in this design to meet the desired Q e1 = 7.0323, and the corresponding Q e2 = 12.67 is also extracted. Due to the loading effect, Ce1 = 0.24 pF are then further optimized to meet RL1 = 20 dB and fc1 around 0.9 GHz, and the current Q e1 = 6.82 and Q e2 = 12.69 are extracted, which shows a small variation. According to Fig. 3(a), k1 will be greater than zero so that the TZ due to the cancelling effect of MEMC should be located at the lower sideband of the 1st passband. 3) The 3rd step is to tune Ce2 to meet the required RL2 better than 20 dB. Fig. 3(b) shows the extracted k1 ,

Typical frequency responses of LE-DRR DB-BPF.

k2 , Q e1 , and Q e2 versus Ce2 . k1 and Q e1 almost keep constant as Ce2 varies, which means that the lower passband is independent on Ce2 . Ce2 = 0.19 pF is optimized to meet RL2 better than 20 dB and f c2 around 1.57 GHz. The extracted Q e2 and k2 are 12.55 and 0.0502, respectively. k2 is greater than zero so that the TZ due to the cancelling effect of the MEMC should be located at the lower sideband of the 2nd passband. The solid black line in Fig. 4 shows the typical frequency response of the LE-DRR DB-BPF under the above optimized values of L 0 = 4.4 nH, L 1 = 22.5 nH, L 2 = 5.6 nH, L m = 7.5 nH, L t = 2.7 nH, C1 = 1.08 pF, Ce1 = 0.24 pF, C2 = 1.15 pF, and Ce2 = 0.19 pF. There are four TZs, TZ1–TZ4. Two TZs, TZ1 and TZ3, are due to the cancelling effect of MEMC, and another two TZs, TZ2 and TZ4, are due to virtual grounds existed in the LE-DRR. It is an interesting fact that Q e2 = 12.55 and k2 = −0.0502 also can constitute another frequency response of the 2nd passband with same RFBW and RL, but the TZ due to cancelling effect of the MEMC will be located at its upper sideband. As shown in Fig. 3(b), k2 is about −0.0502 when Ce2 is 0.05 pF, but Q e2 decreases to 11.34. Ce2 = 0 pF is then optimized to meet RL2 better than 20 dB. The dashed blue line in Fig. 4 shows the corresponding frequency response. Although Ce2 is 0 pF, Ce1 will provide weak electrical coupling for the 2nd passband. Thus, four TZs can be still observed, and the TZ3 moves towards the upper sideband of the 2nd passband. f c2 at the current case is larger than the desired 1.57 GHz since f o1 > f e1 = f01 = 1.574. C2 can be tuned large to compensate this frequency offset, and Ce2 is also tuned to meet the required RL2 . C2 = 1.3 pF and Ce2 = 0.004 pF are optimized, and the dashed–dotted pink line in Fig. 4 shows the corresponding frequency response. 4) The final step is to use a microstrip line to realize this LE-DRR DB-BPF. The LE-DRR DB-BPF with two TZs, TZ2 and TZ3, located between two passbands are fabricated. Fig. 5(a) gives the physical layout of proposed LE-DRR DB-BPF. The inductors L 0 , L 1 , L 2 , and L m are realized by high-impedance microstrip lines (HIMLs), the capacitors C1 and C2 are realized by radial stubs (RSs), and the capacitors Ce1 and Ce2 are realized by using interdigital microstrip lines (IDMLs). The inductance of the HIML inductor with a fixed width of 0.5 mm can be controlled by its length, the capacitance of the RS capacitor with a fixed angle of 90◦

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: NOVEL DB-BPF AND RECONFIGURABLE FILTERS USING LE-DRRs

5

TABLE I P ERFORMANCE C OMPARISON W ITH S OME R EPORTED DB-BPF S

Fig. 5. (a) Layout (not to scale) and (b) photograph of fabricated fixed frequency LE-DRR DB-BPF.

Fig. 6.

simulated and measured results of the fabricated LE-DRR DB-BPF. The measured CFs and 3-dB FBWs are 0.92/1.61 and 22.2%/11.8%, respectively. The measured minimal insertion losses (ILs) are 0.76/1.25 dB, and the RLs are better than 16.1/15.5 dB. Six TZs within the frequency range from 0.5 to 5.5 GHz are measured at 0.75, 1.36, 1.44, 2.37, 3.96, and 4.73 GHz. Another two TZs at 3.96 and 4.73 GHz are due to the parasitic elements, i.e., the parasitic capacitors in the HIML inductors and the parasitic inductors in the RS and IDML capacitors. The fabricated LE-DRR DB-BPF has a wide upper stopband from 1.91 to 5.38 GHz. Table I gives the performance comparison of this LE-DRR DB-BPF with some reported DB-BPFs, which shows that the proposed LE-DRR DB-BPF has merits of compact size, wide BW, and low IL.

Simulated and measured results of fabricated LE-DRR DB-BPF.

B. Reconfigurable DB-BPF can be controlled by its radii, and the capacitance of the IDML capacitor with a fixed length of 2 mm can be controlled by the number of fingers and the space between fingers. These physical dimensions may be estimated by the empirical formulas in [18] and [19], but the parasitic elements, i.e., the parasitic capacitances in the HIML inductor, and the parasitic inductances in the RS and IDML capacitor, make the actual design very complicated. An alternative way is employed to help the designers to quickly find the required physical dimensions. The circuit model of the LE-DRR DB-BPF shown in Fig. 1(b) is firstly built with help of ADS software. The HIML inductors, RS capacitors, and IDML capacitors can then be replaced by their s2p files from HFSS simulated results one by one. After many iterative optimization between these s2p files and the desired frequency response, the final physical dimension of the HIML inductors, RS capacitors, and IDML capacitors can be determined as labeled in Fig. 5(a). Fig. 5(b) shows a photograph of the fabricated LE-DRR DB-BPF. The dashed–dotted pink line in Fig. 6 shows the frequency response of the LE-DRR DB-BPF with a circuit model. The dashed blue line and the solid black line in Fig. 6 plot the

Fig. 7 shows the effect of C1 and Ce1 to f c1 , f c2 , TZ1–TZ4, 3-dB FBW 1 , 3-dB FBW 2 , RL1 , and RL2 of LE-DRR DB-BPF, where 3-dB FBW i represent 3-dB fractional bandwidth of the i th passband. In the simulation, Ce1 is tuned to meet RL1 = 20 dB for every values of C1 . L 0 = 4.1 nH, L 1 = 20.4 nH, L 2 = 3.3 nH, L t = 4.5 nH, and L m = 5 nH are selected in this simulation. As shown in Fig. 7, f c1 moves towards lower frequency, 3-dB FBW1 becomes narrow, and f c2 almost keep unchanged when C1 increases from 0.7 to 3.7 pF. There will be a corresponding value of Ce1 for the varied C1 to meet RL1 = 20 dB. When f c1 and f c2 are frequency far away from each other, 3-dB FBW2 varies slightly and RL2 becomes better as C1 and Ce2 increases. k2 is greater than zero in this simulation so that the TZ due to the cancelling effect of the MEMC is located at the lower sideband of the 2nd passband. The results given in Fig. 7 indicate that the 1st passband of the LE-DRR DB-BPF can be independently controlled by C1 and Ce1 . Similarly, Figs. 8 and 9 shows the effect of C2 and Ce2 to f c1 , f c2 , TZ1–TZ4, 3-dB FBW 1 , 3-dB FBW2 , RL1 , and RL2 of the LE-DRR DB-BPF. The main difference between these two figures are k2 > 0 in Fig. 8 and k2 < 0 in Fig. 9. Therefore, the TZ due to the cancelling effect of the MEMC is located at the lower sideband of the 2nd passband in Fig. 8, and it is located at the upper sideband of the 2nd passband in Fig. 9.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Effect of C1 and Ce1 to: (a) f c1 , f c2 , and TZ1–TZ4, and (b) 3-dB FBW1 , 3-dB FBW2 , RL1 , and RL2 (C2 = 1.55 pF and Ce2 = 0.136 pF fixed).

Fig. 8. Effect of C2 and Ce2 to: (a) f c1 , f c2 , and TZ1–TZ4, and (b) 3-dB FBW1 , 3-dB FBW 2 , RL1 , and RL2 (C1 = 1.97 pF and Ce1 = 0.55 pF fixed, k2 > 0).

As shown in Figs. 8 and 9, f c2 moves towards lower frequency, 3-dB FBW2 first increases, and then decreases, f c1 and 3-dB FBW 1 almost keep constant, when C2 increases from 0.3 to 2.3 pF. There will be still a corresponding value of Ce2 for the varied C2 to meet RL2 = 20 dB for the k2 > 0 case or k2 < 0 case. Moreover, for the same value of C2 , f c2 , and 3-dB FBW2 in Fig. 8 (k2 > 0) are smaller than them in Fig. 9 (k2 < 0), which is consequent to the discussion in the above section. RL1 in two cases still becomes better as C2 and Ce2 increases. The results given in Figs. 8 and 9 indicate that the 2nd passband of the LE-DRR DB-BPF can be independently controlled by C2 and Ce2 . According to the above discussions, the following conclusions can be acquired. 1) The 1st passband of the LE-DRR DB-BPF can be independently controlled by C1 and Ce1 , and f c2 and 3-dB FBW 2 are almost independent on C1 and Ce1 . C1 mainly determines the f c1 , and the desired RL1 can be met by tuning Ce1 . 2) The 2nd passband of the LE-DRR DB-BPF can be independently controlled by C2 and Ce2 , and f c1 and 3-dB FBW 1 are almost independent on C2 and Ce2 . C2 mainly determines the f c2 , and the desired RL2 can be met by tuning Ce2 . Therefore, a reconfigurable DB-BPF with two independently controlled passbands frequencies can be designed by using the varactor diodes to replace C1 , Ce1 , C2 , and Ce2 in Fig. 1(b). However, there is a problem that RL1 and RL2

are actually dependent on each other, as shown in Figs. 7–9. According to the results shown in Figs. 7(b)–9(b), this problem can be solved by the following method. For the required RL1 and RL2 greater than a special value, i.e., better than 18 dB, the RL of the fixed passband should be up to 18 dB when the other passband is tuned at the highest frequency. The RL of the fixed passband will then be always better than 18 dB, as the other passband is tuned from the highest frequency to the lowest frequency. Based on the above discussion, Fig. 10 shows the proposed reconfigurable DB-BPF. Compared with Fig. 1(b), C1 (C2 ) in Fig. 10 are realized by a pair of back-to-back configurations of the varactor diodes to allow biasing and improve linearity. Ce1 (Ce2 ) in Fig. 10 are now realized by a T-network, which is constituted by two series capacitors C01 (C02 ) and a shunt varactor diode. There are two reasons to do so. One reason is for biasing simplicity. The other reason is to reduce the parasitic frequency response and reduce the ILs of two passbands. It is known from Figs. 7–9 that Ce1 and Ce2 have small values so that a varactor diode with small variable junction capacitance should be used. In the authors’ experience, a varactor diode with small variable junction capacitance often has a large value of parasitic series resistor. If Ce1 (Ce2 ) is directly replaced by back-to-back configuration of the varactor diodes, the parasitic inductor in the varactor diode will deteriorate the passband performance, and the parasitic series resistor in the varactor diode will increase the ILs of two passbands. Many Rs = 2 k in Fig. 10 are used to provide dc biasing and block the RF signal.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: NOVEL DB-BPF AND RECONFIGURABLE FILTERS USING LE-DRRs

7

Fig. 9. Effect of C2 and Ce2 to: (a) f c1 , f c2 , and TZ1–TZ4, and (b) 3-dB FBW1 , 3-dB FBW2 , RL1 , and RL2 (C1 = 1.97 pF and Ce1 = 0.55 pF fixed, k2 < 0). Fig. 11. (a) Effect of C01 to the frequency tunability of the 1st passband (required RL1 and RL2 better than 18 dB, the 2nd passband fixed around 1.7 GHz, V CC21 = 4.6 V, V CC22 = 0.82 V). (b) Effect of C02 to the frequency tunability of the 2nd passband (required RL1 and RL2 better than 18 dB, the 1st passband fixed around 0.7 GHz, V CC11 = 2.8 V, V CC12 = 7.9 V).

of C01 to frequency tunability of the 1st passband, where fi max and f i min represent the highest passband frequency and the lowest passband frequency of the i th passband, FTR represents the frequency tuning range, and is defined as FTRi =

Fig. 10.

Circuit model of proposed reconfigurable DB-BPF.

Theoretically, the reconfigurable DB-BPF can cover two arbitrary frequency tuning ranges, but the actual frequency tuning range is limited by the variable junction capacitance of the varactor diode. Different varactor diodes employed in Fig. 10 will result in different frequency tuning ranges. As an example, in this reconfigurable DB-BPF, the varactor diodes D11 ∼ D14 and D21 ∼ D24 employ the Skyworks product of SMV1233-079LF, and the varactor diodes De1 and De2 employ the Skyworks product of SMV1235-079LF and SMV1233-079LF, respectively. Fig. 11(a) shows the effect

2( f i max − fi min ) . ( f i max + fi min )

(8)

The spice models of the varactor diodes are used in the simulation. It is known from Fig. 11(a) that the 2nd passband almost keep unchanged when C01 increases from 1.5 to 3.5 pF and the 1st passbands is tuned. As C01 increases, both f 1 max and f 1 min move towards lower frequency. For the selected De1 , a special value of C01 is required so as to acquire the largest value of the FTR. In this design, C01 = 2 pF is selected. In addition, it should be noted that a large value of the FTR can be acquired if another suitable varactor diode of De1 is used for the specified C01 . Similarly, Fig. 11(b) shows the effect of C02 to frequency tunability of the 2nd passband. In this design, C02 = 1.0 pF is selected. Fig. 12(a) shows the layout of designed reconfigurable DB-BPF. Four inductors are still realized by HIMLs, and part of the ground plane are removed to reduce the parasitic capacitance in the HIML inductors. The optimized physical dimensions of HIMLs are also labeled in Fig. 12(a).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. (a) Layout (not to scale) and (b) photograph of fabricated reconfigurable DB-BPF.

Fig. 14. Simulated and measured results of fabricated reconfigurable DB-BPF with the fixed 1st passband. (a) |S21 |. (b) |S11 |.

Fig. 13. Simulated and measured results of fabricated reconfigurable DB-BPF with the fixed 2nd passband. (a) |S21 |. (b) |S11 |.

Fig. 12(b) shows the photograph of the fabricated reconfigurable DB-BPF. The fabricated reconfigurable DB-BPF occupies a compact circuit size of 0.038λg × 0.082λg including a biasing circuit, but excluding feeding lines, where λg is the guided wavelength of a 50- microstrip line on the used substrate at 0.717 GHz. Figs. 13 and 14 plot the simulated and measured results of a fabricated reconfigurable DB-BPF for the required RL1 and RL2 better than 18 dB. Since two passbands of proposed reconfigurable DB-BPF can be tuned independently, the 2nd passband in Fig. 13 and the 1st passband in Fig. 14 can also be located at other frequencies. Five sets of curves in Fig. 13 and seven sets of curves in Fig. 14 are given.

Tables II and III gives the detailed measured results of f c1 , f c2 , 3-dB FBWs, ILs, and bias voltages of two passbands. As shown in Fig. 13 and Table II, the variation of f c2 , IL2 , RL2 , and 3-dB FBW2 of the 2nd passband is relatively small when the 1st passband is tuned. For the 1st passband, the measured input 1-dB compression point (IP1 dB ) is 20.6 dBm at 0.617 GHz, 23.1 dBm at 0.735 GHz, and 24.8 dBm at 0.817 GHz. The measured input third-order intercept point (IIP3 ) of the 1st passband is 26.3 dBm at 0.617 GHz, 28.9 dBm at 0.735 GHz, and 30.4 dBm at 0.817 GHz. As shown in Fig. 14 and Table III, the variation of f c1 , IL1 , RL1 , and 3-dB FBW1 of the 1st passband is also relatively small when the 2nd passband is tuned. The measured IP1 dB of the 2nd passband is 18.8 dBm at 1.386 GHz, 20.2 dBm at 1.68 GHz, and 21.4 dBm at 2.02 GHz. The measured IIP3 of the 2nd passband is 25.4 dBm at 1.386 GHz, 26.9 dBm at 1.68 GHz, and 28.7 dBm at 2.02 GHz. The FTRs of the tuned 1st passband and the tuned 2nd passband are 27.9% and 37.3%, respectively. Compared with the ideal simulated results given in Fig. 11, the FTRs of two passbands become small, which may attribute to the used non-ideal inductors and the difference between the SPICE model and actual product of the used varactor diodes. Moreover, compared with the ILs of LE-DRR DB-BPF in the above section, the ILs of two passbands of this reconfigurable DB-BPF increase significantly. This result is due to the parasitic resistance in the used varactor diodes. The lower frequencies of each passband have the larger ILs, which is because that the lower frequency requires a smaller reverse voltage resulting in a larger parasitic resistance. Table IV gives a performance comparison of this reconfigurable DB-BPF with some reported works. A new lumped-element reconfigurable DB-BPF with two independently controlled passbands is proposed, and the proposed reconfigurable DB-BPF has wide FTR and good RL.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: NOVEL DB-BPF AND RECONFIGURABLE FILTERS USING LE-DRRs

9

TABLE II D ETAILED M EASURED R ESULTS OF CFs, 3-dB FBW, AND ILs OF T WO PASSBAND IN F IG . 13

TABLE III D ETAILED M EASURED R ESULTS OF CFs, 3-dB FBW, AND ILs OF T WO PASSBAND IN F IG . 14

TABLE IV P ERFORMANCE C OMPARISON W ITH S OME R EPORTED R ECONFIGURABLE DB-BPFs

Moreover, the proposed reconfigurable DB-BPF also has the most compact size, which is not shown in Table IV.

Fig. 15. (a) Circuit model of proposed multi-function reconfigurable filter. (b) Equivalent circuit of Skyworks SMP1345-079LF p-i-n diode.

C. Multi-Function Reconfigurable Filter Design As discussed in Sections III-A and III-B, two passbands of the LE-DRR DB-BPF are mainly determined by two diverse branches. Here, two pairs of switches realized by the p-i-n diodes are embedded into the inductors L 1 and L 2 so as to constitute a multi-function reconfigurable filter. Fig. 15(a) shows the circuit model of the proposed multifunction reconfigurable filter. L 0 = 7.4 nH, L 1 = 21.2 nH, L 2 = 8.9 nH, L t = 2.9 nH, and L m = 7.8 nH are selected in this design. Four p-i-n diodes are realized by using the Skyworks product of SMP1345-079LF, the varactor diodes

D11 ∼ D14 , and De1 employ the Skyworks product of SMV1233-079LF, and the varactor diodes D21 ∼ D24 employ the Skyworks product of SMV1232-079LF. Rs = 2 k is still employed in this design. In the simulation, the varactor diodes in Fig. 15(a) still use their SPICE models, and the p-i-n diode SMP1345-079LF use its equivalent circuit, which has been verified in the authors’ previous work in [20]. In Fig. 15(b), L s = 0.7 nH is the parasitic series inductor, Ron = 2  is the forward biased resistor, and Coff = 0.15 pF is the reverse biased capacitor.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 16. Typical frequency response of four operating modes of multifunction reconfigurable filter.

This multi-function reconfigurable filter has four operating modes, as shown in Fig. 16. When four p-i-n diodes DSW11 , DSW12 , DSW21 , and DSW22 are tuned on (VSW1 = VSW2 = 10 V), this multi-function reconfigurable filter is actually a reconfigurable DB-BPF (the 1st operating mode), as discussed in Section III-B. The solid black line with a round symbol in Fig. 16 shows the typical frequency response of the 1st operating mode. When four p-i-n diodes DSW11 , DSW12 , DSW21 , and DSW22 are tuned off (VSW1 = VSW2 = −10 V), this multi-function reconfigurable filter is an all-stop filter (the 2nd operating mode). The dashed custom line in Fig. 16 shows the typical frequency response of the 2nd operating mode. A better than 10-dB rejection is achieved from dc to 3 GHz for the 2nd operating mode. When two p-i-n diodes DSW11 and DSW12 are tuned on (VSW1 = 10 V), and another two p-i-n diodes DSW21 and DSW22 are tuned off (VSW2 = −10 V), the 1st passband is turned on and the 2nd passband is prohibited (the 3rd operating mode). The solid blue line in Fig. 16 shows the typical frequency response of the 3rd operating mode. When two p-i-n diodes DSW11 and DSW12 are tuned off (VSW1 = −10 V), and another two p-i-n diodes DSW21 and DSW22 are tuned on (VSW2 = 10 V), the 1st passbands is prohibited and the 2nd passband is turned on (the 4th operating mode). The dashed–dotted pink line in Fig. 16 shows the typical frequency response of the 4th operating mode. Both the 3rd operating mode and the 4th operating mode have a tunable single-band passband. In this design, a slight overlap between the highest passband of the 3rd operating mode and the lowest passband of the 4th operating mode is designed so that two tunable single-band BPFs with a small tuning range can constitute a tunable BPF with a wide tuning range. C01 = 1.5 pF is set in this design, and Fig. 17(a) shows the frequency tunability of the 3rd operating mode. For the RL1 better than 10 dB, the passbands can be tuned from 0.565 to 0.885 GHz. For the RL2 better than 10 dB, Fig. 17(b) shows the variation of f 2 min and f 2 max of the 4th operating mode against different values of C02 and C03 . As shown in Fig. 17(b), f 2 min of three sets of curves are smaller than 0.885 GHz of the 3rd operating mode. As C02 decreases, f 2 max becomes large, which means that a large frequency tuning range is acquired. In this design, C02 = 0.25 pF is selected. For the fixed C02 = 0.25 pF and V CC2 = 4.8 V,

Fig. 17. (a) Frequency tunability of the 3rd operating mode. (b) Variation of f 2 min and f 2 max of the 4th operating mode against different values of C02 and C03 (DSW11 and DSW12 : OFF, DSW21 , and DSW22 : ON). (c) Variation of the 4th operating mode against different values of C03 .

Fig. 17(c) shows the variation of the 4th operating mode against a different value of C03 . As shown in Fig. 17(c), a large value of C03 results in better RL2 . In this design, C03 = 33 pF is selected. Fig. 18(a) shows the layout of designed multi-function reconfigurable filter. The inductors are still realized by HIMLs, and part of ground plane are removed to reduce the parasitic elements in the HIML inductors. The optimized physical dimensions of HIMLs are also labeled in Fig. 18(a). Fig. 18(b) shows a photograph of the fabricated multi-function reconfigurable filter. The fabricated multi-function reconfigurable filter occupies a compact circuit size of 0.048λg × 0.093λg including a biasing circuit, but excluding feeding lines, where λg is the guided wavelength of a 50- microstrip line on the used substrate at 0.7075 GHz. Fig. 19 gives the simulated and measured results of the fabricated multi-function reconfigurable filter with single-band operating modes. Five sets of curves of the 3rd operating mode and ten sets of curves of the 4th operating mode are given in Fig. 19. As shown in Fig. 19(b), the simulated and measured RLs are better than 10 dB. Table V gives the detailed measured results of the CF, 3-dB FBW, and IL of two operating modes. If two operating modes are treated as a single-band tunable BPF tank, as done in [10], a continuous

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. XU et al.: NOVEL DB-BPF AND RECONFIGURABLE FILTERS USING LE-DRRs

11

TABLE V D ETAILED M EASURED R ESULTS OF M ULTI -F UNCTION R ECONFIGURABLE F ILTER W ITH S INGLE -BAND O PERATING M ODES

Fig. 18. (a) Layout (not to scale) and (b) photograph of fabricated multifunction reconfigurable filter.

Fig. 20. Simulated and measured results of fabricated multi-functional dual BPF with dual-band operating mode (the 1st operating mode).

Fig. 19. Simulated and measured results of fabricated multi-function reconfigurable filter with single-band operating modes. (a) |S21 |. (b) |S11 |.

frequency tuning range from 0.56 to 1.619 GHz are achieved. The measured IP1 dB of the 3rd operating mode is 13 dBm at 0.56 GHz, 21.4 dBm at 0.71 GHz, and 23 dBm at 0.855 GHz, while its measured IIP3 is 19.5 dBm at 0.56 GHz, 24.8 dBm at 0.71 GHz, and 26.1 dBm at 0.855 GHz. The measured IP1 dB of the 4th operating mode is 13.5 dBm at 0.877 GHz, 24.9 dBm at 1.31 GHz, and 26.2 dBm at 1.619 GHz, while its measured IIP3 is 19.2 dBm at 0.877 GHz, 27.3 dBm at 1.31 GHz, and 28.5 dBm at 1.619 GHz. Moreover, compared with the above reconfigurable DB-BPF, the ILs of the 3rd and 4th operating modes of this multi-function reconfigurable filter further increases. This result is due to the newly introduced

Fig. 21. Simulated and measured results of fabricated multi-functional dual BPF with all-stop operating mode (the 2nd operating mode).

p-i-n diodes SMP1345-079LF. When all of four p-i-n diodes are forward biased, the proposed multi-function reconfigurable filter actually acts as a reconfigurable DB-BPF, as discussed in Section III-B. Fig. 20 gives one curve of the multi-function reconfigurable filter with a reconfigurable DB-BPF operating mode. Two passbands with CFs at 0.807/1.763 and 3-dB FBW of 28.1%/16.5% are measured. The measured minimal ILs are 2.32/3.94 dB and the RLs of two passbands are better than 15 dB. Compared with the ILs given in Tables II and III, the extra 0.8- and 1-dB ILs of two passbands are due to four p-i-n diodes. When all of four p-i-n diodes are reverse biased, the proposed multi-function reconfigurable filter actually acts as an all-stop filter, as shown in Fig. 21. The measured rejection

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

is better than 10.2 dB within the frequency range from 0.56 to 1.619 GHz. IV. C ONCLUSION In this paper, the LE-DRR with two independently controlled resonant modes have been applied to design a 0.9/1.57-GHz DB-BPF, a reconfigurable DB-BPF with two independently tuned passband frequencies, and a multifunction reconfigurable filter with four operating modes. The TZs due to virtual grounds in LE-DRR and the cancelling effect of MEMC are located around the passbands, which improve the filter performance significantly. The proposed LE-DRR filters are compatible with the integrated circuit process, and significant improvement in frequency tuning range, stopband width, and passband IL will be achieved in integrated circuit process. As a consequence, the proposed LE-DRR filters are expected to find their applications in multimode or multi-band reconfigurable systems. R EFERENCES [1] X. Y. Zhang, C. H. Chan, Q. Xue, and B.-J. Hu, “Dual-band bandpass filter with controllable bandwidths using two coupling paths,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 11, pp. 616–618, Nov. 2010. [2] Q. Duan, K. Song, F. Chen, and Y. Fan, “Compact dual-band bandpass filter using simply hybrid structures,” Electron. Lett., vol. 51, no. 16, pp. 1265–1266, Aug. 2015. [3] Y. Peng, L. Zhang, J. Fu, Y. Wang, and Y. Leng, “Compact dual-band bandpass filter using coupled lines multimode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 4, pp. 235–237, Apr. 2015. [4] J. Shi, L. Lin, J.-X. Chen, H. Chu, and X. Wu, “Dual-band bandpass filter with wide stopband using one stepped-impedance ring resonator with shorted stubs,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 7, pp. 442–444, Jul. 2014. [5] J. Xu, W. Wu, and C. Miao, “Compact and sharp skirts microstrip dual-mode dual-band bandpass filter using a single quadruple-mode resonator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1104–1113, Mar. 2013. [6] M. A. El-Tanani and G. M. Rebeiz, “A two-pole two-zero tunable filter with improved linearity,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 4, pp. 830–839, Apr. 2009. [7] L. Athukorala and D. Budimir, “Compact second-order highly linear varactor-tuned dual-mode filters with constant bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 9, pp. 2214–2220, Sep. 2011. [8] W. Tang and J.-S. Hong, “Varactor-tuned dual-mode bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2213–2219, Aug. 2010. [9] A. Anand, J. Small, D. Peroulis, and X. Liu, “Theory and design of octave tunable filters with lumped tuning elements,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4353–4364, Dec. 2013. [10] J. S. Sun, N. Kaneda, Y. Baeyens, T. Itoh, and Y.-K. Chen, “Multilayer planar tunable filter with very wide tuning bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2864–2871, Nov. 2011. [11] G. Chaudhary, Y. Jeong, and J. Lim, “Harmonic suppressed dual-band bandpass filters with tunable passbands,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2115–2123, Jul. 2012. [12] G. Chaudhary, Y. Jeong, and J. Lim, “Dual-band bandpass filter with independently tunable center frequencies and bandwidths,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 107–116, Jan. 2013. [13] X. Huang, L. Zhu, Q. Feng, Q. Xiang, and D. Jia, “Tunable bandpass filter with independently controllable dual passbands,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3200–3208, Sep. 2013. [14] C.-F. Chen, “A compact reconfigurable microstrip dual-band filter using varactor-tuned stub-loaded stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 1, pp. 16–18, Jan. 2013. [15] B. You, L. Chen, Y. Liang, and X. Wen, “A high-selectivity tunable dualband bandpass filter using stub-loaded stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 11, pp. 736–738, Nov. 2014.

[16] T. Yang and G. M. Rebeiz, “Three-pole 1.3–2.4-GHz diplexer and 1.1–2.45-GHz dual-band filter with common resonator topology and flexible tuning capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3613–3624, Oct. 2013. [17] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [18] I. Bahl, Lumped Elements for RF and Microwave Circuits. Boston, MA, USA: Artech House, 2003. [19] F. Giannini, C. Paoloni, and M. Ruggieri, “CAD-oriented lossy models for radial stubs,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 2, pp. 305–313, Feb. 1988. [20] J. Xu, “Compact switchable bandpass filter and its application to switchable diplexer design,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 1, pp. 13–15, Jan. 2016.

Jin Xu received the B.Sc. degree in information countermeasure technology and Ph.D. degree in information and communication engineering from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 2009 and 2014, respectively. From February 2011 to September 2011, he was an attached Ph.D. student with the Institute of Microelectronics, Singapore. From October 2011 to September 2012, he was with the MicroArray Technologies Corporation Limited, Chengdu, China, where he was an Integrated Circuit (IC) Research and Development Engineer. He is currently an Associate Professor with the School of Electronics and Information, Northwestern Polytechnical University (NWPU), Xi’an, China. His research interests include microwave/millimeter-wave circuits and systems. Dr. Xu has been a Reviewer for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES and IEEE M ICROWAVE AND W IRELESS C OM PONENTS L ETTERS .

Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nangjing, China, in 1997. He is currently a Professor with the School of Electronic Engineering and Optoelectronic Technology, and an Associate Director with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Xi’an, China. He has authored or coauthored over 120 journal and conference papers. He has submitted five patent applications. His current research interests include microwave and millimeter-wave theories and technologies, microwave and millimeter-wave detection, and multi-mode compound detection. Prof. Wu was a six-time recipient of the Ministerial and Provincial-Level Science and Technology Award.

Gao Wei was born in Xi’an, China, in 1963. He received the B.Sc. and M.Sc. degrees in electromagnetic theory and microwave technology and Ph.D. degree in circuits and systems from Northwestern Polytechnical University (NWPU), Xi’an, China. Since 1985, he has been with the School of Electronics and Informatics, NWPU, where he is currently a Professor and also Head of the Research Laboratory of Air-Borne Radar Systems. His research interests mainly include microwave measurement, antenna theory and designs, and radar systems. Dr. Wei was the recipient of many awards from the Ministry of Aerospace Technology, China, for his achievements in research on microwave and antenna technologies.

1508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

12-GHz Rotary Joint With Substrate Integrated Waveguide Feeder Yu Jian Cheng (

), Senior Member, IEEE, and Zhi Jie Xuan (

Abstract— In this paper, a rotary joint fed by the substrate integrated waveguide (SIW) feeder is presented. This structure is able to effectively convert the TE10 mode in the SIW with arbitrary input angle to the symmetrical TM01 mode in the circular waveguide. A good matching can be implemented by use of a tapered dual-side parallel strip line (DSPSL) between a SIW and a printed probe. After introducing the design principle, a rotary joint prototype with two controllable transmission poles is fabricated and measured. From 11.6 to 12.6 GHz, its insertion loss is always less than 1.09 dB and return loss is better than 11.22 dB when being rotated at different angles. Besides, a tapered slot antenna (TSA) is employed to realize a rotary antenna based on the rotary joint proposed above. Stable performance and different polarizations can be achieved at different rotary angles. Based on the rotary joint with the SIW feeder, it is much more convenient for designers to extend the application field of the SIW devices. Index Terms— Multiple polarization, rotary antenna, rotary joint, substrate integrated waveguide (SIW)-to-circular waveguide transition.

I. I NTRODUCTION

R

ECENTLY, substrate integrated waveguide (SIW) has been attracting more and more attention in microwave and millimeter-wave antennas [1], [2] and circuits, including filters [3]–[5], phase shifters [6], power dividers [7], and beamforming network [8]. As a classic microwave device, the rotary joint is widely used, such as in the mechanical scan of the radar beam. Most current rotary joints are fed by coaxial lines [9], striplines [10], and waveguides with different configurations, i.e., the rectangular waveguide [11]–[14], the annular waveguide [15], the ridged waveguide [16], the U-type waveguide [17], etc. In fact, a rotary joint fed by the SIW directly can be convenient for SIW devices to realize the rotary ability and avoid additional transition structures. For a rotary joint, the TE01 mode or the TM01 mode within the circular waveguide can be used because of their

Manuscript received August 20, 2015; revised November 12, 2015 and February 24, 2016; accepted March 17, 2016. Date of publication April 11, 2016; date of current version May 10, 2016. This work was supported in part by the Science Foundation for Distinguished Young Scholars of Sichuan Province under 2015JQO005, in part by Fundamental Research Funds for the Central Universities under Grant ZYGX2014Z008, in part by the Program for New Century Excellent Talents in University under Grant NCET-13-0089, in part by the Foundation for the Author of National Excellent Doctoral Dissertation of China under Grant 201338, and in part by the National Program for Support of Top-Notch Young Professionals. The authors are with the EHF Key Laboratory of Fundamental Science, School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2546261

), Student Member, IEEE

symmetrical field distribution. Compared with the TE01 mode, the TM01 mode is usually chosen to be the operation mode in the rotary joint because it is easier to be generated and able to reduce the size of the rotary joint. Actually, there are different kinds of ways to excite the TM01 mode in a traditional rotary joint. In our design, the SIW feeder is employed to excite the TM01 mode in the circular waveguide. The TE11 mode can be suppressed naturally because of the symmetrical structure of the SIW feeder. Basically, the SIW feeder acts as an effective transition with a simple and compact configuration between the SIW and the circular waveguide. In fact, several useful works have been successfully developed to realize the transition between the SIW and other transmission lines such as the SIW-to-microstrip line transition [18], [19], the SIW-to-coplanar waveguide (CPW) transition [20], [21], the SIW-to-waveguide transition [22]–[25], the SIW-to-via transition [26], etc. However, the effective way to convert the TE10 mode in the SIW to the TM01 mode in the circular waveguide has not been found yet. After introducing the design principle and process for a rotary joint with the SIW feeder, a back-to-back SIW-to-circular waveguide transition with arbitrary input and output angles is designed and fabricated. In this case, the SIW devices can be integrated with the rotary joint directly without other additional transitions. This arrangement has a major part to play in reducing the weight and size of the total component. Measured results agree well with simulated ones. Next, a rotary tapered slot antenna (TSA) based on the developed rotary joint is developed. Compact configuration and stable performance of the rotary antenna has been achieved. Besides, multiple polarizations can be obtained when the TSA is rotated at different angles. II. C ONFIGURATION OF THE ROTARY J OINT W ITH THE SIW F EEDER As shown in Fig. 1, the rotary joint is composed of three parts. Part 1 is the transition between the SIW and the circular waveguide, Part 2 is the circular waveguide with the proper dimension, and Part 3 is the choke groove to make sure the electric continuity of the rotary joint. For the SIW feeder, there are different patterns etched on two sides of the dielectric substrate, as shown in Fig. 2. On the top copper cladding, the SIW is transferred to a printed probe through a tapered matching section, and then excites the required TM01 mode in the circular waveguide. There is no copper cladding behind the probe on the bottom surface.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CHENG AND XUAN: 12-GHz ROTARY JOINT WITH SIW FEEDER

1509

Fig. 3. Simulated transmission coefficient of the SIW-to-waveguide transition considering different operation modes in the circular waveguide.

The used dielectric substrate is the Taconic TLY-5 substrate with a thickness of 1.52 mm. The permittivity and loss tangent of the substrate are 2.2 and 0.0009, respectively. A. Operation Mode in the Circular Waveguide

Fig. 1.

Configuration of the proposed rotary joint with the SIW feeder.

Fig. 2.

Configuration of Part 1. (a) Top view. (b) Bottom view.

III. D ESIGN OF THE ROTARY J OINT W ITH THE SIW F EEDER For this rotary joint, there are two controllable resonant frequencies, i.e., two controllable transmission poles, within the working frequency band. On one hand, the lower resonant frequency is determined by the cavity length, lc , because of the resonance of the circular waveguide cavity. On the other hand, the higher resonant frequency is controlled by the length of the printed probe, l p , because of the resonance of the probe. Therefore, the operating characteristic of this rotary joint can be optimized by selecting these two resonant frequencies properly. In this paper, a rotary joint excited by the SIW feeder is designed to work at the center frequency of 12 GHz.

Generally speaking, the operation mode of the rotary joint should have the symmetry field to guarantee the characteristic unchanged at arbitrary rotary angle. For a circular waveguide, there are two low-order modes with the symmetry field distribution, i.e., the TE01 mode and the TM01 mode. According to the waveguide theory, it is clear that the cutoff wavelength of the TM01 mode is larger than that of the TE01 mode. Thus, the TM01 mode is selected as the operation mode in the circular waveguide. Actually, the TE11 mode is the dominant mode in the circular waveguide, but it is asymmetric. The symmetry feeding structure can be utilized to suppress it. As shown in Fig. 3, the printed probe is able to excite the TM01 mode and suppress the TE11 mode because of its symmetric geometry. Absolutely, the radius of the circular waveguide should be chosen properly. The radius, R, should satisfy c0 c0 70%) and high output power (>41 dBm) PA from 1.4 to 2.5 GHz. As a result, a 10-W GaN HEMT

from Cree (CGH40010F) was picked. Following the analysis of Section II, the desired fundamental and harmonic load impedances at the intrinsic current generator plane were determined, as shown in Fig. 5 with black dotted lines and a pentagram. Since there is no need to explore the whole range of γ , it was settled in the range from 0 to 1, which represents the upper half of the theoretical fundamental impedance range. Subsequently, the corresponding second harmonic impedance is located in the lower half of the Smith chart. When dealing with a realistic packaged transistor, the theoretical impedance at the intrinsic current generator plane should be converted to that at the package plane. By employing the approximate parasitic model extracted from [17], the fundamental impedance at the package plane from 1.4 to 2.5 GHz with a step of 100 MHz can be assessed, as displayed in Fig. 5. It can be observed that, with the rise of frequency, the fundamental impedance trajectories are represented by a set of colored curves slowly moving to the left side with a counterclockwise rotation. Meanwhile, the optimum second harmonic impedance at the package plane is located at the top right margin of the Smith chart. Moreover, the third harmonic impedance holds a reactance that roughly ranges from −15 to −5 . Since the analysis presented above was based on theory and the approximate parasitic model, its deviation from the real device must be examined so as to eliminate any possible inaccuracy. Thus, to validate the effectiveness of the theoretical impedance derivation and to further improve performance, load–pull simulations with a large-signal model of the same device were carried out in ADS2013, as illustrated in Fig. 6. It was found that the optimum fundamental and second harmonic impedance obtained from simulation approximately matched those derived from theory, while the third harmonic impedance shows trivial influence. Hence, by ignoring the third harmonic impedance, the design of the OMN is simplified to the fundamental and the second harmonic matching.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. LC prototypes. (a) Prototype of Chebyshev LPF MN. (b) Prototype of modified elliptic LPF MN. Fig. 6. Merged output power and efficiency contours (efficiency ≥ 70%, output power ≥ 41 dBm) for fundamental impedance extracted from the load–pull simulation, and optimum region for second harmonic impedance (efficiency degradation less than 2%).

B. Realization of Broadband Modified Elliptic LPF MN Thus far, the topology and the target impedance of the OMN have been determined. The next step is the realization. In this design, the swift transition is only required between f H and 2 f L , which can be satisfied by using only one attenuation pole that is set at f p = 2 f L = 2.8 GHz. In fact, using more attenuation poles can further suppress the second harmonic, but it will increase the design complexity. The standard elliptic low-pass filter does not provide an impedance transformation. In this work, a synthesis methodology to design a modified elliptic LPF MN that is capable of providing the desired impedance transformation is presented in the following. The design procedure includes three main steps as described below. Firstly, according to the required impedance transformation ratio and fractional bandwidth, a real-to-complex Chebyshev LPF MN can be generated and optimized by utilizing the process illustrated in [8], as shown in Fig. 7(a). Secondly, the first shunt capacitor C1,C H in the Chebyshev LPF MN is replaced with a series-resonant branch, shown in Fig. 7(b). This particular resonator is responsible for the generation of the attenuation pole f p . In addition, this resonator is expected to show similar behavior as the capacitor C1,C H does at the cutoff frequency f H . Thus, L 4,E L and C1,E L in the modified elliptic LPF MN can be determined by f p , f H , and C1,C H ,

C1,E L

1 2

 C1,C H · 2π f p − (2π f H )2   2 2π f p − (2π f H )2 = · C1,C H .  2 2π f p

L 4,E L =



(8)

(9)

Fig. 8. Comparison between modified elliptic and Chebyshev LPF MNs. (a) Forward transfer function S21 and input return loss S11 . (b) Impedance trajectories from the fundamental to the third harmonic.

The values of the other lumped elements can be obtained via post-optimization to achieve the in-band matching without affecting the predetermined attenuation pole. Fig. 8(a) demonstrates the frequency response of the proposed MN and the Chebyshev MN in terms of forward transfer functions S21 and input return losses S11 . As can be seen, the elliptic MN provides a sudden drop in S21 at 2.6 GHz, which corresponds to the attenuation pole, while the S21 of the Chebyshev MN has a smooth roll-off. On the other hand, the S11 of the former does not show significant

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YANG et al.: HIGHLY EFFICIENT BROADBAND CCF−1 PA DESIGN USING MODIFIED ELLIPTIC LPF MN

degradation compared with that of the latter. The impedance trajectories from the fundamental to the third harmonic of the elliptic LPF MN and the Chebyshev LPF MN are displayed in Fig. 8(b). As expected, the fundamental impedance of the modified elliptic LPF MN is appropriately allocated and largely overlapped with that of the latter. More importantly, the second harmonic impedance of the former is kept to the side of the Smith chart, while the latter cannot do the same at the lower end of the second harmonic. It indicates that the proposed MN can realize the desired swift impedance transition from f H to 2 f L while the Chebyshev MN cannot. Finally, when all the lumped elements are determined, the transformation to distributed elements can be undertaken as follows. In this design, a Taconic RF35 substrate with a relative dielectric constant of 3.5 and a thickness of 1.52 mm was chosen for the microstrip realization. Those lumped elements were converted by replacing inductors and capacitors with high-impedance microstrip lines and low-impedance microstrip lines, respectively. Since the width of microstrip line is correlated with its characteristic impedance, which had already been selected according to the feasibility and convenience of implementation, the corresponding physical length of each element can be solved. Furthermore, to achieve a more accurate design, compensations are necessary for unwanted effects introduced by the microstrip lines and discontinuities according to [18]. For instance, regarding capacitive element Ci , influences from its adjacent inductive elements L left and L right should be taken into account for the correction. Inductive elements are treated in a similar manner. Generally speaking, the corrected physical lengths of those microstrip elements should satisfy the following equations at the cutoff frequency f H :     1 2πlCi πl L left 1 sin tan + 2π f H Ci = Z Ci λgCi Z L left λg L left  πl L right 1 . (10) + tan Z L right λg L right     2πl L i πlCleft 2π f H L i = Z L i sin + Z Cleft tan λg L i λgCleft  πlCright +Z Cright tan . (11) λgCright where Z Ci and Z Li are the characteristic impedance of the conductive and inductive elements, and λgCi and λg Li are the corresponding guided wavelength. By following the procedure described above, a broadband OMN based on the modified elliptic LPF MN was designed and realized with microstrip, as displayed in Fig. 9. Fig. 10 demonstrates the simulated impedance presented by the proposed OMN at the package plane and the intrinsic current generator plane. As can be seen from Fig. 10(a), the fundamental impedance lies inside the optimum region acquired from the load–pull, while the second harmonic impedance spreads at the top edge of Smith chart, which meets the requirement shown in Fig. 6. It can be found from Fig. 10(b) that both the fundamental and the second harmonic impedances largely overlap with the corresponding

Fig. 9.

5

Layout of modified elliptic LPF OMN.

Fig. 10. Load impedance presented by modified elliptic LPF OMN at the: (a) package plane and (b) intrinsic current generator plane, respectively.

theoretical impedances, which are shown as downwards and upwards black triangle dash lines, respectively. The third harmonic impedance converges on the capacitive part near the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11.

Layout of eighth-order Chebyshev IMN.

Fig. 12.

Fabricated PA using modified elliptic LPF OMN.

short-circuit point, which is considered as a proper approximation to the ideal short termination. C. Realization of a Broadband Input Matching Network Regarding the design of the input matching network (IMN), the conventional Chebyshev LPF MN can be employed because of the following two reasons. First of all, unlike the output harmonic impedances, those of the input play a less critical role in efficiency enhancement. Thus, the fundamental source impedance is the priority and the second harmonic impedance can be neglected when designing the IMN. Secondly, for this specific 10-W transistor, its fundamental source impedance has a very small real part around 5  over the band of interest, which gives rise to a large impedance transformation ratio. Hence, to guarantee a precise impedance matching across the band of interest, an eighth-order Chebyshev LPF MN was employed as the IMN of the proposed PA, as displayed in Fig. 11. IV. I MPLEMENTATION AND M EASUREMENTS To verify the proposed approach, a broadband PA was fabricated as shown in Fig. 12. By employing Cree’s six-port largesignal model, it is possible to study the intrinsic waveforms in ADS prior to measurement. Fig. 13(a)–(c) shows the simulated voltage and current waveforms at the intrinsic current generator plane, when the proposed PA was stimulated by

Fig. 13. Intrinsic voltage and current waveforms. (a) At 1.5 GHz. (b) At 2.0 GHz. (c) At 2.5 GHz.

CW signals at 1.5, 2.0, and 2.5 GHz, respectively. As can be seen from Fig. 13(a), the proposed PA generates a standard inverse Class-F mode waveform at 1.5 GHz with a half-sinusoidal voltage and a square-wave current. At 2.0 and 2.5 GHz, the current waveforms were slightly differed from the ideal one, but still conformed to the family of the CCF−1 mode waveform.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YANG et al.: HIGHLY EFFICIENT BROADBAND CCF−1 PA DESIGN USING MODIFIED ELLIPTIC LPF MN

7

TABLE I B ROADBAND PAs C OMPARISON W ITH CW S IGNAL

Fig. 14. Measured and simulated output power and DE versus frequency from 1.35 to 2.55 GHz.

Fig. 16.

Fig. 15. Measured gain and DE versus output power at 1.55, 1.84, 2.14, and 2.4 GHz, respectively.

To evaluate the performance of the implemented PA for various applications, different types of measurements were carried out as follows. A. Measurement Results With CW Signal At first, a CW signal was employed as the stimulus to the proposed PA from 1.35 to 2.5 GHz. Fig. 14 depicts the measurement results, in terms of DE and output power, as well as the simulated results. It can be seen that the DE maintained higher than 68% between 1.35 and 2.5 GHz giving a fractional bandwidth of 60%. The maximum DE of 82% was measured at 1.6 GHz. In general, the output power remained in the range from 41.1 to 42.5 dBm over the entire desired band. The measured DE and gain versus output power at four commercially used frequency bands (1.55, 1.84, 2.14, and 2.40 GHz) are demonstrated in Fig. 15. It can be seen that the 3-dB gain compression points at all four frequencies are over 41.2 dBm, and the fluctuation of the small-signal gain between the different frequencies is less than 1.5 dB. For the lower two frequencies, their maximum DEs are higher than 75%,

Linearization platform for 20-MHz LTE signal.

while the peak DEs at the other two frequencies are 69.5% and 68%, respectively. The proposed PA was compared with other published broadband PAs, as shown in Table I. Although the proposed design did not provide an operating bandwidth as broad as those in [7], [9], and [15], it is worth noting that those three designs either forfeited second harmonic control or transferred PA modes, leading to a lower DE and a smaller saturated output power. Compared with [10], [13], and [14], the proposed PA exhibited a greater fractional bandwidth with a higher gain, a larger output power, and a higher DE simultaneously. The high performance of the proposed MN can be mainly attributed to its ability to maintain the CCF−1 mode over a larger bandwidth due to its more accurate realization of the theoretical fundamental and the second harmonic impedances. B. Measurement Results With 20-MHz LTE Signal To verify the capability of the fabricated PA to linearly amplify modulated signals, a measurement platform is set up that includes a vector signal generator (Keysight E4438C), a spectrum analyzer (Keysight E4445A), and a PC running MATLAB software, as shown in Fig. 16. The baseband signal was first generated in the computer, then up-converted to RF by the E4438C, pre-amplified by a broadband driver, and finally sent to the device-under-test (DUT). On the feedback loop, the output signal of the proposed PA was captured, downconverted, and sent to the PC for DPD model extraction and signal processing by the E4445A.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Measured ACLRs at ±20-MHz offset and DE versus frequency from 1.4 to 2.5 GHz with an average output power about 34.5 dBm.

A 20-MHz LTE signal with a peak-to-average power ratio (PAPR) of 7.0 dB was used when the frequency was being swept from 1.4 to 2.5 GHz with a step of 100 MHz. As shown in Fig. 17, the average efficiency (AE) was greater than 35%, up to 46% at 1.8 GHz, with an output power of approximately 34.5 dBm over the band of interest. Besides, the adjacent channel leakage ratio (ACLR) at ±20-MHz offset fluctuated from −26 to −32.5 dBc. Linearization with DPD was undertaken at 1.55, 1.84, 2.14, and 2.4 GHz with an output power of 34.5 dBm, respectively. Since the AM/AM and AM/PM characteristics of the tested PA showed expansion effects in the low amplitude region, but compression effects in the high amplitude region, the piecewise decomposition technique [19] together with the second-order dynamic derivation reduction (DDR) DPD technique [20] are employed to build the DPD model. The nonlinear order and memory depth were set as [7] and [5], respectively. The normalized decomposition threshold was set to 0.5. The measured spectrums with and without DPD are displayed in Fig. 18. At all four frequencies, ACLRs without DPD at ±20-MHz offset varied in the range from −26.4 to −29.3 dBc. After linearization, ACLRs were substantially reduced to at least −45.2 dBc, as listed in Table II. Variations of the EVMs at four frequencies before and after DPD are summarized in Table II, revealing the significant improvement of linearity brought by DPD. It is worth noting that AEs in four cases were greater than 37%, up to 45.8% at 1.84 GHz. C. Measurement Results With 100-MHz Dual-Band Dual-Mode Signal To further examine the performance of the implemented PA with linearization, a dual-band dual-mode modulated signal consisting of a 20-MHz four-carrier WCDMA signal and a 20-MHz single-carrier LTE signal with a frequency spacing of 60 MHz and a PAPR of 9.2 dB was utilized for excitation. Since linearization platform in Fig. 16 cannot handle such a wideband signal, the following measurements and the DPD

Fig. 18. Measured spectra for a 20-MHz LTE signal with and without DPD at an average output power of approximately 34.5 dBm. (a) At 1.55 GHz. (b) At 1.84 GHz. (c) At 2.14 GHz. (d) At 2.40 GHz.

validation were conducted in the platform designed in [21], as shown in Fig. 19. On the transmitter chain, the baseband signal source was generated in MATLAB and sent to a baseband board for digital signal processing. An RF board was

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YANG et al.: HIGHLY EFFICIENT BROADBAND CCF−1 PA DESIGN USING MODIFIED ELLIPTIC LPF MN

9

TABLE II L INEARITY P ERFORMANCE FOR 20-MHz LTE S IGNAL

Fig. 21. Measured spectra for the dual-band dual-mode modulated signal with and without DPD at an average output power of 34.2 dBm.

TABLE III B ROADBAND PAs C OMPARISON W ITH M ODULATED S IGNALS

Fig. 19.

Linearization platform for 100-MHz dual-band dual-mode signal.

Fig. 20. Measured ACLRs at ±20-MHz offset for both WCDMA and LTE signals and measured AE versus output power at 2.14 GHz.

then employed to transform the baseband signal into the analog domain and up-convert to 2.14 GHz with proper power level. Finally, the RF signal was fed to the DUT. On the receiver side, the feedback loop was utilized to capture the output signal of the DUT, down-convert it to the digital baseband, and eventually send it to the PC for further processing. Further details of the measurement platform can be found in [21]. As the bandwidth of the modulated signal in this case was extended to 100 MHz, the band-limited technique [22] and the piecewise second-order DDR model used in the former case were combined here to further optimize the linearization results. The nonlinear order and the memory

length were again set to [7, 7] and [5, 5], respectively. The normalized piecewise decomposition threshold was again set to 0.5, and the bandwidth of the band-limited function was set to 140 MHz. In Fig. 20, the measured ACLRs at ±20-MHz offset and the AE versus output power are displayed. As it can be seen, with the increment of output power from 28.5 to 34.2 dBm, the AE gradually increases to 37%, while ACLRs of both signals at the ±20-MHz offset degrade slowly, falling between −29.4 and −32 dBc without linearization. Driven by the same signal, the fabricated PA was linearized at 2.14 GHz when supplying an output power of 34.2 dBm and an AE of 37%. In Fig. 21, the ACLRs lower than −46.1 dBc at ±20-MHz offset for both signals can be achieved. Finally, a detailed comparison of the performance of the proposed PA under the stimulus of modulated signals

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

with other existing broadband PAs is given in Table III. In a nutshell, the implemented PA exhibits the potential of linearly amplifying modulated signals under different scenarios with decent efficiency. V. C ONCLUSION A broadband highly efficient CCF−1 PA with a modified elliptic LPF OMN has been presented in this paper. The necessity of swift transition from the higher end of the fundamental to the lower end of the second harmonic was thoroughly explained from both theoretical and practical points of view. The synthesized design approach of the modified elliptic LPF OMN was demonstrated step-by-step. Measured results of the fabricated PA revealed greater than 68% DE with at least 12.5 W of output power from 1.35 to 2.5 GHz, representing a 60% fractional bandwidth. Together with DPD, the proposed PA exhibited its capability to linearly amplify a 20-MHz LTE signal with an AE ranging from 35% to 46% at an average output power around 34.5 dBm over the entire band of interest. Stimulated by a dual-band dual-mode modulated signal at 2.14 GHz, the proposed PA generated an AE of 37% at output power of 34.2 dBm while restricting ACLR to below −46.1 dBc. R EFERENCES [1] S. C. Cripps, P. J. Tasker, A. L. Clarke, J. Lees, and J. Benedikt, “On the continuity of high efficiency modes in linear RF power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 665–667, Oct. 2009. [2] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [3] V. Carrubba et al., “The continuous class-F mode power amplifier,” in 40th Eur. Microw. Conf. Oct. 2010, pp. 1674–1677. [4] V. Carrubba et al., “On the extension of the continuous class-F mode power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 5, pp. 1294–1303, May 2011. [5] V. Carrubba et al., “Exploring the design space for broadband pas using the novel “continuous inverse class-F mode,”” in 41st Eur. Microw. Conf. Oct. 2011, pp. 333–336. [6] V. Carrubba et al., “The continuous inverse class-F mode power amplifier with resistive second-harmonic impedance,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1928–1936, Jun. 2012. [7] P. Saad, C. Fager, H. Cao, H. Zirath, and K. Andersson, “Design of a highly efficient 2–4-GHz octave bandwidth GaN-HEMT power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 7, pp. 1677–1685, Jul. 2010. [8] K. Chen and D. Peroulis, “Design of highly efficient broadband class-E power amplifier using synthesized low-pass matching networks,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3162–3173, Dec. 2011. [9] K. Chen and D. Peroulis, “Design of broadband highly efficient harmonic-tuned power amplifier using in-band continuous class-F −1 /F mode transferring,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4107–4116, Dec. 2012. [10] J. Xia, X. Zhu, and L. Zhang, “A linearized 2–3.5 GHz highly efficient harmonic-tuned power amplifier exploiting stepped-impedance filtering matching network,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 9, pp. 602–604, Sep. 2014. [11] C. Huang, S. He, F. You, and Z. Hu, “Design of broadband linear and efficient power amplifier for long-term evolution applications,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 653–655, Dec. 2013. [12] D. Wu, F. Mkadem, and S. Boumaiza, “Design of a broadband and highly efficient 45 W GaN power amplifier via simplified real frequency techniques,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 1090–1093.

[13] Y. Sun and X. Zhu, “Broadband continuous class-F −1 amplifier with modified harmonic-controlled network for advanced long term evolution application,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 4, pp. 250–252, Apr. 2015. [14] N. Tuffy, L. Guan, A. Zhu, and T. Brazil, “A simplified broadband design methodology for linearized high-efficiency continuous class-F power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1952–1963, Jun. 2012. [15] Z. Dai, S. He, F. You, J. Peng, P. Chen, and L. Dong, “A new distributed parameter broadband matching method for power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 449–458, Feb. 2015. [16] D. M. Pozar, Microstrip Engineering, 3rd ed. Boston, MA, USA: Wiley, 2005. [17] P. J. Tasker and J. Benedikt, “Waveform inspired models and the harmonic balance emulator,” IEEE Microw. Mag., vol. 12, no. 2, pp. 38–54, Apr. 2011. [18] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [19] A. Zhu, P. J. Draxler, C. Hsia, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Digital predistortion for envelope-tracking power amplifiers using decomposed piecewise Volterra series,” IEEE Trans. Microw Theory Techn., vol. 56, no. 10, pp. 2237–2247, Oct. 2008. [20] L. Guan and A. Zhu, “Simplified dynamic deviation reduction-based Volterra model for Doherty power amplifiers,” in Proc. IEEE Int. Integer. Nonlinear Microw. Millimeter-Wave Circuits Workshop. Vienna, Austria, Apr. 2011, pp. 1–4. [21] L. Guan, R. Kearney, C. Yu, and A. Zhu, “High performance digital predistortion test platform development for wideband RF power amplifieters,” Int. J. Microw. Wireless Technol., vol. 5, no. 2, pp. 149–162, Apr. 2013. [22] C. Yu, L. Guan, and A. Zhu, “Band-limited Volterra series-based digital predistortion for RF power amplifiers,” IEEE Trans. Microw Theory Techn., vol. 60, no. 12, pp. 4198–4208, Dec. 2012.

Mengsu Yang received the B.E. degree in information engineering and M.E. degree in electromagnetic fields and microwave technology from Southeast University (SEU), Nanjing, China, in 2008 and 2012, respectively, and is currently working toward the Ph.D degree at University College Dublin (UCD), Dublin, Ireland. He is currently with the RF and Microwave Research Group, UCD. His research interests mainly focus on the design of high-efficiency broadband power amplifiers (PAs).

Jing Xia (S’12–M’15) received the M.E. degree in computer science and technology from Jiangsu University, Jiangsu, China, in 2007, and the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 2014. From 2015 to 2016, he was a Post-Doctoral Research Fellow with the RF and Microwave Research Group, University College Dublin (UCD), Dublin, Ireland. His recent research is focused on high back-off efficiency power amplifier (PA) design, wideband efficient PA design, and DPD techniques.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. YANG et al.: HIGHLY EFFICIENT BROADBAND CCF−1 PA DESIGN USING MODIFIED ELLIPTIC LPF MN

Yan Guo (S’13) received the B.E. degree in information science and engineering from East China Jiaotong University, Nanchang, Jiangxi Province, China, in 2007, the M.E. degree in communication and information systems from Southeast University, Nanjing, China, in 2011, and the Ph.D degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2016. He is currently a Post-Doctoral Research Fellow with the RF and Microwave Research Group, UCD. His research interests include digital predistortion for RF power amplifiers and RF digital-to-analog converters (RFDACs), and related field-programmable gate-array (FPGA) hardware implementation.

11

Anding Zhu (S’00–M’04–SM’12) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, 2004. He is currently a Senior Lecturer with the School of Electrical and Electronic Engineering, UCD. His research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on behavioral modeling and linearization for RF power amplifiers (PAs). He is also interested in wireless and RF system design, digital signal processing, and nonlinear system identification algorithms.

1526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

A Broadband Almost-Digital RF Transmitter With an Efficient Power Amplifier Wonhoon Jang, Rui Cordeiro, Graduate Student Member, IEEE, Arnaldo Oliveira, Member, IEEE, and Nuno Borges Carvalho, Fellow, IEEE

Abstract— A new architecture of an almost-digital RF transmitter is proposed. It consists of easy-to-have functional modules. Among the modules, a broadband Doherty amplifier is focused through a modified design approach. A proof-of-concept transmitter is built and measured. It demonstrates quite comparable performances: more than 40% of power-amplifier efficiency, adjacent channel leakage ratios between −26 and −37 dB, and average 2.6% of error vector magnitude over a frequency band from 0.7 to 1.1 GHz, which corresponds to a fractional bandwidth of 44%, when it is driven by a signal with 5.6 dB of peak-toaverage power ratio. Index Terms— All-digital transmitter, coding efficiency, power amplifier (PA), power efficiency, software-defined radio.

I. I NTRODUCTION

T

HE all- or almost-digital transmitter has been an interesting research topic since it inherently provides many advantages such as structural simplicity, broadband operability, and operational flexibility, which are beneficial characteristics especially for software-defined or cognitive radios. Accordingly, various research outcomes have been reported. In [1]–[5], 1-bit delta–sigma or pulse-width modulated signals were used to drive switch-mode amplifiers. A main advantage of those features is to fully utilize high power efficiency of switch-mode amplifiers when they are excited by digitized signals; however, low coding efficiency associated with 1-bit digital modulation ends up degrading total power efficiency of transmitters [6], [7]. In addition, design-complicated frequency-tunable bandpass filters (BPFs) are required at the outputs of power amplifiers (PAs) to filter out quantization noise spread over a wide band. Furthermore, PAs need to be broadband in order to see constant envelope at the inputs, but integration of BPFs to the outputs of the PAs, which could result in narrowband subsystems even with broadband PAs, can be an issue related to linearity and power efficiency [8]. To improve coding efficiency, multi-bit modulation was used as in [9] and [10]. However, multi-bit modulated signals

Manuscript received September 8, 2015; revised February 15, 2016; accepted March 19, 2016. Date of publication April 25, 2016; date of current version May 10, 2016. This work was supported in part by the Portuguese Foundation for Science and Technology (FCT) under a postdoctoral scholarship (SFRH/BPD/65454/2009), Ph.D. scholarship (SFRH/BD/91533/2012), and under project CREaTION (EXCL/EEI-TEL/0067/2012). The authors are with the Instituto de Telecomunicações, 3810-193 Aveiro, Portugal (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549532

have relatively higher peak-to-average power ratios (PAPRs), which result in degrading power efficiency of PAs when the signals drive PAs in power back-off modes because of linearity issues. Still, frequency-tunable BPFs may be needed due to remaining quantization noise even though requirements for the filters are not as demanding as 1-bit cases. Occasionally, synchronization among multiple paths to construct a multilevel digital RF signal could be an issue. Another type of digital transmitters having been reported recently is a digital polar transmitter [11]. The reported one could be integrated in a CMOS process. Accordingly, it could be compact and suitable for medium-power mobile devices. Still, much more development seems to be needed due to the following aspects: a mediocre power efficiency measured with a 3.3-dB PAPR signal, a tunable BPF needed for broadband capability due to the quantization noise of the pulse-width modulation, and a challenge of PA integration to further increase output power without degrading much of linearity and power efficiency. Another interesting type is a digital outphasing transmitter [12], [13]. Depending on how to generate digital outphasing signals, different issues have been reported: a low coding efficiency degrading power efficiency, needs of tunable BPFs for broadband operations, mediocre performances in terms of linearity and power efficiency when transmitting high PAPR signals, etc. In this paper, a new architecture of an almost-digital transmitter is proposed. It has a simple structure consisting of easily available functional blocks and its realization is not especially challenging. In addition, there is no coding efficiency issue so a comparably high power efficiency can be maintained without a tunable BPF. A proof-of-concept realization is demonstrated and its measured performances are presented over a wide frequency band. To the best of the authors’ knowledge, implementation and measurement of the proposed transmitter chain has not been reported. In Section III, a modified design method for a broadband Doherty amplifier is proposed and elaborated. The Doherty amplifier designed by the proposed method shows comparable bandwidth and efficiency to the wideband Doherty amplifiers recently reported in [14]–[17]. The main focus of this paper is on the implementation and the measurement of the new transmitter composed of simple modules. The proposed Doherty amplifier was presented as a part of the transmitter, but in detail because it has not been presented in other publications.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

JANG et al.: BROADBAND ALMOST-DIGITAL RF TRANSMITTER WITH EFFICIENT PA

Fig. 1. Structure of the proposed transmitter consisting of an FPGA, a power combiner, an LPF, a DA, a PA, another LPF, and an antenna from the left to the right.

II. T RANSMITTER S TRUCTURE The structure of the proposed RF transmitter is depicted in Fig. 1. It consists of a field programmable gate array (FPGA), a power combiner, a low-pass filter (LPF), a driver amplifier (DA), a PA, another LPF, and an antenna in order. The digital transmitter implemented in the FPGA uses lowpass delta–sigma modulation (DSM) to convert the digital baseband signal into a two-level signal [18], [19]. Using digital up-conversion the baseband signal is shifted to the specified carrier by generating a 1-bit signal at four times the carrier frequency [20]. DSM adds noise to the signal sidebands due to the quantization process, which is unwanted for the RF transmission. Multiple phases of the up-converted DSM signal are combined to remove the quantization noise at the digital transmitter output. The power combiner is used to combine eight outputs of the FPGA resulting in a quasi-analog signal that appears in the time domain as if a baseband envelope is multiplied by an RF square waveform instead of a sinusoid that is typically modulated to generate an RF communication signal. More details on the signal generation are found in [21]. A performance comparison with other up-to-date modulators can also be found in [21, Table II]. The architecture implemented in this paper uses two lowpass DSM together with a digital up-conversion. The produced signal is identical to what would be possible using a bandpass DSM; however, the hardware architecture is considerably different. On the bandpass DSM, the DSM logic has to work at four times the carrier frequency, which can be considerably high, especially for FPGA implementations [22]. On the other hand, the low-pass DSM can work at low clock frequencies, including at submultiples of the carrier frequency [23]. This reduces the necessary clock frequency before the digital upconversion. For the up-conversion we use a specific high-speed hardware embedded in the FPGA, which allows for the design to be possible at FPGA speeds. Nonetheless, improving the DSM clock, even with the low-pass DSM, allows for a better noise rejection and high signal bandwidth; hence, in [24], a set of optimization is presented to improve the specification on the low-pass modulator of the digital transmitter. Reference [24] includes a time-interleaved DSM on a simple low-pass DSM architecture and other hardware optimizations to improve the DSM data rate using a parallel architecture. Since the output of the power combiner contains harmonics, an LPF following the combiner is used to filter out the harmonics so that the filtering prevents the DA and the PA following the LPF from generating severe nonlinear products otherwise. In addition to linearity enhancement, the filtering helps to increase coding efficiency by reducing power leakage

1527

outside a desired channel while maintaining the channel power. After that, the signal is boosted in power by the DA and the PA. Another LPF may be used following the PA in case harmonics are created by the PA, which is normal when the PA is driven in a power-efficient mode. The second LPF can be identical to the first one. If harmonics are properly controlled in PA design, the second LPF may not be needed. For a power-efficient performance of the transmitter, a fundamental requirement is to have a power-efficient PA. There are various candidate techniques for the PA such as linear amplification with nonlinear components, envelope tracking, envelope elimination and restoration, Doherty amplification, etc. Among those, a Doherty amplifier fits most in the proposed transmitter structure in terms of design and system integration simplicity while being expected to provide high power efficiency over a considerably wide frequency band. Hence, a 6-dB back-off Doherty amplifier is chosen to be used in the transmitter. There are advantages of the proposed transmitter structure. First of all, assuming that the analog devices following the FPGA are supportive, the transmitter inherently provides flexible and broadband operability due to FPGA capability so it is suitable for software-defined or cognitive radios. In addition, compared to the conventional transmitter structure having an analog frequency up-conversion block, the proposed one is simpler and does not require many functional blocks such as a digital-to-analog converter, a mixer, and a local oscillator. Another advantage is that the LPFs in the transmitter chain do not need to satisfy extremely demanding performance specifications as required in a transmitter having a typical digital-RF signal generation scheme. Furthermore, multiple outputs of the FPGA are not sensitive to synchronization so it is easy to synchronize them. Finally, a combined output signal has very high coding efficiency, more than 95%, compared to typical digitally generated RF signals so the coding efficiency does not much degrade power efficiency of the PA, which considerably differentiates the proposed transmitter architecture from other all- or almost-digital transmitters. III. D OHERTY A MPLIFIER D ESIGN The Doherty PA structure employed in the proposed transmitter is shown in Fig. 2. The proposed output matching and power-combining network consists of total 12 microstrip lines: four lines each connected to the drain terminals of the main and auxiliary transistors and four lines connected from the junction to the output. The structure is chosen because, in general, frequency-dependent impedances of a combination of well-parameterized short microstrip lines tend to stay close each other on the Smith chart so it is suitable for a wideband combiner design. The specific number of the lines is determined by incrementally conducting the optimization procedure described in the following paragraph. On the input side, a Wilkinson power divider and a 50- microstrip line for phase compensation are used. Characteristic impedances and electrical lengths of the output network have been determined by using an optimization method called Newton’s method, as described in [25].

1528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 2. Structure of the realized Doherty amplifier. Z ’s and θ ’s, respectively, represent characteristic impedances and electrical lengths of microstrip lines.

Fig. 4. Impedances of the designed output network and the targeted impedances.

Fig. 3. Optimization scenario for the output network. Z m_bo and Z m_max are load impedances that the main transistor looks, respectively, at power back-off and maximum power output. Z a_bo and Z a_max correspond to those for the auxiliary transistor.

Non-gradient-based optimization methods such as pattern search, genetic algorithm, etc. that are available as built-in functions in MATLAB could be used for the same purpose. An optimization scenario is depicted in Fig. 3. The output port is connected with a 50- resistor. An impedance is assigned to the auxiliary amplifier port, as indicated in the dashed-line box. When computing a load impedance that the main transistor sees at power back-off (Z m_bo), a load impedance that the auxiliary transistor sees (Z a_bo) is connected. Similarly, a load impedance of the auxiliary amplifier at maximum power output (Z a_max) is connected to calculate a load impedance of the main amplifier at maximum power output (Z m_max). The negative signs are assigned according to the passive sign convention. Z a_bo is obtained from S22 of the auxiliary transistor at back-off over the targeted frequency band from 0.7 to 1.1 GHz, as shown in Fig. 4. Z a_max is decided based on load– pull simulation results, as shown in Fig. 5. Conventionally Z a_max is the same as Z m_max, but in the proposed design, Z a_max is chosen to be 30  observing that the optimum impedance for the maximum output power at 0.9 GHz, the center frequency of the band, is around 30 + j 12 . The imaginary part can be taken into account in order to make the auxiliary amplifier provide the maximum power, but the difference is 0.1 dB, as shown in Fig. 5. The chosen impedance is smaller than the conventional one, which is 50  for the transistor to be used in the auxiliary amplifier. The purpose of the smaller impedance is to expectedly provide better controllability on load modulation by increasing the output current of the auxiliary amplifier especially when designing a symmetrical Doherty amplifier, i.e., when the same size of transistors and the same

Fig. 5. Frequency-dependent load–pull simulation results for the transistor biased as the auxiliary amplifier. The contours of output power (solid line) and PAE (dashed line) are drawn, respectively, at 0.1 dB below the maxima and 50%. The frequency step is 100 MHz.

drain voltages are used for both of the main and the auxiliary amplifiers. The issue on the output current of the auxiliary amplifier is well explained in [14]. It has been observed that the maximum output current is about 70% of the maximum output current of the main amplifier. Other advantages include more contribution to power output by injecting more current into the combiner and better convergence observed during optimization for a broadband output network, which seems to be related to better load modulation controlability. A disadvantage is a lower power efficiency of the auxiliary amplifier at maximum power output. However, an output power of the auxiliary amplifier is about 6 dB lower than

JANG et al.: BROADBAND ALMOST-DIGITAL RF TRANSMITTER WITH EFFICIENT PA

Fig. 6. Frequency-dependent load–pull simulation results for the transistor biased as the main amplifier at the maximum output. The contours of output power (solid line) and PAE (dashed line) are drawn, respectively, at 21.5 dBm and 70%. The frequency step is 100 MHz.

Fig. 7. Frequency-dependent load–pull simulation results for the transistor biased as the main amplifier at power back-off. The contours of output power (solid line) and PAE (dashed line) are drawn, respectively, at 16.5 dBm and 60%. The frequency step is 100 MHz.

that of the main amplifier so total efficiency is not decreased much. For example, 70% and 40% of efficiency, respectively, from the main and auxiliary amplifiers result in 60% of total efficiency when an output power difference is 6 dB. When the amplifier is driven at back-off, average efficiency is even less effected due to rare occurence of peaks. The example scenario has been based on observations on load–pull simulations. Of the conventional Doherty amplifier, since V m_bo = V m_max and I m_bo = 0.5 I m_max, Z m_bo =

V m_max V m_bo =2 = 2 Z m_max I m_bo I m_max

1529

TABLE I M AXIMUM PAE AND O UTPUT P OWER VALUES IN F IGS . 6 AND 7. BO D ENOTES BACK -O FF

(1)

where V m_bo and I m_bo are, respectively, voltage and current of the main amplifier at power back-off. V m_max and I m_max denote those at maximum power output. Meanwhile, a load modulation scenario employed in the proposed design is based on load–pull simulations, as shown in Figs. 6 and 7, while harmonic impedances are terminated at 50 . The maximum PAE and output power values in Figs. 6 and 7 are listed in Table I. Z m_max is set to be 50  based on the contours in Fig. 6. Targeted Z m_bo is chosen to be 75+ j 12  according to Fig. 7. Comparing to the conventional Doherty amplifier, the chosen Z m_bo is relatively closer to the location of the maximum output power because it provides better linearity, more output power and gain. Moreover, it is easier to design wideband output network due to the relatively smaller impedance modulation ratio. Z m_bo corresponds to V m_bo = 0.81 V m_max and I m_bo = 0.54 I m_max. As a result, a new relation can be seen as 3 (2) Re {Z m_bo} = Z m_max. 2 The resulting voltage and current profiles of the main amplifier are shown in Fig. 8. That approach compromises a small

Fig. 8.

Voltage and current profiles of the main amplifier to be designed.

amount of power efficiency by less than 5% according to simulation. However, to maintain wideband operability of the proposed transmitter chain, a design priority of the proposed Doherty amplifier is given to a wideband output network by sacrificing a small amount of power efficiency.

1530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE II D ETERMINED C HARACTERISTIC I MPEDANCES AND E LECTRICAL L ENGTHS ( AT 1 GHz) OF THE I DEAL T RANSMISSION L INES IN THE O UTPUT N ETWORK S HOWN IN F IG . 2. I NFORMATION ON O THER C OMPONENTS ARE A LSO I NCLUDED

For optimization of the output network, a Jacobian matrix is built as ⎡ ∂ Z m_bo

_ f1

⎢ ∂ Z m1 ⎢ ⎢ ∂ Z m_bo_ f1 ⎢ ⎢ ∂θ m1_ f 1 ⎢ ⎢ .. J=⎢ . ⎢ ⎢ ⎢ ∂ Z m_bo_ f1 ⎢ ⎢ ∂ Z c4 ⎢ ⎣ ∂ Z m_bo_ f 1 ∂θc4_ f1

··· ··· ..

.

··· ···

∂ Z m_bo_ fn ∂ Z m1 ∂ Z m_bo_ fn ∂θm1_ fn .. . ∂ Z m_bo_ fn ∂ Z c4 ∂ Z m_bo_ fn ∂θc4_ fn

⎤ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ···⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎥ ⎦

Fig. 9. Simulated frequency-dependent load impedances of the main and auxiliary amplifiers with ideal transmission-line networks.

(3)

where the subscript f n denotes the nth frequency at which optimization is desired. For Z m_max, the whole elements block is laterally added to the place indicated with center dots on the far right side in the array. Characteristic impedances of microstrip lines are bounded between 5 and 90  for feasibility. Their electrical lengths are bounded between 5◦ and 30◦ for wideband design. The resulting network parameters are shown in Table II. Frequency-dependent load modulations of the designed output network is shown in Fig. 4. It is worth summarizing the advantages and disadvantages of the designed Doherty amplifier and the proposed design method. The amplifier provides more gain and output power by locating both Z a_max and Z m_bo closer to the corresponding maximum output power impedance. In that way, the amplifier utilizes almost full power capacity of the transistors especially in the symmetrical Doherty design. When the amplifiers are parallelized for high power applications, the number of amplifiers could be reduced for the same power output and combining power loss would be decreased. As a result, the compromised efficiency would be compensated. Another advantage is that the amplifier has slower gain compression due to more power contribution by the auxiliary amplifier; linearity would be enhanced. The lower load impedance modulation ratio and the output network help to more easily design a wideband Doherty amplifier. The disadvantage is the slightly compromised efficiency due to the employed load impedance modulation.

Fig. 10. Simulated drain efficiencies, output powers, and gains of the designed amplifier with ideal transmission-line networks.

IV. D OHERTY A MPLIFIER S IMULATION AND M EASUREMENT An amplifier circuit for simulation is built with the determined ideal transmission lines in Section III and transistors. Simulated load impedances of the auxiliary amplifier and corresponding load modulations are shown in Fig. 9. Compared to Fig. 4, a similar tendency of load modulation can be observed even though there are some discrepancies, especially in Z a_bo. The discrepancies mainly come from frequencydependent and mutual load impedance changes created by connecting transistors to the designed output network, which is not considered when the output network is determined. Simulated drain efficiencies, output powers, and gains are shown in Fig. 10. The results show intended Doherty behaviors well over the desired bandwidth. Hence, the determined network parameters could be a good starting point for tuning.

JANG et al.: BROADBAND ALMOST-DIGITAL RF TRANSMITTER WITH EFFICIENT PA

Fig. 11. Simulated frequency-dependent PAEs, output powers (dashed lines), and gains of the designed amplifier with microstrip networks at smallsignal (x), 6-dB input power back-off (◦), and/or maximum power output (∇).

Fig. 12.

1531

Fig. 13. Measured drain efficiencies, output powers, and gains of the built amplifier.

Photograph of the built amplifier.

The elements of the output network are transformed into microstrip lines and they are fine tuned manually. To reduce frequency-dependent small-signal gain variation, the Wilkinson divider at the input is modified and, as a result, it provides asymmetric power division. Simulated frequencydependent power-added efficiencies (PAEs), output powers, and gains at small-signal 6-dB input power back-off and/or maximum power output are shown in Fig. 11. The designed amplifier is implemented and its photograph is shown in Fig. 12. The same transistors, ATF-54143 manufactured by Avago Technologies, are used for both of the main and auxiliary amplifiers, which are, respectively, biased in deep class AB and class C with the same drain supply voltages. The built amplifier is excited with a continuous wave (CW) and measured. The measurement results are shown in Figs. 13 and 14. Comparing to the simulation results in Figs. 10 and 11, respectively, gains and output powers agree well, but there are discrepancies in the PAE, especially at power back-off on both sides in the frequency band. In measurement, more current was drawn from the auxiliary amplifier at back-off than in simulation, which means that it did not provide a high-impedance condition observed in simulation. Inaccuracy of the transistor model is highly suspected to be the main cause of the discrepancies assuming

Fig. 14. Measured frequency-dependent PAEs, output powers (dashed lines), and gains of the built amplifier excited with a CW at small-signal (x), 6-dB power back-off (◦), and/or maximum power output (∇).

Fig. 15. Measurement setup for the proposed transmitter. An FPGA, a power combiner, an LPF, a DA, a step attenuator, a PA, and a VSA are connected in order from the left to the right.

that models for the microstrip networks are relatively accurate, which is not a strong assumption. One more run could improve the PAEs, but the built amplifier is used to construct the proposed transmitter since the amplifier provides fairly good PAEs over the desired frequency band. V. T RANSMITTER M EASUREMENT A measurement setup for the proposed transmitter is shown in Fig. 15. An FPGA, a power combiner, an LPF, a DA, a step attenuator, a PA, and a vector signal analyzer (VSA) are connected from the left to the right. The FPGA used in measurement is Virtex-6 made by Xilinx. Models of the power combiner, LPF, and DA are, respectively, ZN8PD1-53-S+, NLP-1200, and ZHL-1042J made by Mini Circuits. The cutoff

1532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 16. Measured frequency-dependent average PAEs (◦) and gains (∇) of the PA, and output powers (x) and ACLRs (*) at the transmitter output when the FPGA generates a QPSK having 5.6 dB of PAPR.

Fig. 18. Measured output spectrum of the transmitter when the PA is driven at 6-dB power back-off at 1 GHz. The resolution bandwidth is 500 kHz.

Fig. 17. Measured EVM of the transmitter versus PA input power at 1 GHz when the FPGA generates a QPSK signal having 5.6 dB of PAPR. About 1% of EVM caused by the FPGA was included.

Fig. 19. Zoomed-in version of Fig. 18 around the channel. The resolution bandwidth is 30 kHz.

frequency of the LPF is 1.2 GHz. The second LPF is omitted, but about 0.3 dB of attenuation is measured for the first LPF, which implies around 3% of efficiency degradation. The designed Doherty amplifier is used for the PA. A quadrature phase-shift keying (QPSK) signal having 5.6 dB of PAPR is generated by the FPGA. Coding efficiencies of 96.7% and 99.9% are measured at the outputs of the combiner and the LPF, respectively. The increase of coding efficiency after the LPF is achieved by filtering harmonics. Average PAE and gain of the PA are measured and shown in Fig. 16 when the PA is driven at 6-dB input power backoff. Output power and adjacent channel leakage ratio (ACLR) at the transmitter output are also shown. Average PAEs are more than 40% over the frequency band. ACLRs are measured between −26 and −37 dBc. Error vector magnitude (EVM) is measured at 1 GHz and the results are shown in Fig. 17. An EVM of 2.6% is measured at 6-dB input power back-off. It is worth clarifying that the EVM memasurements include

about 1% of EVM caused by the FPGA. Fig. 18 shows a measured frequency spectrum at the output of the transmitter when the PA is driven at 6-dB input power back-off at 1 GHz. The quantization noise level stays around and below −40 dBc, which is quite a good figure compared to other signal generation schemes such as multi-bit sigma–delta modulation or pulse-width modulation [10]. It is clearly seen that harmonics can be filtered out without a sophisticated filter. A zoomed in version around the channel is shown in Fig. 19. To demonstrate flexibility of the proposed transmitter, a 64 quadrature amplitude modulation (QAM) signal having 9 dB of PAPR is generated by the FPGA. Coding efficiencies of 96% and 99.3% are measured at the outputs of the combiner and the LPF, respectively. Similar measurement to the QPSK case is conducted while the PA is also driven at 6-dB input power back-off. The results are shown in Fig. 20. As expected, similar measurements are obtained except ACLRs, which are measured between −24.5 and −30.6 dBc. More distortion is

JANG et al.: BROADBAND ALMOST-DIGITAL RF TRANSMITTER WITH EFFICIENT PA

1533

a broadband Doherty amplifier was elaborated. A proof-ofconcept transmitter was realized and measured. Quite comparable performances were presented in terms of linearity, PA power efficiency, and frequency agility. A future development such as output power enhancement for base-station applications seems to be possible without having a big challenge. R EFERENCES

Fig. 20. Measured frequency-dependent average PAEs (◦) and gains (∇) of the PA, and output powers (x) and ACLRs (*) at the transmitter output when the FPGA generates a 64-QAM signal having 9 dB of PAPR.

caused by 3-dB difference between the PAPR and the input power back-off. Power efficiency of the whole transmitter chain is one of the most important performance factors. The FPGA consumes 9.4 W of power including the power supplies in order to generate one of the signals used for the measurements. Considering that the measured output power of the transmitter is about 80 mW, it is obviously nonsense to use the transmitter as it is in any applications. However, if the output power of the transmitter is in the order of 100 W, as with the case of base-station applications, the power consumption of the FPGA becomes a less significant issue. The resulting efficiency degradation would be about 2%. For that reason, the proposed transmitter is more suitable for base-station applications. In addition to power efficiency, other important performance factors such as gain, output power, linearity, etc. should be taken into account in the system design perspective. The output power of the FPGA is about −15 dBm. To achieve 50 dBm at the output of the transmitter, 65 dB of gain is required from drivers and the PA. Since a PA can provide about 15 dB of gain [14], 50 dB of gain is required from the drivers. Therefore, to apply the proposed concept to base-station applications, the PA must be designed with larger transistors, as in [14], and one to two more driver stages would be needed. Notice that the gain of the driver used in the implementation is 25 dB. In addition, to achieve 100 W of output power at 6-dB back-off, a parallel power combination may be needed. Regarding linearity, the signals generated at the output of the FPGA have about 1% and 2.3% of EVM, respectively, for 4 and 20 MHz of bandwidth. The main source of the additional EVM in the transmitter chain is the PA. Observing the EVM performance in Fig. 17, 3%–5% of EVM is expected at the output of the PA driven at 6-dB back-off, for a signal with 6 dB of PAPR and a bandwidth between 4 and 20 MHz. VI. C ONCLUSION A simple and new architecture of an almost-digital RF transmitter was proposed. A modified design approach for

[1] M. Tanio, S. Hori, M. Hayakawa, N. Tawa, K. Motoi, and K. Kunihiro, “A linear and efficient 1-bit digital transmitter with envelope delta–sigma modulation for 700 MHz LTE,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa, FL, USA, Jun. 2014, pp. 1–4. [2] R. Ma, Z. Wang, X. Yang, and S. Lanfranco, “Implementation of a current-mode class-S RF power amplifier with GaN HEMTs for LTE-advanced,” in IEEE Wireless Microw. Techn. Conf., Cocoa Beach, FL, Apr. 2012, pp. 1–6. [3] S. Maier et al., “900 MHz pulse-width-modulated class-S power amplifier with improved linearity,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, Jun. 2011, pp. 1–4. [4] A. Wentzel, C. Meliani, J. Flucke, E. Ersoy, and W. Heinrich, “Design and realization of an output network for a GaN-HEMT current-mode class-S power amplifier at 450 MHz,” in German Microw. Conf., Munich, Germany, Mar. 2009, pp. 1–4. [5] A. Frappé, A. Flament, B. Stefanelli, A. Kaiser, and A. Cathelin, “An all-digital RF signal generator using high-speed  modulators,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2722–2732, Oct. 2009. [6] D. Markert, C. Haslach, G. Fischer, and A. Pascht, “Coding efficiency of RF pulse-width-modulation for mobile communications,” in Int. Signals, Systems, Electron. Symp., Potsdam, Oct. 2012, pp. 1–5. [7] T. Johnson and S. P. Stapleton, “RF class-D amplification with bandpass sigma–delta modulator drive signals,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 12, pp. 2507–2520, Dec. 2006. [8] W. Jang, N. Silva, A. Oliveira, and N. Carvalho, “Analysis on in-band distortion caused by switching amplifiers,” IET Microw. Antennas, vol. 8, no. 5, part P, pp. 351–357, Apr. 2014. [9] Q. Zhu, R. Ma, C. Duan, K. Mukai, S. Shinjo, and K. Teo, “A 5-level efficient IFPWM power coding approach encoding LTE for classS digital-RF transmitter with distortion correction,” in IEEE Radio Wireless Symp., Newport Beach, CA, USA, Jan. 2014, pp. 184–186. [10] N. Silva, A. Oliveira, and N. Carvalho, “Design and optimization of flexible and coding efficient all-digital RF transmitters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 625–632, Jan. 2013. [11] T. Nakatani, J. Rode, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Digitally-controlled polar transmitter using a watt-class current-mode class-D CMOS power amplifier and Guanella reverse balun for handset applications,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1104–1112, May 2012. [12] S. Chung, R. Ma, S. Shinjo, H. Nakamizo, K. Parsons, and K. Teo, “Concurrent multiband digital outphasing transmitter architecture using multidimensional power coding,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 598–612, Feb. 2015. [13] A. Ravi et al., “A 2.4-GHz 20–40-MHz channel WLAN digital outphasing transmitter utilizing a delay-based wideband phase modulator in 32-nm CMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3184–3196, Dec. 2012. [14] D. Wu and S. Boumaiza, “A modified Doherty configuration for broadband amplification using symmetrical devices,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3201–3213, Oct. 2012. [15] R. Giofrè, L. Piazzon, P. Colantonio, and F. Giannini, “A closedform design technique for ultra-wideband Doherty power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3414–3424, Dec. 2014. [16] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 1, pp. 99–111, Jan. 2012. [17] J. Pang, S. He, C. Huang, Z. Dai, J. Peng, and F. You, “A post-matching Doherty power amplifier employing low-order impedance inverters for broadband applications,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 11, pp. 4061–4071, Dec. 2015. [18] N. Silva, A. Oliveira, U. Gustavsson, and N. Carvalho, “A novel all-digital multichannel multimode RF transmitter using delta–sigma modulation,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 3, pp. 156–158, Mar. 2012.

1534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[19] M. Helaoui, S. Hatami, R. Negra, and F. Ghannouchi, “A novel architecture of delta-sigma modulator enabling all-digital multiband multistandard RF transmitters design,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 11, pp. 1129–1133, Nov. 2008. [20] B. T. Thiel, A. Ozmert, J. Guan, and R. Negra, “Lowpass delta– sigma modulator with digital upconversion for switching-mode power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, Jun. 2011, pp. 1–4. [21] R. Cordeiro, A. Oliveira, and J. Vieira, “All-digital transmitter with mixed-domain combination filter,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 63, no. 1, pp. 4–8, Jan. 2016. [22] J. Rode, J. Hinrichs, and P. Asbeck, “Transmitter architecture using digital generation of RF signals,” in Proc. Radio Wireless Conf., Aug. 2003, pp. 245–248. [23] A. Jerng and C. G. Sodini, “A wideband  digital-RF modulator for high data rate transmitters,” IEEE J. Solid-State Circuits, vol. 42, no. 8, pp. 1710–1722, Aug. 2007. [24] R. Cordeiro, A. Oliveira, J. Vieira, and N. Silva, “Gigasample timeinterleaved delta–sigma modulator for FPGA-based all-digital transmitters,” in Euromicro Digital Syst. Design Conf., Verona, Italy, Aug. 2014, pp. 222–227. [25] W. Jang, N. Silva, A. Oliveira, and N. Carvalho, “Designing harmoniccontrolled drivers for switching power amplifiers,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 5, pp. 247–251, May 2013. Wonhoon Jang received the B.S. degree in electronics from Kyungpook National University, Daegu, Korea, in 1997, and the Ph.D. degree in electrical engineering from North Carolina State University, Raleigh, NC, USA in 2006. He is currently a Post-Doctoral Researcher with the Instituto de Telecomunicações, Aveiro, Portugal. From 1997 to 1999, he was with the LG Precision Company (now LIG Nex1), Kumi, Korea, where he developed military radios. From 2006 to 2008, he was with the Samsung Electronics Company, where he developed RF sections of mobile phones. His current research interests include designing RF front-ends of the software-defined radio, design automation for broadband power-efficient RF circuits, and nonlinear RF/microwave system analysis and modeling. Rui Cordeiro (GSM’14) received the M.S. degree in electronic engineering from the University of Aveiro, Aveiro, Portugal, in 2012, and is currently working toward the Ph.D. in electrical engineering at University of Aveiro. He is currently with the Telecommunications Institute, Aveiro, Portugal, where he performs research activities under the subjects of re-configurable embedded systems, digital signal processing, wireless communications, and software-defined radio.

Arnaldo Oliveira (M’10) received the B.Sc. and M.Sc. degrees in electronics and telecommunications and Ph.D. degree in electrical engineering from University of Aveiro, Aveiro, Portugal, in 1997, 2000, and 2007, respectively. He is currently a Researcher with the Instituto de Telecomunicações, Aveiro, Portugal. Since 2001, he teaches computer architecture, digital systems design, programming languages, and embedded systems with the University of Aveiro, where he is currently an Assistant Professor. He has participated in several national and European funded research projects. He has authored or coauthored more than 70 journal and international conference papers. His research interests include reconfigurable digital systems, software-defined radio, and next-generation radio access networks.

Nuno Borges Carvalho (S’97–M’00–SM’05–F’15) was born in Luanda, Angola, in 1972. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the University of Aveiro, Aveiro, Portugal, in 1995 and 2000, respectively. He is currently a Full Professor and a Senior Research Scientist with the Institute of Telecommunications, University of Aveiro. He coauthored Intermodulation in Microwave and Wireless Circuits (Artech House, 2003), Microwave and Wireless Measurement Techniques (Cambridge Univ. Press, 2013), and White Space Communication Technologies (Cambridge Univ. Press, 2014). He has reviewed and authored over 200 papers in magazines and conferences. He coinvented and holds four patents. His main research interests include software-defined radio front-ends, wireless power transmission, nonlinear distortion analysis in microwave/wireless circuits and systems, and measurement of nonlinear phenomena. He has recently been involved in the design of dedicated radios and systems for newly emerging wireless technologies. Dr. Borges Carvalho is the co-chair of the IEEE MTT-20 Technical Committee and the past-chair of the IEEE Portuguese Section and MTT-11. He also belongs to the technical commitees MTT-11, MTT-20, and MTT-26. He is also the chair of the URSI-Portugal Metrology Group. He is an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , IEEE Microwave Magazine, and the Cambridge Wireless Power Transfer Journal. He was the recipient of the 1995 University of Aveiro and the Portuguese Engineering Association Prize for the Best 1995 Student with the University of Aveiro, the 1998 Student Paper Competition (Third Place) Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), and the 2000 IEE Measurement Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1535

A 102–129-GHz 39-dB Gain 8.4-dB Noise Figure I/Q Receiver Frontend in 28-nm CMOS Tom Heller, Emanuel Cohen, Member, IEEE, and Eran Socher, Senior Member, IEEE

Abstract— An F-band in-phase/quadrature-phase (I/Q) receiver front-end in 28-nm CMOS for chip-to-chip communication is presented. The receiver consists of a capacitively neutralized differential low-noise amplifier (LNA) chain, a passive ring mixer, zero-IF drivers, and a novel tunable transformer-based quadrature splitter. This paper discusses the effect of capacitive neutralization on common-mode stability, matching losses, and the noise performance of a differential pair. A technique for gain and noise-figure optimization by core sizing and partial neutralization is presented. The receiver exhibits a gain of 39 dB, a 3-dB RF bandwidth of 27 GHz, a noise figure between 8.4 and 10.4 dB, and a P1 dB of 3.2 dBm. The receiver front-end consumes 18 mW from a 1.0-V supply and the baseband I and Q buffers consume a total of 33 mW from a 1.5-V supply. A breakout of the LNA shows a measured gain of 21 dB, a noise figure of 8.0–9.4 dB, with a gain power efficiency of 1.2 dB/mW around 125 GHz. Index Terms— Chip-to-chip, common-mode stability, F-band, low-noise amplifier (LNA), millimeter-wave, neutralization, noise figure, passive mixer, transformer-based phase shifter, 28 nm.

I. I NTRODUCTION

D

ATA in multi-chip systems is commonly routed via printed circuit board (PCB) copper lines. Increasing inter-chip network complexity and data rates lead to higher line density, interference, power consumption, and fault sensitivity. Some of these problems may be alleviated by switching from copper lines to wireless interconnects. As opposed to wireline networks, wireless networks are intrinsically scalable and reconfigurable: network nodes and links can be dynamically created and removed as needed, improving the network’s bandwidth allocation, power efficiency, and fault tolerance [1]. The optimal frequency band of a wireless interconnect would lie somewhere in the millimeter-wave range since it is required to transfer high data rates at short distances. The cost and form factor of a wireless interconnect can be significantly

Manuscript received July 23, 2015; revised January 5, 2016 and March 1, 2016; accepted March 15, 2016. Date of publication April 14, 2016; date of current version May 10, 2016. This work was supported in part by the Intel Corporation. T. Heller was with the Electrical Engineering Department, Tel Aviv University, Tel Aviv 69978, Israel, and also the Advanced Radio Technologies Team, Mobile and Wireless Group, Intel Corporation, Haifa 31015, Israel. He is now with Broadcom, Herzliya 46101, Israel (e-mail: [email protected]). E. Cohen is with the Department of Electrical Engineering, Technion–Israel Institute of Technology, Haifa 32000, Israel, and also with the Advanced Radio Technologies Team, Mobile and Wireless Group, Intel Corporation, Haifa 31015, Israel (e-mail: [email protected]). E. Socher is with the School of Electrical Engineering, Tel Aviv University, Tel Aviv 69978, Israel (e-mail: [email protected] u.ac.il). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2547390

Fig. 1. Wireless interconnect system blocks; (right) receiver front-end components/floor plan.

reduced by integrating it with the digital chip, with the antenna dimensions typically determining the transceiver area, whether fabricated in silicon or in a dedicated substrate. A few examples follow. References [2] and [3] present transceivers at 45 and 60 GHz, respectively, both utilizing on-board Yagi–Uda antennas with dimensions of about 12 mm × 22 mm and 8.5 mm × 4 mm, respectively. At high frequencies a wireless interconnect can be implemented as a phased-array transceiver of reasonable dimensions. Reference [4] presents a 2 mm × 2 mm adaptive 180-GHz 4 × 4 Butler matrix and a patch antenna fabricated in a dielectric substrate. On-chip antennas suffer from low radiation efficiency. Nonetheless, many works at the D-band and higher report on-chip antennas in order to minimize the antenna routing parasitics, which are more pronounced at high frequencies. References [5] and [6] report a 7.4-Gb/s continuous-phase frequency shift-keying (CPFSK) transceiver at 120 GHz with both a bond-wire dipole antenna and an on-chip collinear broadside dipole. References [7] and [8] report 14-Gb/s on–off keying (OOK) transceivers at 240 and 260 GHz with on-chip slotted-line antennas. This work presents an F-band receiver front-end intended for on-package antenna integration. The receiver features a bandwidth of 27 GHz and a noise figure between 8.4 and 10.4 dB, and is capable of supporting dense symbol constellations and data rates at the order of tens of Gbit/s. Fig. 1 shows a block diagram of the proposed wireless interconnect, including a packaged silicon die and two on-package antennas. An on-chip phased-locked loop (PLL) generates a V-band local oscillator (LO) signal, followed by two ×2 frequency multipliers, one in the transmitter and another in the receiver. This work describes the receiver, which features a differential low-noise amplifier (LNA), passive I and Q mixers, zero-IF buffers, and a transformer-based hybrid coupler.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1536

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

(a) LNA schematic. (b) NCSP with FET capacitors. (c) Small-signal model. (d) FET noise model.

This paper is organized as follows. Section II discusses the capacitively neutralized common-source (CS) LNA. Several capacitively neutralized amplifiers have been reported [14]–[18], [20], [23] and the effect of capacitive neutralization on G max and differential-mode stability has been thoroughly discussed [14]–[16]. However, discussion of the effect of capacitive neutralization on noise performance is absent in literature. LNA design considerations are presented, focusing on minimization of matching losses by proper sizing and partial neutralization, and on noise-figure optimization. Section III describes the passive down-conversion mixers, Section IV describes the tunable transformer-based quadrature generator, and Section V describes the zero-IF stage. Section VI presents the chip measurements and Section VII concludes this paper.

Fig. 3. (a) Simulated CMRR of the input balun with a center-tap 30-fF capacitor. (b) Capacitor layout.

II. LNA The LNA, shown in Fig. 2(a), consists of capacitively neutralized common-source pairs (CNCSPs) [14]–[18], [20]. Single-ended amplifiers can also be neutralized using inductors and transformers [12], [13]. However, inductive components take up a large silicon area and the neutralization they provide is inherently band-limited. The gate–drain capacitances of field-effect transistor (FET) devices similar to the CS devices are used in order to improve process variation tolerance. The bulks of the neutralizing devices are biased via large resistors to reduce loss due to signal leakage [see Fig. 2(b)], as in [17]. A. Matching Networks

Fig. 4. (a) Equivalent half-circuit model of a transformer matching network. (b) Horizontally coupled low-k transformer.

A breakout of the LNA was taped out along with the receiver front-end. The singled-ended input is balanced by a 1:2 transformer. The transformer windings are fabricated in ultra-thick metal (UTM) and its inner radius is 12.5 μm. Due to the small internal winding radius and the minimum via size, the inter-winding distance is set to 2 μm, resulting in significant capacitive coupling and, consequentially, in degradation of the balun’s common-mode rejection ratio (CMRR). A custom-designed 30-fF capacitor is placed between the primary center tap and the ground to improve the transformer’s CMRR by about 10 dB (Fig. 3).

The LNA stages are matched to each other using 1:1 low-k transformers. Fig. 4(a) shows a half-circuit model of a transformer used to match the output of one differential pair to the input of another differential pair. The transformer coupling coefficient is denoted by k. The winding inductances are denoted by L 1 and L 2 and their respective quality factors are modeled by the resistors RW 1 and RW 2 . The parasitic winding capacitances are denoted by C W 1 and C W 2 . The output of the first pair is modeled as a current source i in

HELLER et al.: 102–129-GHz 39-dB GAIN 8.4-dB NOISE FIGURE I/Q RECEIVER FRONTEND IN 28-nm CMOS

1537

TABLE I LNA T RANSFORMER PARAMETERS

and a shunt capacitance C p1 . The input of the second pair is modeled as a shunt RC load, consisting of R p2 and C p2 . The trans-impedance transfer function of the model v o /i i has two complex poles. In order to increase the matching bandwidth the second complex pole is positioned at the upper margin of the matching frequency band by selecting an appropriate coupling coefficient [11]. The horizontally coupled windings of the low-k transformers are fabricated in the UTM layer and the bridges are fabricated in the aluminum (AP) layer [see Fig. 4(b)]. The LNA output is matched to the mixer RF inputs using a 2:1 transformer and a custom 80-fF shunt capacitor at its output, fabricated in the thick metal (TM) and the UTM layer. The combined loaded mixer input impedance is 9–17i . The output of the LNA breakout is unbalanced by a 2:1 transformer for probing measurements. Table I lists the inductances, coupling coefficients, and self-resonant frequencies (SRFs) of the transformers used in both the receiver front-end and the LNA breakout, with the SRF of a transformer defined as the lower of the SRFs of its two windings. B. Sizing Dissipative matching losses are a major cause of noisefigure degradation. In order to minimize matching losses the LNA power budget needs to be allocated to each core according to the severity of the matching losses associated with its matching networks. The total LNA power budget is 18 mA and the total device width is 104 μm. All of the core devices have 500-nm-wide fingers, chosen for optimal f T and each stage is biased at about 170 μA/μm. The input and output matching networks exhibit high transformation ratios that yield significant matching losses. In comparison, the matching losses at the inter-stage matching networks are relatively low because of their near-unity transformation ratios. The matching losses at the input and output matching networks are minimized by allocating more power to the first and fourth cores (14 μm-wide devices) than to the second and third cores (12-μm-wide devices). C. Stability and Gain Capacitive neutralization is a differential-mode unilaterallization technique that is employed to improve the differentialmode stability of a CS pair [14]–[16]. Fig. 2(c) shows a small-signal model of the CNCSP, with Cgd , Cn , gm , r g ,

Fig. 5. Simulated G max and input/output resistances versus Cn at 115 GHz for 12- and 14-μm CNCSPs with 2-μm (1.5 fF) and 3-μm (2,2 fF) capacitances.

and Z d denoting the parasitic gate–drain capacitance, the neutralization capacitance, the device transconductance, the gate resistance, and the load impedance. The differential-mode Y12 parameter of the CNCSP, as given by [16], is Y12,dm = −ω2 (Cgs + Cgd )Cgd r g − j ω(Cgd − Cn ).

(1)

Equation (1) shows that capacitive neutralization can only zero the imaginary part of Y12 . At high frequencies in which the real part of Y12 is non-negligible, neutralization provides only modest improvement in G max [15], [16]. Fig. 5(a) shows the simulated G max of 12-μm/30-nm and 14-μm/30-nm CNCSPs biased at 170 μA/μm, plotted against Cn at 115 GHz. The G max of each of the four CNCSPs are marked in the figure, with selected neutralization capacitances of either 1.5 fF (yielded by a 2-μm/30-nm device) or 2.2 fF (yielded by a 4-μm/30-nm device), as shown in Fig. 2(a). One would be tempted to over-neutralize the CS pair in order to achieve more gain, as in [16]. However, care must be taken, as the common-mode Y12 parameter of the CNCSP is Y12,cm = −ω2 (Cgs + Cgd )Cgd r g − j ω(Cgd + Cn ).

(2)

Not surprisingly, (2) shows that over-neutralized CNCSPs exhibit a particularly high Y12,cm , increasing the risk of common-mode oscillation [18]. Despite offering little improvement of G max , capacitive neutralization can potentially improve the power gain of a CS pair at high frequencies. Fig. 5(b) shows the real parts of the CNCSPs’ input and output impedances at simultaneous conjugate matching conditions, Rin,sm and Rout,sm . These resistances are defined only within the range of values of Cn that yield unconditional stability. As Cn approaches potential instability, Rin,sm and Rout,sm approach zero. In the vicinity of optimal neutralization Rin,sm and Rout,sm reach a maximum at the order of 100 . Consequentially, even though the chosen values of Cn yield lower values of G max , the higher values of Rin,sm and Rout,sm lead to lower matching losses.

1538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

D. Noise Capacitive neutralization degrades both the minimum noise figure Fmin and the noise sensitivity parameter Rn of the CS pair. The latter determines the sensitivity of the two-port system’s noise figure to deviation of Y S from Yopt . Rn is defined as [19] v n2 (3) Rn ≡ 4kTB  f where v n2 is the equivalent input noise voltage, k B is Bolzmann’s constant, T is the temperature in Kelvin, and  f is the noise bandwidth. Fig. 2(d) shows a small-signal noise model of an FET device. The channel noise current i n,ch is given by [21] 2 = 4k B T  f γ gd0 i n,ch

(4)

where gd0 is the zero-bias drain conductance and γ is the process-dependent thermal noise coefficient. The channel noise current i n,ch is referred to the input as voltage according to 2 i n,ch v n2 = . (5) |Y21 |2 Y21 , as given by [16], is Y21 = gm − j ω(gm r g (Cgs + Cgd ) + Cgd − Cn ).

(6)

Fig. 6 shows the simulated |Y21 | and Rn of a 12-μm CNCSP at different values of Cn in the millimeter-wave regime. Equations (3)–(6) show that as Cn is increased |Y21 |2 drops and, consequentially, Rn increases. The minimum noise factor Fmin can be expressed in terms of Rn and the correlated and uncorrelated noise current conductances G c and G u as [19]  Fmin = 1 + 2G c Rn + 2 Rn G u + (Rn G c )2 . (7) Whereas G u is invariant with regard to the channel noise current and, hence, to Cn , G c increases with Cn , leading to degradation in Fmin (Fig. 6). In order to reduce the overall noise figure, each stage in the LNA chain is applied with a slightly higher Cn /Cgd ratio than the preceding stage [see Figs. 2(a) and 5(a)]. III. D OWN -C ONVERSION M IXER Millimeter-wave active down-conversion mixers are more prevalent than millimeter-wave passive down-conversion mixers [5], [18], [26]–[32]. The latter are often reserved for mixer-first architectures [7], [8], [24], [25] at frequencies in the vicinity of or higher than f T / f max . Double-balanced current commutation mixers exhibit high power consumption and a low-voltage swing in sub-micrometer processes [26]. Several linearity and bandwidth enhancement techniques have been proposed [27]–[29], but the high power consumption remains unchanged. Millimeter-wave multiplication-type mixers [26], [30]–[32] consume less power than current commutation mixers, but they require power combiners with unbalanced RF and LO inputs, making them incompatible with differential LNAs. For the above reasons, a passive downconversion mixer is used.

Fig. 6. Simulated Rn , F min, Y12 , and G c of a CNCSP plotted against frequency at Cn = 0, 1, 2, 3, and 4 fF.

Fig. 7 shows the mixer schematic along with the two zero-IF amplification and buffering chains. Each of the four switches in the passive mixer is a 12-μm/30-nm NMOS device with a finger width of 500 nm, providing a tradeoff between a high gate capacitance, implying high LO drive, and high channel resistance, implying a high conversion loss. The minimum channel resistance is 30 . Two 10-k pulldown poly-resistors at the outputs of each mixer prevent the switch drain voltages from floating. Fig. 8 shows the simulated in-phase/quadrature (I/Q) voltage conversion loss as a function of device width at an f RF of 109 GHz, an f LO of 108 GHz, and a PLO of −4 dBm. Although the conversion gain could be improved by almost 3 dB by increasing the switch device width from 12 to 20 μm, this would result in a proportional increment of the LO power (2.2 dB), as well as in high LO-to-RF leakage, which is particularly detrimental in directconversion receivers. IV. Q UADRATURE S PLITTER The quadrature LO signal is provided by an off-chip fundamental source and an on-chip transformer-based coupler, whose outputs are balanced by 2:1 transformer-based baluns. The hybrid’s isolation port is terminated by a variable resistor for compensation of phase imbalance. Transformer-based couplers have a variety of applications in millimeter-wave circuits: they are used as phase shifters [33], quadrature splitters for phase rotators [34], and as in this work, as tunable quadrature splitters [35], [36]. Fig. 9(a) shows a lumped-element model of the hybrid coupler [37], along with the I-channel and Q-channel baluns and the variable termination. The model consists of a 1:1 transformer, with winding inductances denoted by L and a coupling coefficient denoted by k. The model also contains the parasitic ground capacitances denoted by C g and the mutual capacitances denoted by C M , which are used to achieve a resonance

HELLER et al.: 102–129-GHz 39-dB GAIN 8.4-dB NOISE FIGURE I/Q RECEIVER FRONTEND IN 28-nm CMOS

Fig. 7.

Passive mixer and a zero-IF buffer chain.

Fig. 8.

Simulated mixer voltage conversion loss against device width.

1539

frequency given by fc =



1

2π L(Cm + C g )(1 − k 2 )

.

(8)

The hybrid transformer windings are overlaid in AP and UTM [see Fig. 9(b)]. Each winding has a radius of 32 μm and an inductance of 140 pH. The transformer coupling coefficient is 0.6. The resonance frequency is not lowered by mutual capacitors, which require relatively long interconnects with significant parasitic inductance that limit the hybrid’s bandwidth at F-band. Instead, two 30-fF TM-UTM ground capacitors, denoted by C p , are placed at the quadrature outputs. The quadrature generator can be made tunable in order to compensate for phase mismatch and increase the LO bandwidth. In [35], the mutual capacitances Cm are implemented as varactors in order to tune f c . However, varactors in the given process and frequency band suffer from low Q’s, which would lead to high losses and a low tuning range. Instead, we chose a phase-tuning scheme similar to that which is used in reflectivetype phase shifters [33]. The tunable termination intentionally introduces mismatch, theoretically yielding approximately half the tuning range of a similar reflective-type phase shifter. The termination resistance consists of an 8-μm/30-nm FET shunt with a 166- poly resistor, yielding a tuning range of 32–75 . Finally, the single-ended quadrature outputs are balanced using 2:1 transformers fabricated in the UTM with

Fig. 9. (a) Hybrid-coupler lumped-element analog with a tunable resistive load and I and Q baluns. (b) Transformer-based hybrid coupler layout.

TM and AP bridges. They have winding inductances of 38 and 86 pH, a coupling coefficient of 0.47, and an SRF of 260 GHz. An LO bias is provided through the center taps of the 2:1 transformers. The overall simulated insertion loss ranges between 4.2 and 6.0 dB at a bandwidth of 25 GHz. V. BASEBAND D RIVER The baseband (zero-IF) amplifiers are designed to drive 50- inputs for testing purposes. Each amplifier consists of a pseudo-differential pair followed by two inverter amplifiers (Fig. 7), all of which are connected to 1.5-V supplies. The 1300- resistor R F 2 at the output buffer is used to improve the tolerance of the bias voltage to device mismatch and it provides little feedback. The 500- feedback resistor R F 1 is applied to improve the linearity and bandwidth of the interstage inverter. Since zero-IF architectures are insensitive to amplitude mismatch impairments it is sufficient to correct for amplitude imbalance after down-conversion. In order to control the gain of both channels and maintain power efficiency the supplies of the I and Q inverters are separate. The I and Q pseudo-differential pairs share a common supply.

1540

Fig. 10.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

(a) LNA die photograph. (b) Receiver front-end die photograph.

Fig. 11. (a) LNA noise measurement setup. (b) Receiver fixed-LO measurement setup. (c) Receiver fixed-IF measurement setup for f < 110 GHz. (d) Receiver fixed-IF measurement setup for f > 110 GHz. (e) Receiver noise measurement setup.

Each chain consumes 11 mA from a 1.5-V supply and has simulated voltage gains and bandwidths of 17.8 dB and 13.2 GHz, respectively. VI. E XPERIMENTAL R ESULTS A. LNA Breakout Fig. 10(a) shows a die photograph of the standalone LNA. The bare LNA dimensions are 510 μm×240 μm. S-parameters were measured by a network analyzer with W-band and D-band millimeter head extenders. The LNA noise figure between 100 and 110 GHz was measured using a W-band noise source and a pre-calibrated harmonic mixer, used to down-convert the output noise for spectrum analyzer measurement [see Fig. 11(a)]. Figs. 12 and 13 show the measured and simulated LNA gain, reflections, and noise figure when drawing 18 mA from a 1.0-V supply. B. Receiver Front-End Fig. 10(b) shows a die photograph of the 1215 μm×735 μm receiver front-end chip. Fig. 11(b) shows the measurement setup used for fixed-LO measurements. An RF signal is generated by a signal generator and a cascade of ×4 and ×2 frequency multipliers and the DUT input power is measured using a directional coupler and a power meter. A lowfrequency LO signal is generated by a signal generator and a pre-calibrated ×6 frequency multiplier.

Fig. 12.

Simulated versus measured LNA power gain and noise figure.

Fig. 11(c) and (d) shows the measurement setups for fixedIF conversion gain and I/Q imbalance measurements at frequencies under 110 GHz and over 110 GHz, respectively. In Fig. 11(c), the RF input signal is generated using a calibrated PNA and a W-band millimeter head controller. In Fig. 11(d), the RF input signal generated by a signal generator and an ×6 frequency multiplier. The DUT input power is measured using a directional coupler and a power meter. In both fixed-IF setups the LO signal is generated using

HELLER et al.: 102–129-GHz 39-dB GAIN 8.4-dB NOISE FIGURE I/Q RECEIVER FRONTEND IN 28-nm CMOS

1541

Fig. 15. (a) Measured I and Q conversion gain, IF sweep. (b) Simulated voltage gain of the zero-IF stage. Fig. 13. Simulated versus measured LNA reflections (S11 , S22 ) and reverse transmission (S12 ).

Fig. 16. (a) Conversion gain versus output power. (b) Conversion gain versus LO power. Fig. 14.

Measured and simulated receiver conversion gain and noise figure.

a cascade of ×4 and ×2 frequency multipliers and the power is measured using a directional coupler and a power meter. Fig. 11(e) shows the receiver noise-figure measurement setup. Due to the absence of available noise source at frequencies above 110 GHz, the noise figure was measured using a PNA and a D-band head controller. The measurement provides reproducible results thanks to the high receiver conversion gain. Further, measurements under 110 GHz were compared to measurements taken using the W-band noise source. Fig. 14 shows the measured and simulated fixed-IF conversion gain and noise figure at an LO power of −6 dBm and an IF frequency of 2 GHz. The measured conversion gain is 39 dB, covering a bandwidth of 27 GHz, and a noise figure between 8.4 and 10.4 dB. The discrepancy between the simulated and measured conversion gain is due to the zero-IF stage. As shown in Fig. 15, the simulated bandwidth of the baseband buffers is 13.5 GHz, whereas the measured receiver IF bandwidth is only 8.0 GHz. The most likely cause of the reduced IF bandwidth is insufficient tolerance of the operating point to process variations. Fig. 16(a) shows an RF power sweep at an RF frequency of 115 GHz, and an LO frequency of 110 GHz, with a P1 dB

Fig. 17. Measured I/Q phase imbalance tuning range at different LO frequencies.

of 3 dBm. Fig. 16(b) shows an LO power sweep at an RF frequency of 109 GHz, and an LO frequency of 108 GHz. Both measurements were taken using the setup in Fig. 11(c). Fig. 17 shows the I/Q output phase imbalance plotted against the complex termination tuning voltage at several

1542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE II C OMPARISON W ITH S TATE - OF - THE -A RT LNAs

TABLE III C OMPARISON W ITH S TATE - OF - THE -A RT mm-WAVE R ECEIVERS

LO frequencies between 105 and 120 GHz. The I/Q phase imbalance can be completely compensated at LO frequencies from 109 GHz to at least 120 GHz. Tables II and III present a comparison between the LNA and the receiver frontend with other reported works, respectively. VII. C ONCLUSION A zero-IF millimeter-wave receiver front-end in 28 nm has been presented. The four stages of the capacitively neutralized LNA were sized and neutralized to minimize matching losses. Low-k transformers are used in order to achieve wide bandwidth. A passive ring mixer topology was selected due to the high power consumption and poor performance of currentcommutating mixers in the given process and frequency band. A novel tunable transformer-based I/Q splitter is used to compensate for phase mismatch. The receiver front-end exhibits a conversion gain of 39 dB, an RF bandwidth of 27 GHz, and a noise figure between 8.4 and 10.4 dB. ACKNOWLEDGMENT The authors would like to thank A. Drucker, Tel Aviv University, for his help with wafer testing. R EFERENCES [1] P. Y. Chiang et al., “Short-range, wireless interconnect within a computing chassis: Design challenges,” IEEE Design Test, vol. 27, no. 4, pp. 32–43, Mar. 2010. [2] F. Zhu et al., “A low-power low-cost 45-GHz OOK transceiver system in 90-nm CMOS for multi-Gb/s transmission,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2105–2117, Sep. 2014. [3] C. W. Byeon, C. H. Yoon, and C. S. Park, “A 67-mW 10.7-Gb/s 60-GHz OOK CMOS transceiver for short-range wireless communications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3391–3401, Sep. 2013. [4] M. Jenning and D. Plettemeier, “1×4 antenna array for chip-to-chip communication at 180 GHz,” in Proc. IEEE Int. Electromagn. Adv. Appl. Conf., Aug. 2014, pp. 81–84. [5] W. Volkaerts, N. Van Thienen, and P. Reynaert, “An FSK plastic waveguide communication link in 40 nm CMOS,” in IEEE Int. SolidState Circuits Conf. Tech. Dig., 2015, pp. 1–3.

[6] N. Deferm and P. Reynaert, “A 120 GHz fully integrated 10 Gb/s shortrange star-QAM wireless transmitter with on-chip bondwire antenna in 45 nm low power CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 7, pp. 1606–1616, Jul. 2014. [7] J.-D. Park, S. Kang, S. V. Thyagarajan, E. Alon, and A. M. Niknejad, “A 260 GHz fully integrated CMOS transceiver for wireless chip-tochip communication,” in Proc. IEEE VLSI Circuits Symp., Jun. 2012, pp. 48–49. [8] S. V. Thyagarajan, S. Kang, and A. M. Niknejad, “A 240 GHz wideband QPSK receiver in 65 nm CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2014, pp. 357–360. [9] M. Fujishima, M. Motoyoshi, K. Katayama, K. Takano, N. Ono, and R. Fujimoto, “98 mW 10 Gbps wireless transceiver chipset with D-band CMOS circuits,” IEEE J. Solid-State Circuits, vol. 48, no. 10, pp. 2273–2284, May 2013. [10] E. Bloch and E. Socher, “An F-band 20.6 Gbp/s QPSK transmitter in 65 nm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2014, pp. 299–302. [11] S. V. Thyagarajan, A. M. Niknejad, and C. D. Hull, “A 60 GHz drain– source neutralized wideband linear power amplifier in 28 nm CMOS,” IEEE Trans. Circuits Syst., vol. 61, no. 8, pp. 2253–2262, Jul. 2014. [12] L. Chuang, R. Mahmoudi, A. H. M. Van Roermund, and P. Van Zeijl, “A 107 GHz LNA in 65 nm CMOS with inductive neutralization and slow-wave transmission lines,” in Proc. IEEE Commun. Veh. Technol., Brussels, Belgium, Nov. 2012, pp. 1–4. [13] A. Mineyama, Y. Kawano, M. Sato, T. Suzuki, N. Hara, and K. Joshin, “A millimeter-wave CMOS low noise amplifier using transformer neutralization technqiues,” in Proc. IEEE Asia–Pacific Microw. Conf., Dec. 2011, pp. 223–226. [14] W. L. Chan and J. R. Long, “A 58–65 GHz neutralized CMOS power amplifier with PAE above 10% at 1-V supply,” IEEE J. Solid-State Circuits, vol. 45, no. 3, pp. 554–564, Feb. 2010. [15] Z. Deng and A. M. Niknejad, “A layout-based optimal neutralization technique for mm-wave differential amplifiers,” in IEEE Radio Freq. Integr. Circuits Symp., May 2010, pp. 355–358. [16] Z. Wang, P.-Y. Chiang, P. Nazari, C.-C. Wang, Z. Chen, and P. Heydari, “A CMOS 210-GHz fundamental transceiver with OOK modulation,” IEEE J. Solid-State Circuits, vol. 49, no. 3, pp. 564–580, Jan. 2014. [17] E. Cohen, O. Degani, S. Ravid, and D. Ritter, “Robust 60 GHz 90 nm and 40 nm CMOS wideband neutralized amplifiers with 23 dB gain 4.6 dBNF and 24% PAE,” in Proc. IEEE SiRF Meeting, Sep. 2011, pp. 207–210. [18] P. B. Ginzburg, S. M. Ramaswamy, V. Rentala, E. Seok, S. Sankaran, and B. Haroun, “A 160 GHz pulsed radar transceiver in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 4, pp. 984–995, Apr. 2014. [19] G. Gonzales, Microwave Transistor Amplifiers, Second ed. Englewood Cliffs, NJ, USA: Prentice-Hall, 1997.

HELLER et al.: 102–129-GHz 39-dB GAIN 8.4-dB NOISE FIGURE I/Q RECEIVER FRONTEND IN 28-nm CMOS

[20] Z. Wang, P.-Y. Chiang, P. Nazari, C.-C. Wang, Z. Chen, and P. Heydari, “A 210 GHz fully integrated differential rransceiver with fundamentalfrequency VCO in 32 nm SOI CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2013, pp. 136–137. [21] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [22] B. Heydari, M. Bohsali, E. Adabi, and A. M. Niknejad, “Millimeterwave devices and circuit blocks up to 104 GHz in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2893–2903, Nov. 2007. [23] A. Medra, V. Giannini, D. Guermandi, and P. Wambacq, “A 79 GHz variable gain low-noise amplifier and power amplifier in 28 nm CMOS operating up to 125 ◦ C,” in IEEE Eur. Solid-State Circuits Conf., Sep. 2014, pp. 183–186. [24] A. Moroni and D. Manstretta, “Design and modeling of passive mixerfirst receivers for millimeter-wave applications,” in IEEE Int. Integr. Circuits Design Technol. Conf., May 2013, pp. 175–178. [25] J. M. Guerra, A. Siligaris, J.-F. Lampin, F. Danneville, and P. Vincent, “A 283 GHz low power heterodyne receiver with on-chip local oscillator in 65 nm CMOS process,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2013, pp. 301–304. [26] D. Kim, J. Yun, and J.-S. Rieh, “Si-based D-band frequency conversion circuits,” in IEEE Int. SoC Design Conf., Nov. 2012, pp. 251–253. [27] M. Khanpour, K. W. Tang, P. Garcia, and S. P. Voinigescu, “A wideband W-band receiver front-end in 65-nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 8, pp. 1717–1730, Aug. 2008. [28] D. Yoon, N. Kim, K. Song, J. Kim, S. J. Oh, and J.-S. Rieh, “D-band heterodyne integrated imager in a 65-nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 3, pp. 196–198, Mar. 2015. [29] S. T. Nicolson, A. Tomkins, K. W. Tang, A. Cathelin, D. Belot, and S. P. Voinigescu, “A 1.2V, 140 GHz receiver with on-die antenna in 65 nm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2008, pp. 229–232. [30] S. Emami, C. H. Doan, A. M. Niknejad, and R. W. Bronderson, “A 60-GHz down-converting CMOS single-gate mixer,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2005, pp. 163–166. [31] F. R. Shahroury and C.-Y. Wu, “The design of low lo-power 60-GHz CMOS quadrature-balanced self-switching current-mode mixer,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 10, pp. 692–694, Oct. 2008. [32] J.-J. Kuo et al., “Design and analysis of down-conversion gate/basepumped harmonic mixers using novel reduced-size 180◦ hybrid with different input frequencies,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2473–2485, Aug. 2012. [33] M. Tabesh, A. Arabian, and A. Niknejad, “60 GHz low-loss compact phase shifters using a transformer-based hybrid in 65 nm CMOS,” in IEEE Custom Integr. Circuits Conf., Sep. 2011, pp. 1–4. [34] I. Sarkas, M. Khanpour, A. Tomkins, P. Chevalier, P. Garcia, and S. Voinigescu, “W-band 65-nm CMOS and SiGe BiCMOS transmitter and receiver with lumped I-Q phase shifters,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 441–444. [35] S. Shopov, A. Balteanu, and S. P. Voinigescu, “A 19 dBm, 15 gbaud, 9 bit SOI CMOS power-DAC cell for high-order QAM W-band transmitters,” IEEE J. Solid-State Circuits, vol. 49, no. 7, pp. 1653–1664, May 2014. [36] S. Shahramian, Y. Baeyens, N. Kaneda, and Y.-K. Chen, “A 70–100 GHz direct-conversion transmitter and receiver phased array chipset demonstrating 10 Gb/s wireless link,” IEEE J. Solid-State Circuits, vol. 48, no. 5, pp. 1113–1125, May 2013. [37] R. C. Frye, S. Kapur, and R. C. Melville, “A 2-GHz quadrature hybrid implemented in CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 550–555, Mar. 2003. [38] S. Gondi and B. Razavi, “Equalization and clock and data recovery techniques for 10-Gb/s CMOS serial-link receivers,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1999–2011, Sep. 2007. [39] C.-H. Li and C.-N. Kuo, “A 147 GHz fully differential D-band amplifier design in 65 nm CMOS,” in Proc. Asia–Pacific Microw. Conf., Nov. 2013, pp. 691–693. [40] P.-H. Chen et al., “A 110–180 GHz broadband amplifier in 65-nm CMOS process,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [41] F. Inanlou et al., “Compact, low-power, single-ended and differential SiGe W-band LNAs,” in IEEE Eur. Microw. Conf., Oct. 2014, pp. 1396–1399.

1543

[42] I. Nasr, H. Knapp, K. Aufinger, R. Weigel, and D. Kissinger, “A 50–100-GHz highly integrated octave-bandwidth transmitter and receiver chipset in 0.35-μm SiGe technology,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2118–2131, Sep. 2014. [43] F. Golcuk, T. Kanar, and G. M. Rebeiz, “A 90–100-GHz 4×4 SiGe BiCMOS polarimetric transmit/receive phased array with simultaneous receive-beams capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 3099–3114, Aug. 2013.

Tom Heller received the B.Sc. and M.Sc. degrees in electrical engineering from Tel Aviv University, Tel Aviv, Israel, in 2011 and 2015, respectively. From 2011 to 2015, he was with the Advanced Radio Technologies Team, Mobile and Wireless Group, Intel Corporation, Haifa, Israel, where he developed wireless local area network (WLAN) transmitters, synthesizers, and ADCs. Since 2016 he has been with Broadcom, Herzliya, Israel, where he is involved with microwave phased arrays.

Emanuel Cohen (M’06) received the B.Sc degree in electrical engineering, M.Sc degree, and the Ph.D. degree in electrical engineering from the Technion–Israel Institute of Technology, Haifa, Israel, in 1996, 2002, and 2012, respectively. He worked for nine years in the military, during which time he developed a variety of communication system from dc to microwave frequences. In 2004, he joined the Intel Corporation, Haifa, Israel, where he was involved with WiFi and WiMAX systems, defining and developing RF integrated circuits (RFICs) and algorithms for these standards, including calibrations for BIST systems, linearization, and efficiency enhancement for power amplifiers (PAs). Since 2015, he has been an Assistant Professor with the Technion—Israel Institute of Technology. His research areas include millimeter-wave phased arrays in advanced CMOS processes and high-speed mixed signals for power efficiency.

Eran Socher (S’96–M’03–SM’12) received the B.A. degree in physics (summa cum laude), and B.Sc. (summa cum laude), M.Sc., and Ph.D. degrees in electrical engineering from the Technion–Israel Institute of Technology, Haifa, Israel. While with the Technion–Israel Institute of Technology, he was involved with CMOS compatible microelectromechanical systems (MEMS) sensors and actuators and their readout electronics, especially for uncooled thermal imaging. From 2003 to 2006, he was a Research Engineer in the Israel Defense Forces (IDF) and an Adjunct Lecturer with the Technion– Israel Institute of Technology and Bar-Ilan University. From 2006 to 2008, he was a Visiting Researcher with the High Speed Electronics Laboratory, and a Visiting Assistant Professor with the Department of Electrical Engineering, University of California at Los Angeles (UCLA), Los Angeles, CA, USA. Since October 2008, he has been a Senior Lecturer with the School of Electrical Engineering, Tel Aviv University (TAU), Tel Aviv, Israel, and Head of the High Frequency Integrated Circuits Laboratory. He is also a Visiting Professor with the University of Toronto (2015–2016). He has coauthored over 100 journal and conference papers. His research interests are currently focused on RF and millimeter-wave CMOS circuit design for high data-rate communication, sensing, and imaging. Dr. Socher was the recipient of several teaching and research awards and scholarships, including being a two-time recipient of the TAU Rector’s Prize for Excellent Teaching.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Low-Loss Integrated Passive CMOS Electrical Balance Duplexers With Single-Ended LNA Mohamed Elkholy, Student Member, IEEE, Mohyee Mikhemar, Senior Member, IEEE, Hooman Darabi, Fellow, IEEE, and Kamran Entesari, Member, IEEE

Abstract—A tunable integrated electrical balanced duplexer (EBD) is presented as a compact alternative to multiple bulky surface acoustic wave (SAW) and bulk acoustic wave (BAW) duplexers in third-generation (3G)/fourth-generation (4G) cellular transceivers. A balancing network creates a replica of the transmitter (TX) signal for cancellation at the input of a single-ended low-noise amplifier (LNA) to isolate the receive path from the TX. The proposed passive EBD is based on a cross-connected transformer topology without the need of any extra baluns at the antenna side. The balancing network enables a single-ended LNA with reliable high TX power operation up to 22 dBm by alleviating the common-mode coupling. The duplexer achieves around 50-dB transmitter–receiver (TX-RX) isolation within a 1.6–2.2-GHz range. The cascaded noise figure (NF) of the duplexer and LNA is 6.5 dB, and TX insertion loss (TXIL) of the duplexer is about 3.2 dB. The duplexer and LNA are implemented in a 0.18- m CMOS process and occupy an active area of 0.35 mm . Index Terms—Balancing network, duplexers, electrical balance duplexer (EBD), impedance matching, insertion loss, isolation, low-noise amplifier (LNA), noise figure (NF), third-generation (3G)/fourth-generation (4G) mobile communications.

I. INTRODUCTION

T

HE PURSUIT of higher data rate in wireless communications will continue in the future to meet the ever increasing demands. The Third Generation Partnership Project (3GPP) standard has increased the number of frequency bands [1], where the multi-band operation is an essential requirement for all commercial cellular handsets. A frequency division duplexing (FDD) scheme is commonly used in third-generation (3G) and fourth-generation (4G) FDD cellular handsets, such that the transmitter (TX) and receiver (RX) are working simultaneously, but in different frequency bands. The necessity to share the antenna between the TX and RX urges the need for a duplexer to isolate between the transmit and receive paths. Conventionally, a surface acoustic wave (SAW) duplexer is used because of its high isolation. With the increased number of bands, the RF front-end complexity scaled significantly, as shown in Fig. 1(a). Each band has a dedicated tuned SAW

Manuscript received July 13, 2015; revised December 01, 2015; accepted February 29, 2016. This work was supported by the Broadcom Corporation. M. Elkholy and K. Entesari are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA (e-mail: [email protected]). M. Mikhemar and H. Darabi are with the Broadcom Corporation, Irvine, CA 92617 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2541118

Fig. 1. (a) Conventional FDD solution using multiple SAW duplexers. (b) Integrated FDD solution using tunable on-chip duplexer.

duplexer, a power amplifier (PA), and a low-noise amplifier (LNA). As the number of supported bands increases, the cost of the tuned SAW duplexers can surpass the transceiver integrated circuit (IC) cost. Furthermore, the significant area allocated for the multiple SAW duplexers can limit the form factor of the cellular handset. Different approaches have been demonstrated to address the aforementioned challenges. The first approach replaces SAW duplexers with bulk acoustic wave (BAW) duplexers [2], [3] because of their smaller size and lower temperature sensitivity. However, BAW duplexers are more expensive than SAW duplexers so this approach does not resolve the cost challenge, even though the BAW cost is expected to decrease in the future by the advancements in BAW technology. A second approach relies on dual-band duplexers by combining two duplexers into one to cut cost and area by a factor of 2 [4], [5]. However, the increased insertion loss of dual-band duplexers limits the performance. A completely different approach relies on a tunable integrated duplexer with a wideband RX and a wideband PA, as shown in Fig. 1(b). This approach is considered optimal in terms of flexibility, cost, and area, but its implementation is very challenging. In the last decade, there were significant research efforts to develop a wideband SAW-less RX with a low noise figure (NF) and a high linearity performance, as demonstrated in [6] and [7]. A multi-mode multi-band PA with a highly promising performance is demonstrated in [8]. However, designing a wideband tunable duplexer with acceptable performance is an extreme challenge and is considered the bottleneck of this wideband approach. A tunable duplexer can be implemented as a tunable lumped LC or a microstrip filter [9]–[11], but the TX–RX isolation is usually limited 20 dB . The performance of the duplexer

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

is usually improved as the TX–RX frequency separation is increased, for example, UMTS-FDD band I. Furthermore, a cancellation circuit can be used to enhance the total isolation in a RX architecture with TX leakage suppression as presented in [12] and [13]. A wideband or a tunable circulator can also be used to provide about 20-dB basic isolation in conjunction with a TX cancellation circuit to cancel the residual TX signal at the LNA input [14], [15]. This approach usually has a low insertion loss that improves the total efficiency of the PA. However, the impedance mismatches at the antenna is considered a major drawback of this approach. The tunable duplexers mentioned above are not fully integrated, since LC filtering needs very high quality-factor off-chip inductors, while circulators are bulky, especially for wideband operation, and cannot be integrated. A fully integrated duplexer was first reported in [16]. It is based on an electrical balance between two paths in order to cancel the TX signal at the RX input. The electrical balance duplexer (EBD) relies on a hybrid auto-transformer to separate between TX and RX signals. An enhanced version was proposed later in [17] to enable a wider bandwidth (BW) operation, while achieving high isolation close to SAW duplexers. However, it could not support high-power operation because the PA signal appears as common mode at the input of a differential LNA, degrading its linearity performance. To support higher TX power levels, a fully differential EBD was proposed in [18] and [19]. Nevertheless, the drawback of this differential solution is the added balun at the antenna port, which considerably increases the insertion loss of the duplexer. This paper presents a fully integrated tunable duplexer with a single-ended LNA that can handle high power operation up to 22 dBm. It is based on a cross-connected transformer topology without the need of any extra balun at the antenna. The operation of the duplexer is verified by a prototype fabricated using a 0.18- m CMOS technology [20]. This paper is organized as follows. Section II provides an overview of EBDs. Section III presents the proposed EBD architecture followed by a comprehensive analysis of its insertion loss and noise performance. Section IV discusses the implementation details of the prototype EBD, while the measurement results of the prototype are shown in Section V. Finally, the key contributions of this work are summarized in Section VI. II. PASSIVE INTEGRATED EBDs A. Four-Port EBD Basic Concept In principle, an ideal RF passive duplexer works similar to a circulator, where the power circulates in only one direction from the TX port to antenna (ANT) port, and from the ANT port to RX port, as shown in Fig. 2(a). This can be achieved only using non-reciprocal materials, where the material properties depend on the direction of wave propagation. Practically, reciprocity is a necessity for an integrated passive RF duplexer. A four-port model of the duplexer is developed to preserve reciprocity, where power is divided between two paths, as shown in Fig. 2(b), with a power ratio defined by (1)

Fig. 2. RF duplexer using: (a) circulator and (b) electrical balance.

Fig. 3. Anti-symmetric DC emulated by: (a) hybrid transformer and (b) crossconnected transformer.

where a fourth balance (BAL) port is added to provide an extra path from the TX to RX. and are the TX signal powers transferred from the TX port to ANT and BAL ports, respectively. and are the RX signal powers transferred from the ANT port to TX and RX ports, respectively, and are signal powers transferred from the RX port to ANT and BAL ports, respectively. The basic concept of electrical balance is to cancel the power propagating through the TX-ANT-RX path by a matched power propagating through the TX-BAL-RX path. To achieve this cancellation, the reflection coefficient at the BAL port should be related to the reflection coefficient at the ANT port . In other words, the impedance seen at the BAL port has to track the variation in the antenna impedance . The four-port EBD can be viewed as a lossless directional coupler (DC). This DC can be symmetric or anti-symmetric. The implementation of an integrated symmetric DC is very difficult since it requires a wideband 90 phase shift, while an anti-symmetric DC can be implemented on-chip using lumped elements. The condition of matching for the anti-symmetric DC is [21]. Lumped-element circuits can be used to emulate the anti-symmetric DC, as shown in Fig. 3, namely, a hybrid transformer and a cross-connected transformer [22]. This can be further simplified using one floating port, as illustrated in Fig. 4. These two circuits will be studied to determine the pros and cons of possible configurations of passive EBDs.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

3

Fig. 4. Single component emulation of anti-symmetric DC with one floating port using: (a) center-tapped inductor and (b) single transformer. Fig. 6. EBD with a floating TX port (current-mode EBD).

port to ANT and BAL ports has a low-pass response. This circuit achieves low transmitter insertion loss (TXIL) since the PA is directly connected to the antenna, but it has two main drawbacks, which are: 1) the RX signal is attenuated due to transformer coupling loss from the ANT port to the LNA and 2) the common-mode TX signal at the input of the LNA is relatively large due to the capacitive coupling of the transformer, where the attenuation or common-mode rejection ratio (CMRR) depends on the parasitic coupling capacitance . The CMRR can be calculated using

Fig. 5. EBD with a floating RX port (voltage-mode EBD) [17].

(3) B. Practical Configurations The hybrid transformer and the cross-connected transformer have two pairs of terminals called conjugate pairs ( and ), as shown in Fig. 4. A conjugate pair forms two terminals that are isolated form each other. Since the EBD is a four-port device of paired ports, there will be four different port configurations for each structure. Due to the symmetry of the structures in Fig. 3, only two unique configurations are available for each transformer structure. As a result, there are two possible configurations using the hybrid transformer, where the TX and RX ports are exchanged, and two possible configurations using a cross-connected transformer where the ANT and the BAL ports are exchanged. 1) Floating RX: This is the default EBD configuration reported in [17]–[19], [23], and [24]. As described in Fig. 5, the TX port is the center tap of the hybrid transformer in Fig. 4(a). To achieve the matching condition , the balancing impedance must satisfy (2) The TX signal is common mode for ANT and BAL ports, while the RX signal is the difference between ANT and BAL ports. Since the ANT and the BAL ports have the same TX voltage, this duplexer is called the voltage-mode EBD. In this configuration, the RX port can be considered as a floating port between the ANT and BAL ports. To eliminate the high-voltage commonmode signal, a transformer is used as difference detector. The receiver insertion loss (RXIL) profile is optimum at the resonance between and , while the passband from the TX

The second drawback was addressed in [18] and [19] using a fully differential version of this duplexer. However, this necessitates adding an extra balun at the ANT port. This extra balun degraded by 1–2 dB. Another solution is recently proposed in [24] by grounding one side of secondary winding, where a single-ended LNA is used instead of the differential LNA. The effect of coupling is canceled at RX port by extra capacitance parallel to . 2) Floating TX: Another duplexer configuration is realized by exchanging the PA and the LNA of the first configuration, as shown in Fig. 6. The difference between ANT and BAL voltages is proportional to the TX voltage, while the TX port is floating. Unlike the floating RX configuration, where ANT and BAL ports have the same voltage, the floating TX configuration is characterized by a TX current flowing through ANT and BAL ports with the same magnitude, but opposite direction, as seen in Fig. 6. Thus, this circuit is called a current-mode EBD. Since the PA and LNA were exchanged in this configuration, the transfer function from the TX to ANT will be a bandpass around the resonance frequency of and , while the transfer function from the ANT to RX will be low pass. By applying , the condition for TX–RX isolation is given by (4) and have the same Since the two impedances TX current ( ), then the voltage at the balance impedance , while the voltage at the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Proposed EBD with a floating balancing network (BAL) port. Fig. 7. EBD with a floating ANT port. The antenna in nature is relative to ground, thus a balun is required between the antenna and the duplexer.

antenna . This means . This is an advantage in this configuration since the balancing network has a lower voltage. The lower voltage means simpler switch design in compared to the floating RX configuration . Another advantage of this configuration, when used with on-chip PAs, is the ability to merge the transformer with the power combiner of the PA to decrease the losses in the transformer. On the other hand, the main drawbacks of this circuit are the higher TXIL due to the coupling losses of the transformer and the higher NF due to increased LNA noise. The increase in the NF is due to the low voltage RX signal at the LNA input, which causes a higher effect of LNA noise on the total NF. 3) Floating ANT: This configuration is different from the previous configurations since it is based on emulating an antisymmetric DC by a cross-connected transformer [see Fig. 4(b)] instead of the hybrid transformer. The PA is connected to the input port, while the LNA is connected to the isolated port, as shown in Fig. 7. Due to the orientation of the antenna relative to the RX port, the RX signal has an extra phase shift. Since and have the same TX voltage, then they are related by to satisfy the TX–RX isolation condition. Consequently, this circuit has the same balancing condition as the floating RX configuration in Fig. 5. In this circuit, depends on the turn ratio of the transformer connected to the TX port and the coupling factor of this transformer [22], [25]. A second transformer with (1:1) ratio is required to convert the single-ended voltage across the ANT port to a floating voltage and vice-versa. This added transformer degrades both the TXIL and NF and limits the use of this configuration. III. PROPOSED EBD WITH FLOATING A. Floating

Configuration

Based on the cross-connected transformer topology, a fourth configuration is realized in this work. By swapping ANT and BAL ports of the third configuration, the BAL port will be floating with no need for a balun. The proposed circuit, shown in Fig. 8, employs a floating to ensure wideband operation. The condition of the isolation is defined by

. The proposed circuit has four main advantages, which are: 1) there is no common-mode TX signal at the input of the single-ended LNA and, consequently, this duplexer can support higher power operation without compromising the LNA linearity performance; 2) a relatively large voltage RX signal is achieved at the LNA input minimizing the effect of the LNA noise on the total NF; 3) the ANT port is directly connected to the TX port since there is nearly no TX voltage drop across the transformer between the two ports; and 4) there is a direct path between the ANT port and RX port through without degradation due to transformer coupling loss. However, these advantages come at the cost of the increased design complexity. First, the balance ratio in this configuration depends on the coupling factor of the transformer ( ), which can be alleviated using accurate electromagnetic (EM) simulation of the transformer to determine . Additionally, the design of a tunable floating balancing network that supports high-power operation is very challenging. This issue will be addressed in detail in Sections IV-C and IV-D. B. TXIL and RXIL Analysis The insertion loss of duplexers is very crucial since it highly affects the total power efficiency of the TX and the sensitivity of the RX. For ideal lossless passive EBDs [see Fig. 2(b)], the TX power is divided between the ANT and BAL ports and RX power is divided between the BAL and ANT ports. Thus, TXIL and RXIL can be determined by

(5)

(6) Transformer loss effect is not accounted in (5) and (6) since they describe TXIL and RXIL of lossless passive four-port EBDs. Transformer loss affects TXIL and RXIL of the four aforementioned configurations differently. The TXIL and RXIL of the proposed configuration (Fig. 8) are analyzed and compared to the first configuration depicted in Fig. 5. In both configurations, the transformer is replaced by its equivalent

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

Fig. 9. (a) First configuration (floating RX) and (b) fourth configuration (floating .

circuit model, which is an ideal transformer with parasitic self-inductances and resistances, as shown in Fig. 9. Since the TX and RX ports are conjugate, the RX port is set as a short circuit without affecting TXIL to simplify the analysis. TXIL of the first and fourth configurations are given by

5

), where the transformer is replaced by its equivalent circuit

and is the transformer coupling factor. models the extra RXIL due to the loss in the secondary coil of the hybrid transformer in Fig. 9(a). RXIL of configuration 4 can be calculated by (12)

(7)

where (13)

(8) where (9)

Equations (10) and (12) are also derived in Appendix I. is used to model the effect of the loss in the secondary coil illustrated in Fig. 9(b). By comparing (10) and (12), of the proposed configuration is lower than because of the factor in the definition, which is not present in the definition. C. Noise Analysis

is a series resistances to model the loss in primary , and while models loss of secondary turn at the frequency and is the resistance of the antenna. By comparing (7) and (8), TXIL of both configurations are close to each other since and are very small compared to . The derivation of (7) and (8) is explained in detail in the Appendix. Similarly, the TX port can be replaced by an open circuit without affecting the transfer function from the ANT to RX to simplify the RXIL analysis. Using Fig. 9, RXIL of configuration 1 is found to be (10) where (11)

The NF of a passive circuit is the same as its RXIL; however, considering the noise due to the LNA, the cascaded NF of the EBD and the LNA is higher than RXIL and depends on the EBD configuration. Assuming LNA input impedance is capacitive , which resonates with the inductor , the NF can be modeled at the resonance frequency by the following equation: (14) where is the voltage gain from the ANT noise source to LNA input, is the S-parameter from the ANT to RX where its magnitude is the inverse of RXIL, is the antenna resistance, is the input voltage referred noise of the LNA, is the effective noise factor of input MOS devices, and is the noise BW. Assuming LNA noise is dominated by input devices of the LNA, can be modeled by ,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I ANALYSIS COMPARISON AMONG THE FOUR DIFFERENT PASSIVE EBD CONFIGURATIONS

where is the effective transconductance of the LNA input devices. Using the scattering matrix of anti-symmetric DCs by setting [25], can be determined by

and BAL ports. was chosen to minimize the sum of TXIL and RXIL using the following: (17)

(15) where is the voltage source that models the RX signal at the antenna, is the voltage at RX port due to , and is the resistance seen at the RX port when . and for each configuration are shown in Table I. Thus, the NF is described as (16) depends on the configuration and can be The value of found by setting the TX port open or short circuit and analyzing the circuit at the frequency of resonance of with . Thus, for configuration 1, when the TX port is open circuit, . Similarly, for configuration 4, when the TX port is an open circuit, . While for configuration 2, when the TX port is a short circuit, . Therefore, the first and fourth configurations have small added NF due to the LNA, while the second configuration is highly affected due to the small value of . D. Comparison Among Different Configurations Table I summarizes a comprehensive analysis for the four passive EBD configurations. In order to verify the analysis, the four configurations are designed and simulated using different values of , but with fixed values of , (or resonance frequency of nH and pF at GHz), and . Also, the coupling factor of the transformer is fixed for this comparison . The loss of the transformer is modeled by a series resistance on each branch where and . For comparison purpose, and are assumed to be fixed 15 . To change in the first and second configurations, the point of center-tape is shifted to change the division of power ratio between the ANT

is the optimum value to provide the minimum for the first configuration calculated from (7) and (10). will be the same as , but needs to be replaced by . Fig. 10 shows that the analysis results of almost match the simulation results for different values of the first configuration. The discrepancy for large values is due to two sources of errors: 1) simplification in the RXIL expression of the first configuration (or TXIL expression of the second configuration), as illustrated in the Appendix and 2) reflections at TX and RX ports, which increase TXIL and RXIL, respectively. For the fourth (and third) configurations, the value is controlled by the ratio and can be calculated directly from and by (18) of 80 mS is used for all For NF comparison, a fixed configurations with for a short-channel effect. As shown in Fig. 11, the analytical expressions almost match simulation results. Clearly, for all configurations, as increases, the duplexer TXIL is improved at the expense of NF degradation. The NF in configuration 4 is better than NF in configuration 1 by 0.5 dB for . The NF of configuration 2 is higher than the other configurations due to its low , as depicted in Fig. 11(c). Configuration 4 is compared against configuration 3, as shown in Fig. 11(b) and (d). Both configurations have almost the same NF, but TXIL of configuration 4 is slightly lower for and TXIL of configuration 3 is slightly lower for . IV. CIRCUIT IMPLEMENTATION A prototype is implemented in 0.18- m CMOS technology to verify the operation of the proposed EBD configuration with floating [20]. It operates in a frequency range of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

Fig. 10. Comparison between calculated by the theoretical expression and by simulation in configuration 1.

7

Fig. 12. Layout of transformer, nH (width m) contains nH (width m) contains both outer the middle turning, and inner turnings and the spacing between the turns is 5 m (all the provided dimensions in the figure are in micrometers).

Fig. 13. Simulated transformer quality factor as a function of frequency.

Fig. 11. Comparison between TXIL and NF in: (a) configuration 1 using op, (b) configuration 4, (d) configuration 2 using optimized , and timized (c) configuration 3 without considering the balun loss.

1.6–2.2 GHz. This section discusses the circuit implementation details of the duplexer and is divided into five sections (i.e., Sections IV-A–IV-E) describing the main blocks of the prototype including the transformer, LNA, and balancing impedance network. Section IV-D demonstrates the impact of nonidealities on NF and linearity performance, while Section IV-E describes the effect of switch-induced modulation on the linearity performance. A. Transformer The transformer is initially designed for a power ratio of to achieve about 3-dB TXIL with acceptable NF. The primary side has one turn, while the secondary side has two turns. The transformer is designed with an outer dimension of 484 m, and a 5- m metal spacing using the thick top aluminum layer of a 0.18- m CMOS process. The thickness of the top metal (M6) is 4 m, which improves the transformer quality factor. The underneath connections are made with three

thin metal layers (M3–M5) to decrease the connection resistance without too much added parasitic capacitance. The layout of the transformer is shown in Fig. 12, where the routing to TX (or PA) and ANT pads is included in the EM simulation since it affects the overall performance. EM simulation was performed using Sonnet to accurately model the transformer properties especially the ratio . From EM simulation, nH, nH, and . seems relatively small considering a tightly wound interwound transformers 0.8 due to the extra routing from the pads to the winding. Using the model in Fig. 9(b), the calculated . The slight change from the designed value is due to the extra routing from the transformer to the pads. The quality factor of and the quality factor of variations with frequency are shown in Fig. 13. These results are for ANT and PAGND ports connected to ground, and measuring the impedance at TX and RX ports. B. LNA The LNA is designed using a complementary commonsource low-noise transconductance amplifier (LNTA) with mS and a drain resistance , as shown in Fig. 14. The output matching is achieved without the need for an external buffer. The use of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. Schematic of the LNA.

Fig. 15.

network for

implementation.

PMOS and NMOS devices increases , while a large overdrive voltage enhances the linearity performance of the LNA. The LNA current is controlled by the current mirror M0–M2 and , while the output dc voltage equals to . is used only for dc biasing of the drain of M1 and M2, thus its value was chosen to be higher than 10 k to preserve the high input impedance at . Another advantage of this topology is its wideband operation since it is inductorless. The resonance frequency at the input can be changed by varying the capacitance at to increase the operating range. C. Balancing Impedance The radiation impedance of a typical planar inverted-F antenna (PIFA) used in cellular handsets varies slowly as the near-field of the antenna is perturbed. Recently, an antenna tuning circuitry has been used to compensate for the antenna impedance variations to present more stable impedance for the PA [26]. The balancing impedance should track the residual antenna impedance variation within the BW of interest to achieve the required TX–RX isolation. Moreover, for multi-band operation, needs to be tuned to achieve the required isolation in each band. The design of has three challenges: high power operation, floating impedance, and wide tuning range with fine steps for an acceptable range of antenna impedance variation. High-power operation mandates stacking of thick gate devices, where triple-well 3.3-V NMOS devices were used to arbitrarily control the bias of the bulk. Since the TX signal will be higher than 10 Vpp, the bulk should be carefully biased to avoid the forward bias of the drain/source diodes during negative excursions of the TX signal. Therefore, the bulks of the switches are biased at 1 Vdc when the switches are off, while it is biased at 0 Vdc when the switches are on. The gates and bulks are biased through level shifters and buffers that convert the digital control word to 3 1 V for gate biasing and 0 V 1 V for bulk biasing. The implementation of the programmable floating impedance network with minimum RX signal loss through the

Fig. 16. (a) Schematic of the balancing resistance coarse tuning element, (b) schematic of the capacitive coarse tuning element, and (c) layout diagram of a resistive coarse tuning element (area 85 55 m ).

Fig. 17. Supported antenna admittance normalized to 20 mS at 1.8 GHz, where , and red dots blue points represent the covered range of shunt – of represent post-layout simulation.

parasitics of switches is extremely challenging. To minimize the effect of these parasitics while achieving fine tuning steps, the design of the the network is divided into coarse and fine sections for both and components, as shown in Fig. 15. Resistance coarse tuning is achieved using a series network with 3-bit control, as shown in Fig. 16(a), to provide a wide tuning range of 43 . and are large resistances

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

Fig. 18. Effect of tunable

on: (a) RXIL (EBD only), (b) cascaded NF (EBD and LNA), and (c) RX gain (EBD and LNA).

90 k to minimize their effect on NF. This network is replicated four times with parallel connection and independent control to achieve the required range of resistance with minimum parasitics. The layout diagram of the course resistor cell is depicted in Fig. 16(c). Fine resistance tuning is realized by a 3-bit control of parallel resistors with stacked switches to provide 0.5- fine-tuning steps. A capacitor bank with coarse/fine tuning is designed to provide a wide tuning range of 600 fF and fine resolution of 10 fF. Furthermore, the course tuning element in Fig. 16(b) also represents the stacked switches used to enable TX high power operation. The tolerated normalized antenna admittance is shown in Fig. 17. In practice, an antenna tuning unit (ATU) is required to convert the wide variation of the antenna impedance within to the tolerated range of – [27], [28]. As depicted in Fig. 17, an extra capacitance tuning is needed to support the ANT impedance within at 1.8 GHz. Since only the reactive part of the tolerated ANT admittance range is affected by frequency, the tolerated admittance range in Fig. 17 will slightly decrease at 1.6 GHz and slightly increase at 2.2 GHz. D. Impact of

9

Non-Idealities on NF and Linearity

To assess the effect of the parasitics due to the tunable on EBD RXIL and the cascaded NF, the duplexer is simulated with an ideal – then compared to the NF with the implemented tunable including the switches (schematic only and post-layout), as shown in Fig. 18(a) and (b). The minimum RXIL increased from 4.6 to 5.35 dB, while the minimum NF increased from 5.22 to 6.21 dB. The increase in NF is attributed to RX signal loss due to switches parasitics [see Figs. 18(a) and (c)], and the resistors used in switches biasing. The layout of the tunable resistors and capacitors is optimized to minimize the parasitics as illustrated in Fig. 16(c), where the top metal layers are used for routing with width proportional to the expected currents to pass. Furthermore, very thin wires are used to connect and to the gates and the bulks of the switches, respectively. The sizes of the switches and the resistors in Fig. 16(c) are designed to support the highest occurring current, when the switches are all “on.” The sizes of the switches (Fingers , m, m) are optimized to minimize the increase in RXIL. For the ideal case in Fig. 18(b), the minimum NF is equal to 5.22 dB. The main contributors to noise from simulation are: 1) 28.9%; 2) 38.9%; 3) transformer 11.4%; and

) 20.4%. The LNA adds only 0.9 dB to 4) LNA (including the cascaded NF, which is expected to decrease by using advanced CMOS technologies with smaller feature size compared to 0.18 m. At 2.25 GHz (where NF is minimum), and , or , and . Using (8) and (12), dB and dB, where and . The theoretical NF is calculated using (16) to be 4.97 dB, where , and mS. The difference between theoretical and simulated NF is only 0.25 dB. This difference is mainly because of the resistive load of the LNA, which is not included in the NF expression. The impact of the switches on the NF can be significantly reduced by using a silicon-on-insulator (SOI) technology since there is no need for isolation resistances to bias the bulk. However, the excess noise due to the gate biasing resistances is still present. Furthermore, the SOI technology has minimum parasitic nonlinear substrate capacitance that reduces harmonic distortion [24]. In the circuit level, to break the tradeoff between the number of stacked transistors affecting the NF and linearity performance, a transformer can be used to convert the floating BAL port into a differential with two impedance networks referenced to ground. The transformer may limit the BW of TX–RX isolation, which can be alleviated by using a wide BW transformer and a dual-notch balancing network similar to [24] and [29]. Since there is a direct tradeoff between the number of stacked devices in the balancing network and NF, only two stacked devices were used to switch capacitances, as shown in Fig. 16(b). The implemented course tunable resistance shown in Fig. 16(a) suffers from a degraded linearity, when V, V, and V. The linearity of switches is affected mainly by two mechanisms when the switch is off, namely, conduction and breakdown. Assume there are two RF signals with amplitudes and at the switch drain and source terminals, respectively, as shown in Fig. 19. To prevent conduction, has to be less than the threshold voltage Vdc . The maximum V occurs at the peaks of the RF signal, where is the difference in voltage amplitude at drain and source terminals. Therefore, is limited to 3.4 V. Similarly for the bulk connection to avoid forward biased diodes, , is also limited to 3.4 V. Assuming drain–gate and drain–bulk breakdown voltages of 3.6 V, the maximum tolerable before

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where

,

, and

are the conductance parameters (21) (22) (23)

, the excess nonlinear current By assuming passing through switch can be found

Fig. 19. Schematic diagram of a switch unit in the off state.

the breakdown is 5.2 V. Consequently, the linearity of is limited by the conduction mechanism (through drain/source or drain/bulk) not breakdown. The linearity is verified by simulating the balancing network to have an dBm for setting that introduces the highest intermodulation. This linearity performance is reasonable to verify the operation of the proposed topology and can be enhanced in future work using a differential implementation. The intermodulation between a received blocker and the TX signal is very critical in the evaluation of the duplexer linearity performance. Since is floating, the received blocker signal at the ANT port propagated to RX port modulates the value of . The third-order intermodulation (IM3) of the blocker signal and TX signal is high in the case of floating . The differential implementation can significantly improve the IM3 performance, as it does not suffer from the tradeoff between NF and linearity, and three stacked devices or more can be used to implement the switches. Additional improvement in linearity is achieved by using a fixed resistive load while a tunable – – matching -network is used to change the effective input resistance [24]. Since is not a function of the blocker signal, the IM3 of the blocker and TX signals is enhanced. E. Switch-Induced

Modulation

due to the conduction mechThe nonlinear behavior of anism of the switches in a stacked structure can be modeled by studying the relation between the conduction current of a single NMOS switch and the voltage applied on it in the off-state. When the switch is off, the current is a nonlinear function of drain/source voltage difference

(19) where the first term is due to the sub-threshold conduction current and the second term is due to the conduction current through the bulk-drain diodes. is the sub-threshold current at , the is slope factor, is the dc bias of the gate, is the reverse-biased current of the diode, is the bulk dc voltage, and is the thermal voltage. Using Taylor expansion, can be approximated as (20)

(24) where is the voltage across the stacked switches during offstate , which is nearly the same voltage difference across , and is the number of stacked switches. The nonlinear balancing network admittance can be expressed as (25) is the small-signal admittance, while and are where the first- and second-order nonlinear coefficients of . and are strong functions of and . generates HD2 and IM2 distortion close to dc, while generates HD3 and IM3 distortion. It is very crucial to reduce since it defines the third-order intermodulation intercept point (IIP3) and TX-signal blocker intermodulation at the band of interest. Since and are directly proportional to and , respectively, the IIP3 of can be calculated by

(26) The analysis reveals the behavior of IIP3, which is increased as the number of stacked devices increases. The IIP3 is also increased by decreasing the dc voltages of the gates and the bulks of the switches. V. MEASUREMENT RESULTS The proposed duplexer and LNA, shown in Fig. 8, are implemented using 0.18- m CMOS technology [20]. They occupy an active area of less than 0.35 mm . The die photograph is shown in Fig. 20. The LNA draws 7 mA from a 1.5-V supply. The printed circuit board (PCB) is implemented using FR4 material and four metal layers, where the two intermediate layers were assigned for ground planes. The traces between the PA, ANT, and pins to the SMA connectors are designed to be a 50TL width mil separation mil . The losses of the traces ( 0.2 dB at 2 GHz) were de-embedded using two transmission lines (TLs) of the same length as the PA-ANT trace and trace. For NF measurement, a high-gain low-NF 1.5 dB amplifier is added after the output to amplify the output noise by 30 dB to minimize the effect of the input

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

11

Fig. 20. Die micrograph. Fig. 22. Measured PA return loss and ANT return loss.

Fig. 21. Measured TXIL and NF of the cascaded duplexer and LNA. Fig. 23. Measured TX–RX isolation for

noise of the spectrum analyzer. Fig. 21 shows the simulated and measured results of the TXIL and NF of cascaded duplexer and LNA using the Agilent network analyzer N5230A and Agilent spectrum analyzer E4446A. The TXIL is between 2.8–3.4 dB after de-embedding losses of PCB traces, while the minimum cascaded NF range is between 6.3–6.8 dB in the 1.6–2.2-GHz frequency range. Since the implemented balance ratio is about 1.31, the input resistance seen at the PA port of the chip is close to or 29 . However, this port is required to match to the 50- off-chip TL. An off-chip matching network is implemented in the TX side to transform 29 to 50 using the on-chip series inductance of the duplexer and taking into account the bond-wire inductance. The measured (TX port) is less than 13 dB over the required range of 1.6–2.2 GHz,, as shown in Fig. 22. Theoretically at the point of maximum isolation, the ANT port should have the maximum of or 7.3 dB, when the RX port is open circuit (at the resonance frequency of and ). However, due to the losses of , the measured (ANT port) is less than 12 dB in the frequency range of 1.6–2.2 GHz, as depicted in Fig. 22. The measured TX to RX isolation for multiple balancing network settings are overlaid in Fig. 23. If the notch frequency is adjusted to the center of the TX band, more than 60 dB of isolation is achieved. For a maximum duplex frequency spacing of 190 MHz required by the International Mobile Telecommunications (IMT) band, the isolation in the RX band is better than 40 dB (for ). The effect of variation on TX–RX isolation is shown in

.

Fig. 24. Simulated TX–RX isolation for different at: (a) different and (b) different parallel ANT reactances ANT resistances .

Fig. 24(a) and (b), where the minimum isolation BW (isolation 40 dB) is 180 MHz for a 50- resistive load with a parallel inductance of 12.5 nH . Furthermore, shunt capacitance at ANT is balanced by tuned shunt capacitance at , where TX–RX isolation BW remains unchanged, and the balancing condition is applied on a wider frequency range. Inductive has the minimum TX–RX isolation BW since has a capacitive tuned element to compensate the inductance. Pure resistive antenna impedance has the widest BW of TX–RX isolation, as shown in Fig. 24(a). Inductive has the minimum TX–RX isolation BW since has the capacitive tuned element to compensate the inductance. The high-power operation and linearity measurements of the duplexer are done using three test setups, which are: 1) a two-tone test at the TX input; 2) a jammer at full-duplex

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

Fig. 25. Simulated and measured IIP3 at TX input with two tones at 1.8725 and 1.8775 GHz. The measured IIP3 is limited by the PA OIP3 to around 41.8 dBm. By de-embedding the PA nonlinearity, the measured IIP3 of the duplexer is about 45.7 dBm.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 27. Simulated and measured IM3 resulting from the jammer at the ANT GHz, GHz with 80-MHz FD separation port ( dBm, and GHz). separation,

Fig. 28. Simulated and measured RX IIP3 with two-tone 1.95 and 1.96 GHz at the ANT port.

Fig. 26. Conceptual figure to illustrate the settings for: (a) setup for linearity measurement, (b) FD separation blocker test, and (c) triple beat test.

(FD) separation from TX signal; and 3) a triple-beat (TB) test. For the two-tone test, a high-power external PA (Mini-Circuits ZHL-16W-43X ) is used with an output third-order intercept point (OIP3) of 44.1 dBm at the PA pin of the duplexer (including the losses of the isolator, cables, and traces). Fig. 25 shows the simulated and measured IIP3s of the duplexer at the PA input. The frequencies of the measured two tones are adjusted at 1.8725 and 1.8775 GHz, while the input power is reported at the PA input pin of the chip. Furthermore, a 30-dB attenuator is added at the ANT output to protect the spectrum analyzer from the high-power TX signal. The simulated IIP3 of the duplexer is 49.1 dBm. The measured IIP3 accounts for the nonlinearity of the PA and the duplexer, it is limited by the OIP3 of the PA to around 41.8 dBm. The effect of the PA

nonlinearity can be de-embedded to calculate the measured IIP3 of the duplexer to be about 45.7 dBm. The setup of the linearity measurement is shown in Fig. 26(a), where the TX signal along with a jammer (blocker at frequency ) at the ANT can cause an IM3 at the desired RX frequency. An attenuator is used to protect the signal generator from the high TX power at ANT port. A blocker at FD separation from TX frequency is located at , where , as shown in Fig. 26(b). Fig. 27 shows the results assuming MHz (frequency separation in UMTS Band II), GHz, and GHz. The blocker power was 30 dBm at 1.795 GHz at the ANT port. The IM3 at LNA output changes with slope 2 with . As shown in Fig. 27, the simulated IM3 level for EBD without an LNA is very close to that of EBD with an LNA, which indicates the nonlinearity is dominated by the EBD up to 20-dBm TX power. The measured results show that the duplexer has about 50-dB IM3 to at dBm ( dBm). dBm was used instead of dBm for the 3GPP standard since very high blocker power modulates the floating causing unacceptable IM3. The TB test of the duplexer was measured to determine the output cross-modulation distortion (XMD) up to

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

13

TABLE II PERFORMANCE SUMMARY

dBm at MHz [31], as shown in Fig. 26(c). For the TX signal containing two sinusoidal tones with a total power of 22 dBm dBm and 5-MHz separation, the XMD to ratio equals 53.5 dB, or input referred XMD at dBm for dBm (3GPP standard [1]). The proposed EBD linearity is still not sufficient to replace SAW duplexers since the main objective of the prototype was to prove that the proposed cross-connected transformers structure can be used as an EBD with high TX–RX isolation. The RX linearity is also measured using the two-tone test at the ANT port, which is highly affected by the linearity of the LNA since signals propagate from the ANT to LNA directly. Fig. 28 shows that the IIP3 at the RX side is close to 6.2 dBm. This high linearity is achieved by using an NMOS–PMOS inverter-based transconductance LNA with adjusted biasing to achieve high linearity. The high overdrive voltages of NMOS 150 mV and PMOS 320 mV support achieving high linearity in converting the input voltage to current while the voltage swing at the LNA output is maximized by centering the dc of the drain of NMOS/PMOS near half the supply voltage. For out-of-band IIP3, where a two-tone test at 1.795 and 1.875 GHz generates an IM3 product at 1.955 GHz, the measured IIP3 is 5.9 dBm. The chip performance is summarized in Table II with a detailed comparison with state-of-the-art integrated duplexers and a commercially available off-chip SAW duplexer. The hybrid transformer duplexer in [21] achieves excellent TXIL and cascaded NF, but it cannot handle high power since it has no common-mode isolation. A differential version of the duplexer is used in [30] and [29] to enable high-power operation by improving the common-mode isolation at the expense of higher insertion loss. In [23], a step-down transformer is used in a

dual-notch balancing network to enhance power handling and linearity, but it suffers from a large RXIL. Recently, a hybrid transformer EBD with a single-ended LNA is reported [24], where a shunt capacitor is added to at the BAL port to compensate for the capacitive coupling. However, since the compensation path is indirect, it has a different transfer function through the hybrid transformer than the direct capacitive coupling reducing TX–RX isolation BW. The excellent linearity performance of [24] is attributed to two main factors. First, it has a technology advantage by using a partially depleted SOI process, which has smaller junction parasitics compared to bulk. The process also has a high substrate resistance and three very thick metals that minimize insertion losses. Second, it uses two extra inductors in the network to create a two-notch isolation profile that relaxes the impact of parasitics on the BW of TX–RX isolation. This considerably increases the area of the duplexer ( 5 compared to this work). The proposed duplexer is implemented using a standard 0.18- m CMOS process with a single thick metal layer and achieves excellent TXIL, while supporting up to 22-dBm TX input power. The proposed EBD has a minimum effect of capacitive coupling on the BW of the TX–RX isolation. Furthermore, it can be used for medium power applications where the area is critical since it occupies a small active area 0.35 mm . The linearity performance is limited compared to [24], [29], and [30] because of the complexity of the tunable floating balancing network. The EBD NF and linearity can be significantly improved by using an SOI technology and adding a wideband transformer to implement differential . As discussed in Section IV-D, employing a wideband transformer in can enhance the cascaded NF by 1 dB and linearity performance of the duplexer by about 20 dB.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 30. Circuit model: (a) for calculating RXIL in config. 1, (b) for calculationg RXIL in config. 4, (c) simplified of (a), and (d) simplified of (d). Fig. 29. Circuit model: (a) for calculating TXIL in config. 1, (b) for calculationg TXIL in config. 4, (c) simplified of (a), and (d) simplified of (d).

From (27) and (28),

VI. CONCLUSION A widely tuned fully integrated FDD duplexer to support multi-band 3G/4G radios is highly desirable to minimize the increasing cost and area of SAW/BAW duplexers. Integrated EBDs are very promising to achieve high isolation between TX and RX bands with the advantage of tuning over a wide frequency range. However, designing a widely tuned integrated duplexer with competitive insertion loss and linearity performance is very challenging. A wideband tunable EBD with a single-ended LNA has been proposed by employing a floating balancing impedance. It enables RF power operation up to 22 dBm while achieving higher than 50-dB TX–RX isolation from 1.6 to 2.2 GHz. A comprehensive analysis for different configurations of passive EBDs has been presented, showing the sources of losses of the duplexer and their effect on NF and insertion loss. This work has presented a step forward to replace today’s band-specific SAW/BAW duplexers with a fully integrated low-loss wideband duplexer. APPENDIX To derive (7) and (8), the RX ports in Fig. 9 can be considered as a short circuit because TX and RX ports are conjugate ports. Fig. 29(a) and (b) show the circuit after reduction of the RX port. Furthermore, the reactive elements can be removed at the frequency of operation because their effect will be cancelled at the matching port impedance to be simplified to Fig. 29(c) and (d). For configuration 1 in Fig. 29(c), the root mean square (rms) voltage at the TX port can be calculated by

(29) which is the same as (7). For configuration 4 in Fig. 29(d), the rms voltage at the TX port can be calculated by

(30) Therefore, the rms voltage at the ANT port is

(31) Equation (8) can be derived from (30) and (31). For calculating RXIL, the TX port in Fig. 9 is assumed to be an open circuit since the RX and TX are conjugate ports. The circuit models in Fig. 9 are simplified to the circuit models in Fig. 30(a) and (b). In Fig. 30(a), the leakage inductance in series with the antenna is neglected since it is in series with a much larger impedance. At high values of , this leakage inductance cannot be neglected increasing RXIL than the one predicted by expression. Fig. 30(a) and (b) can be further simplified at the resonance frequency of and , as shown in Fig. 30(c) and (d). Since the ANT port is not matched because the TX port is open circuit, RXIL is defined as . For configuration 1 in Fig. 30(c), the rms voltage at the RX port is defined by (32) where

(27) where is the TX port resistance, is the TX input power at the duplexer, and is . Therefore, the rms voltage at the ANT port is

The rms voltage at the ANT port due to the RX signal is calculated by

(28)

(34)

(33)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ELKHOLY et al.: LOW-LOSS INTEGRATED PASSIVE CMOS EBDs WITH SINGLE-ENDED LNA

From (32) and (34),

(35) which is the same result as in (10). For configuration 4 in Fig. 30(d), the rms voltage at the RX port can be calculated by

(36) Therefore, the rms voltage at the ANT port is (37) Equation (12) can be derived from (36) and (37). ACKNOWLEDGMENT The authors would like to thank MOSIS for the chip fabrication. REFERENCES [1] Base Station (BS) Radio Transmission and Reception Rel. 11, 3GPP TS 36.104, Apr. 2013. [2] G. Fattinger, P. Stokes, V. Potdar, A. Volatier, F. Dumont, and R. Aigner, “Miniaturization of BAW devices and the impact of wafer level packaging technology,” in IEEE Int. Ultrason. Symp., Jul. 2013, pp. 228–231. [3] J.-S. Shin et al., “Hybrid bulk acoustic wave structure for temperature stability in LTE applications,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 453–455, Sep. 2013. [4] M. Hikita, N. Matsuura, N. Shibagaki, and K. Sakiyama, “New SAW antenna duplexers for single- and dual-band handy phones used in 800-MHz and 1.8-GHz cellular-radio systems,” in IEEE Int. Ultrason. Symp., Oct. 1999, vol. 1, pp. 385–388. [5] M. Iwaki, J. Tsutsumi, T. Tanaka, M. Ueda, and Y. Satoh, “A converged Rx SAW duplexer module with embedded substrate for multi-band RF front-end,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [6] D. Murphy et al., “A blocker-tolerant, noise-cancelling receiver suitable for wideband wireless applications,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 2943–2963, Dec. 2012. [7] H. Hedayati, W.-F. Lau, N. Kim, V. Aparin, and K. Entesari, “A 1.8 dB NF blocker-filtering noise-canceling wideband receiver with shared TIA in 40 nm CMOS,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1148–1164, May 2015. [8] J. Kim et al., “A linear multi-mode CMOS power amplifier with discrete resizing and concurrent power combining structure,” IEEE J. Solid-State Circuits, vol. 46, no. 5, pp. 1034–1048, May 2011. [9] J.-Y. Lee, S.-W. Choi, and K.-B. Lee, “Development of 2 GHz band micro LTCC duplexer by combining BPF and diplexer,” in Proc. Asia–Pacific Microw. Conf., Dec. 2011, pp. 1047–1050. [10] M. Pourakbar, L. Linton, M. Tormanen, and M. Faulkner, “Tunable duplex filter for adaptive duplexers of advanced LTE handsets,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–4. [11] A. S. Morris and V. Steel, “Integrated tunable systems for scalable 4G radios,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013. [12] A. Mirzaei, M. Mikhemar, D. Murphy, and H. Darabi, “A 2 dB NF receiver with 10 mA battery current suitable for coexistence applications,” in IEEE VLSI Circuits Tech. Symp., Jun. 2013, pp. 72–73. [13] J. Zhou, P. R. Kinget, and H. Krishnaswamy, “A blocker-resilient wideband receiver with low-noise active two-point cancellation of 0 dBm TX leakage and TX noise in RX band for FDD/co-existence,” in Int. Solid-State Circuits Tech. Dig., Feb. 2014, pp. 352–353.

15

[14] R. Eslampanah et al., “Active duplexing for software defined radio,” in IEEE Int. Circuits Syst. Symp., Jun. 2014, pp. 185–188. [15] H. Obiya et al., “A new tunable RF front-end circuit for advanced 4G handsets,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [16] M. Mikhemar, H. Darabi, and A. Abidi, “A tunable integrated duplexer with 50 dB isolation in 40 nm CMOS,” in Int. Solid-State Circuits Tech. Dig., Feb. 2009, pp. 386–387, 387a. [17] M. Mikhemar, H. Darabi, and A. Abidi, “An on-chip wideband and low-loss duplexer for 3G/4G CMOS radios,” in IEEE VLSI Circuits Tech. Symp., Jun. 2010, pp. 129–130. [18] S. H. Abdelhalem, P. S. Gudem, and L. E. Larson, “A tunable differential duplexer in 90 nm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2012, pp. 101–104. [19] S. H. Abdelhalem, P. S. Gudem, and L. E. Larson, “Hybrid transformer-based tunable integrated duplexer with antenna impedance tracking loop,” in IEEE Custom Integr. Circuits Conf., Sep. 2013, pp. 1–4. [20] M. Elkholy, M. Mikhemar, H. Darabi, and K. Entesari, “A 1.6–2.2 GHz 23 dBm low loss integrated CMOS duplexer,” in IEEE Custom Integr. Circuits Conf., Sep. 2014, pp. 1–4. [21] M. Mikhemar, H. Darabi, and A. A. Abidi, “A multiband RF antenna duplexer on CMOS: Design and performance,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2067–2077, Sep. 2013. [22] E. Sartori, “Hybrid transformers,” IEEE Trans. Parts, Mater., Packag., vol. PMP-4, no. 3, pp. 59–66, Sep. 1968. [23] B. Van Liempd, J. Craninckx, R. Singh, P. Reynaert, S. Malotaux, and J. R. Long, “A dual-notch 27 dBm Tx-power electrical-balance duplexer,” in Eur. Solid State Circuits Conf., Sep. 2014, pp. 463–466. dBm IIP3 single-ended electrical-bal[24] B. Van Liempd et al., “ ance duplexer in 0.18 m SOI CMOS,” in Int. Solid-State Circuits Tech. Dig., Feb. 2015, pp. 1–3. [25] P. Vizmuller, RF Design Guide: Systems, Circuits, and Equations, Number v. 1, ser. Antennas Propag. Library. Norwood, MA, USA: Artech House, 1995. [26] K. R. Boyle, E. Spits, M. A. de Jongh, S. Sato, T. Bakker, and A. van Bezooijen, “A self-contained adaptive antenna tuner for mobile phones: Featuring a self-learning calibration procedure,” in Eur. Antennas Propag. Conf., Mar. 2012, pp. 1804–1808. [27] Y. Sun and J. K. Fidler, “High-speed automatic antenna tuning units,” in Proc. 9th Int. Antennas Propag. Conf., Apr. 1995, vol. 1, pp. 218–222. [28] Y. Sun, J. Moritz, and X. Zhu, “Adaptive impedance matching and antenna tuning for green software-defined and cognitive radio,” in Int. Midwest Circuits Syst. Symp., Aug. 2011, pp. 1–4. [29] S. H. Abdelhalem, P. S. Gudem, and L. E. Larson, “Tunable CMOS integrated duplexer with antenna impedance tracking and high isolation in the transmit and receive bands,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2092–2104, Sep. 2014. [30] S. H. Abdelhalem, P. S. Gudem, and L. E. Larson, “Hybrid transformer-based tunable differential duplexer in a 90-nm CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1316–1326, Mar. 2013. [31] N. Kim, L. E. Larson, and V. Aparin, “A highly linear SAW-less CMOS receiver using a mixer with embedded TX filtering for CDMA,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2126–2137, Aug. 2009.

Mohamed Elkholy (S’08) received the B.S. and M.S. degrees in electrical engineering from Ain Shams University, Cairo, Egypt, in 2005 and 2010 respectively, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station, TX, USA. From 2005 to 2007, he was with Sysdsoft Inc. (acquired by Intel), Cairo, Egypt, where he was involved with RF transceivers designing different RF/analog blocks including filters, variable gain amplifiers (VGAs), and low-noise amplifiers (LNAs). From 2007 to 2010, he was with Si-ware Systems, Cairo, Egypt, where he was involved with microelectromechanical systems (MEMS) interfacing circuits and clocking circuits. From 2012 to 2013, he was Researcher Intern with the Broadcom Corporation, where he was involved with integrated duplexers for cellular phones. His research interests include analog and RF integrated circuit (IC) design for wireless communication and chemical sensing at RF frequencies.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Mohyee Mikhemar (M’08–SM’14) received the B.S. and M.S. degrees (with honors) in electrical engineering from Ain Shams University, Cairo, Egypt, in 2000 and 2004, respectively, and the Ph.D. degree from the University of California at Los Angeles (UCLA), Los Angeles, CA, USA, in 2009. He is currently a Senior Principal Scientist with the RF Group, Broadcom Corporation, Irvine, CA, USA, where he is involved with the design of nextgeneration low-power and multi-band radios. He has 50 issued/pending patent applications in the field of RF-CMOS design. Dr. Mikhemar is a Member of the Technical Program Committee (TPC) of the IEEE RFIC Symposium. He was the recipient of the 2013 CICC Best Invited Paper Award, the 2012 JSSCC Best Paper Award, the 2012 Jack Kilby Award for outstanding student paper at ISSCC, and the Distinguished Technical Paper Award from of ISSCC 2012.

Hooman Darabi (SM’05–F’14) was born in Tehran, Iran, in 1972. He received the B.S. and M.S. degrees in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1994 and 1996, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), Los Angeles, CA, USA, in 1999. He is currently a Senior Technical Director and Fellow with the Broadcom Corporation, Irvine, CA, USA, within the RF Group, Mobile and Wireless Business Unit. He has authored or coauthored over

50 peer reviewed or conference papers. He holds over 200 issued or pending patents with the Broadcom Corporation. His research interests include analog and RF integrated circuit (IC) design for wireless communications. Dr. Darabi is an IEEE Distinguished Lecturer.

Kamran Entesari (S’03–M’06) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1995, the M.S. degree in electrical engineering from Tehran Polytechnic University, Tehran, Iran, in 1999, and the Ph.D. degree from The University of Michigan, Ann Arbor, MI, USA, in 2005. In 2006, he joined the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX, USA, where he is currently an Associate Professor. His research interests include RF/microwave/millimeter-wave integrated circuits and systems, microwave chemical/biochemical sensing for lab-on-chip applications, reconfigurable RF/microwave antennas and filters, and RF microelectromechanical systems (MEMS). Prof. Entesari is currently an Associate Editor of MICROWAVE AND WIRELESS COMPONENTS LETTERS and a Technical Program Committee (TPC) Member of the IEEE RFIC Symposium. He was the recipient of the 2011 National Science Foundation (NSF) CAREER Award. He was the corecipient of the 2009 Semiconductor Research Corporation (SRC) Design Contest Second Project Award, the Best Student Paper Awards of the IEEE RFIC Symposium in 2014 (Second Place), IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 2011 (Third Place), and the IEEE Antennas and Propagation Society (IEEE AP-S) in 2013 (Honorable Mention).

1560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Millimeter-Wave Sources at 60 and 140 GHz by Magnetic-Plasmon-Waveguide-Based In-Phase Coupled Oscillator Network in 65-nm CMOS Yang Shang, Member, IEEE, Hao Yu, Senior Member, IEEE, Yuan Liang, Xiaojun Bi, and Muthukumaraswamy Annamalai

Abstract— High output power density millimeterwave (mm-wave) signal sources are demonstrated at 60 and 140 GHz by a magnetic plasmon waveguide (MPW) based in-phase coupled oscillator network (CON) in 65-nm CMOS. Each oscillator unit-cell is designed by an MPW-based lowloss inter-digital zero-phase coupler (ZPC). With multiple in-phase coupled ZPC unit-cells, a high output power mm-wave signal is generated at the center of the CON by an in-phase power combination. A 60-GHz CON-based signal source is implemented with four in-phase CON unit-cells at 60 GHz in a compact core chip area of 0.11 mm2 . It is measured with 2-mW peak differential output power, 2.2% power efficiency, 18.2-mW/mm2 output power density, −113.9-dBc/Hz phase noise at 10-MHz offset, and 15.8% frequency tuning range (FTR) centered at 63.1 GHz. Moreover, a 140-GHz signal source is implemented by the combination of second-order harmonics of four in-phase coupled ZPC unit-cells at 70 GHz in a compact core chip area of 0.13 mm2 . It is measured with 3.5-mW peak output power, 2.4% power efficiency, 26.9-mW/mm2 output power density, −104.9-dBc/Hz phase noise at 25-MHz offset, and 9.7% FTR centered at 133.5 GHz. Index Terms— CMOS millimeter-wave (mm-wave) signal source, coupled oscillator network (CON), high output power density, magnetic plasmon waveguide (MPW), metamaterial, zero-phase coupler (ZPC).

I. I NTRODUCTION

C

MOS-BASED signal sources have been recently demonstrated in system-on-chip (SOC) designs for high datarate communication and noninvasive imaging applications

Manuscript received September 6, 2015; revised February 12, 2016; accepted March 11, 2016. Date of publication April 21, 2016; date of current version May 10, 2016. This work was supported by Singapore MOE Tier-1 funding RG 26/10, and by the 65-nm CMOS tape-out from GlobalFoundries and MediaTek and fund under Grant MOE Tier-1 RG 26/10 and Grant NRF2010NRF-POC001–001. Y. Shang was with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798. He is now with the ADVANTEST Corporation, Singapore 768923. H. Yu and Y. Liang are with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). X. Bi was with the Institute of Microelectronics, Agency for Science, Technology and Research (A*STAR), Singapore 117685. He is now with the School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan, China. M. Annamalai is with the Institute of Microelectronics, Agency for Science, Technology and Research (A*STAR), Singapore 117685. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2544308

Fig. 1.

mm-wave signal transmission and detection system.

in millimeter-wave (mm-wave) and sub-terahertz (sub-THz) regions [1]–[11]. Fig. 1 shows an example of a mm-wave imaging system. In order to meet the link budget in a frequency approaching f MAX , both the output power of the transmitter and sensitivity of the receiver have to be significantly improved because the design of the power amplifier and lownoise amplifier has limited performance at f MAX . On the transmitter side, a distributed active radiator array [12] could be used to largely increase radiated power and efficiency. In this transmitting scheme, each antenna cell is directly connected to a signal source, which is injection locked to a sub-harmonic frequency. However, in an area-limited chip with fixed antenna cell size, one needs to design a signal source for high output in a compact area. On the receiver side, largearrayed heterodyne receivers [13], [14] are utilized to improve the receiver sensitivity, where a signal source is required in each receiver pixel for the down-conversion. Similarly, the signal source must be compact with high output power to reduce the signal conversion loss. However, it is challenging to design a high output power mm-wave signal source by a single CMOS oscillator with high power and area efficiency. In the CMOS process, the output power of a high-frequency oscillator is not only limited by the maximally allowed supply voltage and current density of transistors, but also the buffer size, of which the parasitic capacitance will reduce its maximum oscillation

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

SHANG et al.: mm-WAVE SOURCES AT 60 AND 140 GHz BY MPW-BASED IN-PHASE CON IN 65-nm CMOS

Fig. 2.

Closed-loop CON with center combined output.

frequency [2], [15], [16]. Similar to the power-combining techniques utilized in power-amplifier designs [17], [18], the output signal of multiple CMOS oscillators can be connected together to achieve a large output power. Several coupled oscillator network (CON) signal sources have been proposed [11], [19]. A phase/delay tuning design is utilized in [11], but it cannot ensure an in-phase coupling condition with high combining efficiency. In-phase synchronization is achieved in [19] with a half λ transmission line (T-line), which is bulky and lossy and, hence, hardly deployed for a large phase-arrayed design. Therefore, it is of great interest to explore the high output power CON design with high efficiencies in both power and chip area [20]. A closed-loop CON is shown in Fig. 2, where the combined output power is maximized when all the oscillator unit-cells are in-phase coupled with a phase difference of nπ(n = 0, 1, 2, . . .). In the conventional CON design [21], [22], adjacent oscillators are coupled by a T-line or an equivalent delay network with at least an electrical length of half λ(n = l), which results in a bulky and lossy design with limited combining power and efficiency. Recently, CMOS on-chip metamaterials [17], [23] have been proposed to provide phase manipulation in the mm-wave circuit design such as the zero-phase coupler (ZPC). A low-loss ZPC can be designed in the bandgap of composite right/left-handed (CRLH) T-lines, where series and parallel resonance frequencies are close to each other. The work in [17] has shown that the CRLH T-line can be utilized to realize a zero phase shifter (n = 0) for in-phase power combining in CMOS mm-wave PAs with high output power. Compared to the conventional in-phase coupling by the half λ T-line [21], the CRLH T-line based design can largely reduce the coupling loss within a compact area. Similar to the use of the CRLH T-line, magnetic plasmon waveguide (MPW) is another type of metamaterial that could be implemented on-chip towards a low-loss zero-phase coupling. The MPW was firstly introduced in one-dimensional (1-D) sub-wavelength power transfer

1561

by [24]–[26]. It is also recently utilized for wireless energy transmission [27]–[29]. The zero-phase mode of the MPW is different from the CRLH T-line. It locates at the lower boundary of the bandgap region with a minimized attenuation factor. As such, it leads to a more compact profile with low loss. When a number of MPW-based ZPCs are used to form the coupled-oscillator network, the according CON unit-cells are connected in a closed loop with a centralized placement of the active devices. Therefore, high-efficiency in-phase power combining can be achieved with high power density, which is defined as the RF output power generated in the unit area. In this paper, using MPWs as the ZPCs, two high output power mm-wave signal sources are demonstrated by the ZPC-based CON in 65-nm CMOS. The inter-digital coupling structure and capacitive loading are introduced in the MPW-based ZPC design to largely reduce the coupling loss and coupler size, respectively. Moreover, inductive tuning [30] is applied in each ZPC to further expend the frequency tuning range (FTR), which is also desired for multiple-channel operation and spectroscopy in communication and imaging systems, respectively. Firstly, a ZPC-based CON with four unit-cells is implemented at 60 GHz in a 65-nm CMOS process. Secondly, an injection-locked 140-GHz signal source is also implemented with ZPC-based CON at 70 GHz. With four in-phase coupled unit-cells and push–push frequency doublers, a high output power signal is generated in the center of the CON layout at 140 GHz. The remainder of this paper is organized as follows. The fundamentals of an on-chip MPW-based ZPC are discussed in Section II. The ZPC-based CON is applied for the CMOS signal source designs at 60 and 140 GHz in Section III. The measurement results are presented in Section IV with a conclusion in Section V. II. MPW-BASED ZPC The CON [19] is a well-known structure to synchronize the output power and reduce the phase noise. The primary challenge is how to couple all oscillator unit-cells in-phase within a compact area. In this section, the fundamentals of the MPW-based ZPC is introduced followed by the design of a ZPC unit-cell as well as oscillator network. A. MPW An MPW with zero phase propagation can be introduced in the coupling network design with 2k/N = 0 to largely improve the output power within a compact area. It operates based on the inductive coupling between the periodically distributed resonators. The equivalent circuit of an ideal 1-D MPW is shown in Fig. 3(a). The plasmon resonators are coupled by the magnetic flux between adjacent resonators, which are represented by the LC networks with mutual inductances (M). Assuming the magnetic coupling only exists between adjacent resonators, each unit-cell consists of two magnetic coupled resonators. As such, the dispersion relationship can be written as ω02 2M cos[(α + jβ)d] −1= ω2 L

(1)

1562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 4. (a) Equivalent circuit of differential ZPC loaded with parasitic capacitance (cross-coupled pairs). (b) On-chip realization of inter-digital coupled T-lines.

with Y = Z 02 [ωC(Y0o + Y0e ) cot θ − ω2 C 2 − Y0o Y0e cot2 θ ] (4) + j Z 0[2ωC − (Y0o + Y0e ) cot θ ] + 1 Fig. 3.

(a) Equivalent circuit of MPW. (b) Dispersion diagram of MPW.

√ √ where j = −1, ω0 = 1/ LC is the self-resonance frequency of the LC resonator, d is the distance between adjacent unit-cells, and α and β are the attenuation coefficient and phase constant, respectively. Fig. 3(b) shows the according dispersion diagram. One can observe that both α and β are zero at the lower stopbands’ boundary ω L with ω0 ωL =  1 + 2M L

(2)

where the zero phase propagation exists. When multiple MPW unit-cells are serially connected, the in-phase electromagnetic (EM) energy can be stored in each unit-cell in a zerophase propagation mode. A zero-phase propagation mode operation is not only important for the power combination, but also for the phase-noise reduction. The noise coupling network becomes reciprocal in the zero-phase propagation mode, and the total phase noise will be reduced by N times when coupling N free-running oscillators [16]. B. ZPC Design 1) Coupled T-Line With Capacitive Loading: It is well known that magnetic coupling can be realized by coupled T-line. Similarly, an MPW-based ZPC, as shown in Fig. 3(a), can be synthesized by coupled T-line with capacitive loading (C) contributed by the parasitic capacitances of transistors, as shown in Fig. 4, of which the two-port S-parameters can be obtained as ⎧ 1 + Z 02 (Y0o cot θ − ωC)(Y0e cot θ − ωC) ⎪ ⎪ ⎨ S11 = S22 = Y ⎪ (Y − Y ) cot θ j Z 0 0o 0e ⎪ ⎩ S21 = S21 = Y (3)

where Y0o , Y0e denotes the odd-mode and even-mode admittance, respectively; θ = βl is the electrical length of the coupler. As such, the coupling phase (φ) can be expressed as φ = cot−1 

 2ωZ 0 C − Z 0 (Y0o + Y0e ) cot θ × . 1 + Z 02 [ωC(Y0o + Y0e ) cot θ − ω2 C 2 − Y0o Y0e cot2 θ ] (5)

When the impedance of both ends are perfectly matched (Z 02 Y0o Y0e = 1), a zero-phase coupling condition (φ = 0) is satisfied in (5) with



ωC ωC cot(βl) − cot(βl) − = 1. (6) Y0e Y0o The required physical length l can be derived as  

2 ωC(Y +Y ) ωC(Y −Y ) 0o 0e 0o 0e cot−1 + 1+ 2Y0o Y0e 2Y0o Y0e l=

.

√ ω με

(7)

The coupling loss needs to be compensated to start oscillation, which means |S21G m | · |S21 Z P | > 1, where S21G m and S21 Z P are the equivalent gain of shunt negative conductance from active devices and the coupling coefficient of the ZPC, respectively. With an inter-digital configuration in the layout, as shown in Fig. 4(b), a lower coupling loss can be achieved [31]. The coupling coefficient in zero-phase mode |S21 Z P | can be derived from (3) and (6) by    (Y0e cot θ − ωC) − (Y0o cot θ − ωC)  . |S21 Z P | =  (8) (Y cot θ − ωC) + (Y cot θ − ωC)  0e

0o

Clearly, a low loss can be obtained by a much smaller physical length l and a lower Y0e for multiple ZPC-based oscillator unitcells under the zero-phase condition. Compared to the conventional coupler design with a T-line by a single strip on each side, the proposed ZPC structure can simultaneously

SHANG et al.: mm-WAVE SOURCES AT 60 AND 140 GHz BY MPW-BASED IN-PHASE CON IN 65-nm CMOS

Fig. 5. Calculated l for zero coupling phase versus loaded capacitance and even-mode characteristic admittance at 60 GHz.

increase Y0o and reduce Y0e [32], which can be further optimized based on the relation of the coupler length l versus loaded capacitances and even-mode admittance, as shown in Fig. 5. 2) Coupled T-Line With Inductive Loading: Since a broadband zero-phase coupling is desired, according to (6) and (7), the frequency tuning can also be achieved by changing the effective length of the coupler. The inductive loading method [30] can be applied to improve the FTR. Two metal loops are formed above the coupler in the aluminum PAD layer (LB). By configuring the on-off status of MOS switches Ma1,2 and Mb1,2 shown in Fig. 7, the effective electrical length of the coupler is changed, which, in turn, changes the oscillation frequency as follows: 1 ω0,mn =  (9) Ceq (L eq + m M A + n M B ) where Ceq L eq defines the maximum operation frequency; m = 0, 1, n = 0, 1 denotes the modes of configurations; M A and M B are the loaded mutual inductances. As such, the minimum FTR without considering varactor tuning can be calculated by

ω0,00 − ω0,11 . (10) FTR = 2 ω0,00 + ω0,11 In order to achieve higher FTR, larger M A and M B are required. C. Close-Looped Zero-Phase CON For a CON with N oscillators connected in a closed loop, the phase-shift (φ) between adjacent oscillators needs satisfy the condition of φ = 2kπ/N, (k = 0, ±1, ±2, . . .), as illustrated in Fig. 2. The combined output admittance YOUT (ω0 ) and current I (t) of all oscillators can be calculated as ⎧ n  ⎪ ⎪ ⎪ Yi (ω0 ) ⎪ ⎨YOUT (ω0 ) = i=1 (11) n  ⎪ ⎪ ⎪ IOUT (t) = I0 · cos(ωt + φ ) ⎪ i ⎩ i=1

1563

where Yi , I0 , and φi are the output impedance, amplitude, and phase of the output current from each unit-cell of the CON, respectively. Clearly, I (t) is maximized as N · I0 when all oscillator outputs are in-phase (2k/N = 0, ±1, ±2, . . .). Since YOUT is also N times larger by parallel connecting N oscillator outputs, the total available output power is N times increased by the CON due to POUT = 0.5 · |IOUT |2 /YOUT when compared to that of a single free-running oscillator. The noise coupling network becomes reciprocal in zero-phase mode [19], and the phase noise at the CON output becomes 1/N of a single free-running oscillator [16]. When the in-phase coupling condition is satisfied for the CON (in the 1/ f 2 region), the resulting phase-noise L(ω) at frequencyoffset ω can also be improved as [33]   8π Z 0 ω02 i T2 (12) L(ω) = 10 log N Pdiss Q 2L ω3 where i T2 is the squared noise current density; Pdiss is the power dissipated; and ω0 is the oscillation frequency. Moreover, Z 0 and Q L are the impedance and the quality factor of the coupling T-line, respectively. Ideally, with N oscillator unit-cells in-phase coupled, the phase noise is N times smaller compared to the single free-running oscillator. Note that a coupled oscillator system will have the same phase noise as a single combined oscillator dissipating the combined current. However, the current in an oscillator cannot be arbitrarily increased. Firstly, a direct scaling of transistor size could substantially reduce f MAX ; secondly, the interconnection loss increases with current, which will reduce the output power of an oscillator III. S IGNAL S OURCE D ESIGNS BY ZPC-BASED CON Two structures of the high output power signal source are proposed in this paper. Fig. 6(a) shows the block diagram of the proposed 60-GHz voltage-controlled oscillator (VCO) with four in-phase CON unit-cells. Four differential in-phase buffered outputs are combined at the center of the VCO to generate a four times higher output power. Vtune is used to control the oscillation frequency. Moreover, Fig. 6(b) shows the block diagram of the proposed 140-GHz signal source with four 70-GHz ZPCs. Since the output signals after frequency doubling are still in-phase, they can be combined directly at the center of the CON to generate a four times higher output power. The oscillation frequency of the CON is controlled by the injection-locking method. Compared to the direct frequency control by a 70-GHz phase-locked loop (PLL) with the bulky and power-hungry frequency dividers, the injection-locking method has higher power and area efficiency. Moreover, compared to the active multiplying chain approach with additional power amplifiers, the injection-locking method also has better power and area efficiency due to a low power requirement of the reference signal to lock. In this work, the 70-GHz injection signal is obtained by doubling the frequency of a 35-GHz reference input, which can be easily generated by an on-chip or off-chip signal source. The design of each circuit block is shown in Section III-A.

1564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 6. Block diagrams of CON based signal source designs. (a) VCO with center combined output from four 60-GHz zero-phase-coupled oscillator unit-cells. (b)140-GHz signal source with center combined output from four 70-GHz zero-phase-coupled oscillator unit-cells.

Fig. 7. Layout of inter-digital differential ZPC with effective electrical length controlled by MOS switches.

A. 60-GHz VCO Fig. 7 shows the schematic and layout to implement a differential ZPC around 60 GHz by the top most copper layer (M6) with inter-digital coupling topology in CMOS. The average length of the coupler is 182 μm. The sizes of transistors are predetermined by the required output power and the frequency range. Their parasitic capacitances are extracted from post-layout simulation as the load of one ZPC.

Fig. 8. (a) Simulated magnitude of S11 and S21 in dB and phase of S21 of proposed the proposed coupler structure with comparison of S21 magnitude to the conventional one by coupled T-line. (b) Extracted dispersion diagram of 60-GHz on-chip ZPC unit-cell with inter-digital coupled T-line.

These capacitances are then incorporated into the EM simulation to satisfy (6). The design satisfies the zero-phase condition at 60 GHz as illustrated in Fig. 8(a). In addition, S11 is smaller than −20 dB and the differential S21 is greater than −0.5 dB at the vicinity of 60 GHz, which confirm a low coupling loss. As a comparison, a conventional coupler using two coupled T-lines with the minimum allowed gap (1.5 μm) is also simulated. With the same capacitance load (40 fF), the proposed ZPC obtains 2-dB better S21, leading to a lower coupling loss. Both the propagation constant (β) and attenuation factor (α) of the proposed ZPC are extracted based on the method introduced in [34]. As illustrated in Fig. 8(b), β is negative when the frequency is smaller than 60 GHz, which presents the left-handed property. A zero β is achieved at 60 GHz leading to the zero-phase coupling condition. At the same time, the attenuation constant α is also minimized at around 60 GHz, resulting in the minimum coupling loss. The loading capacitance is contributed by the parasitic of the cross-coupled NMOS pair (M1 and M2 ), output buffers (M3 and M4 ), and varactors (D1 and D2 ). M1 and M2 provide a negative resistance to compensate the energy loss. The sizes of M1 and M2 are optimized with the maximum tuning range. The drain–source current of the cross-coupled pair is

SHANG et al.: mm-WAVE SOURCES AT 60 AND 140 GHz BY MPW-BASED IN-PHASE CON IN 65-nm CMOS

1565

Fig. 9. (a) Schematic of 60-GHz CON with four VCO unit-cells. (b) Schematic of 60-GHz output buffers with center combined differential output.

Fig. 11. (a) EM simulation results of 70-GHz on-chip ZPC unit-cell with inter-digital coupled T-line. (b) Extracted dispersion diagram of 70-GHz on-chip ZPC unit-cell with inter-digital coupled T-line. Fig. 10. Schematic of on-chip ZPC unit-cell at 70-GHz band with interdigital coupled T-line and switch-controlled inductive loadings.

controlled by a tail current connected NMOS M5 of which the biasing can be adjusted. To facilitate output impedance matching and isolate the VCO core from peripherals, commonsource NMOS M3 and M4 are employed as output buffers. The width of M3 and M4 are optimized for a high output power. 1) 60-GHz VCO: The schematic of the proposed VCO is shown in Fig. 9(a). Four differential ZPCs are connected in serial with a closed-loop. As shown in Fig. 9(b), four differential buffered outputs are combined at the geometry center of the layout. Two coplanar waveguides (CPWs) are used to connect the center output to the RF PADs. Note that all the tail currents of all CON unit-cells are controlled by the same current mirror with diode-connected NMOS. B. 140-GHz Injection-Locked Signal Source 1) 70-GHz MPW-Based ZPC: Fig. 10 shows the schematic and layout of the on-chip ZPC with a coupled T-line

implemented in the top most copper layer (M8) and parasitic capacitances from transistors in a 65-nm CMOS process. Here an inter-digital coupling topology is deployed to largely increase the magnetic coupling inside each ZPC. Both input and output of the unit-cell are on the same side due to the dumbbell shape routing with an effective length of 40 μm. Switch-controlled inductive loadings by Sa and Sb are applied to increase the number of the available zero-phase modes of each ZPC as well as the tuning range of the CON. The EM-simulation results and the dispersion diagram of the ZPC without any inductive loadings are shown in Fig. 11(a) and (b), respectively, where a very small insertion loss of 0.4 dB is observed in zero-phase mode at 70 GHz. Note that a parasitic capacitance of 40 fF from active devices is also considered in the simulation. Moreover, the metamaterial properties of proposed on-chip unit-cell design are verified by a similar dispersion diagram to Fig. 11(b), except the loss induced a non-zero α at the zero-phase mode.

1566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 13. (a) EM simulation results of the proposed Marchant balun at 70 GHz. (b) Post-layout simulation results of the 35–70-GHz frequency doubler. Fig. 12. (a) Schematic of injection-locked 70-GHz CON with four ZPC unit-cells. (b) Schematic of four 70-to-140-GHz push–push frequency doublers with center combined output. (c) Schematic of 35–70-GHz frequency doubler with inter-digital Marchand balun at 70 GHz.

2) 70-GHz CON: The schematic of the 70-GHz CON is shown in Fig. 12(a). Four ZPCs are serially connected in a closed-loop form. Due to a strong zero-phase coupling inside each ZPC, the differential output signals at locations A, B, C, and D have the same phase, magnitude, and frequency, which are locked to the injected 70-GHz reference signal with largely amplified strength. The oscillation signal is generated by compensating the energy loss in each unit-cell with a negative resistance formed by a cross-coupled NMOS pair (M1 and M2). Usually larger M1 and M2 are preferred to ensure the oscillation condition, but the available output power will be correspondingly reduced. Additionally, in order to reduce the impacts of the process variation, a central symmetrical layout is deployed and all active devices are placed as closed as possible to the geometrical center of the CON. 3) 70–140-GHz Output Frequency Doublers: Fig. 12(b) shows the schematic of four 70–140-GHz push–push frequency doublers with center combined output. The 70-GHz differential output signals at A, B, C, and D are coupled to the push–push frequency doubler by 28-fF dc blocking capacitors.

Therefore, all the frequency doublers can be externally biased to the threshold level (VG1) to maximize the frequency conversion efficiency. The resulting four in-phase 140-GHz output signals are directly tied together to generate a high power output signal at the center with a combined output impedance of 50 . Moreover, an LC resonator based ac-GND is applied to reduce the output leakage of the 70-GHz fundamental signal. 4) 35 – 70 - GHz Input Reference Frequency Doubler: Fig. 12(c) shows the schematic of the 35–70-GHz reference frequency doubler. One transformer based balun is deployed to generate a differential 35-GHz reference signal to drive M3 and M4, which also have the threshold level biasing. However, the transformer-based balun suffers from the outputs mismatch above 60 GHz. As such, another Marchant balun with inter-digital coupling is deployed at 70 GHz to have balanced differential outputs as well as low insertion loss. As verified by EM simulation in Fig. 12(c), the proposed Marchant balun has an average intrinsic loss of 1.1 dB at 70 GHz. The magnitude and phase mismatches at 70 GHz are only 0.4 dB and 4°, respectively. The resulting 70-GHz differential signal is injected into the CON by a commonsource buffer stage (M5 and M6). Fig. 13(b) shows the postlayout simulation results of the entire frequency doubler with 5-dBm reference power. The conversion gain is above −15 dB

SHANG et al.: mm-WAVE SOURCES AT 60 AND 140 GHz BY MPW-BASED IN-PHASE CON IN 65-nm CMOS

Fig. 14. CMOS.

1567

Die micrograph of the fabricated 60-GHz VCO chip in 65-nm

in 33 ∼ 39 GHz. Moreover, a good input matching is observed with S11 smaller than −6 dB in 33 ∼ 37.5 GHz. IV. M EASUREMENTS A. 60-GHz Signal Source As shown in Fig. 14, the 60-GHz VCO with four ZPCs was fabricated in a UMC 1P6M 65-nm CMOS process. The core chip area is 330 × 330 μm2 excluding pads. It was measured on a CASCADE Microtech Elite-300 probe station and E5052 source signal analyzer with the spectrum swept up to 70 GHz. Bias-T, probe, and cable loss are calibrated by Agilent PNA-X (N5247A) before the measurement. The proposed VCO consumes 91-mW dc power under 1.2-V power supply. Note that the differential outputs are on different sides of the chip. The measurement is performed at the singleended RF outputs with ground–signal–ground (GSG) pads. In addition, the simulation results are obtained from Cadence Spectre post-layout simulation. Fig. 15(a) shows four modes of the VCO with different frequency bands obtained from both simulation and measurement, which are generated by switching the inductive loadings from Sa and Sb. The entire 60-GHz band under the IEEE 802.15.3c standard is completely covered in both simulation and measurement. Note that the measured FTR of the proposed VCO is 15.8% from 58.1 to 68.1 GHz, which is slightly lower than the simulation result of 16.8% from 58.4 to 69.1 GHz. Fig. 15(b) shows the simulated and measured differential output power of the VCO in each mode. Similar to the simulation results, the measured output power of the VCO is highest when Sa = 1.2 V and Sb = 1.2 V. This is mainly due to a higher transconductance of NMOS transistors at a lower frequency. The proposed VCO achieves the highest differential output power of 2 mW (+3 dBm) at the VTune = 0 condition, where the oscillation frequency is 58.1 GHz. The according maximum dc-to-RF

Fig. 15. (a) Measured and simulated VCO FTR under various switches configurations to cover 58.3–64.8 GHz continuously. (b) Measured and simulated differential output power and power efficiency of proposed VCO over entire 60-GHz band.

Fig. 16.

Measured and simulated VCO phase noise at 60 GHz.

efficiency is 2.2%, which is defined by POUT /PDC , where POUT and PDC are the output power and dc power consumption of the VCO, respectively. Fig. 16 shows the measured and simulated phase noise at 60-GHz output frequency. The measured phase noise correlates very well with the simulation results. A −113.9-dBc/Hz phase noise is observed at 10-MHz offset, which is about 0.7 dB lower than the simulation result at the same frequency offset. The performance of the proposed VCO is summarized in Table I with comparison of other similar designs at 60 GHz. It can be observed that the proposed design has the highest

1568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I P ERFORMANCE C OMPARISON OF S TATE - OF - THE -A RT VCO D ESIGNS A ROUND 60 GH Z

Fig. 17. Die micrograph of the fabricated mm-wave source in 65-nm CMOS.

differential output power of +3 dBm. In addition, it also has the second highest power efficiency of 2.2% and a wide FTR of 15.8%. Note that the power efficiency of the coupled VCOs is reduced at the higher output power due to the additional loss in the power-combining network. As a result, though the output power of the proposed coupled VCOs is almost 4 dB higher, its power efficiency is lower than [35]. The proposed VCO has the state-of-art figure of merit (FOM) of −172.9 dBc/Hz and figure of merit with tuning range (FOMt) of −177.3 dBc/Hz, which are defined by the following:     ⎧ f osc Pdiss ⎪ ⎪ FOM = PN( f ) − 20 log + 10 log ⎪ ⎪ f 1 mW ⎪ ⎪ ⎪ ⎪   ⎨ fosc FTR × (13) FOMt = PN( f )−20 log ⎪ f 10 ⎪ ⎪ ⎪   ⎪ ⎪ Pdiss ⎪ ⎪ ⎩ + 10 log 1 mW where PN( f ) is the phase noise at the offset frequency  f , f osc is the oscillation frequency, and Pdiss is the dc power consumption in mW. The proposed VCO also has the highest output power density of 18.2 mW/mm2 , which is more than 50% higher than the previous VCO design in [35]. Note that output power density is defined as the output power generated in the unit chip area (POUT /ACORE ). This result confirms the feasibility of applying the proposed ZPC in high output power density signal source designs. B. 140-GHz Signal Source The proposed injection-locked 140-GHz signal source is implemented in a GlobalFoundries 65-nm CMOS process with

Fig. 18. Measured and simulated output power of signal source with three different inductive loading modes.

a die photograph shown in Fig. 17. It has a total die area of 750 × 550 μm2 , and a CON core area of 0.13 mm2 . It was measured on a probe station with a 6-pin dc probe for biasing, a coaxial GSG probe for reference signal input, and a D-band GSG to waveguide probe for output, which is connected to an R&S FSUP signal source analyzer with a D-band waveguide harmonic mixer. Operating from a 1.2-V power supply, the CON core of the signal source consumes 145 mW, while the input frequency doubler consumes another 3.8 mW. Note that the conversion loss of the D-band waveguide harmonic mixer is calibrated by a VDI Erickson PM4 power meter and a 140-GHz signal generator with a fixed output power. Fig. 18 shows the output power obtained from both measurement and simulation, which is obtained from Cadence Spectre post-layout simulation. By controlling the inductive loading modes in each ZPC, the entire tuning range of signal source from 127 to 140 GHz is covered by three available bands. Compared to the simulation results, the measured output power is 0.5 ∼ 2.5 dB higher in 128 ∼ 132 GHz and 1.5 ∼ 3 dB lower in 134 ∼ 140 GHz. This is probably due to the process variation. The maximum output power of 5.4 dBm is observed at 132 GHz in mode 2 with a dc-to-RF efficiency of 2.4%. Fig. 19 shows the measured and

SHANG et al.: mm-WAVE SOURCES AT 60 AND 140 GHz BY MPW-BASED IN-PHASE CON IN 65-nm CMOS

Fig. 19. Measured and simulated output spectrum of the proposed signal source at 132 GHz. TABLE II P ERFORMANCE C OMPARISON OF S TATE - OF - THE -A RT S IGNAL S OURCES AT A ROUND 140 GH Z

simulated spectrum of 132-GHz output signal when locked to a 5-dBm reference signal at 33 GHz. Note that the input locking signal is generated by an Agilent signal generator E8244A, which has a phase noise less than −130 dBc/Hz at 1-MHz offset in 32–35 GHz. Compared to the simulation results, a raised noise floor is observed in the measurement within ±25 MHz of 132 GHz. This is probably contributed by the noise coupled from dc power supplies because there are not any de-coupling capacitors in the dc probe. In such a case, a phase noise of −104.9 dBc/Hz is measured at 25-MHz offset. The performance of the proposed signal source is summarized in Table II with comparison to the recent state-of-the-art mm-wave source designs in both the CMOS and SiGe processed. It can be observed that the proposed design has the highest output power and output power density of 26.9 mW/mm2 . V. C ONCLUSION High-output power mm-wave signal sources have been demonstrated in this paper by an in-phase CON in 65-nm CMOS. Due to the in-phase property of each compact oscillator unit-cell of ZPC, the CON output power and area efficiency are significantly increased by such an in-phase power combination. The fabricated 60-GHz signal source has

1569

a compact core chip area of 0.11 mm2 , and it is measured with 2-mW output power, −113.9-dBc/Hz phase noise at 10-MHz offset, and 15.8% FTR centered at 63.1 GHz. The fabricated 140-GHz signal source with injection locking has a compact core chip area of 0.13 mm2 , and it is measured with 3.5-mW peak output power, 2.4% power efficiency, 26.9-mW/mm2 output power density, and 9.7% FTR centered at 133.5 GHz. The demonstrated in-phase CON has great potential to build high output power and compact mm-wave signal sources in CMOS. ACKNOWLEDGMENT The authors appreciate the measurement support by W.-M. Lim, VIRTUS IC Design Centre of Excellence and Integrand Software, for providing the EM simulation tool EMX. R EFERENCES [1] W. Badalawa, S. Lim, and M. Fujishima, “115 GHz CMOS VCO with 4.4% tuning range,” in Microw. Integr. Circuits Conf., Sep. 2009, pp. 128–131. [2] D. Kim et al., “An array of 4 complementary LC-VCOs with 51.4% W-band coverage in 32 nm SOI CMOS,” in IEEE Int. Solid-State Circuits Conf., Feb. 2009, pp. 278–279. [3] D. Murphy et al., “A low phase noise, wideband and compact cmos pll for use in a heterodyne 802.15.3c TRX,” in Eur. Solid-State Circuits Conf., Sep. 2010, pp. 258–261. [4] W. Volkaerts, M. Steyaert, and P. Reynaert, “118 GHz fundamental VCO with 7.8% tuning range in 65 nm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2011, pp. 1–4. [5] M. Jahn, K. Aufinger, T. Meister, and A. Stelzer, “125 to 181 GHz fundamental-wave VCO chips in SIGe technology,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2012, pp. 87–90. [6] F. Golcuk, O. Gurbuz, and G. Rebeiz, “A 0.39-0.44 THz 2×4 amplifierquadrupler array with peak EIRP of 3-4 dBm,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4483–4491, Dec. 2013. [7] N. Deferm and P. Reynaert, “Design, implementation and measurement of a 120 GHz 10 Gb/s phase-modulating transmitter in 65 nm LP CMOS,” Analog Integr. Circuits Signal Process., vol. 75, no. 1, pp. 1–19, 2013. [8] W. Shin, B.-H. Ku, O. Inac, Y.-C. Ou, and G. Rebeiz, “A 108–114 GHz 4×4 wafer-scale phased array transmitter with high-efficiency on-chip antennas,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2041–2055, Sep. 2013. [9] B. Khamaisi and E. Socher, “A 159–169 GHz frequency source with 1.26 mW peak output power in 65 nm CMOS,” in Eur. Microw. Integr. Circuits Conf., Oct. 2013, pp. 536–539. [10] J. Grzyb, Y. Zhao, and U. Pfeiffer, “A 288-GHz lens-integrated balanced triple-push source in a 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1751–1761, Jul. 2013. [11] M. Adnan and E. Afshari, “A 105-GHz VCO with 9.5% tuning range and 2.8-mW peak output power in a 65-nm bulk CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 753–762, Apr. 2014. [12] K. Sengupta and A. Hajimiri, “A 0.28 THz power-generation and beamsteering array in CMOS based on distributed active radiators,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3013–3031, Dec. 2012. [13] Y. Shang, H. Yu, H. Fu, and W. M. Lim, “A 239–281 GHz CMOS receiver with on-chip circular-polarized substrate integrated waveguide antenna for sub-terahertz imaging,” IEEE Trans. Terahertz Sci. Technol., vol. 4, no. 6, pp. 686–695, Nov. 2014. [14] S. Kim et al., “300 GHz integrated heterodyne receiver and transmitter with on-chip fundamental local oscillator and mixers,” IEEE Trans. Terahertz Sci. Technol., vol. 5, no. 1, pp. 92–101, Jan. 2015. [15] C. Cao and K. Ko, “Millimeter-wave voltage-controlled oscillators in 0.13 μm CMOS technology,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1297–1304, Jun. 2006. [16] M. Lont et al., “A 60 GHz Miller effect based VCO in 65 nm CMOS with 10.5% tuning range,” in IEEE Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Jan. 2009, pp. 1–4. [17] W. Fei, H. Yu, W. M. Lim, and J. Ren, “A 53-to-73 GHz power amplifier with 74.5 mW/mm2 output power density by 2D differential power combining in 65 nm CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2013, pp. 271–274.

1570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[18] A. Siligaris et al., “A 65-nm CMOS fully integrated transceiver module for 60-GHz wireless HD applications,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3005–3017, Dec. 2011. [19] H.-C. Chang, X. Cao, U. Mishra, and R. York, “Phase noise in coupled oscillators: Theory and experiment,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 5, pp. 604–615, May 1997. [20] M. Adnan and E. Afshari, “A 105 GHz VCO with 9.5% tuning range and 2.8 mW peak output power using coupled colpitts oscillators in 65 nm bulk CMOS,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2013, pp. 239–242. [21] Y. Tousi, O. Momeni, and E. Afshari, “A 283-to-296 GHz VCO with 0.76 mW peak output power in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf., Feb. 2012, pp. 258–260. [22] R. Han et al., “A 320 GHz phase-locked transmitter with 3.3 mW radiated power and 22.5 dBm EIRP for heterodyne THz imaging systems,” in IEEE Int. Solid- State Circuits Conf., Feb. 2015, pp. 1–3. [23] Y. Shang, H. Yu, D. Cai, J. Ren, and K. S. Yeo, “Design of high-Q millimeter-wave oscillator by differential transmission line loaded with metamaterial resonator in 65 nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1892–1902, May 2013. [24] E. Shamonina, V. A. Kalinin, K. H. Ringhofer, and L. Solymar, “Magnetoinductive waves in one, two, and three dimensions,” J. Appl. Phys., vol. 92, no. 10, pp. 6252–6261, 2002. [25] M. J. Freire, R. Marqués, F. Medina, M. A. G. Laso, and F. Martén, “Planar magnetoinductive wave transducers: Theory and applications,” Appl. Phys. Lett., vol. 85, no. 19, pp. 4439–4441, 2004. [26] I. V. Shadrivov, A. N. Reznik, and Y. S. Kivshar, “Magnetoinductive waves in arrays of split-ring resonators,” Phys. B. Condensed Matter, vol. 394, no. 2, pp. 180–183, 2007. [27] A. Sample, D. Meyer, and J. Smith, “Analysis, experimental results, and range adaptation of magnetically coupled resonators for wireless power transfer,” IEEE Trans. Ind. Electron., vol. 58, no. 2, pp. 544–554, Feb. 2011. [28] C.-W. Yang and C.-L. Yang, “Analysis of inductive coupling coils for extending distances of efficient wireless power transmission,” in IEEE MTT-S Int. Microw. Symp. Dig., Dec. 2013, pp. 1–3, Workshop ser. RF Wireless Technol. Biomed. Healthcare Appl. [29] N. Weissman, S. Jameson, and E. Socher, “W-band CMOS on-chip energy harvester and rectenna,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [30] S. Ma, W. Fei, H. Yu, and J. Ren, “A 75.7 GHz to 102 GHz rotarytraveling-wave VCO by tunable composite right /left hand T-line,” in IEEE Custom Integr. Circuits Conf., Sep. 2013, pp. 1–4. [31] P. Pieters, S. Brebels, E. Beyne, and R. Mertens, “Generalized analysis of coupled lines in multilayer microwave MCM-D technology-application: integrated coplanar lange couplers,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1863–1872, Sep. 1999. [32] L. Han, K. Wu, and X.-P. Chen, “Accurate synthesis of four-line interdigitated coupler,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2444–2455, Oct. 2009. [33] G. L. G. De Mercey, 18 GHz–36 GHz rotary traveling wave voltage controlled oscillator in a CMOS technology, Ph.D. dissertation dissertation, Elect. Comput. Eng. Dept., Bundeswehr Univ., Munich, Germany, 2004. [34] K.-F. Fuh, “Broadband continuous extraction of complex propagation constants in methods using two-line measurements,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 671–673, Dec. 2013. [35] M. Kraemer, D. Dragomirescu, and R. Plana, “A high efficiency differential 60 GHz VCO in a 65 nm CMOS technology for WSN applications,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 6, pp. 314–316, Jun. 2011. [36] C.-Y. Yu, W.-Z. Chen, C.-Y. Wu, and T.-Y. Lu, “A 60-GHz, 14% tuning range, multi-band VCO with a single variable inductor,” in IEEE Asian Solid-State Circuits Conf., Nov. 2008, pp. 129–132. [37] J. Gonzalez, F. Badets, B. Martineau, and D. Belot, “A 56-GHz LC-tank VCO with 17% tuning range in 65-nm bulk CMOS for wireless HDMI,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1359–1366, May 2010. [38] N. Yanay and E. Socher, “Wide tuning-range mm-wave voltagecontrolled oscillator employing an artificial magnetic transmission line,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1342–1352, Apr. 2015.

Yang Shang (S’11–M’16) received the B.S. degree in electrical and electronic engineering (with honors), M.S. degree, and Ph.D. degree in terahertz integrated-circuit design and applications from Nanyang Technological University (NTU), Singapore, in 2005, 2009, and 2015, respectively. After receiving the B.S. degree, he spent more than five years involved with research on measurement equipment and system design within the satellite communication industry. He was then selected by the Joint Industry Postgraduate (JIP) Programme of Singapore Economic Development Board (EDB), and spent three years with the Virtus IC Design Centre of Excellence, NTU. He is currently a Senior Research and Development and Application Engineer with the ADVANTEST Corporation, Singapore, where he is involved with various applications of terahertz technology. Dr. Shang was a Student Paper Competition finalists of IEEE SiRF’13 and RFIC’13. He was the recipient of the Best Paper Award of IEEE Singapore MTT/AP Chapter in 2014 and Excellent Patent Award of ADVANTEST in 2015.

Hao Yu (M’06–SM’13) received the B.S. degree from Fudan University, Shanghai, China, and the Ph.D. degree in integrated circuit and embedded computing from the University of California at Los Angeles, Los Angeles, CA, USA. He was a Senior Research Staff Member with Berkeley Design Automation in Silicon Valley. Since October 2009, he has been an Assistant Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He is Program Director of the VIRTUS Integrated Circuit (IC) Design Center of Excellence and the VALENS Biomedical Engineering Center of Excellence. He has authored or coauthored approximately 180 top-tier peerreviewed publications and 5 books. His current research interests include CMOS emerging technologies, such CMOS terahertz electronics, and 3-D-ICs. Dr. Yu is an Associate Editor and a Technical Program Committee Member for a number of IEEE/ACM journals and conferences. He was a recipient of the Best Paper Award of the ACM-TODAES in 2010, Best Paper Award nominations from DAC in 2006, ICCAD in 2006, and ASP-DAC in 2012, the Best Student Paper Competition Finalist (Advisor) of SiRF, RFIC in 2013 and IMS in 2015, and the Inventor Award from the Semiconductor Research Cooperation in 2009.

Yuan Liang received the B.S. degree in microelectronics from Xidian University, Xi’an, China, in 2012. He is currently with the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Singapore. His research interests include millimeter-wave to terahertz integrated-circuit design in CMOS.

SHANG et al.: mm-WAVE SOURCES AT 60 AND 140 GHz BY MPW-BASED IN-PHASE CON IN 65-nm CMOS

Biaojun Bi received the B.S. and M.S. degrees from the Huazhong University of Science and Technology (HUST), Wuhan, China, in 2005 and 2007, respectively, and the Ph.D. degree from the National University of Singapore (NUS), Singapore, in 2013. Since 2015, he has been an Associate Professor with the School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan, China. From 2007 to 2008, he was a Research Associate with the Institute of Micro-electronics, Chinese Academy of Sciences (IMECAS), Beijing, China. From 2009 to 2013, he was a Research Scholar with NUS and the Institute of Microelectronics (IME), Agency for Science, Technology and Research (A*STAR), during which time he was engaged in silicon-based millimeter-wave integrated circuits (ICs) for terahertz imaging and Gb/s wireless communication. From 2013 to 2015, he was a Research Scientist with IME, A*STAR, and was involved with high-speed IC design including a 425-Gb/s trans-impedance amplifier array in digital CMOS for active optical cable. His current research interests include IC design for high-speed communications and millimeter-wave/terhertz imaging. Dr. Bi is a Technical Reviewer for the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He was a recipient of the Best Student Paper Award of the International Conference on Microwave and Millimeter-wave Technology (ICMMT), Chengdu, China, 2010. He was the Student Paper finalist of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), Seattle, WA, USA, 2013. He was a recipient of the IEEE MTT/AP Singapore Chapter Best Student Paper Award, 2014.

1571

Muthukumaraswamy Annamalai received the Bachelor’s degree in electronics and communication engineering from the National Institute of Technology, Tiruchirappalli, India, in 1992. From 1993 to 2000, he was with the Center for Development of Telematics, Delhi, India, where he designed discrete RF circuits. From 2000 to 2003, he was with Wipro Technologies, Bangalore, India, where he designed analog/RF integrated circuits (ICs). From 2003 to 2004, he was with Intel, Bangalore, India, where he designed RF transmitters on CMOS for ultra-wideband (UWB). In 2004, he joined the Institute of Microelectronics (IME), Singapore, as an RF Integrated Circuit (RFIC) Designer and has led CMOS RF-IC transceivers. He is currently a Technical Manager with the Integrated Circuits and Systems Laboratory, IME. He has authored or coauthored more than ten international conference publications in the area of analog/RF IC design for UWB application.

1572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

A 60-GHz 19.8-mW Current-Reuse Active Phase Shifter With Tunable Current-Splitting Technique in 90-nm CMOS Yiming Yu, Student Member, IEEE, Kai Kang, Member, IEEE, Chenxi Zhao, Member, IEEE, Qingyou Zheng, Huihua Liu, Songbai He, Member, IEEE, Yongling Ban, Member, IEEE, Ling-Ling Sun, Senior Member, IEEE, and Wei Hong, Fellow, IEEE

Abstract— A 60-GHz 4-bit vector-summing phase shifter has been implemented in a 90-nm CMOS process. Based on a tunable current-splitting technique with π-type low-pass filter (LPF) and T-type high-pass filter (HPF), a quadrature adjustable amplitude generator is developed to improve the insertion loss and adjust quadrature signals’ amplitudes. Variable gain amplifiers (VGAs) that are widely used in traditional phase shifters are replaced by the generator to reduce power consumption. In addition, a balun-based current-reuse technique is applied to further save dc power. The overall consumed direct current is 11 mA under 1.8-V voltage supply. The measured results show that the phase shifter with an output balun (with loss of 1.6 dB) achieves gain of −0.4∼2.5 dB at 60 GHz and root mean square (rms) gain error is 0.75∼1.6 dB in the frequency regime from 57 to 64 GHz. The peak average gain is 1.1 dB at 59.7 GHz. By employing a calibration method, the measured rms phase error ranges from 2.3° to 7.6° from 57 to 64 GHz. The tested 60-GHz input 1-dB compression point ( P1dB ) and noise figure (NF) for 16 phase states are −9.8 ± 0.8 dBm and 11 ± 1.3 dB, respectively. Index Terms— Active phase shifter, balun-based current-reuse technique, CMOS, current-splitting technique, millimeterwave (mm-wave), RF phase shifting, RF integrated circuit (RFIC), 60 GHz, vector synthesizer.

I. I NTRODUCTION

W

ITH the rapid development of silicon semiconductor technology and integrated circuit (IC) design methodologies, silicon-based millimeter wireless communication systems have made considerable progress in recent years [1]–[3]. However, the propagation distance of a 60-GHz wireless communication system is limited Manuscript received August 19, 2015; revised January 26, 2016 and February 25, 2016; accepted March 9, 2016. Date of publication April 6, 2016; date of current version May 10, 2016. This work was supported by the National Science Fund of China under Grant 61422104, Grant 61331006, and Grant 61201004, and by the National Science and Technology Major Project of the Ministry of Science and Technology of China under Grant 2013ZX03001011. (Corresponding author: Kai Kang.) Y. Yu, K. Kang, C. Zhao, Q. Zheng, H. Liu, S. He, and Y. Ban are with the School of Electronic Engineering, University of Electronic Science and Technology of China (UESTC), Chengdu 611731, China (e-mail: e-mail: [email protected]). L. Sun is with the School of Electronic Information, Hangzhou Dianzi University, Hangzhou 100044, China. W. Hong is with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2544306

by the high attenuation of millimeter-wave (mm-wave) electromagnetic (EM) waves due to strong oxygen absorption [2]. The phased array, which has advantages of enhancement of the signal-to-noise ratio (SNR) and effective isotropic radiated power (EIRP), becomes an attractive technique to improve the performance of high data-rate long/moderate-distance wireless communication and radar systems [4]–[19]. Several phased-array architectures are developed, including local oscillator (LO) phase shifting [5], [6], IF/baseband (BB) phase shifting [7]–[9], and RF phase shifting [10]–[15]. Since RF phase-shifting phased arrays have excellent feasibility for large-scale elements and possess high pattern directivity, it is quite prevalent in mm-wave CMOS phased-array design [12]–[15]. Phase shifters are key building blocks in phased-array transceivers. However, CMOS RF phase shifters face many technical challenges, such as high insertion loss in passive phase shifters and high power consumption in active ones. Passive phase shifters are usually implemented in two types, switched network [10], [14]–[16] and reflective type [12], [13], [17]–[19]. They possess good linearity without any power consumption. However, they suffer from large insertion loss at high frequencies and usually consume a big chip area. In [14] and [16], variable gain amplifiers (VGAs) are adopted to compensate the large insertion loss of passive phase shifters at the cost of degraded linearity and increased power consumption. Active phase shifters, which are based on the quadrature vector-summing technique, are also proposed for mm-wave phased-array applications [20]–[24]. The performance of quadrature signal generations has a crucial impact on phase-shifting accuracy of vector-summing phase shifters. In [22] and [25], RC poly-phase filters are created to generate a quadrature signal, but they encounter large insertion loss. Thus, they are not suitable to RF phase shifters, especially in the high-frequency regime. Quadrature all-pass filters (QAFs) [21], [23] and an inductive load quadrature filter [24] are proposed for mm-wave applications. However, these structures use resistors to get 90° phase difference between generated two-path signals and their insertion loss is also large in the high frequency band. As a result, active phase shifters consume high power consumption with uncompetitive gain.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

YU et al.: 60-GHz 19.8-mW CURRENT-REUSE ACTIVE PHASE SHIFTER

1573

by the tunable current splitter, 

V1 = α( x )Vin

(1)



V2 = β( x )Vin

(2)

where α( x ) and β( x ), which are real, represent the variable gains of tunable current splitter’s two paths. With logic controlling bits x, the values of α( x ) and β( x ) will be tuned. Through the I/Q signal network, 90° phase difference between the two paths is generated by 

VI = ae j φ V1 = ae j φ α( x )Vin

(3) 

VQ = ae j (φ+π/2) V2 = ae j (φ+π/2) β( x )Vin . Fig. 1. Proposed architecture of mm-wave vector-sum phase shifter with an output balun.

In order to alleviate the above problems, a new architecture of an active phase shifter is proposed in this paper, as shown in Fig. 1. A quadrature adjustable amplitude generator, which consists of a tunable current splitter and an in-phase/quadrature-phase (I/Q) signal network is developed to effectively reduce insertion loss of quadrature signal generation and adjust the signals’ amplitudes. It helps to eliminate the need for VGAs and digital-to-analog convertors (DACs). Compared with a conventional vector-summing phase shifter, the design complexity of the proposed one is also reduced. In addition, a balun based current-reuse technique is applied in the vector synthesizer, which is comprised of two single-to-differential amplifiers and a differential adder to reduce power consumption. Our work shows the onchip implementation of an active phase shifter with good gain and low power consumption in the 60-GHz frequency regime [20]. In Section II, the fundamental principle of the proposed phase shifter is analyzed, including its phase error and gain error. Section III describes the detailed circuit implement of all blocks in this architecture. Measurement results and discussion of the phase shifter are presented in Section IV. Section V concludes this paper. II. P RINCIPLE AND A NALYSIS OF ACTIVE mm-WAVE P HASE S HIFTER The proposed active phase shifter is shown in Fig. 1, including a quadrature adjustable amplitude generator and a vector synthesizer. The generator consisting of a tunable current splitter and an I/Q signal network is used to create quadrature signals with adjustable amplitudes. The modulated I- and Q-path signals are then summed by a following vector synthesizer, which is constituted by two single-to-differential amplifiers and a differential adder. A. Principle of the Proposed Active Phase Shifter As illustrated in Fig. 1, the RF input signal Vin is split into two paths (V1 &V2 ) and the two path signals can be adjusted

(4)

The VI , VQ , a, and φ denote I-path voltage, Q-path voltage, insertion loss of I/Q signal network, and I-path phase delay, respectively. The quadrature signal generated so far is single ended and can only cover one quadrant. In order to reach fully 360° coverage, differential I/Q signals (VI+ , VI− , VQ+ , VQ− ) are required. Therefore, two single-to-differential amplifiers are employed in the vector synthesizer. The amplifiers also amplify the differential I/Q signal with the same gain b in each path. The following differential adder sums the modulated I&Q paths and generates the required output signal phase, which is obtained by 





Vout ( x ) = abe j (φ+π xi ) α( x )Vin + abe j (φ+π/2+π x j ) β( x )Vin 

x i , x j = 0 or 1, and ∈ x

(5)

where x i and x j are logic controlling bits for selecting polarities. The output signal’s amplitude and phase are given by    2  |Vout | = abVin α( x ) + (β( x ))2 (6)    π β( x ) θout = arctan + |3x j − x i | + φ. (7)  2 α( x ) Based on (7), the ratios between α( x ) and β( x ) can be calculated for required phase resolution. For a 4-bit phase shifter, the values of α( x )/β( x ) = RIdeal need to include 1/0, 0.71/0.29, 0.5/0.5, 0.29/0.71, and 0/1. They will guide the setting of the current tunable splitter’s variable gain states. B. Analysis of Phase Error Actually, the relative amplitudes of the tunable current splitter’s two output signals (|V1 |/|V2 |) will have deviation compared to theoretical value and its output signals have slightly accessional phase errors in some gain states. The I/Q signal network will also cause phase error and amplitude mismatch between the I and Q paths. To investigate their influence to overall performance, the two blocks’ total quadrature phase error and amplitude mismatch between the I and Q paths are defined as θ , η,1 respectively. Substituting θ and η 1 20 log η = 20 log (V 10 10 Q R / V I R ) − 20 log10 RIdeal , where V Q R , VIR denotes the I- and Q-path signal amplitudes with amplitude mismatch, respectively.

1574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 2. (a) Maximum output phase error (ϕmax error ) of the proposed phase shifter versus I/Q phase error (θ ) and I/Q total amplitude error (η); region A, B, and C are the places where ϕmax error < 5.625°, 0 (radians) denotes the phase factor. In addition, it can be inferred that both M A and M B have a unity determinant and the same trace [6], [19], and the eigenvalues are exclusively related to the two line segments. Therefore, γ can be accurately calculated using the eigenvalues and L  [8]. Moreover, because T A and TB are constrained by Mi ’s and M A and M B have the same eigenvalues, only the eigensystem of M A must be solved.

γ can then be calculated as log(e±γ L  ) L cosh−1 (γ L  ) = L √ log(z ± z 2 − 1) = . (13) L 2) Sign-Choice Algorithm: According to (9)–(13), the two-valued square root function is involved in the operations of eigenvalues and propagation constant [25]. Although cosh(γ L  ) in (11) is fully determined using the diagonal entries of M A or M B , sinh(γ L  ) in (12) remains a sign ambiguity. Several methods have been proposed for attaining continuous extractions of λ1 and λ2 [6]–[9], [19]–[22]. The proposed methods can be divided into two types: post-processing and preprocessing. The post-processing methods generally entail applying choice criteria to the calculated eigenvalues to determine the appropriate sign. Passivity criteria related to the transmission coefficient exp(−γ L  ) and the reflection coefficient of the reflection standard are frequently used to verify the appropriateness of the sign choice [6], [7]. A preprocessing method proposed by Stenarson and Yhland [19] involves using S-parameters instead of T -parameters in the operation formulas, and exp(−γ L  ) is obtained directly without a root choice. The other formulation proposed in [22] is based on the unity determinant assumption, and both eigenvalues can be synthesized using the calculated real hyperbolic and trigonometric functions of αT and βT . ±γ =

D. Practical Conflicts of Eigensystems C. Solutions of Eigenvalues 1) Eigenvalue Formulas: Eigenvalues λ1 and λ2 satisfy the following complex characteristic quadratic equation: λ2 − tr(M S )λ + |M S | = 0.

(8)

Here, M S denotes either M A or M B , and tr(M S ) and |M S | represent the trace and determinant of M S , respectively. The roots of the characteristic equation can be analytically solved as tr(M S ) ± λ= 2



tr(M S ) 2

2

− |M S |.

(9)

Since |M S | is conventionally assumed to be equal to unity, (9) can be reduced to a more succinct form as follows [8], [12], [22]: λ1 , λ2 = e±γ L  = z ±



z 2 − 1 = cosh(γ L  ) ± sinh(γ L  ). (10)

The new complex variable z, hyperbolic cosine function, and hyperbolic sine function are defined as follows:



z = cosh(γ L  ) = z 2 − 1 = sinh(γ L  ).

tr(M S ) 2

(11) (12)

The measured Mi ’s can be expressed by S-parameters as follows:  i i i i i S21 − S11 S22 S11 1 S12 . (14) Mi = i i S21 −S22 1 Their determinants are i S12 . (15) i S21 Since S12 is frequently not exactly equal to S21 , the determinants of Mi ’s are not equal to unity [7]. This may be due to the residual error for the calibrated S-parameters, and measurement errors and configurations of the VNA for the uncalibrated S-parameters [20]. The determinants of M A and M B are |M2 | |M A | = |M2 M1−1 | = |M1 | −1 2 M 1 | = |M1 | (16) |M B | = | M |M2 | and they are inverses of each other. Since the determinants of M1 and M2 are generally not equal to unity and are unequal, those of M A and M B are only approximately equal to unity. Therefore, λ1 and λ2 are not reciprocals of each other and the eigenvalues of M A and M B are not the same. This observation is inconsistent with the results implied by the conventional formulation. Therefore, the two propagation constants separately extracted from the forward and backward

|Mi | =

FUH: FORMULATION FOR PROPAGATION FACTOR EXTRACTIONS IN TRL/LRL CALIBRATIONS AND RELATED APPLICATIONS

propagation factors are not identical to each other. This conflicts with the fact that line segments have a common and unique propagation constant. Therefore, certain averaged values of the originally calculated λ1 and λ2 were used to replace the forward propagation factor. For example, in [6], the forward propagation factor was adjusted to be the geometric average of λ1 and 1/λ2 , e

−γ (L 2 −L 1 )

=



e−2γ (L 2 −L 1 )

=

λ1 . λ2

(17)

However, the arithmetic average of λ1 and 1/λ2 was used in [8],   −1 λ +λ 1 2 . (18) e−γ (L 2 −L 1 ) = 2 According to (6), T A and TB have no effect on λ1 and λ2 . Errors are introduced into T A and TB if the newly averaged values are used to replace the originally calculated eigenvalues. In summary, the practical measurements indicate the following. 1) TB cannot be completely determined using T A and the measured T -matrices of the two test lines, two transformation matrices (one related to T A and the other related to TB ) are therefore required in order to completely characterize transitions A and B. 2) The eigenvalues of a transformation matrix formed using the two measured T -matrices are frequently not the reciprocals of each other, and they are not exactly equal to the forward and backward propagation factors. Therefore, extracting the unperturbed propagation constant from the calculated eigenvalues or their averaged values is difficult. 3) Using averaged eigenvalues for the eigenvector calculation introduces errors into T A and TB , and the perturbed T A and TB cannot diagonalize the measured T -matrices or transformation matrices. 4) Forcing the determinant of the transformation matrix to unity frequently causes numerical instability of the eigenvalue calculation when the test lines have low insertion losses. The effects of the aforementioned imperfect factors are generally assumed to be minimal and are treated as uncertainties of γ and error-box coefficients [8]. These uncertainties are reflected in the results of the VNA measurement and extended applications. The small error assumption may be suitable for high-precision line standards; however, it may be unsuitable for PCB test lines. Therefore, a formulation that can identify and analyze the error sources of the calculated eigensystems is required. III. ATYPICAL D IAGONALIZATIONS OF M1 AND M2 T -matrices M1 and M2 mathematically represent the system matrices that define linear transformations between the measured wave variables acquired by the internal detectors of a VNA [1], [4]. They are separately decomposed into three cascade parts that refer to a pair of reference planes (Fig. 1) in the conventional TRL/LRL calibration algorithm. However, the reference planes are inaccessible when M1 and M2

1597

are measured. The decompositions of M1 and M2 , therefore, should be independent on the setup of the reference planes. Diagonalizing M1 and M2 by using the eigensystems of M A and M B is demonstrated in this section without referring to any assumption or auxiliary reference planes associated with the line standards. The eigensystems of M A and M B and relevant properties are defined and derived in Appendix II. A. Relation of Λ A and Λ B According to (6) and the properties of the ∼ operator (Appendix I), M A and M B can be related as follows:

B M1−1 = M2 M B M2−1 M A = M1 M

(19)

MB =

(20)

1 M A M 1−1 M

=

2 M A M 2−1 . M

B are similar and the eigenvalue matrices Therefore, M A and M of M A and M B ,  A and  B , satisfy the following relation (Appendix II): B . (21) A =  The eigenvalues of M A and M B are not identical if their determinants are not unity (Appendix I). B. Diagonalizing M1 and M2 According to the eigen decomposition theorem [24], (19) can be rewritten as −1 −1   E Ai  A E −1 Ai = Mi E Bi  B E Bi Mi .

Equation (22) can then be further reduced to







−1 −1  −1  A = E −1 Ai Mi E Bi  B E Ai Mi E Bi

−1

(22)

.

(23)

 B are equal; therefore, Diagonal matrices  A and  −1 i (E Ai , E Bi ) = E −1 Ai Mi E Bi

(24)

is also a diagonal matrix. The function form i (E Ai , E Bi ) is used to emphasize that i depends on the diagonalizing pair (E Ai , E Bi ). In summary, an arbitrary pair of eigenvector matrices (E Ai , E Bi ) can always atypically diagonalize Mi as follows: (25) Mi = E Ai i (E Ai , E Bi ) E Bi . The eigenvector matrix pair (E AN , E B N ) is completely determinable and an apparent choice for matrix diagonalization (Appendix II). C. Equivalent and Nonequivalent Diagonalization Pairs Two pairs of eigenvector matrices (E Ai  , E Bi  ) and (E Ai  , E Bi  ) are used to simultaneously diagonalize Mi as follows: Mi = E Ai  i (E Ai  , E Bi  ) EBi  = E Ai  i (E Ai  , E Bi  ) E Bi  . (26) The resulting diagonal matrices are related as follows:







−1 i (E Ai  , E Bi  ) = E −1 Ai  E Ai  i (E Ai  , E Bi  ) E Bi  E Bi 

Bi  ,Bi  i (E Ai  , E Bi  ). = D Ai  ,Ai  D

(27)

1598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

When (E Ai  , E Bi  ) and (E Ai  , E Bi  ) achieve equivalent diagonalization, i.e., i (E Ai  , E Bi  ) = i (E Ai  , E Bi  ), D Ai  ,Ai  , and D Bi  ,Bi  satisfy the following relation:

Bi  ,Bi  = I. D Ai  ,Ai  D 

If D Ai  ,Ai 

e− 1 = 0



then D Bi  ,Bi 

e 2 = 0

0

(28)

 (29)

e 2 0

e− 1

 .

(30)

Therefore, changing the diagonalizing eigenvectors and reserving the same diagonal matrix exerts opposite effects on Bi  ,Bi  . By setting (E Ai  , E Bi  ) = (E AN , E B N ) D Ai  ,Ai  and D and (E Ai  , E Bi  ) = (E Ai , E Bi ) in (27), we obtain

Bi,B N i (E AN , E B N ). i (E Ai , E Bi ) = D Ai,AN D



E A1 1 (E A1 , E B1 ) E B1

−1

. (32)

Eigenvalue matrix  A is then decomposed as follows:



 A = D −1 AN ,A1 D AN ,A2

M2 = E A2  L2 E B2 and









(37)

B1,B2  L2 −1   A = D A1,A2 D L1 = D A1,A2 D B1,B2  L (38)

By applying eigen decomposition to M A and atypical diagonalization to M1 and M2 in (6), we obtain



M1 = E A1  L1 EB1

A1,A2 D B1,B2  −1   L2  B = D L1 = D A1,A2 D B1,B2  L .

D. Decompositions of the Eigenvalue Matrices of M A and M B

= E A2 2 (E A2 , E B2 ) EB2

In the discussion regarding the matrix decomposition of M1 and M2 in Section III, an arbitrarily preselected eigenvector matrix pair (E Ai , E Bi ) is used for diagonalizing or de-embedding Mi into i (E Ai , E Bi ). This type of decomposition does not relate to the propagation factors of the test lines and cannot associate a pair of reference planes with the diagonal matrices i (E Ai , E Bi ). Mi provides only one constraint on E Ai , E Bi , and i (E Ai , E Bi ); therefore, any pair chosen among E Ai , E Bi , and i (E Ai , E Bi ) can be set as an independent matrix variable. To appropriately characterize propagation factors, E Ai and i (E Ai , E Bi ) are chosen as independent matrix variables, and E Bi is chosen as a dependent matrix variable. Setting 1 (E A1 , E B1 ) =  L1 and 2 (E A2 , E B2 ) =  L2 in (25), (33), and (34),

(31)

According to (24) and (31), the fact that an arbitrary pair of eigenvector matrices (E Ai , E Bi ) can always diagonalize Mi is proven if i (E AN , E B N ) is diagonal.

E AN  A E −1 AN

A. Practical Decomposition of M1 and M2 for Propagation Factor Extraction

  −1  

Since  Li is a diagonal matrix and the two diagonal entries  Li =  Li . By using (II.9), both have a product of unity,  (E A1 , E B1 ) and (E A2 , E B2 ) can be expressed by another pair (E A , E B ) as follows: E A1 = E A D A,A1 , E B1 = E B D B,B1 E A2 = E A D A,A2 , E B2 = E B D B,B2.

By using (31) and (39), M1 and M2 in (37) can then be decomposed using a common pair of eigenvector matrices (E A , E B ) as follows:

D B N ,B1 D B N ,B2

·2 (E A2 , E B2 ) −1 1 (E A1 , E B1 )









B,B1 EB = E A 1 (E A , E B ) EB M1 = E A D A,A1  L1 D

B1,B2 2 (E A2 , E B2 ) −1 = D A1,A2 D 1 (E A1 , E B1 ) . (33)

B,B2 EB = E A 2 (E A , E B ) E B . M2 = E A D A,A2  L2 D

Similarly,

A1,A2 D B1,B2  2 (E A2 , E B2 )  −1 B = D 1 (E A1 , E B1 ) . (34) Since diagonal matrices always commute, the relative positions of the diagonal matrices on the right-hand sides of (33) and (34) are not crucial. When a pair of eigenvector matrices (E A , E B ) is used to simultaneously diagonalize M1 and M2 , i.e., (E A1 , E B1 ) = (E A2 , E B2 ) = (E A , E B ), (33) and (34) becomes  A = 2 (E A , E B ) −1 1 (E A , E B )

2 (E A , E B )  −1 B =  1 (E A , E B ) .

(39)

(35) (36)

IV. P ROPAGATION FACTOR C HARACTERIZATION The mathematical model developed in Section III is applied to characterize the propagation factors that appear in the eigenvalue problems associated with TRL/LRL calibration algorithm and extended applications.

(40) Fig. 2 depicts the decomposition of the T -matrix Mi ; this decomposition accounts for the effects of transition on the eigenvalues of M A and M B . Here, E A and E B denote the common error-box (or eigenvector) matrices at the left and right sides of the two lines, respectively, and they are independently constructed by the eigenvectors of M A and M B . Let (E Ai , E Bi ) and (E Ai  , E Bi  ) be equivalent diagonalization pairs that de-embed Mi into  Li ; then, Bi  ,Bi = I and D Ai  ,Ai D

B,Bi i (E A , E B ) = D A,Ai  Li D

B  ,Bi D B,B  = D A, Ai  D Ai  ,Ai  Li D i i B,B  . = D A, Ai   Li D i

(41)

Given 2 = 1 = γ L in (29) and (30), the distance between the locations of the reference planes associated with (E Ai , E Bi ) and (E Ai  , E Bi  ) can be inferred to be L. Since L is

FUH: FORMULATION FOR PROPAGATION FACTOR EXTRACTIONS IN TRL/LRL CALIBRATIONS AND RELATED APPLICATIONS

1599

Therefore, i (E A , E B ) characterizes a line with imperfections. According to (35),  A can be expressed as follows:  A = 2 (E A , E B ) −1 1 (E A , E B )







 





−1

I + δ B2  I + δ B1 = I + δ A2  L2  = I + δ A1









−1



−1

A1 −1 L1 I + δ

I + δ A  L2  I + δ B −1 L1

I + δ A1

.

(43) Here,



−1 



−1 

I + δ A = I + δ A1 I + δ B = I + δ B1 Fig. 2. Standard i and the decomposition of Mi with different pairs of eigenvector matrices (E Ai , E Bi ) and (E A , E B ). Diagonal matrix  Li = i (E Ai , E Bi ) refers to a pair of physical reference planes that has unknown locations; however, there are no reference planes associated with i (E A , E B ).

arbitrary, the locations of the two reference planes in Fig. 2 are not fixed, or the reference planes are unphysical when L is greater than the line lengths. If 1 is not equal to 2 , the frame of the reference planes is destroyed. Therefore, the new formulation for extracting the propagation factors is independent of the setup of reference planes. However, it requires a pair of reference planes to explicitly define and visualize the propagation factors for transmission-line characterizations. The terms D A,Ai and D B,Bi provide the necessary complex scaling factors for reflecting the effects of inconsistent transitions between the line standards. The transition inconsistencies include electrical variations caused by manufacturing, material homogeneity, measurement connection or probing, and reference plane shifting. For a fixed decomposition of Mi , the terms D A,Ai and D B,Bi are interdependent, and any variation in D A,Ai is compensated by D B,Bi ; this phenomenon is indicated by (29) and (30). This formulation is more general than that of the conventional TRL calibration presented in Section II, and it accounts for the effects of imperfect line standards. Therefore, to consider the most general situations, different pairs of eigenvector matrices are required in order to de-embed M1 into  L1 and M2 into  L2 .







  A ≈ L +





I + δ B2 .

(44)









 A = I + δ A  L2  I + δ B −1 L1 .

(45)

This conforms to the result proposed in [8], but δ A and δ B are originally assumed to be nondiagonal matrices in [8] and the orders of the matrices in (42), (43), and (45) cannot be changed. If δ = A



A δ11 A δ21

A δ12 B A , δ = δ22

B δ11 B δ21



B δ12 B δ22

(46)

then see (47), shown at the bottom of this page. The second part in (47) represents errors that are imposed on the intrinsic propagation factors exp(±γ L  ). If the lines have a high-loss condition and their lengths and length difference are considerable, for example, in PCB test lines, error terms weighted by exp(γ L  ) and exp(γ (L 1 + L 2 )) may not be negligible and  A would be contaminated with off-diagonal error terms. This may occur when the calculated eigenvalues are replaced with the averaged eigenvalues for eigenvector characterization. The resultant pair of eigenvector matrices (E A , E B ) does not de-embed Mi , M A , and M B into diagonal matrices i (E A , E B ),  A , and  B , respectively. If the calculated eigenvalues are used, δ A and δ B are diagonal and (45) becomes





  A = I + δ A  I + δ B L .

According to Fig. 2, diagonal matrix  Li = i (E Ai , E Bi ) refers to a pair of reference planes with unknown locations, and there are no reference planes associated with i (E A , E B ). Furthermore, i (E A , E B ) can also be considered as perturbed  Li , and D A,Ai = I + δ Ai , D B,Bi = I + δ Bi . Here δ Ai and δ Bi are diagonal matrices in the new formulation. Hence, i (E A , E B ) and  Li are related by [8]





Therefore, δ A characterizes the combined perturbation of the two transitions at the left sides of Lines 1 and 2, and δ B characterizes the corresponding perturbation of the two transitions at the right sides. According to similar transformations [23],

B. Constituent Components of Propagation Factors

i (E A , E B ) = I + δ Ai  Li  I + δ Bi .

I + δ A2

(48)

According to (38) and (48), D A1,A2 and D B1,B2 can be represented by the diagonal perturbation matrices δ A and δ B as follows: D A1,A2 = I + δ =

e− 11 0

D B1,B2 = I + δ =

e 11 0

A

A

B

B

(42)



A − δ B e−γ L  − δ A δ B eγ (L 2 +L 1 ) δ11 22 12 12

A (1 − δ B )e−γ L  − (1 + δ A )δ B eγ (L 2 +L 1 ) δ21 22 22 12



0 e 22 A

0



e− 22 B

A (1 − δ B )eγ L  − (1 + δ A )δ B e−γ (L 2 +L 1 ) δ12 11 11 21





A − δ B eγ L  − δ A δ B e−γ (L 2 +L 1 ) δ22 11 21 21

.

(49)

 .

(47)

1600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

The trend of opposite effects of transitions A and B, implied by (29) and (30), is imposed on (49). Therefore,

B1,B2  L  A = D A1,A2 D   B A =

e

22 − 11

e−γ L   0 A B 0 e 22 − 11 e+γ L 

 .

(50)

The eigenvalues or propagation factors λ A1 and λ A2 relate to equivalent attenuation and phase factors as follows: λ A1 = e−γ L  e



B − A 22 11



= e−(αT +jβT ) e−(αT 1 +jβT 1 ) = e−(αT 1 +jβT 1 ) λ A2 = eγ L  e



A − B 22 11



= e(αT +jβT ) e(αT 2 +jβT 2 ) =e Since

(αT 2 +jβT 2 )

22 − 11

E A2 = E A1 D A1,A2 = E A1

(51)

e

B 22 − 11

E B2 = E B1 D B1,B2 = E B1



= λ A1 λ A2 = e(αT 2 −αT 1 )+j(βT 2 −βT 1 ) = eαT ejβT

If the propagation factors exp(±γ L  ) are unperturbed, then B1,B2 = I and  A =  L . In the most general cases, D A1,A2 D B = A  = 0 and B = A  = 0. Therefore, the left- and 22 11 11 22 right-side transition discrepancies of Lines 1 and 2 are equal, but in opposite directions. To completely conform to the ideal assumptions implied by the conventional TRL calibration, the transitions of Lines 1 and 2 must be identical, δ A and δ B must B = A = 0 and B = A = 0. be zero matrices, and 22 11 11 22 Since |M A | = 1, the propagation constants extracted from λ A1 and λ A2 are identical, and they are identical to the intrinsic propagation constant γ of the test lines. B = A = B = A =  = 0. Using A special case is 22 11 11 22 (II.9) and (49), (E A1 , E B1 ) and (E A2 , E B2 ) can be related as follows:



.

   B1,B2  |M A | =  D A1,A2 D  B A  A =e

D. Unperturbed Propagation Factors

(52)

the magnitude and phase perturbations of |M A | influence the extracted attenuation and phase factors, respectively.

0 e

e 0

0





e−

.

(53)

According to (27) and (28), (E A1 , E B1 ) and (E A2 , E B2 ) achieve equivalent diagonalization of Mi , therefore, i (E A1 , E B1 ) = i (E A2 , E B2 ) =  Li . If is equal to γ L, the pair of reference planes associated with i (E A1 , E B1 ) is a length-L shifted version of that associated with i (E A2 , E B2 ). Therefore, uncertain reference-plane locations do not cause errors in the extracted propagation factors. V. E IGENSYSTEM C ALCULATIONS W ITHOUT A S IGN C HOICE

C. Perturbed Propagation Factors If the propagation factors exp(±γ L  ) are perturbed, then A = B B1,B2 = I and  A =  L ; this implies 11 D A1,A2 D 22 A B and 22 = 11 , and the respective combined perturbations caused by transitions at the left- and the right-hand sides cannot completely cancel out. Two cases that need further discussion are as follows. 1) Eigenvalues Without Unity Product: Here, |M A | = A − B  = A − B . The perturbations λ A1 λ A2 = 1 and 11 22 22 11 of 1/λ A1 and λ A2 , contributed by transitions, are not equal. According to (51), the propagation constants extracted from λ A1 and λ A2 are (αT 1 + jβT 1 )/L  and (αT 2 + jβT 2 )/L  , respectively, and they are not identical. Furthermore, both calculated propagation constants are different from the intrinsic propagation constant γ of the test lines. This situation frequently occurs in applications involving uncalibrated S-parameters with unequal S12 and S21 . 2) Eigenvalues With Unity Product: Here, |M A | = A − B = A − B  = 0. The λ A1 λ A2 = 1 and 11 22 22 11 perturbations of 1/λ A1 and λ A2 are equal. The propagation constants extracted from λ A1 and λ A2 are identical, but they are still different from the intrinsic propagation constant γ of the test lines. This situation frequently occurs in applications that use calibrated S-parameters with nearly equal S12 and S21 , such as second-tier TRL calibration, fixture de-embedding, and PCB lines characterization. Errors in line lengths are the simplest sources of this kind of perturbation.



e− 0

A. Normalized Eigenvalues If the determinant of M A is unity, λ A1 and λ A2 are reciprocals of each other and |λ A1 | ≤ 1 and |λ A2 | ≥ 1 are guaranteed. The practical value of |M A |, however, is only close to (1, 0) and |λ A1 | ≤ 1 and |λ A2 | ≥ 1 may be violated for lowloss lines. To stabilize and simplify the operation procedure, the eigenvalues of M A and M B are first normalized using the principle square roots of their determinants [25]. The definitions and expressions of the normalized eigenvalues and associated symbols are as follows:

  An

 A = √ = | A |  

 Bn

 B = √ = | B | 

λ A1 λ A2



0 λ B1 λ B2 0



0 λ A2 λ A1 0 λ B2 λ B1

!  λn1 != " 0

0 λn2



!  λn1 != " 0

0 . λn2



(54) According to (21),  An =  Bn . Furthermore, λn1 and √ √ λn2 are the common eigenvalues of M A / |M A | and M B / |M B |. They have a product of unity and |λn1 | ≤ 1 and |λn2 | ≥ 1 are insured.

FUH: FORMULATION FOR PROPAGATION FACTOR EXTRACTIONS IN TRL/LRL CALIBRATIONS AND RELATED APPLICATIONS

The normalized eigenvalues are expressed in terms of new complex variables as follows:  An



λ = n1 0

0 λn1





e− = 0

0



e+

(55)

where = αT n + jβT n , αT n ≥ 0 represents the attenuation factor and βT n > 0 represents the phase factor. Here, e− is assigned to λn1 and e+ to λn2 .

1601

The eigenvalues of M A can now be recovered using λn1 and λn2 as follows: λ A1 = λn1

|M A | λ A2 = λn2

|M A |.

(62)

The eigenvalues of M B , λ B1 , and λ B2 can then be obtained using (21). VI. E XPERIMENT AND V ERIFICATIONS

B. Calculation of Eigenvalues

A. Low-Loss Lines

To achieve wideband sign-ambiguity-free calculations of normalized eigenvalues, the formulation proposed in [22] is adopted with modifications. The original formulation in [22] is based on a hypothesis of unity determinant; therefore, it is stable and appropriate for continuous calculations of the normalized eigenvalues. The normalized eigenvalues are first expressed by the elementary hyperbolic cosine and sine functions as follows:

The low-loss lines included in the 101–190-C impedance standard substrate (ISS) from Cascade Microtech Inc. were first used to verify numerical stability of the proposed signambiguity-free algorithm. The line configuration was coplanar waveguide, and the line pitch was 100 μm. The thru standard (TV0) and the shortest verification line (TV1) on the ISS were used as line standards in the experiment. The lengths of TV0 and TV1 were 220 and 450 μm, respectively. T -matrices associated with the line standards were measured using an uncalibrated VNA (Agilent E8361A; frequency range: from 10 MHz to 67 GHz). The equivalent expressions for calculating λ A1 and λ A2 in [22] are

λn1 = cosh( ) − sinh( ) λn2 = cosh( ) + sinh( ).

(56)

Slight modifications are added to (11) and (12), and the resulting operation formulas for cosh( ) and sinh( ) are tr(M A ) cosh ( ) = √ 2 |M A |

(57)

sinh ( ) =

(58)



cosh2

( ) −1.0.

In general, the frequency-dependent determinants of M A and M B are not equal to unity; however, they spread around the point (1, 0) and are constrained in the right-half complex plane. Therefore, the principle square root of |M A | is not subjected to the sign-ambiguity problem and cosh( ) is directly calculable. However, the direct numerical calculation of sinh( ) involves the two-valued square root function, and the problem of proper sign choice occurs. Only magnitudes of the real and imaginary parts of sinh( ) can be explicitly determined using (58). Since sinh ( ) = sinh(αT n ) cos(βT n ) + j cosh(αT n ) sin(βT n ) (59) and αT n ≥ 0, both cosh(αT n ) and sinh(αT n ) have positive signs, and the real and imaginary parts of sinh( ) have the same signs as do cos(βT n ) and sin(βT n ), respectively. The real and imaginary parts of cosh( ) can be explicitly determined and they are related to the four real hyperbolic and trigonometric functions as follows: cosh (αT n ) cos (βT n ) = Re(cosh( )) sinh (αT n ) sin (βT n ) = Im(cosh( )).

(60)

Obviously, cos(βT n ) and sin(βT n ) inherit the signs of the real and imaginary parts of cosh( ), respectively. The expansion of sinh( ) in (59) can be modified into the following signambiguity-free expression: sinh ( ) = |Re(sinh( ))| sgn(Re(cosh( ))) + j |Im(sinh( ))| sgn(Im(cosh( ))). (61) Here, sgn() denotes the sign function. Finally, the normalized eigenvalues, λn1 and λn2 , can be calculated using (56).

λ A1 , λ A2 = [cosh(αT ) ∓ |sinh(αT )|] cos(βT ) ∓ j [cosh(αT ) ∓ sinh(αT )] sin(βT ).

(63)

Since the original formulation proposed in [22] is based on the unity determinant assumption and directly √ handles λ A1 , λ A2 , and M A rather than λn1 , λn2 , and M A / |M A |, it does not function satisfactorily for low-loss lines if the unity determinant assumption is violated. When αT is small and perturbed to be negative, sinh(αT ) changes sign. In this situation, according to (60), sin(βT ) automatically changes sign, and the complex conjugate of the eigenvalues is obtained using (63). This problem is eliminated if the normalized eigenvalues λn1 and λn2 are calculated instead of λ A1 and λ A2 . Fig. 3 shows a comparison of the eigenvalues calculated using the original formulation in [22] and the new formulation. Fig. 3(b) and (c) shows the low-loss feature of the test lines because the magnitude of the eigenvalues is close to one in the range from 10 MHz to 67 GHz. The transformation matrix M A shows a maximum 2% perturbation of the determinant in Fig. 3(a). According to (52), the magnitude and phase perturbations of |M A | influence the extracted attenuation and phase factors, respectively. Fig. 3(b) indicates that an appreciable perturbation of attenuation factor and low loss cause a sign change in sin(βT ), and the complex conjugate of the target eigenvalue, not the other eigenvalue, is obtained if λ A1 and λ A2 are calculated directly. However, Fig. 3(c) indicates that λn1 and λn2 are not subjected to this problem and λ A1 and λ A2 can be properly recovered from them. Furthermore, the fluctuations in the magnitude of eigenvalues calculated using the new formulation are smaller than those of the eigenvalues calculated using the previous formulation. This phenomenon can be explained by the term | sinh(αT )| in (63) because a sign change in αT is not properly or even exaggeratedly reflected on the magnitude of eigenvalues. However, if λn1 and λn2

1602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 4. (a) Test vehicle of the stripline parameter sweeping (S3) test method. (b) Measurement setup.

Fig. 3. Calculation of the eigenvalues of M A associated with a 220-μm thru (TV0) and 450-μm line (TV1) chosen from the 101–190-C ISS of Cascade Microtech Inc. The T -matrices M1 and M2 are measured using an uncalibrated VNA. (a) Determinant of M A with offset 1.0. (b) Eigenvalue calculations using the original formulation in [22]. (c) Eigenvalue calculations using the modified new formulation.

are calculated, the fluctuations are normally reflected because αT n > 0 and | sinh(αT n )| = sinh(αT n ). B. Printed Circuit Lines The PCB test vehicles that conform to the stripline Sparameter sweeping (S3) test method by Cisco [22] are then used for verifying the accuracy of the new formulation [see Fig. 4(a)]. Parameters that define the test line are as follows: upper substrate thickness = 0.199 mm, lower substrate thickness = 0.187 mm, conductor width = 0.192 mm,

conductor thickness = 0.03 mm, εr =∼ 3.9 at 1 GHz, and Z o =∼ 50 . Several lines with different lengths were fabricated on the test vehicle [see Fig. 4(a)], from which two lines were chosen for the experiment. The lengths of the shorter line (TV3) and longer line (TV4) were 16.256 and 55.2704 mm, respectively. T -matrices associated with the line standards were measured using an uncalibrated VNA (R&S ZVB; frequency range from 10 MHz to 20 GHz). The measurement setup is shown in Fig. 4(b). Molex precision compression-mount connectors were used to connect the test lines and VNA; therefore, each transition of the test lines included a connector and a through hole. Fig. 5 summarizes the calculation results of the decompositions of the measured T -matrices and the eigensystems of M A and M B . The calculable pair of eigenvector matrices (E AN , E B N ) was used to de-embed the T -matrix Mi into i (E AN , E B N ). According to (31), an arbitrary pair of eigenvector matrices de-embeds Mi into a diagonal matrix if i (E AN , E B N ) is diagonal. The constant eigenvector matrices E AN and E B N were first calculated using true eigenvalues λ A1 , λ A2 , λ B1 , and λ B2 . Fig. 5(a) shows that i (E AN , E B N ) is diagonal. Therefore, δ A and δ B are also diagonal, and they can appropriately consider the deviations between the calculated and intrinsic propagation factors of the test lines. Next, E AN and E B N were calculated using the normalized eigenvalue. Since uncalibrated T -parameters are used, |M A | = 1, |M B | = 1, and  A and  B are not equal to n . Fig. 5(b) indicates that Mi cannot be de-embedded into a diagonal matrix if (E AN , E B N ) associated with eigenvalues different from those of M A and M B is used.

FUH: FORMULATION FOR PROPAGATION FACTOR EXTRACTIONS IN TRL/LRL CALIBRATIONS AND RELATED APPLICATIONS

1603

Fig. 5. Summary of the calculation results of the eigensystems of M A and M B and the decomposition of the measured T -matrices M1 and M2 associated with TV3 and TV4. The T -matrices are measured using an uncalibrated VNA. (a) Entries of 1 (E AN , E B N ) with (E AN , E B N ) calculated by λ A1 , λ A2 , λ B1 , and λ B2 . (b) Entries of 1 (E AN , E B N ) with (E AN , E B N ) calculated by λn1 and λn2 . (c) Calculated eigenvalues of M A . (d) Extracted propagation constant γn = αn + jβn from λn1 by using the new formulation and the formulation proposed by Stenarson and Yhland [19].

The calculated eigenvalues of M A are plotted in Fig. 5(c), and their loci are continuous spirals. Therefore, the proposed formulation eliminates the problem of the sign choice of a square root. However, the loci contain ripples that increase as the frequency increases. Since γ ’s extracted from λ A1 and λ A2 are inconsistent, γ extracted from λn1 is presented instead and is denoted as γn = αn + jβn . In Fig. 5(d), ripples are still observed in the calculated attenuation constant αn ; however, a greater accuracy of βn can be obtained. The determinant of M A has a maximum of 4.75% perturbation, and according to (52), it causes a deviation in βT of at most tan−1 (0.0475) rad. Therefore, the locations of the reference planes of measurement can be determined accurately. However, attenuation uncertainties in determining the locations of the reference planes remain. The method proposed by Stenarson and Yhland [19] was also applied to the measured S-parameters to extract λ A1 .

The result was then normalized with the principal square root of |M A | to obtain λn1 and γn . The calculated γn ’s are compared in Fig. 5(d). The absolute differences of the two sets of data are also plotted in Fig. 5(d) and the maximum differences of αn and βn are 0.518 (np/m) at 19.6002 GHz and 0.595 (rad/m) at 17.2014 GHz, respectively. To evaluate transition discrepancies and their effects on propagation constants, the new formulation was utilized to calculate propagation constants from the measured S-parameters of the PCB test lines and their partial groups, which characterize virtual symmetric lines related to the test lines. The S-parameter sets under study include: calibrated S-parameters (Cal_S), calibrated S11 and S21 (Cal_A), calibrated S22 and S12 (Cal_B), and uncalibrated S-parameters (Ucal). The VNA was SOLT calibrated to the ends of the connecting cables to acquire the calibrated S-parameters. The Cal_A set assumes S22 = S11 and

1604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 6. Evaluating the effects of transition discrepancies on the calculated propagation constants of the PCB lines using different sets of S-parameters. Only attenuation constant α: (a) from 0 to 10 GHz and (b) from 10 to 20 GHz is demonstrated. Data calculated from the method proposed in [19] are also compared.

S12 = S21 , and is used to model a virtual symmetric line [26] that replaces transition B with transition A for each line. Cal_B assumes S11 = S22 and S21 = S12 , and models another virtual symmetric line that replaces transition A with transition B for each line. Therefore, the Cal_A set is used to characterize the consistency of transition A, and the Cal_B set is used to characterize the consistency of transition B. The propagation constants extracted from the calculated λ A2 by using the four sets of S-parameters are compared in Fig. 6. Since the perturbation of phase constant β is small, only attenuation constant α is compared. Attenuation constants of different S-parameter sets exhibit inconsistent perturbations for the intrinsic propagation constant of the PCB lines, which increase as the frequency increases. The perturbation of γ of the Ucal set is greater than γ of the Cal set. Since S12 = S21 is often true for uncalibrated S-parameters, perturbations for the propagation factors and constant always exist. The propagation constant of the Cal set is immune to the perturbations caused by the VNA measurement system, and the calculated propagation factors are smoother and almost reciprocals of each other. This implies that the combined effect of the connector and through hole causes a slight perturbation on γ . According to the analyses mentioned in Section V, although the forward

and backward propagation factors are reciprocals of each other, the transition discrepancies between Lines 1 and 2 may not be small. The Cal_A set forces δ B to be equal to δ A . B = − A and λ According to (49)–(51), 11 A2 = exp(γ L  ) 11 A A exp( 22 + 11 ). Similarly, the Cal_B set forces δ A to be A = − B and λ equal to δ B ; therefore, 22 A2 = exp(γ L  ) 22 B B exp( 22 + 11 ). Data associated with the virtual symmetric lines indicates that transitions A and B have opposite effects on the propagation factors. Furthermore, the transition discrepancies between the two lines increase with the increase in frequency. However, the amount of discrepancy of transition A is comparable with that of transition B. Their effects are largely cancelled out, resulting in a small perturbation on the calculated propagation constant of the Cal set. The PCB lines conform to the ideal assumptions of the conventional TRL formulation only at lower frequency range (< 4.0 GHz) because δ A and δ B are approximately zero matrices. The observed phenomena may be explained by the features of a PCB manufacturing process. The layouts of the two test lines are located separately on the PCB real estate, and the manufacturing process frequently causes the resin content around the transitions (via-hole and connecting pads) to be nonuniformly distributed. Therefore, the effect of nonuniformly distributed resin content cause the frequency responses of transitions of TV3 and TV4 to be inconsistent, and the inconsistency increases as frequency increases. The method proposed by Stenarson and Yhland [19] was also applied to extract propagation constants from the three sets of calibrated S-parameters. For reasons of clarity, the calculated attenuation constants are compared in Fig. 6(b) only, and they are consistent with those extracted by the new formulation. The maximum differences of α and β are 0.0027 (np/m) and 0.043 (rad/m), respectively. The calculated results indicate that both methods are immune from the problem of squareroot choice. However, the new formulation takes advantage of eigenvalue normalization and properties of elementary functions and the formulation proposed in [19] is based on properly expressing the transmission coefficient by using S-parameters. VII. C ONCLUSIONS This paper identifies the potential errors in the propagation factors that are caused by the mismatch between the conventional idealized error model and the practical electrical properties and structures of line standards or test lines. To fully match the conventional model of TRL/LRL calibration, line standards must have sufficient precision. However, the proposed formulation extends the TRL algorithm to consider imperfect test lines and analyze the effects of imperfections. In addition, the proposed formulation is demonstrated to be robust for the calculations of eigensystems in the TRL algorithm, even for low-loss line standards. The formulation and experimental data show that both the transitions and line segments contribute to the eigenvalues of M A and M B , regardless of whether their determinants are equal to unity. If S12 = S21 , unperturbed γ cannot be obtained. To obtain an unperturbed propagation constant, the perturbations caused by the transition discrepancies at the left and right sides of the lines must completely cancel out. The proposed formulation

FUH: FORMULATION FOR PROPAGATION FACTOR EXTRACTIONS IN TRL/LRL CALIBRATIONS AND RELATED APPLICATIONS

may, in turn, be used to evaluate the quality of the line standards or measurements associated with them.

The entries of arbitrary eigenvector matrices E A and E B are defined as follows: rA E A = 11 A r21

A PPENDIX I P ROPERTIES OF THE ∼ O PERATOR Let P and Q denote two 2-by-2 invertible matrices, they satisfy the following relations: trace (P Q) = trace (Q P) and



(I.1)



trace (P) . |P| The ∼ operator has the following properties: trace P −1 =

(I.2)

# P  $ −1 . P Q=Q P −1 = P

(I.3)

Therefore,

 = trace(G P −1 G) = trace(GG P −1 ) = trace(P −1 ). trace( P) (I.4) If the diagonal entries of a 2-by-2 diagonal matrix  have a product of unity, then

  = .

(I.5)

A PPENDIX II E IGENSYSTEMS OF M A AND M B The entries of M A and M B are defined as follows: A m 11 A m 21

MA =

A m 12 A m 22



B m 11 B m 21

MB =



B m 12 . B m 22

(II.1)

According to the eigen decomposition theorem [24], M A and M B can be decomposed into the following forms: M A = E A  A E −1 A

M B = E B  B E −1 B .

(II.2)

Diagonal eigenvalue matrices  A and  B contain the eigenvalues of M A and M B , respectively; therefore, they can be represented as A =



λ A1 0

0 λ A2



B =



λ B1 0



0 . λ B2

(II.3)

Since λ A1 (λ A2 ) and λ B1 (λ B2 ) have the same position as λ1 (λ2 ) in (7), they are called forward (backward) propagation factors. Furthermore, |M A | and |M B | are generally not exactly equal to unity and their eigenvalues are not reciprocals of each other. The invertible eigenvector matrix E A contains the eigenvectors of M A ; the eigenvector in the first column corresponds to λ A1 , and the eigenvector in the second column corresponds to λ A2 . Similarly, the eigenvectors in the first and second columns of E B correspond to λ B1 and λ B2 , respectively. Infinite numbers of E A ’s and E B ’s exist. The flipped version of (II.2) is

A = E−1   M A A E A

B = E−1   M B B E B .

(II.4)

 A and   B are the eigenvalue matrices of Therefore,  A and M B , respectively. M

1605

A r12 A r22



rB E B = 11 B r21



B r12 . B r22

(II.5)

They can be further decomposed to



 1 EA =  A  r21 A r11 

 1 EB =  B  r21 B r11

A r12 A ! rA r22 ! 11 " 0 1

0 A r22

B r12 B ! rB r22 ! 11 " 0 1

0 B r22

 = E AN D AN ,A

 = E B N D B N ,B .

(II.6)

The terms r21 /r11 and r12 /r22 in E AN and E B N correspond to the familiar terms c/a and b in the conventional TRL formulation [6]. They are calculated using A r21 A r11 A r12 A r22 B r21 B r11 B r12 B r22

A λ A1 −m 11 A m 12 A λ A2 −m 22 = A m 21 B λ B1 −m 11 = B m 12 B λ B2 −m 22 = B m 21

=

A m 21 A λ A1 −m 22 A m 12 = A λ A2 −m 11 B m 21 = B λ B1 −m 22 B m 12 = . B λ B2 −m 11

=

(II.7)

Note that E AN and E B N are two constant eigenvector matrices that can be completely determined using the eigenvalue problems associated with M A and M B ; E AN and E B N are the common part of all E A ’s and E B ’s, respectively. The diagonal entries of D AN ,A (D B N ,B ) provide the complex scaling (magnitude scaling and phase shifting) relations between eigenvectors of an arbitrary E A (E B ) and E AN (E B N ). According to (II.6), E AN = E A D −1 AN ,A = E A D A,AN

E B N = E B D −1 B N ,B = E B D B,B N

(II.8)

and D AN ,A and D B N ,B are the inverses of D A,AN and D B.B N , respectively. Using (II.8), two matrix pairs (E A , E B  ) and (E A , E B  ) are related as follows:

 

 

E A = E A D A ,AN D AN ,A = E A D A ,A E B  = E B  D B  ,B N D B N ,B  = E B  D B  ,B  .

(II.9)

The complex scaling matrix D reduces to I when it has two identical subscripts. Changing the order of the two subscripts of D, however, is equivalent to taking inverse. R EFERENCES [1] A. Ferrero, “Two-port network analyzer calibration,” in Modern RF and Microwave Measurement Techniques, V. Teppati et al. Ed, 1st ed. New York, NY, USA: Cambridge Univ. Press, 2013, ch. 8. [2] S. A. Wartenberg, RF Measurements of Die and Packages. Norwood, MA, USA: Artech House, 2002, ch. 2. [3] N. Kinayman and I. Aksun, Modern Microwave Circuits. Norwood, MA, USA: Artech House, 2005, ch. 1.

1606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[4] D. Rytting, “VNA error models and calibration methods,” RF Measurements for a Wireless World, 2001, (ARFTG/NIST short course notes). [5] A. Rumiantsev and N. Ridler, “VNA calibration,” IEEE Microw. Mag., vol. 9, no. 3, pp. 86–99, Jun. 2008. [6] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [7] H. Eul and B. Schieck, “A generalized theory and new calibration procedures for network analyzer self-calibration,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 4, pp. 724–731, Apr. 1991. [8] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [9] B. Bianco, M. Parodi, S. Ridella, and F. Selvaggi, “Launcher and microstrip characterization,” IEEE Trans. Instrum. Meas., vol. IM-25, no. 4, pp. 320–323, Dec. 1976. [10] J. P. Mondal and T. H. Chen, “Propagation constant determination in microwave fixture de-embedding procedure,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 4, pp. 706–714, Apr. 1988. [11] L. Li, K. Wu, and P. Russer, “On the thru-reflect-line (TRL) numerical calibration and error analysis for parameter extraction of circuit model,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 5, pp. 470–482, Sep. 2006. [12] J. A. Reynoso-Hernández, “Unified method for determining the complex propagation constant of reflecting and nonreflecting transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 8, pp. 351–353, Aug. 2003. [13] M. Q. Lee and S. Nam, “An accurate broadband measurement of substrate dielectric constant,” IEEE Microw. Guided Wave Lett., vol. 6, no. 4, pp. 168–170, Apr. 1996. [14] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [15] K. F. Fuh and A. Cheng, “Frequency domain propagation and permittivity characterization method for printed circuit boards,” in Asia–Pacific Microw. Conf., Dec. 2009, pp. 2440–2443. [16] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [17] B. Bianco and M. Parodi, “Determination of the propagation constant of uniform microstrip lines,” Alta Freq., vol. XLV, pp. 107–110, Feb. 1976. [18] M. Mangan, S. P. Voinigescu, M. T. Yang, and M. Tazlauanu, “De-embedding transmission line measurements for accurate modeling of IC designs,” IEEE Trans. Electron Devices, vol. 53, no. 2, pp. 235–241, Feb. 2006.

[19] J. Stenarson and K. Yhland, “A reformulation and stability study of TRL and LRM using S-parameters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 11, pp. 2800–2807, Nov. 2009. [20] R. A. Soares, P. Gouzien, P. Legaud, and G. Follot, “A unified mathematical approach to two-port calibration techniques and some applications,” IEEE Trans. Microw. Theory Techn., vol. 37, no. 11, pp. 1669–1674, Nov. 1989. [21] J. A. Reynoso-Hernández, “Reliable method for computing the phase shift of multiline LRL calibration technique,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 10, pp. 395–397, Oct. 2002. [22] K. F. Fuh, “Broadband continuous extraction of complex propagation constants in methods using two-line measurements,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 671–673, Dec. 2013. [23] H. Anton and C. Rorres, Elementary Linear Algebra with Supplemental Applications. Singapore: Wiley, 2011, ch. 8. [24] G. Strang, Introduction to Linear Algebra. Cambridge, MA, USA: Wellesley–Cambridge Univ. Press, 1998. [25] J. H. Mathews and R. W. Howell, Complex Analysis for Mathematics and Engineering. Burlington, MA, USA: Jones & Bartlett Learning, 2012, ch. 2. [26] Y. Eo and W. R. Eisenstadt, “High-speed VLSI interconnect modeling based on S-parameter measurements,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 16, no. 5, pp. 555–562, Aug. 1993.

Kuen-Fwu Fuh (S’91–M’95) received the M.S. degree in communication engineering and Ph.D. degree in electronic engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1991 and 1995, respectively. While with National Chiao Tung University, he was involved with the development of field theory of ferrite-loaded waveguides and planar circuits. From October 1996 to July 2003, he was with the Material Research Laboratories (MRL), Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, where he was involved in the development of low-temperature co-fired ceramic (LTCC) components and modules for wireless applications. Since February 2004, he has been with the Department of Electronic Engineering, National United University, Miaoli, Taiwan. His research activities involve the design of ceramic-based devices and circuits, material characterization techniques and applications, and microwave measurement techniques.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1607

Forming Nanosecond Microwave Pulses by Transformation of Resonant Cavity Mode Vladimir A. Avgustinovich, Sergey N. Artemenko, Vladislav S. Igumnov, Sergey A. Novikov, and Yury G. Yushkov

Abstract— The microwave pulse compression procedure consists of excitation of a working mode in a resonant cavity and transforming the mode into an auxiliary one that is coupled to an output line. Both transforming and coupling involve switching. Transients were calculated by recursion relations giving higher accuracy at short time constants. Demonstration experiments were run in the X-band. The compressor prototype showed power amplification of 15 dB at an output pulse width of 2.7 ns and a peak power of 1.5 MW. Mode transformation efficiency approached 0.7. A sequence of nanosecond and sub-nanosecond microwave pulses within the length of an input feeding pulse can be, in principle, obtained. Index Terms— Energy storage, microwave switch, power measurement, pulse compression, resonant mode.

I. I NTRODUCTION

M

ICROWAVE pulse compression has been experimentally demonstrated for power amplification when the pulses are long enough for excitation of a resonant cavity [1]–[3]. The method reported in this paper implements the resonant mode transformation in a functionally similar manner as the sequential procedures of compression [4]. Series compression is intended to increase the total amplification and the maximum power. Cavities may be isolated or intentionally coupled when the resonant type of energy transfer between cavities is used. The two cavities are substituted by two resonant modes of an oversized cavity, and that reduces the weight and overall dimensions. The first mode, which is initially excited in the cavity, has a high Q value, but the second auxiliary one, which the energy is to be transferred to, may have either the high-Q value or low-Q value. The second mode Q value is low when the mode is directly coupled to an output line. It is high if the mode is not coupled to an output line, but in this case additional switching is necessary to extract the energy. The value of the intermode coupling introduced at the first stage Manuscript received April 19, 2015; revised August 1, 2015, January 9, 2016, January 31, 2016, and March 3, 2016; accepted March 26, 2016. Date of publication April 20, 2016; date of current version May 10, 2016. This work was supported in part under the frame of the Tomsk Polytechnic University Competiveness Enhancement Program. V. A. Avgustinovich, S. N. Artemenko, V. S. Igumnov, and Y. G. Yushkov are with the Institute of Physics and Technology, Tomsk Polytechnic University, Tomsk 634050, Russia (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). S. A. Novikov is with the Institute of Power Engineering, Tomsk Polytechnic University, Tomsk 634050, Russia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549278

of energy extraction affects time parameters of the transient process and may control output pulse parameters. The mode transformation was implemented earlier in the compressor [5], but variation of the intermode coupling value was not used to control output parameters. In the compressors [6], [7], the mode transformation was used very effectively. Practically the complete power of the working mode falling wave could be transformed into the output mode throughout the cavity cross section and record values of the output power were achieved in X-band. The compressors had fixed output pulse parameters although the problem of pulse control was not set. The report [8] studies the way of controlling only an accompanying prepulse radiation of the main short pulse by the output coupling value variation. The controlled intermode coupling was proposed in [9], the experimental tests, including coupling to the auxiliary mode of different Q-factor values, were presented in [10]. This work presents the resonant cavity design that is suited for switching by mode transformation. The output parameters were calculated using recursion relations giving higher accuracy as compared with differential equations derived for slow rate transients. The results of the tests at a high power level are given and operational performance of the device is shown. II. C OMPRESSOR D ESIGN AND P RINCIPLE OF O PERATION A. Design of the Cavity The schematic diagram and the photograph of the compressor revealing the main construction parts of the X-band cavity are shown in Fig. 1. In both switches the switching is produced by a microwave discharge plasma formed in the area of the maximum electrical field strength at the distance of 0.25λw from the short circuit, where λw is the wavelength in the waveguide. The microwave discharge is triggered by illumination of a spark discharge gap. Small holes are made in the waveguide narrow walls to provide an access for the light into the volume. The cavity contains the regular cylindrical part and two endcap units. The left one, according to Fig. 1, has the input waveguide section and the first microwave switch made from a H -plane tee and meant for fast switching on the intermode coupling. The tee has the input arm attached to a coupling window of the endcap. The output arm with the short circuit and the short-circuited side arm with the electrode connected to the source of triggering pulses. Another endcap is designed as a smooth transition from the regular cylinder

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 1. (a) Schematic diagram of the compressor. 1–oversized cavity; 2–input waveguide; 3–H -plane tee of the first microwave switch; 4–movable short circuit; 5, 9–electrodes of first and second switch respectively; 6–source of triggering pulses; 7–smooth matching transition; 8–H -plane tee of the second microwave switch; 10–probe with a microwave diode; k0 , h, k–transmission factors of input coupling element, intermode coupling window, and output coupling element respectively. (b) Main parts of the X-band compressor. 2–input waveguide; 11–output waveguide.

part cross section to the cross section of the single-mode output circular waveguide. Actually, the output waveguide is the one symmetrical arm of the second microwave switch, which also has the short-circuited side arm where the electrode connected to the source of triggering pulses is located. The electrodes of both switches are mounted in waveguide walls at the distance 0.25λw from the short circuits. Primarily the TE01(m) mode is excited in the cavity. At this stage there is no radiation into a load as the output waveguide is below cutoff. The high-Q-factor value of the TE01(m) mode maintains the high field amplification. Dimensions of the cavity are chosen in such a way that auxiliary mode TE11(n) has the same resonant frequency. The auxiliary mode, if excited, is coupled with the output waveguide through the smooth transition matched in a frequency band about 1/T , where T is the time for the wave travel twice along the cavity. At the storage state the intermode coupling value was minimized by the length of the direct arm of the first tee. Thus, the energy does not radiate at the auxiliary mode either. The intermode coupling is switched on when the transient of the TE01(m) mode is completed. The tee opens and the electric length of the stub connected to the coupling window becomes the total of the input arm and the short-circuited output arm section. That changes the field pattern in the coupling window area and increases coupling between the two modes. The coupling window dimensions and location of the movable short-circuiting plunger of the first switch controlled the intermode coupling value and the process of energy transfer. The window dimensions affect the wave amplitude of the auxiliary mode, which is generated by the principal working

mode at the coupling window. The electric length of symmetrical arms of the opened first tee and the window dimensions fix the amplitude and the phase of the auxiliary mode radiated from the input arm of the first tee into the cavity volume. The effective intermode coupling and the coupling of the auxiliary mode with the cavity output waveguide is formed by combining these two waves. The oversized cavity has the cross section larger than the cross section of the first tee. The total transformation of the principal mode into auxiliary one at the coupling window cannot be reached. Thus, the energy cannot be extracted during the wave double traveling time and the output pulse power will not be of maximum value. The problem of fast energy extraction in the proposed compressor is resolved as follows. The energy is stored by the principal mode; the output waveguide is below cutoff one and the second output tee is enclosed. Thus, the principal and auxiliary modes are not coupled with a load and have high-Q-factor values. Once the storing process is terminated the first tee is opened and the length of its input arm increases up to the total length of symmetric arms. The wave generated at the window and the wave radiated from the input arm do not cancel each other and that means the intermode coupling is switched on and the energy of the high-Q-factor principal mode is transferred to the high-Q-factor auxiliary mode. When both modes have high-Q values, the strong intermode coupling is easier to reach. This process does not require a total transfer during the time of wave traveling along the cavity and can be carried out relatively slow. Upon completing the transfer process the second tee is opened and the transferred energy is dumped into a load during the double time of the auxiliary mode wave traveling. The estimated output microwave pulse should have the rectangular envelope and maximum power. For this procedure, the output power is inversely proportional to the extraction time. The electrical strength is affected by the transfer time, i.e., the time the second switch is exposed to the E-field of the auxiliary mode. The second tee is set steady open in order to control output pulse parameters. The control was exercised by the adjustable intermode coupling and technically it is done by length variation of the first tee direct arm. B. Main Compressor Parameters One can expect that variation of the intermode coupling value and the coupling to a load during extraction allows obtaining output pulses of different shape, power, and width. Using the scattering matrix method for the two interacting modes considered as two coupled cavities it was shown that the transfer process can be aperiodic or periodic in its character depending on the intermode coupling value and auxiliary mode-load coupling. The following equations for the output power Pout were obtained [11]: Pout 4h 2 (e−(1/τ1 ) − e−(1/τ2 ) ) = Ptw k2 and

Pout k 2 T1 e−(t /τ2 ) sin2 ωt = Ptw T2

2

(1)

(2)

AVGUSTINOVICH et al.: FORMING NANOSECOND MICROWAVE PULSES BY TRANSFORMATION OF RESONANT CAVITY MODE

where Ptw is the traveling-wave power of the first mode; h, k are transmission factors of the intermode coupling window for the first mode and output window for the auxiliary mode, respectively; τ1, τ2 are time constants of cavity working and auxiliary modes; T√ 1 , T2 are double traveling times for these modes; ω = h/ T1 T2 is the beat frequency of energy transfer. In both cases the output power is higher if the intermode coupling is large. The process is aperiodic when the mode coupling is weak (h  k 2 /4) or periodic at the strong coupling (h  k 2 /4). Equations (1), (2) are obtained as solutions of the approximate differential equations derived by a series expansion of coupled modes amplitudes. The expansion was in powers of the incremental time equal to the time of wave traveling along the cavity. Thus, (1) and (2) are valid for slow processes of a length higher than the time of the wave traveling along the cavity. They are reflective of common features of the process, e.g., they determine the border between the strong coupling and the weak coupling necessary for choosing the coupling value corresponding to the effective energy transfer. However, this general approach does not allow introducing the particulars of the coupling element and studying the energy transfer during the time comparable to the traveling time. The energy transfer from a high-Q-factor value mode to a very low-Q-factor reveals particulars of the intermode transfer in full extent. Effects of the first tee operation on the intermode coupling were calculated using the resonant system based on the H-type T-junction [12]. One of the direct arms of the tee model is the resonant cavity and the second symmetrical arm is coupled to a load. The short-circuited waveguide section is connected to the half-wavelength side arm of the tee. This section is an analog of the mode coupling element, it has electric length ϕ and is connected through the coupling window made in the short circuit of the side arm. The window has a transmission factor h. The wave radiating from the section is combined with the wave reflected from the coupling window and then the total wave is divided into the one coming back to the cavity and the wave traveling to the load. The side arm length to the coupling window is equal to half-wavelength in order to decouple the cavity and the load in case the coupling window is closed. The process was simulated by differential equations and by recursion relations as well. The approximate differential equation was obtained for the amplitude b2 (t) of the wave in the cavity db2 b2 j ka1 + ≈ (3) dt τ (h, ϕ) T1   where τ (h, ϕ) = T1 /(1 − 1 − k02 1 − k 2 (h, ϕ)e−α ) is the cavity time constant when the power transmission factor of the cavity port is k 2 (h, ϕ), k 2 (h, ϕ) ≈

n 2 (h)+2e−γ n (h) p (h) cos (ϕ −δϕ)+e−2γ p2 (h)   √   4 1−2 1−h 2 e−γ cos (ϕ −δϕ)+ 1−h 2 e−2γ (4)

1609

√ √ and n (h) = 1 − 1 − h 2 e−β , p (h) = e−β − 1 − h 2 , √ 0 < h < 1, δϕ = ar ctgh/ 1 − h 2 , a1 is the input wave amplitude, α, β, γ are attenuation constants of the storage cavity of the section and the side arm, respectively, and ϕ is the phase shift along the section. The solution of (3), when the right side is equal to zero and the amplitude of the traveling wave of the cavity is equal to the value reached at the moment of the storing process termination, gives the power of the wave d2 radiated during the energy extraction k02 k 2 (h, ϕ) a12 e−(2t/τ (h,ϕ)) d22 (h, ϕ) =  2 .   2 2 −α 1 − 1 − k0 1 − k (h, ϕ)e

(5)

Equation (5) is similar to (1) for the aperiodic process when the time constant of the section considered as a resonant cavity is close to zero. The equation sets out the output pulse power as a function of h and ϕ without regard for the transient in the section after its impact excitation by opening the coupled window. The equation accounting for effects of the impact excitation of the section on the output wave amplitude is   1 1 1 dd2 (t) d 2 d2 (t) + + + + δσ d2 (t) ≈ εa1 . dt 2 τ1 τ2 dt τ1 τ2 (6) Here δ, σ , and ε are numerical factors depending on the storage system parameters k0 , h, α, β, γ , and ϕ. The solution gives beats of the output signal oscillation amplitude in both modes of storing and extraction. The more accurate analysis of the transfer was performed on the basis of recursion relations between wave amplitudes in different parts of the storage system model. In deriving the expressions, the time of wave traveling along the cavity was assumed by an order of magnitude greater than the wave traveling time of the section. The obtained equation for the wave amplitude in the cavity is    T1 22 T1 T1 = εb2 −T1 + i − κb2 − T1 + i b2 i 10 10 20 10  13 T1 − ξ b4 − T1 + i (7) 20 10 in the symmetrical arms of the tee    T1 T1 T1 11 1 = γ0 b2 − T1 + i + δb4 − T1 + i b4 i 10 20 10 10 10 (8) and for the output pulse    T1 1 12 T1 T1 = μb2 − T1 + i + νb2 − T1 + i d2 i 10 2 10 20 10  3 T1 + χb4 − T1 + i . (9) 20 10

1610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

The following notations are used in (6)–(8):  ε = 0.5 1 − k02 e−α   κ = −0.5 1 − k02 1 − h 2 e−α−β  ξ = − j 2−0.5 h 1 − k 2 e−0.5(α+β)−γ − j ϕ μ = 0.5e−0.5α  ν = 0.5 1 − h 2 e−0.5α−β χ = − j 2−0.5 he−0.5β−γ − j ϕ γ0 = j 2−0.5 he−0.5(α+β)  δ = 1 − h 2 e−γ − j ϕ i = 0, 1, 2, 3, . . .. It was chosen for the calculations as α = 5 · 10−3 , β = 9 · 10−3 , and γ = 9 · 10−3 T1 = 5 · 10−9 s. The wave double traveling times along the side arm and the section were taken equal to 5 · 10−10 s. When the energy is transmitted to a low-Q-factor mode, the process is aperiodic. A low-Q-factor value results from the constant coupling of the auxiliary mode to a load. The radiated wave amplitude is proportional to h 2 /k 2 and is always lower than the amplitude of the first mode traveling wave in the cavity. If the Q factor of the auxiliary mode is high, the process occurs with damped beats of interacting modes until energy dissipates completely in cavity walls. In the case that the auxiliary mode becomes strongly coupled to a load, then k is set at value 1 at the moment of complete energy transfer to the auxiliary mode. The output pulse will have the amplitude approximately equal to the traveling wave amplitude in the cavity. The recurrent formulas relating the wave amplitudes in the cavity for different moments of time and taking into account the losses of traveling waves and reflections at discontinuities were used. Envelopes of output pulses were calculated for different values of the electrical length of direct tee arms, determined as the phase shift ϕ and transmission factor h of the intermode coupling window. The envelopes for constant h, Q factor of the principal mode Q 01 = 3.9 · 104 , Q factor of the auxiliary mode Q 02 close to 0, and some values of ϕ are presented in Fig. 2. The envelopes plotted for different transmission factor values of the window h are presented in Fig. 3. The output pulse envelopes were normalized by the input power so the Y -axis shows the amplification in relative units. The time is normalized by T1 . The plots show that variation of the tee parameters allows to form pulses of different pulse widths, amplitudes, and envelope shapes. The low-Q-factor section of the intermode coupling affects the Q factor of the principal mode and so amplification decreases at some values of h and ϕ. III. E XPERIMENTAL S ETUP The internal dimensions of the cavity were the diameter of 44 mm and the cylindrical section length of 210 mm. The end plate had coupling holes located at halves of radii to attach the input waveguide and the first tee switch to. The input waveguide and the tee waveguide sections had the rectangular

Fig. 2. Envelopes of output pulses for Q 01 = 3.9 · 104 , Q 02 ≈ 0, k = 1, h = 0.4, and different phase-shift values of the section in radians equal to: (a) ϕ = 0, (b) ϕ = 0.15, (c) ϕ = 0.3, and (d) ϕ = 0.5. The output power is normalized by the input power. The time is normalized by T1 .

Fig. 3. Envelopes of output pulses for Q 01 = 3.9 · 104 , Q 02 ≈ 0, k = 1, ϕ = 0, and different transmission factors equal to: (a) h = 0.4, (b) h = 0.5, (c) h = 0.7, and (d) h = 0.9. The output power is normalized by the input power. The time is normalized by T1 .

cross section of 23 × 10 mm2 . The smooth transition of 42-mm length was connected to the other side of the cavity. Its estimated bandwidth was 1 GHz and it matched the circular cavity and the circular output waveguide of 26-mm diameter. It made the resonant frequencies of TE01 and TM11 modes different. The output waveguide line included the second tee switch connected in series with output waveguide section. The external view of the experimental setup is shown in Fig. 4. The maximum amplification at the storage mode TE01(6) estimated as Q 01 /2π f T1 was close to 23 dB. The resonant frequency of 9282 MHz, the Q factor of 3.9 · 104 , and the

AVGUSTINOVICH et al.: FORMING NANOSECOND MICROWAVE PULSES BY TRANSFORMATION OF RESONANT CAVITY MODE

Fig. 4. Compressor connected into experimental setup. 1–magnetron; 2–circulator; 3, 7–directional couplers; 4–first tee switch of intermode coupling; 5–cavity; 6–second output tees witch; 8–load (antenna equivalent); 9–microwave diode; 10–master generator; 11–oscilloscope.

double traveling time T1 of 3.3 ns were taken into account. The auxiliary TE11(13) mode frequency differed initially a little from the frequency of the storage TE01(6) mode. The experimental Q-factor value was Q 02 = 1.8 · 104 at the corresponding double traveling time T2 = 2.7 ns. The equality of the frequencies was reached by fixing the input arm length of the second tee switch. To start with, both diameter values of the input coupling hole and the hole coupling the cavity volume with the first tee switch were equal to 10 mm. The dimensions of the first tee switch were experimentally fixed for lowest steadystate intermode coupling. The direct tee arms were around half-wavelength. But during transients, the intermode coupling stayed strong at various lengths of the input arm. That manifested itself by output detected signals during the rise time and decay time of the input pulse when the first tee was fixed for no-coupling operation. The envelopes of the signals are impulses of oscillations characteristic for extraction and decaying of coupled cavities. At the steady-state mode, there are no beats because the auxiliary mode oscillations are suppressed as much as oscillations of a transmission-type cavity being one of two tightly coupled cavities. The behavior of the mode is related to the design of their coupling element that is the design of the first switch. The element is actually the short-circuited waveguide loop of a variable length coupled to the cavity. At some length of the loop close to a half-wavelength the mode coupling is compensated and the compressor does not radiate. But at the transient during the input pulse rise time the balance of the transformed and radiated waves is only coming to match. At the time of input pulse decay the balance is disrupted and it is also changed in time. That causes the mode coupling modulation and beats of the auxiliary mode amplitude detected in the output waveguide. The beat frequency depends on a difference between frequencies of the principal and auxiliary modes. At the rise time of an input pulse, beats result from excitation of coupled cavities with different eigenfrequencies; at the decay time they were caused

1611

by combining free oscillations of two modes at different frequencies. The frequency inequality of 50, . . . , 60 MHz does not eliminate the coupling completely. It could be seen by amplitude modulation of picked-up signals during cavity pulse excitation. The mode transformation does not occur at the input tee arm equal to half-wavelength if the mode of operation is continuous wave (CW), e.g., after excitation of the cavity is over. At the input tee arm length around half-wavelength some hybridization of modes was observed. The hybridization has resonant properties and made the first operational mode energy radiate into a load in the form of some other modes. The coupling window diameter can be increased up to the dimension of the smaller wall of the rectangular waveguide. However, that did not lead to stronger hybridization and the intermode coupling value stayed practically the same. That is, the coupling is still smallest when the first tee input arm is around half-wavelength. It can be supposed that if the waveguide cross section were larger, the diameter of the coupling hole could be increased as well. It was also shown by high power tests at the pulse feeding power of 50 kW. IV. T EST R ESULTS The transients corresponding to the excitation of the storage mode and the transformation into the auxiliary mode after the first switch is on are exhibited in Fig. 5. The plots in Fig. 5 show the transients of energy storing and transfer processes are attended with beats. Although the particular proportion between the intermode coupling value and accompanying losses produces the aperiodic type of a transient. Noticeable losses arise from the volume of the first switch if it has the low-Q factor. This is due to the fact that the certain dimensions of tee direct arms provide the switch with resonant behavior when losses become higher and transfer efficiency increases as well. Direct measurement of the transfer efficiency is problematic and the efficiency was estimated in the following manner. Calculations show that the resonant amplification of the principal mode is about 21.5 dB at the input pulse width of 1 μs and the optimum input coupling factor of 2.2 for this mode. The amplification of the auxilary mode will be 22.5 dB assuming the energy transformation into the auxillary mode is total and taking into account that its traveling time is 0.6 ns less. The estimated amplification factor is reduced to 19.5 dB as the window has the nonoptimal coupling factor due to the limited window diameter and the nonoptimal location for auxiliary mode excitation. The window diameter and its location were chosen optimal for the principal mode. The experimental amplification value was 16.5 dB when excitation and energy extraction was exercised using only the auxiliary mode. The losses attributed to switching and extracting were 3 dB. As both switches are similar, it may be assumed that the losses of 3 dB per switch will be in evidence at energy extraction by the mode transformation. Thus, the calculated amplification of the auxiliary mode had 19.5 dB and the total compressor amplification had 16.5 dB after operation of the second switch. The experimental amplification factor

1612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 5. Envelopes corresponding to transients during the storage period and after switch-on of intermode coupling. Second output switch is closed. Upper first beam of an oscilloscope presents the signal reflected from the cavity; lower beam shows the signal of auxiliary mode. (a) Resonant beats during energy transfer after the first switch is on. (b) Aperiodic mode of transfer. Y -axis scale is 100 mV/div.

was 15 dB. The difference is attributed to probable additional losses at each stage of the compressor operation. The quotient of the auxiliary mode amplitude generated by transformation and the amplitude, which might be obtained at direct excitation, was introduced as the coupling efficiency. Its value reached 0.7. The envelope of the compressor output pulses was similar to one when energy was extracted during the double traveling time of an ordinary cavity if the second switch was on and Q-factor values of the two modes were high. The output tee was switched over to energy extraction after completion of the transfer. The duration time of the transfer process is 50 ns. The output pulses had the peak power of 1.5 MW and the pulse width of 2.7 ns. The amplification of 15 dB compared with the value of 16.5 dB related to the direct excitation of the TE11(13) mode showed the transfer efficiency. Operational parameters are different when the auxiliary mode has its lowest Q value due to the second switch being constantly opened. The output pulse parameters were the peak power of 500 kW and the pulse width of 10 ns at the corresponding power amplification of 10. Although modes TM11 and TE21 are also possible, the measurements showed they were not excited. This adjustment simplifies the study of intermode coupling variation upon variation of the total length of the first switch direct arms. It also makes an eigenmode spectrum less dense and, thus, allows increasing

Fig. 6. (a) Reflected (upper beam 1) and output (lower beam 2) for a shorttime switch-on of intermode coupling for producing sub-nanosecond pulses. (b) Envelope of the sub-nanosecond pulse at fast sweep 10 ns/div. Y -axis scale is 100 mV/div.

the storage resonant volume if necessary. The polarization of the output radiation was determined by the field polarization of the first switch. Mode transformation may be interpreted as the summation of the TE11 wave radiating into the cavity volume from the first switch and the wave of the same type generated by the working wave TE01 on the coupling window. During the storing period the two summed waves have equal amplitudes and are in antiphase. Other modes in the cavity are not excited, as they are out of the resonant condition. When the first switch changes its state, the total length of the direct arms comes out of the resonant condition and the radiating wave amplitude decreases. The wave generated at the window is not compensated and it excites the auxiliary TE11 -mode radiated to a load. If the length of the first switch input arm is normally equal to half waveguide wavelength (λw /2), but the other direct arm is also λw /2, the intermode coupling is switched on only for a short period. This period relates to the transient switching time of connecting the output arm of the switch, and it is equal to the double time of wave traveling from the window iris to the short circuit. This entails the short-time burst of the intermode coupling, which provides the dumping of a portion of the energy in the form of a sub-nanosecond pulse. The compressor then returns to its initial state. It is seen in Fig. 6(a) where the upper oscilloscope beam presents the signal reflected from the cavity. The pulse envelope oscillograms produced by this procedure are shown in Fig. 6(b). The amplification related to the first pulse carrying a larger portion of the

AVGUSTINOVICH et al.: FORMING NANOSECOND MICROWAVE PULSES BY TRANSFORMATION OF RESONANT CAVITY MODE

energy was 3 dB, its peak power is 100 kW, the pulse width is from 800 to 850 ps, and the total period for this type of energy extraction is about 50 ns. Thus, it is possible to produce a bunch of short pulses within a length of a feeding microwave pulse. V. C ONCLUSION Calculations of the microwave pulse formation using the energy transfer to the low-Q-value auxiliary mode allowed estimating the transfer efficiency, up to 0.7, and the output parameters of the compressor of this type. The recursion relations provided higher accuracy when the output pulsewidth values were comparable to the time of wave double traveling along the cavity. Calculated parameters fitted to the experimental data in [10]. The measurements were performed at low and high microwave power values. The mechanical adjustment of the first switch dimensions allowed controlling the envelope and pulse power of output pulses. The compressor can be designed for gaseous insulation and gaseous discharge plasma switching or vacuum insulation and electron beam switching.

1613

[6] A. Vikharev et al., “X-band active-passive pulse compressor with plasma switches,” Phys. Rev. Special Topics—Accelerators and Beams, vol. 14, Dec. 2011, Art. no. 121302. [7] O. Ivanov et al., “Active microwave pulse compressor using an electron-beam triggered switch,” Phys. Rev. Lett., vol. 110, Mar. 2013, Art. no. 115002. [8] A. Shlapakovski, S. Artemenko, P. Chumerin, and Y. Yushkov, “Controlling output pulse and prepulse in a resonant microwave pulse compressor,” J. Appl. Phys., vol. 113, Feb. 2013, Art. no. 054503. [9] V. Avgustinovich, S. Artemenko, V. Igumnov, S. Novikov, and Y. Yushkov, “Series compression of microwave pulses by using two coupled modes of overmoded cavity,” in Proc. 16th Int. High Current Electron. Conf., Tomsk, Russia, 2010, pp. 474–477. [10] V. Avgustinovich, S. Artemenko, V. Igumnov, and Y. Yushkov, “Formation of pulses with controlled parameters in a resonance microwave compressor employing oscillation mode transformation,” Tech. Phys. Lett., vol. 39, no. 9, pp. 755–757, Sep. 2013. [11] S. Artemenko, “Efficiency of forming microwave pulses by transformation of resonant cavity mode,” (in Russian), Izv. Vyssh. Uchebn. Zaved. Radiofiz., vol. 30, no. 10, pp. 1289–1292, Oct. 1987. [12] S. Artemenko and V. Igumnov, “Analysis of microwave energy extraction process at the resonator with controlled transformation,” Radioelectron. Commutat. Syst., vol. 58, no. 8, pp. 337–345, Aug. 2015.

Vladimir A. Avgustinovich, photograph and biography not available at the time of publication.

R EFERENCES [1] R. Alvarez, D. Byrne, and R. M. Johnson, “Prepulse suppression in microwave pulse compression cavities,” Rev. Sci. Instrum., vol. 57, no. 10, pp. 2475–2480, Oct. 1986. [2] L. Beilin, A. Shlapakovski, and Y. Krasik, “Resonant microwave pulse compressor operating in two frequencies,” J. Appl. Phys., vol. 114, pp. 1–8, Jul. 2013, Art. no. 034503. [3] E. Farr, L. Bowen, W. Prather, and C. Baum, “Microwave pulse compression experiments at low and high power,” Circuit Electromagn. Syst. Design Notes, pp. 1–30, Jan. 2010, Note 63. [4] V. Avgustinovich, S. Artemenko, V. Kaminsky, S. Novikov, and Y. Yushkov, “A two-step system for compression of microwave pulses in series-coupled resonators,” Instrum. Experiment. Techn., vol. 50, no. 2, pp. 237–240, Feb. 2007. [5] S. Artemenko, V. Avgustinovich, and Y. Yushkov, “Extracting microwave energy from a cavity by mode conversion at a coupling window,” Tech. Phys., vol. 43, no. 7, pp. 830–833, Jul. 1998.

Sergey N. Artemenko, photograph and biography not available at the time of publication.

Vladislav S. Igumnov, photograph and biography not available at the time of publication.

Sergey A. Novikov, photograph and biography not available at the time of publication.

Yury G. Yushkov, photograph and biography not available at the time of publication.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

SATCOM Retrodirective Array Neil B. Buchanan, Vincent F. Fusco, Fellow, IEEE, and Maarten van der Vorst

Abstract—We present an in-depth look at the challenges involved in using analog retrodirective arrays for satellite communications (SATCOM). The main technical issues surrounding the development of a retrodirective (self-steering) SATCOM system are given and techniques for mitigating these issues are provided. Detailed results are given for a prototype high-performance circularly polarized retrodirective array architecture suitable for mounting on an unstabilized mobile platform. This paper concludes with practical retrodirective L-band array results with the array used to acquire actual broadband satellite data signals from a commercial L-band satellite system. Received satellite signals as low as 130 dBm at the antenna elements are tracked. Accurate self-tracking occurs over the azimuth range of up to 40 . Index Terms—Antenna arrays, beam steering, phase conjugation, phased arrays, phase-locked loop (PLL).

I. INTRODUCTION

R

ETRODIRECTIVE self-steering antennas (RDAs) have the advantage of being able to automatically return a signal back in the direction along from which it originated [1]. If a retrodirective array could react to fast signal variations with sufficient sensitivity then it could be used as a transceiver in a mobile satellite communications (SATCOM) system, or indeed as a self-steered transceiver in other mobile applications where the requirement for automatic beam alignment between unstabilized platforms exists. One application that could benefit from a retrodirective selftracking arrangement is SATCOM, particularly when applied to terrestrial mobile scenarios. A service that is currently available globally at L-band 1.5-GHz receive (RX) 1.6-GHz transmit (TX), is the Inmarsat broadband global area network (BGAN) [2]. A study of the specification required for BGAN reveals that major step changes of the type discussed in this paper are required if retrodirective array technology is to be considered as a viable option for this type of application. The BGAN ground terminal is required to transmit an effective isotropic radiated power (EIRP) of up to 50 dBm, and the signal level it receives, at a single low-gain antenna element,

Manuscript received December 04, 2014; revised August 27, 2015 and January 18, 2016; accepted February 29, 2016. This work was supported by the European Space Agency (ESA) under contract “Self Focusing Retro-Reflective Antennas for Mobile Terminal Applications” AO/1-6168/09/NL/JD. N. B. Buchanan and V. F. Fusco are with the Institute of Electronics, Communications and Information Technology (ECIT), Queen’s University Belfast, Belfast BT3 9DT, U.K. (e-mail: [email protected]; [email protected]. ac.uk). M. van der Vorst is with the European Space Agency (ESA), 2201 AZ Noordwijk, The Netherlands (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2541121

can be down to 130 dBm. The stringent specification on receiver sensitivity rules out the majority of known retrodirective antenna array architectures, which fall into two broad classes, either the frequency offset Van Atta type [3] or the phase conjugating Pon type [4]. In addition to lack of RX sensitivity these basic architectures are unable to deal with complex modulation scenarios where TX and RX phase-modulated signals are required to operate in full duplex mode, e.g., for L-band BGAN 16-QAM at 150 kb/s. The top-level specification needed for L-band BGAN SATCOM is compared with what is currently achievable with reported self-steered antennas, and with the new architectural approach presented in this paper in Table I. If retrodirective array technology is to be used in actual SATCOM systems, then a fresh look at the approach used for carrying out phase conjugation within the beam-forming circuitry is required. In this paper, we will describe an all-analog phase-conjugation circuit solution. The rationale for this was given in [10], where analog circuits were found to be significantly more conservative with regards to power consumption and simplicity when compared to digital techniques. For example, it was calculated in [10] that a 4 5 RDA operating with data at a bit rate of 20 Mb/s requires a dc input power level of 16 W for digital signal processing (DSP) implementation, whereas an analog implementation consumes only 2 W and is largely bandwidth independent. In addition to the power consumption issue, analog electronics are not governed by DSP throughput limitations, i.e., they allow for real-time instantaneous processing. The type of analog retrodirective antenna proposed here operates without a priori knowledge of the target positon, and therefore provides near instant signal acquisition, 10 mS, in comparison to current motorized tracking antennas (e.g., the Hughes 9350 BGAN mobile satellite terminal) that take approximately 1 min to acquire since they require a certain acquisition phase before switching to a tracking phase. The main contributions of this paper over previous works are: 1) first presentation of practical results of a retrodirective array with comparable performance to a commercial SATCOM user terminal; 2) an in-depth analysis of the many design challenges of using analog retrodirective arrays in a SATCOM application; and 3) six significant changes to the pre-existing retrodirective architecture (detailed in Section III). II. HIGH-PERFORMANCE PHASE-CONJUGATION CIRCUIT The generic block diagram for a “fit for SATCOM purpose” phase conjugator shown within the context of a single element, after considering the features in Table I, is proposed in Fig. 1. In order to recover weak RX signal levels, and also to provide a “clean” retransmit signal, a carrier recovery circuit [tracking phase-locked loop (PLL)] is required. This tracks the phase of

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I FEATURES OF REPORTED RETRODIRECTIVE ARRAYS IN THE LITERATURE

Fig. 1. Generic retrodirective element for SATCOM. Fig. 2. Retrodirective transceiver architecture for G/T calculation.

the received signal while concurrently removing its modulation for subsequent RX side processing. The recovered clean carrier is then phase conjugated, and TX modulation is applied to the power amplifier (PA) for self-aligned data re-transmission to the satellite. For SATCOMs, a major challenge is that the system should be able to coherently combine on RX. Normally this is a feature not considered in retrodirective array design, where the emphasis is on unmodified re-transmission of incoming pilot and data. With the arrangement in Fig. 1, automatic optimal signal re-combination from the array RX elements can be performed since each of the array element’s carrier recovery circuits output identical phase signals such that phase does not vary with the angle of arrival of the received signal (RX IF, Fig. 1). This is achieved within the PLL circuit by locking the received signal to a constant phase reference signal, as described in detail in [11]. Maximal signal recombining from all elements in the array then follows through simple scalar summation at the chosen IF frequency. This method allows optimal combination on RX over a wide range of angles of arrival, and thus permits the array factor (AF) of the retrodirective antenna to be used both on RX, and on retransmit. A.

Performance

As with any satellite system the performance needs to be considered. Classical retrodirective antennas are not normally characterized in this way since they are usually only deployed for working with moderately strong signals. A typical

figure for a high bit-rate L-band SATCOM system [2] requires to be better than 10 dB/K. To analyze the of a retrodirective antenna we use the generic receiver configuration [13] of Fig. 2. Here, the low-frequency IF signal presented to the phase detector is considered to be the final received signal, i.e., the one where signal-to-noise (S/N) ratio will be critical for successful demodulation of the data signal. Hence, it is essential to know what level of S/N the tracking PLL can operate with such that the quality of the regenerated carrier used for retransmit is not adversely affected. The G/T of a receiver can be characterized by using cascaded receiver noise temperature, , [12], where

here (1) calculation are given in Table II. Here The results of the if we assume an array made up of reasonably low directivity elements (as required for wide retrodirective field of view) with 6.5-dBi gain, and an ideal AF of 9.5 dB, then nine elements (3

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUCHANAN et al.: SATCOM RETRODIRECTIVE ARRAY

TABLE II PERFORMANCE BASED RETRODIRECTIVE RECEIVER FIG. 2 WITH REAL COMPONENT SPECIFICATIONS

3

ON

Fig. 3. Measured retransmit EVM versus received signal strength.

3) would be required to achieve dB K. Wider retrodirective fields of view are possible with lower gain elements [14] or, conversely, smaller numbers of higher gain elements could be used at the expense of steering coverage. B. Receiver Carrier Recovery Requirements and Effect on Retransmitted Signal One major challenge of operating a retrodirective array within SATCOM is that the received signal at each element is generally much weaker than experienced in terrestrial applications. Levels as low as 130 dBm are present at each element, close to the noise floor of the receiver. The result is that the tracking PLL is required to lock to a signal with very low S/N ratio, typically an S/N close to 0 dB after the second IF filter (Fig. 2), resulting in phase jitter on the PLL output. The root mean square (rms) phase jitter of a PLL type receiver is given by [15] as rms phase jitter

rads

(2)

Equation (2) shows that in order for the PLL to recover carrier information a sufficiently low cutoff frequency ( ) needs to be used as the PLL loop filter (Fig. 2). In [15] for an input signal of 120 dBm, an IF filter bandwidth of 3 kHz, and a loop filter bandwidth of 99 Hz, an rms phase jitter of 6 is predicted. This compares favorably with the measured results of the receiver in Fig. 2, which was 5 for a signal level of 120 dBm, and for 130 dBm, 8 predicted, 10 measured. These results confirm that provided the signal strength received at each individual element is above 130 dBm, then accurate phase tracking and conjugation is possible. In an operational Inmarsat BGAN system the spot beam received signal strength should be in the region of 110 dBm per element. It is shown in [16] that, for a retrodirective array to have the ability to retransmit a high-quality retrodirected wavefront, the conjugately phased retransmit carrier signal phases need to be matched within 10 for a 16-element array, meaning that the worst case measured result reported here would be compliant.

Phase-noise measurements at the IF output of the phase-tracking PLL voltage-controlled oscillator (VCO) (Fig. 2) for a 120-dBm input gives an output phase noise of 77 dBc Hz @ 1-kHz offset and 125 dBc Hz @ 1-MHz offset, thus offering a low phase-noise conjugately phased retransmit carrier that is suitable to up-convert for high-quality signal retransmission. Generating the retransmitted signal from the retrodirective array involves applying a modulated TX local oscillator (LO) signal (TX LO in Fig. 2) to the TX mixer (Fig. 2) and multiplying it with a lower frequency signal from the tracking PLL VCO (Fig. 2), in this case, 156-MHz derived from a phase-locked 26-MHz temperature-compensated voltage-controlled crystal oscillator (TCVCXO). The lower sideband is filtered (to provide phase conjugation) via the TX filter and transmitted through a PA. Assuming the TX mixer and PA are operating in the linear region, then the quality of the retransmitted signal is dependent on the phase-noise/phase-jitter characteristics of the 156-MHz tracking PLL signal. To determine the quality of the phase-modulated retransmitted signal we measure the resultant error vector magnitude (EVM). Using the configuration of Fig. 2, a 16-QAM 151.2-kb/s modulated signal was applied to the TX LO signal and the up-converted retransmitted EVM measured. The results of Fig. 3 show that a signal with a 2.7% EVM is retransmitted when the retrodirective array is receiving a 110-dBm continuous wave (CW) pilot beacon while simultaneously retransmitting a 16-QAM 151.2-kb/s signal. A received signal of 110 dBm per element is fairly typical of an Inmarsat spot beam based on practical experience. If the array was receiving a global beam at 130 dBm, then the retransmitted EVM for an 8.4-kb/s quadrature phase-shift keying (QPSK) signal carried by that beam is about 3.6%. For a nine-element array, using (3), with a 9.5-dB AF, 6.5-dBi element gain ( ), one PA per element, , a TX EIRP of 15 dBW can be achieved through ideal spatial combining with 19.5 dBm ( ) being radiated at each PA per element, suggesting that a single- or dual-stage PA at each up-converter output would be needed. Systems such as Inmarsat BGAN need

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

to conform to the ETSI [17] standard for retransmit spectral purity so appropriate filters would be necessary in the TX up-converter chain, dBW

dBm (3)

C. Effect of TX/RX Frequency Offset on Beam Pointing It was shown in [18] that for a frequency-offset retrodirective array that there is a difference in the received signal angle of , compared to the retransmitted beam direction, , arrival, (4), (4) BGAN via INMARSAT uses TX/RX 1.65/1.55-GHz frequency operation. If we assume an array size of 3 3 elements, and the commonly used approximation for the shape of the central region of the main beam of an antenna [19] as being (5) is the boresight gain (dB) and the half-power where beamwidth is , and we assume the half-power beamwidth of a 3 3 element array at element spacing to be 40 , then from (4) the maximum beam pointing error is 3.2 , and (5) pointing loss is 0.075 dB at 40 , which is the maximum azimuth/elevation coverage achievable using the array of planar antennas reported here. III. RETRODIRECTIVE SATCOM PLL PHASE CONJUGATOR The aim of this section is to describe a high-performance retrodirective array, which has been tailored for SATCOM applications such as Inmarsat BGAN [2]. There are some significant step changes to the retrodirective array to make this possible, which will be discussed in detail. Inmarsat BGAN [2] ground terminals RX and TX, respectively, in the frequency range from 1525 to 1559 MHz and from 1626 to 1660 MHz. To facilitate initial pointing of the user ground terminal the BGAN system transmits an “always on” global beam at 1537 MHz, which is modulated with QPSK at 8 kb/s. The global beam produces approximately 130-dBm received signal strength at a ground terminal with an omnidirectional antenna. The BGAN system’s three satellites also support 256 spot beams per satellite, which are service activated from the user terminal. For current land portable ground terminal operation the user is required to first manually align the terminal. The initial direction can be determined via the use of information fed from a GPS receiver. Final alignment is then carried through manually peaking a display of the received global beam strength. Only after this alignment does user terminal transmission occur, which then initiates a spot beam signal response from the satellite. The challenge of tracking Inmarsat BGAN with a retrodirective array is that the array must be capable of initially acquiring the weak global beam signal, which is typically 20 dB lower in signal strength than a spot beam. In current systems the global

beam is intended to be received with an accurately aligned relatively high gain 12–20 dBi user a terminal antenna. The challenge with the retrodirective array is that for zero manual alignment each individual low-gain element in the RDA must be able to track the global beam signal phase independently. For a wide field of view RDA each element may only have a gain in the region of 4–5 dBi resulting in a 130-dBm received signal strength at each antenna element output. An additional challenge is that the signals to be tracked are phase modulated with either QPSK or 16 QAM. The classical PLL [see Fig. 4(a)], configured as a phase-locked receiver [20] is unsuitable for tracking phase-modulated signals, and can track only CW or AM signals. Hence, they can only operate with systems that have a separate CW pilot signal on a different frequency to the modulated signal. Unfortunately, using an analog PLL, it is very difficult to track the phase of these modulated signals, particularly if they contain phase modulation. This is due to the phase detector comparing the incoming phase-modulated signal with a stable CW reference signal supplied locally at the retrodirective antenna. With a phase-modulated signal the output of the phase detector will not be a stable dc voltage to control the voltage-controlled crystal oscillator (VCXO), instead it will fluctuate according to the phase modulation of the signal. This prevents the PLL from stably locking. To counteract this problem the architecture of Fig. 4(b) is used, shown here in a simplified form. The basic principle is that the signal from element 1 is used as the phase reference so that the phase detector is presented with two identically modulated signals. This allows for a constant dc voltage to be available at the phase-detector output, thus making the self-tracking architecture completely independent of the modulation type used. The first channel has a fixed LO frequency, and the second uses a VCXO as the LO, which has its control voltage derived from the phase detector. The idea of using a narrowband VCXO is that the jitter and phase noise of the signal is very low, allowing for a high-quality spectrally pure signal for retransmission. It is shown in [21], when phase tracking a received signal of 130 dBm, the phase jitter performance of the VCXO is only degraded by 3 in comparison to the classical phase-locked receiver configuration of [20]. The architecture of Fig. 4(b) results in the two down-converted outputs always being in-phase and can therefore be optimally combined regardless of input phase of the input signals. The relative phase difference of the LO and VCXO track the phase of the incoming signals and can be used for retransmission, back in the same direction, if they are up-converted using a phase-conjugating mixer. The representation in Fig. 4(b) is a simplified single conversion architecture, in reality, dual-stage downconversion is required to allow the removal of image frequencies on the RX mode. Larger arrays can be accommodated by using element 1 (Fig. 5) as the phase reference and adding additional elements and phase-conjugation circuits. To allow the tracking PLL to operate with the challenging link budgets found in systems such as Inmarsat BGAN, some improvements, in addition to the above, are required to the retrodirective antenna phase-conjugating PLL architecture. These are: 1) an active PLL loop filter has been added, which is second

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUCHANAN et al.: SATCOM RETRODIRECTIVE ARRAY

5

Fig. 5. Accommodation of reference element on a larger 3

Fig. 6. (a) 1.5/1.6-GHz retrodirective array configured as 3 9201 commercial BGAN user terminal.

3 array.

3. (b) Hughes

region of 10 dBW for a 3 3 element array. The new block diagram, incorporating these changes, is shown in Fig. 7. Fig. 4. Tracking PLL configurations. (a) Tracking PLL configured as phaselocked receiver. (b) New configuration of tracking PLL for tracking phase-modulated signals.

IV. RETRODIRECTIVE SATCOM PROOF-OF-CONCEPT ARRAY

order with a cutoff frequency of 100 Hz providing the best compromise between PLL stability and locking performance to signals of low S/N ratio; 2) the TX and RX filters use a low-loss commercial BGAN duplexer (0.8-dB loss on RX path, 60 dB TX/RX isolation); 3) the IF filter prior to the phase detector is a 10-kHz bandwidth optimized for the 8.4-kb/s global beam signal; 4) the low-noise amplifiers (LNA) uses two cascaded LNAs to give a combined gain of 30 dB and noise figure of 1.2 dB; and 5) PAs were added to allow transmitted EIRPs in the

A 3 3 element retrodirective proof-of-concept array has been constructed [see Fig. 6(a)] according to the block diagram of Fig. 7. The array elements are approximately spaced to prevent grating lobes. Power consumption was measured at 100 mW per element on the RX tracking mode. The size of the 3 3 array is 28 cm 28 cm, cf. 26 cm 32 cm for a commercial conventional terminal (Hughes 9201) [see Fig. 6(b)]. SATCOM systems such as Inmarsat BGAN [2] require circular polarized antennas operating over a wide range of scan angles. The array elements used in Fig. 6(a) are circular patches with dual linear polarization and are described in detail in [22].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7. Block diagram showing two elements of the retrodirective array for BGAN tracking applications.

By feeding the dual linear ports with 0 and 90 , circular polarization can be generated over sufficient bandwidth for this application. Sequential rotation of the antenna elements [23] was deployed in order to reduce the mutual coupling effect and improve axial ratio, which was determined by the methods of [22] to be most favorable for retrodirective circular polarized array use. The patch element gain was measured as 6.65 dBi. This reasonably high gain for a single element helps to achieve the boresight G/T and EIRP, although is a compromise in terms of the pointing loss obtained at other scanning angles when deployed as a retrodirective array since the element gain reduces by at least 2 dB for 40 azimuth/elevation angle, which means that the overall monostatic response of these elements, configured as a retrodirective array, will have a similar pointing loss. This pointing loss is comparable to other SATCOM proof-of-concept scanning antennas [24]. To correct for phase inaccuracies in the TX LO beam-forming network, a preset phase adjust is used (shown in Fig. 7). This phase-shifting arrangement is used as a one-off calibration adjustment to achieve coherent transmission at boresight. After this adjustment the array will phase-conjugate correctly over the required azimuth range. The 3 3 array was measured in a 10-m far-field anechoic chamber facility configured for retrodirective monostatic radiation pattern measurements. In addition, the retrodirective array had the ability to selectively switch on individual elements, allowing active radiation patterns to be measured. The methods of [25] were then used to calculate the bistatic radation patterns, which account for the real effects of the individual elements in the array, rather than the less accurate method of using a generic element, multiplied by the AF. Within the anechoic chamber the signal was transmitted to the antenna under test at 1.54 GHz, using a dual polarized horn antenna, which was configured to transmit circular polarization. The retrodirective return signal retransmitted from the antenna under test was then received in the far field by a linear polarized horn antenna. Both vertical and horizontal components of the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 8. Normalized transmitted monostatic/bistatic responses for 3

3 array.

retransmitted signal are measured, and post processed to form circular polarized radiation patterns. The resulting radiation patterns are shown in Fig. 8. These patterns were measured using a received boresight power level at each antenna element of 112 dBm. The received signal was also modulated with QPSK at 8.4 ksym/s, similar to the global beam signal from Inmarsat BGAN. The results show that the monostatic pattern tracks accurately over the desired scanning range of 40 with a reduction in monostatic gain of around 2 dB at these points. At wider scan angles of 80 , the PLL circuits lose lock of the received signal, which is to be expected since it is beyond the designed scanning range of the array. From a practical point of view, the PLL circuits incorporate a lock detect circuit, used to alert a user of this condition, requiring them to move the antenna back to the 40 coverage cone. Also shown in the graph of Fig. 8 is the calculated monostatic pattern based on the active element measurements. This shows the theoretical maximum monostatic gain that can be obtained, assuming perfectly accurate and lossless phase conjugation and spatial power combining occur. The practical monostatic results do not deviate more than 0.5 dB from the active pattern calculation for 40 scan angles. The bistatic patterns, calculated from the individually measured active patterns of each element show a sidelobe reduction of 9 dB, referenced to boresight. Also superimposed is the measured radiation pattern of the commercial (fixed non-beam-steered array) SATCOM terminal (Hughes 9201), which shows that the retrodirective array is achieving a similar beamwidth, and sidelobe rejection, to the commercial terminal, at each bistatic scan angle. The bistatic results have a slightly broader beamwidth than other reported retrodirective arrays, e.g., the 10 1 reported in [6]. This is due to the 3 3 configuration which was optimized for a coverage of 40 in both azimuth and elevation, in comparison to a linear array of elements, which is only capable of scanning in azimuth. The monostatic cross polar isolation of the 3 3 retrodirective array is better than 15 dB over the 40 scanning range. The axial ratio of the array, from the monostatic response, Fig. 9, is extremely low at 1.3 dB for the scanning range of 40 and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BUCHANAN et al.: SATCOM RETRODIRECTIVE ARRAY

Fig. 9. Measured monostatic axial ratio for 3

7

3 array.

Fig. 11. versus azimuth angle of 3 3 retrodirective array versus commerical BGAN terminal (Hughes 9201) receiving a live Inmarsat global beam signal.

Fig. 10. Measured boresight EIRP versus TX LO drive power for 3

3 array.

remains below 3 dB over the entire 90 coverage. The excellent axial ratio and cross polar results are contributed to by using the element sequential rotations of [22]. On TX mode the EIRP was measured at boresight, while simultaneously locking to a signal on RX. The result of Fig. 10 shows the transmitted EIRP versus the power level applied at the port (Fig. 7). An EIRP of 14.1 dBW was obtained at the P1dB point. Typically, for low-distortion transmission using phase modulation such as 16-QAM, a back off of up to 6 dB is required from the P1dB point, providing a useable EIRP for 16-QAM of at least 8.1 dBW. V. ON-AIR INMARSAT BGAN MEASUREMENTS To measure the system with actual Inmarsat signals the 3 3 array [see Fig. 6(a)] was placed outdoors. It was configured for RX operation, with the measured taken from the in-phase combined IF port, as per Fig. 7. For comparison, the results were benchmarked against a commercial BGAN user terminal antenna (Hughes 9201) [see Fig. 6(b)]. On-air results for RX mode are presented in order to show that accurate phase tracking of the BGAN global beam was possible. It was confirmed, at the time of the measurement, that the BGAN global beam was producing a power level in the region of 130 dBm at each antenna element. The results are shown in Fig. 11 where the retrodirective array demonstrates an almost flat response over the steering range of 40 with an amplitude variation of 1 dB over the steering range 35 to 30 . The commercial BGAN terminal, which is

Fig. 12. Phase-tracking ability of two elements of retrodirective array receiving a live Inmarsat global beam signal.

not self-steering, maintains a 1-dB flatness over 10 azimuth range. The commercial terminal is able to receive a of 51 dBHz at boresight, whereas the 3 3 retrodirective array, with a similar aperture area, receives 48 dBHz. With regard to Table II when antenna gain is reduced by 1 dB, and antenna feed loss is increased by 1 dB, reduces by 3 dB, thus suggesting the likely differences between retrodirective and commercial terminal RX performance. To further confirm that the retrodirective antenna is tracking the phase of the global beam signal accurately, results were taken of the phase relationship between two of the 156-MHz TCVCXO signals [21] (Fig. 7) since these track the phase of the incoming signal. This result in Fig. 12 shows that phase is being recovered with sufficient accuracy so that post conjugation an accurate self-pointing high-quality signal could be retransmitted back to the satellite. VI. CONCLUSIONS This paper has presented the first in-depth look at the challenges involved in using analog retrodirective arrays for use with an actual BGAN SATCOM system. It was shown that it is possible to construct a retrodirective array that can detect received signal power levels as low as 130 dBm and recover phase sufficiently accurately such that simultaneously

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

self-tracking retransmission is possible. Practical results have been shown for a 3 3 element array tracking signals from Inmarsat BGAN. These showed a significant increase in the beam-steering range, from 35 to 30 being achieved as compared to 10 from a commercial fixed user terminal. REFERENCES [1] V. F. Fusco and S. L. Karode, “Self-phasing antenna array techniques for mobile communications applications,” IEE Electron. Commun. J., vol. 11, no. 6, pp. 279–286, Dec. 1999. [2] BGAN, accessed on Jan. 14, 2016. [Online]. Available: http://www. inmarsat.com/service/bgan/ [3] S. L. Karode and V. F. Fusco, “Frequency offset retrodirective antenna array,” Electron. Lett., pp. 1350–1351, Jul. 1997. [4] C. Y. Pon, “Retrodirective array using the heterodyne technique,” IEEE Trans. Antennas Propag., vol. AP-12, no. 2, pp. 176–180, Mar. 1964. [5] N. B. Buchanan and V. F. Fusco, “Triple mode PLL antenna array,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 6–11, 2004, vol. 3, pp. 1691–1694. [6] V. F. Fusco and N. B. Buchanan, “High-performance IQ modulatorbased phase conjugator for modular retrodirective antenna array implementation,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2301–2306, Oct. 2009. [7] R. Y. Miyamoto and T. Itoh, “Retrodirective arrays for wireless communications,” IEEE Microw. Mag., vol. 3, no. 1, pp. 71–79, Mar. 2002. [8] G. S. Shiroma, R. Y. Miyamoto, and W. A. Shiroma, “A full-duplex dual-frequency self-steering array using phase detection and phase shifting,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 128–134, Jan. 2006. [9] L. D. DiDomenico and G. M. Rebeiz, “Digital communications using self-phased arrays,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 4, pp. 677–684, Apr. 2001. [10] N. B. Buchanan, V. F. Fusco, and M. Van Der Vorst, “Real time analogue self tracking antenna compatible with Inmarsat BGAN modulation schemes,” in Proc. 34th ESA/ESTEC Antenna Workshop, Noordwijk, The Netherlands, Oct. 2012, pp. 72–75. [11] N. B. Buchanan, V. Fusco, and M. Van der Vorst, “A high performance analogue retrodirective phase conjugation circuit with RX array factor combination ability,” in IEEE MTT-S Int. Microw. Symp. Dige., Jun. 5–10, 2011. [12] A. van der Ziel, Noise: Sources, Characterization, Measurement. Englewood Cliffs, NJ, USA: Prentice-Hall, 1970. [13] N. B. Buchanan, V. Fusco, and M. Van Der Vorst, “Phase conjugating circuit with frequency offset beam pointing error correction facility for precision retrodirective antenna applications,” in 41st Eur. Microw. Conf., Oct. 10–13, 2011, pp. 1281–1283. [14] O. Malyuskin and V. F. Fusco, “Pointing accuracy and gain reduction mechanisms in CP retrodirective arrays for SATCOM applications,” in Proc. Eur. Antennas Propag. Conf., Prague, Czech Republic, Apr. 2012, pp. 825–826. [15] P. V. Brennan, Phase-Locked Loops: Principles & Practice. New York, NY, USA: Macmillan, 1996, pp. 77–89. [16] B. Y. Toh, V. F. Fusco, and N. B. Buchanan, “Assessment of performance limitations of Pon retrodirective arrays,” IEEE Trans. Antennas Propag., vol. 50, no. 10, pp. 1425–1432, Oct. 2002. [17] Satellite Earth Stations and Systems (SES), ETSI EN 301 444 V1.2.0, 2011–02. [18] Y. C. Guo, X. W. Shi, and L. Chen, “Retrodirective array technology,” Progr. Electromagn. Res. B, vol. 5, pp. 153–167, 2008. [19] R. A. Nelson, “Antennas: The interface with space,” Via Satellite Mag., pp. 190–195, Sep. 10, 1999.

[20] F. M. Gardner, Phaselock Techniques. New York, NY, USA: Wiley, 1979. [21] N. B. Buchanan and V. Fusco, “Modulation insensitive PLL for tracking antenna applications,” Microw. Opt. Technol. Lett., vol. 57, no. 6, pp. 1286–1289, Jun. 2015. [22] N. B. Buchanan, V. F. Fusco, and M. Van Der Vorst, “Circular polarized self tracking L band array with high bandwidth and scan beamwidth for Inmarsat BGAN applications,” in Proc. Eur. Antennas Propag. Conf., Rome, Italy, Apr. 11–15, 2011, pp. 211–215. [23] M. Thiel and A. Dreher, “Sequential rotation in a smart antenna terminal for broadband communication,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jun. 20–25, 2004, vol. 1, pp. 145–148. [24] R. Baggen, R. S. Vaccaro, D. Llorens del Río, J. Padilla, and R. Torres Sánchez, “NATALIA: A SATCOM phased array in Ku-band,” in Proc. ESA/ESTEC Antennas Workshop, Noordwijk, The Netherlands, Oct. 2–5, 2012, pp. 108–115. [25] B. Y. Toh, V. F. Fusco, and N. B. Buchanan, “Retrodirective array tracking prediction using active element characterisation,” Electron. Lett., vol. 37, no. 12, pp. 727–728, Jun. 2001. Neil B. Buchanan received the B.Eng. (Hons) degree and Ph.D. degree from the Queens University of Belfast, Belfast, U.K., in 1993 and 2000, respectively. His dissertation was entitled “Phase Locked Millimetre Wave HEMT Oscillators.” He is currently a Lecturer with the Queens University Belfast. He has authored or coauthored over 65 scientific papers in major journals and in refereed international conferences. He has been involved in several world-class research projects and has recently led a research activity with the European Space Agency (ESA) to produce a TRL5 self-steered antenna for use with satellite broadband services. His research interests include novel phase-conjugating architectures for self-steered antennas, millimeter-wave monolithic microwave integrated circuit (MMIC) design and innovative millimeter-wave transceivers. Dr. Buchanan was the recipient of the European Space Agency (ESA) Young Antenna Engineer Prize at the ESA Antennas workshop for Space Applications in 2010.

Vincent F. Fusco (M’82–SM’96–F’04) has held a Personal Chair in High Frequency Electronic Engineering with the Queen’s University of Belfast, Belfast, U.K., since 1995. He is currently the Technical Director of the High Frequency Laboratories, ECIT, Queen’s University of Belfast. He has authored or coauthored numerous scientific papers in major journals and in referred international conferences and has authored two text books. He has contributed invited chapters to books in the field of active antenna design and electromagnetic (EM) field computation. He holds several patents. His research interests include nonlinear microwave circuit design and active and passive antenna techniques. Prof. Fusco is a Fellow of the Royal Academy of Engineering, the Institution of Engineering and Technology (IET), the Institute of Electrical and Electronic Engineers (IEEE), and the Royal Irish Academy. He was the recipient of the IET Mountbatten Medal in 2012 for his lifetime achievements in the area of microwave wireless communications.

Maarten van der Vorst, photograph and biography not available at the time of publication.

1622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Experimental Investigation of Adaptive Impedance Matching for a MIMO Terminal With CMOS-SOI Tuners Ivaylo Vasilev, Student Member, IEEE, Jonas Lindstrand, Graduate Student Member, IEEE, Vanja Plicanic, Member, IEEE, Henrik Sjöland, Senior Member, IEEE, and Buon Kiong Lau, Senior Member, IEEE

Abstract— It is well known that user proximity introduces absorption and impedance mismatch losses (MLs) that severely degrade multiple-input multiple-output (MIMO) performance of handset antennas. In this work, we experimentally verified the potential of adaptive impedance matching (AIM) to mitigate user interaction effects and identified the main AIM gain mechanism in realistic systems. A practical setup including custom-designed CMOS silicon-on-insulator (SOI) impedance tuners implemented on a MIMO handset was measured in three propagation environments and ten real user scenarios. The results indicate that AIM can improve MIMO capacity by up to 42% equivalent to 3.5 dB of multiplexing efficiency (ME) gain. Taking into account the measured losses of 1 dB in the integrated tuners, the maximum net ME gain is 2.5 dB suggesting applicability in practical systems. Variations in ME gains of up to 1.5 dB for different hand-grip styles were mainly due to differences in impedance mismatch and tuner loss distribution. The study also confirmed earlier results on the significant differences in mismatch and absorption between phantoms and real users in which the phantoms underestimated user effects and, therefore, AIM gains. Finally, propagation environments of different angular spreads were found to give only minor ME gain variations. Index Terms— Antennas and propagation, CMOS integrated circuits (ICs), impedance matching, multiple-input multipleoutput (MIMO) and cellular systems.

I. I NTRODUCTION

T

HE Cellular Telecommunications Industry Association (CTIA) has specified that handset antennas are required to operate efficiently in free space (FS) as well as in close

Manuscript received April 16, 2015; revised November 13, 2015; accepted February 24, 2016. Date of publication April 7, 2016; date of current version May 10, 2016. This work was supported in part by VINNOVA under Grant 2009-04047, and in part by Vetenskapsrådet under Grant 2010-468. I. Vasilev was with the Department of Electrical and Information Technology, Lund University, 221 00 Lund, Sweden. He is now with the Visteon Corporation, Sofia, Bulgaria (e-mail: [email protected]). J. Lindstrand and B. K. Lau are with the Department of Electrical and Information Technology, Lund University, 221 00 Lund, Sweden (e-mail: [email protected]; [email protected]). V. Plicanic is with the Network Technology Laboratory, Sony Mobile Communications AB, 221 88 Lund, Sweden (e-mail: [email protected]). H. Sjöland is with the Department of Electrical and Information Technology, Lund University, 221 00 Lund, Sweden, and also with Ericsson Research, Ericsson AB, 22183 Lund, Sweden (e-mail: Henrik.Sjö[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2546244

TABLE I C OMPARISON OF AIM S TUDIES FOR MIMO A NTENNAS

proximity to users while browsing, texting, and calling [1]. Nevertheless, designing an efficient RF front end in the confined space of personal communication devices, with high robustness to user interaction, is a challenging task [2]. Closely spaced antenna elements exhibit high mutual coupling and excite highly correlated radiation patterns that severely degrade the achievable capacity [2]. In addition, the proximity of users can lead to severe absorption and mismatch losses (MLs) that further reduce antenna efficiency and, therefore, multiple-input multiple-output (MIMO) capacity [2]. In recent years, adaptive impedance matching (AIM) has been proposed for optimizing MIMO performance by improving total efficiency and correlation [3]–[9]. The key difference in using AIM for user effect compensation between singleinput single-output and MIMO antennas in compact terminals is that single-antenna AIM only mitigates impedance mismatch (e.g., [10]), whereas MIMO antenna AIM can also influence the coupling and antenna pattern and, hence, correlation. As summarized in Table I, the first studies on AIM for MIMO antennas were fundamental in nature and based on simulations with no user and ideal half-wave dipole antennas [3]–[5]. The initial work showed that significant MIMO performance gains could be achieved using AIM by improving total efficiency and correlation, as well as obtaining a tradeoff between them for optimal capacity performance. To investigate the practicality and the underlying mechanisms of AIM for MIMO terminal application, subsequent

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

VASILEV et al.: EXPERIMENTAL INVESTIGATION OF AIM FOR MIMO TERMINAL WITH CMOS-SOI TUNERS

studies shown in Table I progressively adopted more realistic conditions of terminal antennas, tuner, user, and propagation channel [6]–[9]. Besides validating the promising AIM gains from simulation studies, these studies facilitated useful insights on performance gains from AIM. In particular, highly coupled and narrowband MIMO antennas can benefit from large AIM gains since user proximity (i.e., represented by a phantom user) tends to reduce coupling and cause severe detuning, the latter of which can be effectively compensated through retuning [6]–[8]. The Maury mechanical tuners used in [9] demonstrated that the retuning of mismatched terminal antennas should take into account the increasing insertion loss of the tuner away from the 50- state. Furthermore, the directional characteristics of the channel with respect to the multi-antenna patterns are shown to have a significant influence on the performance gain from AIM [8], [9]. Gains of up to 2.1 and 1.6 dB were measured in an indoor and outdoor propagation scenario, respectively [9]. Nevertheless, despite the promising results in [3]–[9], neither compact integrated tuners, nor real users have yet been considered. For the single-antenna case, integrated tuners have been designed for many years, such as the 0.35-μm adaptive CMOS circuit based on several switched shunt capacitors and external inductors proposed for the 2.4-GHz industrial–scientific–medical (ISM) band [10]. However, it was not until recently that tuning circuits with significantly better performance were developed and aimed for commercial AIM implementation. For example, the RF microelectromechanical systems (RF-MEMS) tuning module reported in [11] features 0.3-dB insertion loss at 850 MHz. In [12], an integrated matching network for high band operation (2.5–2.69 GHz) was designed in a 130-nm CMOS-siliconon-insulator (CMOS-SOI) process. For antenna impedances with a voltage standing wave ratio (VSWR) of 5, the tuner was able to significantly reduce MLs. However, there is no realworld verification of these circuits in realistic environments and user scenarios. Recent studies also investigated other key aspects of adaptive RF front-ends such as antenna-power amplifier mismatch [13] and real-time complex impedance measurement [14]. Yet only limited verification in realistic conditions was done. In [15], a study involving 60 users revealed that a closed-loop tuner system can provide AIM gains of up to 3.6 dB by mitigating antenna mismatch. Moreover, the mismatches encountered with real users were significantly more severe than those with phantom users complying with CTIA over-the-air test specifications. However, the study involved only a single-antenna terminal with no verification in realistic propagation conditions through channel measurements. In the context of the previous work, this paper makes the following contributions (see also Table I). • RF design, evaluation, and measurement of a complete AIM system based on a state-of-the-art tuner [16] custom designed for a specific MIMO handset in a CMOS-SOI process applicable for mass production. • First of its kind practical verification of an AIM system in realistic propagation conditions and user scenarios probing the applicability of AIM in real systems with existing

1623

low-cost technologies. The system achieved promising net power gains of 0.8–3 dB. • Analysis of the capacity and multiplexing efficiency (ME) performance of the AIM-equipped MIMO handset in three environments with both realistic and phantom user scenarios reveals that the system performance gain mainly depends on the tradeoff between the tuner loss and the achieved mismatch reduction. The weak influence of the channel on AIM gain is due to the inability of AIM to adapt the antenna patterns to the channel for improved performance. • Analysis of the impact of five fundamentally different hand-grip styles on AIM performance shows that the grips provided different gains depending on the proximity of the palm or fingers to the radiating elements, which led to different mismatch and absorption loss characteristics. • Comparison of phantom hand grips with real user hand grips establishes that the phantom cases underestimated user effects and, therefore, AIM gains, highlighting the importance of involving real users in the study of achievable AIM gains. It should be noted that the approach taken in this paper is to minimize the tuner loss by limiting the tuner state coverage according to the expected impedance mismatch for the given MIMO handset antennas. As such, the AIM system could achieve a lower loss without sacrificing the coverage of optimal states. The reduced search space also facilitated the use of a relatively small number of states, which was also exploited to limit channel measurement time for exhaustive search. Consequently, this work focuses on potential RF signal improvements with AIM rather than algorithm development for finding optimal matching states; the latter being more critical for generic AIM systems targeting wide coverage and assume no prior knowledge of the antennas or user effects. This paper is organized as follows. Section II presents the impedance tuner and the MIMO terminal prototype used. The measurement setups and figures of merits are detailed in Section III. Section IV provides the results and analysis and Section V concludes this paper. II. AIM S YSTEM AND A NTENNA -T UNER P ROTOTYPE A. Impedance Tuner System The AIM tuner chip featuring programmable capacitors and digital control was designed in-house and fabricated by STMicroelectronics using their 130-nm CMOS-SOI process [16]. It is noted that even though microelectromechanical systems (MEMS) outperform CMOS in power handling and loss characteristics, the MEMS process is not as mature as CMOS. Hence, the MEMS process incurs high production cost, low volume capabilities, and reliability issues [17]. Moreover, with the SOI process used in this work we can obtain improved RF performance over standard CMOS processes, especially in power handling and linearity [16]. The circuit schematic, printed circuit board (PCB) photograph of the impedance tuner system, and capacitor bank circuit are shown in Fig. 1(a)–(c), respectively. The system was designed as a double π-network and it comprised three programmable

1624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 2. CMOS-SOI tuner: (a) measured coverage (solid region) and (b) measured loss (mismatch and resistive) with the impedance states used for the propagation measurements (+) at 845 MHz. State #257 and #261 are shown.

Fig. 1. (a) CMOS-SOI tuner circuit schematic. (b) PCB with chip and decoupling networks. (c) Capacitor bank circuit (R = 275 k; C = 190 fF; VC: control voltage and W1–W8 transistors).

capacitors (C1, C2, and C3), two off-chip inductors (L1 = L2 = 7.4 nH), decoupling capacitors, and RF chokes. The chip includes three identical 5-bit programmable capacitors with digital control [16] with a total chip area of 0.8 × 2 mm2 . The PCB area is 10 × 15 mm2 . Fig. 1(c) shows the capacitor bank circuit where R = 275 k is the gate resistor, C = 190 fF is the custom designed capacitor, VC indicates the control voltage, and W1–W8 indicates the transistors used for the digital control [16]. The tuner system was characterized in detail in [16] over the frequency range of 700–900 MHz. It offers state-of-theart performance: 1) a matching domain with a coverage region of VSWR = 6.5; 2) in-band linearity with measured output third-order intercept point (OIP3) exceeding 55 dBm for all states; and 3) power-handling capabilities for both uplink and downlink, with the measured input power of the system being 33 dBm when limiting the spurious emissions to −30 dBm. In practice, the tuner system will be placed immediately adjacent to the antenna (see Fig. 1) in order to handle severe mismatches before they reach the more sensitive components in the front-end module (FEM). Fig. 2(a) shows the measured impedance state coverage of the tuner system. The programmable capacitor design was optimized for the tuning circuit to handle the measured impedances of an inverted-F antenna (IFA) based MIMO

terminal prototype that was subjected to several representative one- and two-hand user interactions [7]–[9]. This consideration led to a greater emphasis on the capacitive states in the matching domain. Nevertheless, some variations in the convergence region are possible by changing inductors L1 and L2, shown in Fig. 1(a). Since each of the three on-chip programmable capacitors has a 5-bit resolution, the total number of available impedance states is 25 × 25 × 25 = 32 768 (i.e., the solid region in Fig. 2). A preliminary study of user effects in the MIMO handset used provided information of the expected input impedances, which served as design criteria for the impedance tuner and for selecting the tuner states to be verified. For example, the measured input impedance of the antenna in different user scenarios was used to define the region with a dense tuner state distribution. To limit the measurement time, only 22 states were used in this study with more states chosen around the expected impedances of the given antenna-channel setup. The digital control (CLK and DATA signaling) of the tuner system was provided by an Atmel ATmega32 microcontroller, whereas results post-processing was done in LabView. Detailed tuner loss measurements were performed for 39 impedance states at different VSWR and phase spreading through the coverage region of the tuner. The setup in [16] was used for the ML and resistive loss (RL) (or insertion loss) measurements. Fig. 2(b) shows the color map of the combined tuner loss (ML + RL) obtained by interpolating the measurements from the 39 states. The 22 states used for this study are superimposed on the color map data. It can be seen that the loss increases for higher VSWRs (1 dB at VSWR = 1 and 3.5 dB at VSWR = 4.9). Therefore, applying the tuner on well-matched antennas (input impedance of 50  or VSWR = 1) will result in a net power loss of 1 dB. Consequently, impedance tuners are only beneficial in cases of significant user-induced mismatch (high VSWR), where the power gain from reducing mismatch exceeds the tuner loss [18]. In [7], AIM gains of up to 4.8 dB were measured in a two-hand grip. However, this number is optimistic as it considered neither tuner losses, nor its variations with VSWR. From Fig. 2(b), a 2.5-dB increase in tuner losses can be observed for an increase in VSWR from 1 to 4.9. Hence, even

VASILEV et al.: EXPERIMENTAL INVESTIGATION OF AIM FOR MIMO TERMINAL WITH CMOS-SOI TUNERS

Fig. 3.

1625

Prototype B—prototype with integrated tuners (top view).

Fig. 5. User scenario setups: (a) firm grip (FI), (b) freestyle grip (FR), (c) two hands with IndexSAR phantoms (TH-P), (d) one hand (OH), and (e) one hand with IndexSAR phantom (OH-P).

Fig. 4.

Prototype B—prototype with integrated tuners (bottom view).

though the AIM gains are high at high VSWR, the tuner losses also increase. Therefore, the optimal matching state for a given setup is a tradeoff between reducing mismatch and maintaining low tuner losses. In this context, one of the key contributions of this work is to experimentally establish the true potential of AIM under realistic operating environments, taking into account tuner losses. B. MIMO Antenna-Tuner Prototype Two MIMO terminal antenna prototypes were used in this study: Prototype A (i.e., Prototype A in [7]) and Prototype B. Prototype B, as shown in Figs. 3 and 4, is identical in design to Prototype A, except for the external PCBs added to accommodate the integrated impedance tuner system (see Fig. 3) and a battery board (see Fig. 4) used for powering the chips during operation. Therefore, Prototype A (with no tuners) served as a reference prototype. Both prototypes consist of two identical IFAs placed in mirror symmetry along the two shorter edges of the ground plane. The IFAs cover LTE Band 18 (815–875 MHz) and LTE Band 9 (1.75–1.88 GHz). The total volume of each prototype is 130 × 66 × 9 mm3 . In this work, only LTE Band 18 was considered since the lower band is more challenging for multi-antenna implementation due to space constraint [2]. Moreover, at lower bands (below 1 GHz) both the antenna elements and the terminal chassis tend to radiate [2], which makes it more likely for the user to disturb the radiation. Therefore, user effects were found to be more severe at lower bands [18]. As described in [7], Prototype A offers measured total efficiencies and envelope correlation in free space (FS) of −3.9 dB/ − 3.4 dB (port 1/port 2) and 0.4, respectively,

at 860 MHz. However, based on channel measurements performed during this study in a shielded room (SR) (with rich multipath), Prototype B that was equipped with the integrated tuners and the battery board was found to provide a substantially lower envelope correlation (of 0.2) than that of Prototype A (0.5) at the 50- state. This correlation difference was due to structural changes in the terminal chassis (i.e., addition of external PCBs, components, and wiring) contributing to decorrelation between the antenna ports [19], [20]. In addition, due to tuner losses, the total antenna efficiencies and mutual coupling of Prototype B at the 50- state is expected to be 1 and 2 dB lower than Prototype A, respectively. Fig. 4 shows the battery board that provided regulated dc power to the tuner system to avoid using a power cable that could disturb the measurement. It contained three voltage regulators, seven potentiometers, and two battery holders fitting four 3V CR2025 batteries. The five pins (i.e., exposed wire tips) at the lower end of the board were used to connect the CLK and DATA signals for each of the tuners, as well as a common GND signal to the Atmel microcontroller. III. M EASUREMENT S ETUP AND E VALUATION M ETHOD A. User Scenarios In this study, apart from the FS (no user) reference, five user scenarios were considered for Prototype B: two one-hand grips (OH and OH-P in Fig. 5) and three two-hand grips (FI, FR and TH-P in Fig. 5). Both real user test subjects and IndexSAR hand phantoms were used. The focus of this study was on the firm (FI) and freestyle (FR) two-hand grips (in landscape orientation), each involving ten test subjects to obtain statistically relevant data. The number of test subjects was limited to ten due to the relatively long measurement time. The ten test subjects were between 26–39 years old and of average build, with three being females. The measurement time for the 2 × 2 MIMO channel transfer function of each setup (of user, handgrip, and environment) took about 30 min. The bottleneck in the measurement time was the ten-snapshot

1626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 6. Measurement setup: (a) SR environment and (b) basement corridor environment (LOS).

Fig. 7. Floor plan of: (a) SR environment and (b) basement corridor environment (LOS or NLOS, based on orientation of test subject).

averaging used by the four-port Agilent E5071C vector network analyzer (VNA) for each of the 22 tuner states, with the intermediate frequency bandwidth (IFBW) of the VNA set to 2 kHz. For the FI grip [see Fig. 5(a)], the ten users were asked to cover as much of the terminal edges as possible with their two hands without touching the ground plane or affecting the screen area. On the other hand, for the FR grip [see Fig. 5(b)], the users were asked to hold the terminal with both hands in a natural way. The two-hand grip with hand phantoms (TH-Ps) of Fig. 5(c) was reproduced from [9]. The one-hand scenarios with the phantom hand (OH-P) and real user hand (OH) shown in Fig. 5(d) and (e) were designed as two distinct cases where one of the two antennas was more affected by user interaction. B. Measured Environments Three different propagation environments were measured: SR, line-of-sight (LOS), and non-line-of-sight (NLOS). The first environment SR was an SR used for verification of RF devices [see Figs. 6(a) and 7(a)]. The SR was a rich multipath environment, due to the reflective walls and abundance of scattering objects. The transmit array (Tx) was kept fixed at the same position while the receive array (Rx) (i.e., Prototype A without user hands and Prototype B with user hands in a sitting posture) was measured over a rectangular grid of nine positions at one wavelength (λ) spacing (at 800 MHz). Together with frequency realizations, the multiple measurements over the grid were used to average out small-scale fading. The transmit antenna array [see Fig. 6(a)] consisted of two wideband monopole antennas of one wavelength spacing at 800 MHz that are identical in design and fabrication to the ones used in [9]. The ground planes were positioned vertically on a tripod at a height

of 1.8 m from the floor level. For the cases with user, the test subject (or IndexSAR phantom hands) was oriented to face the transmit array. The reference free-space cases were measured with Prototype A held up by the rigid feed cables, at about the same height and orientation as the corresponding user cases, with the top side facing the transmit array. To isolate the nearfield influence of the hand(s) from the shadowing effect of the torso for the FR, FI, and OH cases, these three reference cases were performed with a test subject in approximately the same sitting posture as the cases with user hand(s), but with hands(s) and arm(s) kept far away from the prototype. All five handgrips described in Section III-A were tested in this environment: two with phantoms (OH-P, TH-P), one (OH) with a single real user, and two (FR, FI) with ten test subjects. The second physical environment was a corridor in the basement of the North Wing of the E-building at Lund University [see Figs. 6(b) and 7(b)]. As for the SR, the channels were measured for a fixed Tx position and nine Rx positions (a rectangular grid with 1λ spacing). For the Rx (terminal prototype with tuners), the same ten test subjects were measured, but for the FR handgrip only. Depending on the orientations of the test subjects (facing towards or away from the transmit array), the terminal prototype was subjected to either LOS or NLOS conditions relative to the Tx. Since different fading mechanisms could be expected in LOS and NLOS propagation, the two cases are treated separately as LOS and NLOS environments. Overall, the channels were measured for 3 different propagation environments, 9 Rx positions, 10 test users (of selected grips), and 22 tuner states. The measurement bandwidth was 60 MHz (815–875-MHz long-term evolution (LTE) band 18). However, the data analysis was performed for a 10-MHz bandwidth (840–850 MHz), corresponding to a typical single LTE channel. For the two-hand grips, the same state was used for both tuners, whereas for the one-hand grips, the tuner of the antenna less affected by the hand was set to the 50- state. Even though the reduction in the number of states used saved measurement time, it also reduced the degrees-of-freedom of the AIM to some extent. All the measured channel data were normalized to their corresponding FS references (i.e., Prototype A with no user in the same environment), in order to analyze the combined (or net) effect of introducing the tuners and user on the terminal performance. In particular, the normalization for the phantom user scenarios (TH-P and OH-P) was performed with respect to the FS reference with only the Prototype A, whereas the normalization for the real user scenarios (FR, FI, and OH) was performed relative to the reference case that included a test subject, but without the hand(s) in proximity of Prototype A. It should be noted that the use of Prototype A in the reference cases implies that the effects of the tuners remained in the normalized data. A detailed discussion on the normalization procedures for measured MIMO channels is provided in [21]. C. Figures of Merit The system performance of the MIMO prototype with tuners was evaluated in terms of channel capacity, which is a function of correlation, absolute channel gain, and channel

VASILEV et al.: EXPERIMENTAL INVESTIGATION OF AIM FOR MIMO TERMINAL WITH CMOS-SOI TUNERS

gain imbalance [22]. To gain insights into the effects of antenna and channel properties on system performance, the capacity-based ME metric [23] was used to allow direct comparisons of the contributions from correlation and channel gains in dB. For 2 × 2 MIMO, the capacity with no channel state information (CSI) at the transmitter is [22]   ρtx (1) HH H C = log2 det I2 + 2 where I2 is the 2 × 2 identity matrix, ρtx is the signal-tonoise ratio (SNR) at the transmitter, H is the measured MIMO channel matrix and (•) H denotes the conjugate transpose operator. The channel gain per branch measures the average power in the 2 × 2 MIMO channel over N realizations and it is given by N ||H(n) ||2F (2) G = n=l 4N where || • || F is the Frobenius norm operator and the index (n) denotes the nth realization of H. For each free-space reference case (with Prototype A), the channel H was normalized such that the channel gain was G = 1 or 0 dB [22]. The same normalization factor was then applied to the channels of cases with user (with Prototype B). As described in [23], the original ME metric for antenna evaluation [24] was reformulated to include the effect of the propagation channel by replacing the total antenna efficiency with the total channel gain. For the measured channels with the free-space case as the reference, ME was redefined as the equivalent penalty in power (dB) to obtain a given capacity in a given channel, when the tuners and user hand(s) were introduced to the prototype in FS. Any improvement in the absolute ME due to AIM with tuners then measures the power saving due to changes in channel gain and correlation. Therefore, the ME gain from applying the optimal AIM state for capacity (relative to the 50- state) can be written as ηmax = G + ηc (dB)

(3)

where G = G opt − G 50  (dB) and ηc = ηc,opt − ηc,50  (dB) are, respectively, the change in the channel gain and correlation parts in ME due to AIM. Moreover, ηc,50  = (1 − |r50  |2 )1/2 and ηc,opt = (1 − |ropt |2 )1/2 , where complex correlation coefficients r50  and ropt can be estimated from the receive correlation matrices from the corresponding measured MIMO channels at the 50 (H50  ) and optimal (Hopt ) states using [23] H Rrx,50 = E(H50 H50 )    G 1,50 G 1,50 G 2,50r50 =  ∗ G 1,50 G 2,50r50 G 2,50

and H Rrx,opt = E(Hopt Hopt )

= ⎣

G 1,opt ∗ G 1,opt G 2,optropt



G 1,opt G 2,optropt G 2,opt

⎤ ⎦

where G 1,50  and G 2,50  are the estimated channel gains at antenna ports 1 and 2, respectively, for the 50- state and G 50  = (G 1,50  + G 2,50  )/2. Similarly, G 1,opt and G 2,opt are the channel gains at ports 1 and 2, respectively, for the optimal state and G opt = (G 1,opt + G 2,opt )/2. (•)∗ denotes the complex conjugate operator and E(•) is the expectation operator. Furthermore, to quantify the impact of user on impedance matching, coupling, and radiation efficiency, the channels were also measured without the user hand(s) for Prototype B at the 50- state in the SR environment. The change in the channel gains at ports i (G i ) due to the presence of the user hands (still at the 50- state) is then due to the combined effect from user-induced changes in matching, coupling, and radiation efficiency (with no tuner losses involved). Using the measured S-parameters, the matching and coupling efficiencies were η1,mc = 1 − |S11 |2 − |S21 |2 and η2,mc = 1 − |S22 |2 − |S12 |2 for ports 1 and 2, respectively. Therefore, the radiation efficiency could be estimated as ηi,rad = G i − ηi,mc (dB) for port i . In this study, the absorption loss by the hand(s) was estimated by the change in the radiation efficiency since the radiation efficiency change in the lossy metal and dielectrics of the terminal prototype due to the hands was expected to be small. IV. R ESULTS AND A NALYSIS This section focuses on the main results and analysis from the experimental verification. The following steps have been taken in finding the optimal capacity and ME performance: 1) perform a preliminary study on the expected input impedance of the MIMO terminal antennas in various user scenarios; 2) custom-design the impedance tuner coverage region and loss characteristics (adjust inductors L1 and L2 on Fig. 1) to best suit the coverage required for the expected input impedances; 3) choose tuner states (with denser states around the expected impedances) for experimental verification and implement the custom-designed AIM system on the handset; 4) perform real-world propagation measurements in all chosen states and user scenarios and post-process results to evaluated ME and MIMO capacity in all cases; and 5) find optimal states based on the system level metrics and evaluate net gain (losses considered). Analysis of the channel measurements also confirmed that having denser states around the conjugate of measured input impedance for relevant user scenarios successfully captured the optimal states for capacity and ME. A. AIM Potential in Two-Hand Real User Scenarios

(4)



1627

(5)

This section analyzes the potential of AIM to compensate for user-induced degradation in two-hand real user scenarios. 1) Channel Gain: Fig. 8 presents the normalized channel gain per branch in all environments for all users both before (50- state) and after AIM (optimal state), except for User 10 in the LOS-FR scenario due to corrupted measurement data. The channel gain was averaged over 10 MHz (840–850 MHz) and it reflects both user-induced degradation and tuner losses. Given the tuner losses of 1 dB at the 50- state, user interaction alone resulted in severe degradations in channel gains of at least 8.7 dB over all cases.

1628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 9. Average MIMO capacity: (a) FS in SR and (b) User 3 in SR-FI; black (+)—states measured; green (+)—optimal state.

Fig. 8. MIMO channel gain of ten users in four channel–user configurations with 50- and optimal (OPT) states.

TABLE II AVERAGE MIMO C APACITY AT 50  V ERSUS O PT S TATE AND % C APACITY G AIN

Measurements of the hand size of the users indicate significant differences in length (from 168 to 210 mm), width (from 73 to 127 mm), and volume (from 220 to 500 mL). Further analysis reveals that there is a clear trend between the hand volume and the channel gain, i.e., the larger the hand volume, the smaller the channel gain (i.e., the larger the userinduced loss). For example, the user with the largest hand volume (User 4) has the lowest channel gain. A similar trend was observed between the hand width and the channel gain (i.e., a larger width gives a lower channel gain). Nevertheless, the trend is less obvious between the hand length and channel gain. This can be explained by the physical coverage of antenna elements at the shorter edges by the two-hand grip being influenced mostly by the hand width and volume rather than the hand length that mainly determines the extension of the bent fingers. These results confirm that the hand size has a significant influence on user-induced losses and, therefore, the expected channel gain. Nevertheless, the AIM system that optimized the capacity was effective in mitigating the degradation in all cases with the largest gain improvement of 4 dB achieved for User 1 in the LOS-FR case (see Fig. 8). It is noted that the gain improvements account for the increased tuner losses at the optimal states of the respective cases relative to the 50- state. 2) Capacity: To consider the benefit of AIM on the link or system level, the average capacity with no CSI is presented in Table II for the reference SNR of 20 dB. Here, the capacity was averaged over the evaluation bandwidth of 10 MHz, as well as over the users tested in each channel–user case. The achieved capacity gains when averaged over users range from 31% in the SR-FI scenario to 42% in the LOS-FR scenario.

These results are consistent with those from earlier studies using ideal tuners simulated in post-processing [7], [8], where capacity gains from 43% to 50% were found for a two-hand grip in both simulated and measured environments. In Fig. 9, the variations in capacity over the 22 measured states are illustrated for a free-space measurement and a representative channel–user case (User 3 in the SR-FI case). As can be observed in Fig. 9(a), the optimal state for the FS case is close to 50 . This is because the terminal prototype was well matched in free space. On the other hand, the firm (FI) grip severely mismatched the antenna such that the optimal state in Fig. 9(b) was at VSWR = 2.6. Using identical states on both tuners, an overall capacity gain of 1.3 bits/s/Hz (from 4.7 to 6.0 bits/s/Hz) was obtained for this case. It was described in Section II that tuner losses strongly affect the location of optimal tuner states as well as the achievable AIM gains. In order to better explore the effect of tuner losses, the optimal state for User 3 in the SR-FI setup was calculated for the lossless case by de-embedding the tuner losses from the measurement. It was found that, in the lossless case, the optimal state for capacity was further away from the center of the Smith chart, towards the conjugate of the antenna input impedance at VSWR = 4.2. This is because, in general, the tuner losses increase with VSWR [see Fig. 2(b)], hence requiring a tradeoff between minimizing both mismatch and tuner loss to achieve the optimal capacity. 3) ME: Expressing AIM gain in terms of ME allows tuner losses to be subtracted directly (in dB) to obtain the net equivalent power gain from AIM. In particular, the ME gains (optimal tuner state versus 50- state) of all channel–user cases were calculated from the normalized measured channels and plotted in Fig. 10. Since these ME gains were obtained relative to the 50- state, the difference in tuner losses between the optimal and the 50- states were implicitly accounted for. Therefore, the net ME gain can be estimated by subtracting the 1-dB tuner losses at the 50- state. As can be seen in Fig. 10, the ME gains range from 1.8 to 4 dB, which implies net ME gains from 0.8 to 3 dB, confirming that significant net performance gains were achieved, even for the realistic tuners considered in this study. The results in Fig. 10 suggest that the variations in ME gains among users in any given channel are fairly small (mostly within about 1 dB), except for User 4 in the

VASILEV et al.: EXPERIMENTAL INVESTIGATION OF AIM FOR MIMO TERMINAL WITH CMOS-SOI TUNERS

1629

TABLE IV C HANNEL G AINS , C ORRELATION G AIN , AND ME G AIN

for capacity, AIM had greater flexibility to reduce impedance mismatch for User 5 than for User 4 without increasing tuner losses. Fig. 10.

ME gain of ten users in four channel–user setups.

B. User Hand Grip Effects on AIM Performance TABLE III C HANGE IN R ADIATION E FFICIENCY, M ISMATCH /C OUPLING E FFICIENCY (U SER V ERSUS FS), C HANNEL G AIN , C ORRELATION G AIN (O PTIMAL V ERSUS 50 ) FOR U SERS 4 AND 5 IN NLOS-FR AND U SER 10 IN SR-FI

NLOS-FR case. To explain the outlier, a comparative analysis was performed for User 4 and a representative user (User 5) in NLOS-FR. The results are given in Table III in terms of user-induced changes (relative to FS) in radiation efficiency ηrad (i.e., absorption loss) and matching/coupling efficiency ηmc , averaged over the two antenna ports and a 10-MHz bandwidth. To obtain the actual values of ηrad and ηmc at the antenna ports, the tuner loss was de-embedded from the measured results. It can be seen in Table III that ηmc is similar between the two users (−6.3 versus −6.4 dB), where User 4 has nearly 3-dB higher absorption loss (−ηrad ) than User 5. The difference in absorption loss can be attributed to the palm and finger sizes of Users 4 and 5, with User 4 having significantly larger palms and thicker fingers. Nevertheless, these behaviors in ηmc and ηrad do not account for the significantly smaller ME gain in User 4. Table III also shows the contributions of channel gain and correlation to the ME gain (i.e., G and ηc ), indicating that the AIM provided the ME gains mainly by improving the channel gains. However, despite the similarity in ηmc , G for User 4 was 1.4 dB lower than that for User 5. Further investigation revealed that despite having similar ηmc , the optimal states for capacity were different for the two users, i.e., State #257 for User 4 and State #261 for User 5 in Fig. 2(b). As can be seen in Fig. 2(b), both states are in the “yellow region” with similar tuner losses, but with different VSWRs (higher VSWR for User 5 than User 4). This means that when optimizing

To investigate the effect of user model (real user versus phantom), as well as handgrip (FR, FI, OH-P, TH-P, and OH) on AIM performance, Table IV presents the channel gains at the 50- and optimal states, the channel gain difference G and the correlation gain ηc for the five handgrips tested in this study, averaged over the users in each case. All five grips were measured in the SR environment. Apart from nonuniform tuner loss distribution with VSWR, low ME gains were also observed to be the result of low impedance mismatch. This can be illustrated using User 10 in the SR-FI setup. As can be seen in Table III, in this case, the matching/coupling efficiency was degraded by only 2.8 dB when the hands were introduced. Therefore, the ME gain was low (1.8 dB) even though AIM could compensate for most the efficiency loss (i.e., G = 2 dB). Again, due to the low correlation of Prototype B, the impact of correlation on the ME gain was negligible. To examine the possible impact of the limited number of chosen states on the ME gains across users, it was confirmed that the optimal state for capacity in all the channel–user setups was captured inside the boundary of the 22 states. Moreover, the ME variation between the optimal state and the second best state for capacity was small (typically around 0.2 dB), indicating that the finite number of states has little impact on the differences in the results. It was shown in [7], [9], and [25] that hand/finger location with respect to the antenna critically affects impedance matching and, hence, potential benefits from AIM. In this work, we confirmed these findings with both phantoms (OH-P and TH-P) and real users (FR, FI and OH). From the results in Table IV, it can be seen that the one-hand grips do not degrade antenna performance as severely as the two-hand grips. This is because only one antenna is covered by the one-hand grips, as compared to both antennas being covered in the two-hand grips (e.g., G 50  = −6.4 dB for OH versus −12.7 dB for FR). This also resulted in lower ME gain from AIM (up to 0.4 dB for one-hand grips versus up to 2.9 dB for two-hand grips). Fig. 11 shows the absorption loss (−ηrad ) and change in matching/coupling efficiency (ηmc ) for all ten users in the FR and FI grips, relative to free-space (no AIM) and averaged over both ports. Overall, 9 out of 10 user cases have lower (ηmc ) in the FR case as compared to FI,

1630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 11. User-induced absorption loss (−ηrad ) and change in matching and coupling efficiency (ηmc ) for FR and FI in SR.

indicating higher losses in the FR handgrip. When averaged over all ten users, (ηmc ) is 5.3 and 4.3 dB for FR and FI, respectively. This result is counter-intuitive since the FI grip as shown in Fig. 5(a) offered a full coverage of the shorter edges of the terminal (i.e., the antenna locations), which should mismatch the antennas more severely. However, a closer examination revealed that in the FR grip shown in Fig. 5(b), the fingers formed a tighter grip around the antenna elements responsible for the low band resonance, which led to a 1-dB higher matching/coupling loss on average. This contributed to the 0.5-dB difference in G between the FR (2.9 dB) and FI grip (2.4 dB) grips in Table IV. Given low coupling in Prototype B, this result (based on real users and real tuners) confirms the earlier observation in [7] that AIM can, in general, offer higher performance improvements in cases of higher mismatch. In Fig. 11, significant variations in absorption loss can be observed across the ten users for both grip styles. In the FR case, the variations are up to 2.6 dB (8.6 dB for User 1 versus 6 dB for User 3), whereas in the FI grip, larger variations of up to 6.2 dB are measured (11.5 dB for User 4 versus 5.3 dB for User 5). This is due to the setup of both grip styles. The FI grip is more individual, as it depends heavily on the size of the hands that covers the terminal antennas, with larger hands causing higher absorption losses. However, in the FR grip, the users were observed to cover a smaller part of the terminal antennas, resulting in a smaller dependence of absorption loss on the hand size. However, the closer proximity of the hand to the antennas in FR than FI resulted in comparable average absorption loss over all users. These results confirm the earlier work in [26], where large variations in absorption loss of up to 9 dB among users were found. Furthermore, it was found in [15] that real users could lead to significantly different MLs as compared to phantoms. This observation is consistent to the results in this study, where the average MLs for FR and FI were 5.3 and 4.3 dB, respectively, whereas for TH-P the loss was 2.6 dB. Since the extent of mismatch largely determines the AIM gain potential, the ML difference between real users and phantoms results in lower AIM gains for the phantom cases (1.9 dB versus 2.4 dB for TH-P versus FI). This suggests that existing phantoms tend to underestimate the true potential of AIM. The primary reason for the lower impact of the phantom hands is the lack of flexibility (in gripping the prototype)

Fig. 12. ES, as well as mean and standard deviation of ME gain and capacity for FR in three measured environments.

and the limited availability of phantom hand size for different terminal form factors, leading to less realistic and more relaxed grip styles. Moreover, the phantom hands were homogenous in composition, whereas the human hands were heterogeneous and vary among test subjects [25]. C. Propagation Effects on AIM Performance Apart from being distinct realistic operating scenarios, the three propagation environments (SR, LOS, and NLOS) were also chosen to provide channels with different propagation characteristics with respect to angular spread. In particular, SR is a rich multipath environment (i.e., uniform three-dimensional (3-D) angular distribution), LOS being an environment with limited angular spread, and NLOS having an angular spread in between SR and LOS. To verify that this aim is achieved, Fig. 12 shows the eigenvalue spreads of the three channels in terms of ellipticity statistic (ES) [27]. A higher angular spread leads to a lower eigenvalue spread and a higher ES value. The SR case is confirmed to be close to the ideal rich multipath case of independent and identically distributed (IID) Rayleigh channels, whereas ES is lowest for LOS. To analyze the effects of different angular spreads in SR, LOS, and NLOS on AIM performance, Fig. 12 also presents the average and standard deviation of the ME and capacity gains over ten users in these environments. The average ME gains are from 3.5 dB to 3.2 and 2.9 dB in LOS-FR, NLOS-FR, and SR-FR, respectively, indicating only minor gain variations (up to 0.6 dB) that are within experimental errors, including the use of finite tuner states and the limited ability of each user to reproduce the exact same FR grip in all three environments. Similarly, the small average capacity gain variation between 35% (SR-FR) and 42% (LOS-FR) confirms at best weak dependence of the capacity gain on the propagation channel. Furthermore, it was found that the ME gains were primarily attributed to change in the channel gain G with the change in correlation gain ηc being less than 0.1 dB in all cases. Nevertheless, this finding does not contradict with earlier observations that different propagation environments can lead to different capacity gains from AIM [7], [9]. This is because in the SR environment, Prototype B (0.2) offered significantly lower envelope correlation than Prototype A (0.5), based on which the conclusions in [7] and [9] were drawn. In particular, two primary mechanisms were found to explain the different capacity gains. Firstly, for a propagation channel with a narrow

VASILEV et al.: EXPERIMENTAL INVESTIGATION OF AIM FOR MIMO TERMINAL WITH CMOS-SOI TUNERS

angular spread at the receiver, only a limited angular region of the receive antenna patterns will be illuminated. Therefore, the amount of received power depends on the local behavior of the antenna patterns. In cases where AIM offers improved efficiency from mismatch compensation (i.e., no change in the shapes of the antenna patterns, as in Prototype B), only a power gain (i.e., G) is achieved with AIM. However, this power gain occurs at different received powers (SNRs) when different regions of the patterns are illuminated. In this scenario, capacity gain can differ due to capacity being a logarithmic function of SNR [7], [9]. However, the measured channels in this study were normalized to the FS references, which lead to similar average channel gains (see Fig. 8) and, hence, similar effective SNRs for capacity evaluation under different environments. This accounts for the minor variations seen in the capacity and ME gains. On the other hand, optimizing AIM for capacity can also lead to different antenna pattern shapes and, hence, correlations, relative to the 50- state [9]. In this case, the achieved capacity gain with AIM is the result of changes in both the received power and correlation. However, for the simple uncoupled matching networks [3], [4] used in this study, changes in correlation can only occur when the MIMO antennas show high coupling and pattern correlations. Since Prototype B offers low correlations, this mechanism does not apply here. V. C ONCLUSIONS In this work, a practical AIM system with two custom-designed CMOS-SOI impedance tuners was implemented on a MIMO terminal prototype with a standalone battery unit and experimentally verified by a first of its kind AIM system measurement in realistic propagation conditions. The applicability of AIM to improve MIMO performance in severe user interaction scenarios was probed in an SR and an office corridor (LOS and NLOS), involving ten test subjects. The measured results revealed ME gains of up to 4 dB over all channel–user setups. Moreover, the average ME gain for the LOS environment was 3.5 dB (or 2.5 dB including tuner losses), which established the promising potential of AIM to improve system performance in realistic propagation conditions. The large ME gain was mainly obtained from improved channel gains, as the low pattern correlation in the prototype with the tuners largely limited the ability of the uncoupled tuner system to adapt the antenna patterns for a tradeoff between correlation and received power for optimal capacity. This also resulted in only minor variations in the capacity and ME gains for environments with different AS. Notwithstanding, it was found that apart from the extent of user-induced impedance mismatch, the distribution of the tuner losses also contributed to the difference in ME gains for different users. Furthermore, the optimal state for capacity in each case involved a tradeoff between mismatch compensation and tuner losses. In addition, phantom handgrips were compared to real user handgrips. It was established that due to their size, flexibility, and composition, phantom hands led

1631

to significantly lower impedance mismatch than real hands. Therefore, the phantom hands provided only a conservative estimate of the performance gain with AIM. It is noted that the channel measurement system with Prototype B has been developed as a technology demonstrator, allowing real-time user effect compensation by the tuners to be observed using LabView and a VNA. Possible future work includes further reduction in the losses of the CMOS-SOI tuners by using lower-loss PCBs and flip-chip mounting to mitigate parasitic inductances. Moreover, instead of an exhaustive sweep of selected tuner states, an AIM algorithm can be developed for the convergence to the optimal state. Finally, the use of coupled matching networks [28] would facilitate even higher AIM gains, due to a greater flexibility to modify the antenna patterns for optimum capacity. ACKNOWLEDGMENT The authors thank Z. Miers, Lund University, for his support with the digital control of the impedance tuners. The authors are grateful to all the test subjects who participated in the measurement campaign. The authors also thank STMicroelectronics for sponsoring the tuner chip fabrication. R EFERENCES [1] “CTIA test plan for wireless device over-the-air performance,” CTIA Wireless Assoc., Washington, DC, USA, Revision 3.2.3, Jul. 2014. [2] B. K. Lau, “Multiple antenna terminals,” in MIMO: From Theory to Implementation, C. Oestges, A. Sibille, and A. Zanella Eds. San Diego, CA, USA: Academic, 2011, pp. 267–298. [3] J. B. Andersen and B. K. Lau, “On closely coupled dipoles in a random field,” IEEE Antennas Wireless Propag. Lett., vol. 5, pp. 73–75, 2006. [4] B. K. Lau and J. B. Andersen, “On closely coupled dipoles with load matching in a random field,” in Proc. IEEE Int. Personal, Indoor, Mobile Radio Commun. Symp., Helsinki, Finland, Sep. 11–14, 2006, pp. 1–5. [5] Y. Fei et al., “Optimal single-port matching impedance for capacity maximization in compact MIMO arrays,” IEEE Trans. Antennas Propag., vol. 56, no. 11, pp. 3566–3575, Nov. 2008. [6] I. Vasilev, E. Foroozanfard, and B. K. Lau, “Adaptive impedance matching performance of MIMO terminals with different bandwidth and isolation properties in realistic user scenarios,” in Proc. 7th Eur. Antennas Propag. Conf., Götenborg, Sweden, Apr. 8–12, 2013, pp. 2590–2594. [7] I. Vasilev, V. Plicanic, and B. K. Lau, “Impact of antenna design on MIMO performance for compact terminals with adaptive impedance matching,” IEEE Trans. Antennas Propag., doi: 10.1109/TAP.2016.2521885, to be published. [8] V. Plicanic, I. Vasilev, R. Tian, and B. K. Lau, “Capacity maximisation of handheld MIMO terminal with adaptive matching in indoor environment,” IET Electron. Lett., vol. 47, no. 16, pp. 900–901, 2011. [9] I. Vasilev, V. Plicanic, R. Tian, and B. K. Lau, “Measured adaptive matching performance of a MIMO terminal with user effects,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 1720–1723, 2013. [10] P. Sjöblom and H. Sjöland, “An adaptive impedance tuning CMOS circuit for ISM 2.4-GHz band,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 6, pp. 1115–1124, Jun. 2005. [11] A. S. Morris III, Q. Gu, M. Ozkar, and S. P. Natarajan, “High performance tuners for handsets,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, USA, Jun. 5–10, 2011. [12] F. Sonnerat et al., “30 dBm P1 dB and 4 dB insertion losses optimized 4G antenna tuner fully integrated in a 130 nm CMOS SOI technology,” in Proc. Radio Wireless Symp., Austin, TX, USA, Jan. 20–23, 2013, pp. 39–41. [13] S. Hu et al., “Antenna impedance variation compensation by exploiting a digital doherty power amplifier architecture,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 580–597, Feb. 2015.

1632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[14] S. M. Ali et al., “Dynamic measurement of complex impedance in real-time for smart handset applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3453–3460, Sep. 2013. [15] K. R. Boyle et al., “Gain statistics for mobile phone antenna tuners,” in Proc. Eur. Antennas Propag. Conf., Götebörg, Sweden, Apr. 8–12, 2013, pp. 424–428. [16] J. Lindstrand, I. Vasilev, and H. Sjöland, “A low band cellular terminal antenna impedance tuner in 130 nm CMOS-SOI technology,” in Proc. Eur. Solid-State Circuits Conf., Venice, Italy, Sep. 22–26, 2014, pp. 459–462. [17] G. M. Rebeiz and J. B. Muldavin, “RF MEMS switches and switch circuits,” IEEE Microw. Mag., vol. 2, no. 4, pp. 59–71, 2001. [18] K. Boyle and M. Leitner, “Mobile phone antenna impedance variations with real users and phantoms,” in Proc. Int. Antenna Technol. Workshop, Hong Kong, Mar. 7–9, 2011, pp. 420–423. [19] H. Li, Z. Miers, and B. K. Lau, “Design of orthogonal MIMO handset antennas based on characteristic mode manipulation at frequency bands below 1 GHz,” IEEE Trans. Antennas Propag., vol. 62, no. 5, pp. 2756–2766, May 2014. [20] Z. Miers, H. Li, and B. K. Lau, “Design of bandwidth enhanced and multiband MIMO antennas using characteristic modes,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 1696–1699, 2013. [21] R. Tian, V. Plicanic, B. K. Lau, and Z. Ying, “A compact six-port dielectric resonator antenna array: MIMO channel measurements and performance analysis,” IEEE Trans. Antennas Propag., vol. 58, no. 4, pp. 1369–1379, Apr. 2010. [22] A. Paulraj, R. Nabar, and D. Gore, Introduction to Space-Time Wireless Communications. New York, NY, USA: Cambridge Univ. Press, 2003. [23] R. Tian et al., “Multiplexing efficiency of MIMO antennas in arbitrary propagation scenarios,” in Proc. Eur. Antennas Propag. Conf., Prague, Czech Republic, Mar. 26–30, 2012, pp. 373–377. [24] R. Tian et al., “Multiplexing efficiency of MIMO antennas,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 183–186, 2011. [25] M. Pelosi et al., “A grip study for talk and data modes in mobile phones,” IEEE Trans. Antennas Propag., vol. 57, no. 4, pp. 856–865, Apr. 2009. [26] G. F. Pedersen, K. Olesen, and S. L. Larsen, “Bodyloss for handheld phones,” in Proc. Veh. Technol. Conf., Houston, TX, USA, Jul. 1999, vol. 2, pp. 1580–1584. [27] J. Salo, P. Suvikunnas, H. M. El-Sallabi, and P. Vainikainen, “Ellipticity statistic as a measure of MIMO multipath richness,” Electron. Lett., vol. 42, no. 3, pp. 45–46, Feb. 2006. [28] J. Weber et al., “Miniaturized antenna arrays using decoupling networks with realistic elements,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2733–2740, Jun. 2006.

Ivaylo Vasilev (S’09) received the M.S. degree in electrical engineering from Jacobs University, Bremen, Germany, in 2010, and the Ph.D. degree in electrical engineering from Lund University, Lund, Sweden, in 2015. From 2009 to 2010, he was an Embedded System Engineer with microFAB GmbH, Bremen, Germany, where he was involved with the development of a microelectromechanical systems (MEMS) pressure system targeting automotive applications. From 2010 to 2015, he was with the Department of Electrical and Information Technology, Lund University, where he was involved with the theoretical study and practical implementation of adaptive impedance matching for the realization of user robust multiple-input multipleoutput (MIMO) terminal antennas. He is currently a System Engineer with the Visteon Corporation, Sofia, Bulgaria. His research interests comprise RF and embedded systems and their implementation to novel scenarios. Dr. Vasilev was an active contributor to the European research initiative COST IC 1004.

Jonas Lindstrand (GSM’10) was born in Trelleborg, Sweden, on March 24, 1982. He received the M.Sc. degree in electrical engineering from Lund University, Lund, Sweden, in 2010, and the Ph.D. degree in circuit design at Lund University. His M.Sc. degree concerned the subject of mixed-class RF power amplifiers for envelope tracking systems in CMOS technology. His M.Sc. thesis was funded by Ericsson Research, Lund, Sweden, and was carried out at Bram Nauta’s Integrated Circuit Design (ICD) Group, University of Twente, Twente, The Netherlands. He is currently with the Circuit Design at the Department of Electrical and Information Technology (EIT), Lund University. His main research area is radio frequency integrated circuits (RFICs) with a focus on radio transmitters and antenna interfaces.

Vanja Plicanic (M’07) received the M.S. and Ph.D. degrees in electrical engineering from Lund University, Lund, Sweden, in 2004 and 2011, respectively. From 2004 to 2005, she was a Young Graduate Trainee with the Antenna and Submillimetre Wave Group, European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands. In 2005, she joined Sony Ericsson Mobile Communications (now Sony Mobile Communications), as an RF Engineer. From 2007 to 2014, she was a Researcher with the Communications and Networking Group, Sony Ericsson Mobile Communications, where she focused on smart antenna systems and their implementation in compact mobile devices. She is currently a Senior Researcher with the Network Technology Laboratory, Research and Incubation, Sony Mobile Communications. She has 20 filed patents within the area of network technologies. She shares her time between research on machinetype communication and incubation lead for new businesses within the area of the Internet of Things (IoT). Dr. Plicanic has participated in the European research initiative COST 2100. She has been a Member of the Technical Program Committee for a number of conferences, the most recent being the 81st IEEE Vehicular Technology Conference VTC2015–Spring, Glasgow, U.K.

Henrik Sjöland (M’98–SM’10) received the M.Sc. degree in electrical engineering and Ph.D. degree from Lund University, Lund, Sweden, in 1994 and 1997, respectively. In 1999, he was a Postdocoral Researcher with the University of California at Los Angeles (UCLA). Since 2000, he has been an Associate Professor with Lund University and, since 2008, and a Full Professor. Since 2002, he also works on a part-time basis with Ericsson Research, Lund, Sweden, where he is currently a Research Fellow. He heads the Radio Frequency Integrated Circuit Design Research Group, Lund University. He has authored or coauthored more than 150 international peer-reviewed journal and conference papers. He holds patents on more than 10 different inventions. He has successfully been the main supervisor of 10 Ph.D. students to receive their degrees and is currently the main supervisor of 4 PhD students. His research interests include design of RF, microwave, and millimeter-wave integrated circuits, primarily in CMOS technology Dr. Sjöland is an Associate Editor for the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS —I: R EGULAR PAPERS . He is a Member of the Technical Program Committee, European Solid-State Circuits Conference (ESSCIRC). He was a recipient of a Fulbright scholarship.

VASILEV et al.: EXPERIMENTAL INVESTIGATION OF AIM FOR MIMO TERMINAL WITH CMOS-SOI TUNERS

Buon Kiong Lau (S’00–M’03–SM’07) received the B.E. degree in electrical engineering (with honors) from the University of Western Australia, Perth, W.A., Australia, in 1998, and the Ph.D. degree in electrical engineering from the Curtin University of Technology, Perth, W.A., Australia, in 2003. From 2000 to 2001, he was a Research Engineer with Ericsson Research, Kista, Sweden. From 2003 to 2004, he was a Guest Research Fellow with the Department of Signal Processing, Blekinge Institute of Technology, Karlskrona, Sweden. Since 2004, he has been with the Department of Electrical and Information Technology, Lund University, Lund, Sweden, where he is currently an Associate Professor. He has been a Visiting Researcher with the Department of Applied Mathematics, Hong Kong Polytechnic University, Hong Kong; the Laboratory for Information and Decision Systems, Massachusetts Institute of Technology, Cambridge, MA, USA; and the Takada Laboratory, Tokyo Institute of Technology, Tokyo, Japan. His primary research interests are in various aspects of multiple antenna systems, particularly the interplay between antennas, propagation channels, and signal processing.

1633

Dr. Lau is a Senior Associate Editor for the IEEE T RANSACTIONS ON A NTENNAS AND P ROPAGATION, for which he was a Guest Editor of the 2012 “Special Issue on MIMO Technology” and is the Lead Guest Editor of the upcoming 2016 “Special Issue on Theory and Applications of Characteristic Modes.” He was the Lead Guest Editor of the 2013 “Special Cluster on Terminal Antenna Systems for 4G and Beyond” for IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS . From 2007 to 2010, he was a Co-Chair of Subworking Group 2.2 on “Compact Antenna Systems for Terminals” (CAST) within EU COST Action 2100. From 2011 to 2015, he was with a Swedish national delegate and the Chair of Subworking Group 1.1 on “Antenna System Aspects” within COST IC1004. From 2012 to 2015, he was the Regional Delegate of the European Association on Antennas and Propagation (EurAAP) for Region 6 (Iceland, Norway, and Sweden). He is also a Member of the Education Committee within the IEEE Antennas and Propagation Society (AP-S), where he served as the Coordinator for the annual IEEE AP-S Student Design Contest from 2013 to 2015. He was the recipient of the 2015 IEEE T RANSACTIONS ON A NTENNAS AND P ROPAGATION Award for Exceptional Performance as an Associate Editor.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A UHF Near-Field Link for Passive Sensing in Industrial Wireless Power Transfer Systems Riccardo Trevisan, Student Member, IEEE, and Alessandra Costanzo, Senior Member, IEEE

Abstract— This paper presents an innovative nonconventional exploitation of a self-resonant capacitive near-field link at UHF, for data communication, to be combined in a compact wireless power transfer (WPT) system. At UHF, an increased channel transfer efficiency is made possible by exploiting two faced autoresonant structures, such as split-ring resonators (SRRs), one at each far-end side of the link. Their physical layouts are designed to ensure accurate prediction of both the resonant frequency and the resulting frequency-variable behavior of the two-port arrangement. This network is then used in a passive sensing system, based on a smart exploitation of the dc-power dc-load relationship of a standard RF identification (RFID) rectifier, to convert the data of a remote sensor, representing the system variable load. The reflected power variations at the transmitter side, due to the dc load variations, are successfully used to perform the sensor readout. The entire sensing system is first optimized by means of nonlinear circuit and electromagnetic (EM) simulations. Experimental data, compared to prior results, demonstrate the strength of the adopted approach. Index Terms— Capacitive link, inductive link, link efficiency, passive microwave remote sensing, passive RF identification (RFID), split-ring resonator (SRR), wireless power transfer (WPT).

I. I NTRODUCTION

T

HE passive sensing technology embrace a broad set of solutions, which differ for frequency bands, sensing elements, and control circuitry. Low-frequency (LF) RF identification (RFID) charge mechanisms for backscattering digital sensing platforms were not uncommon research topics a few years ago and are still the subject of recent studies [1]. With the advances in RF and microwave technologies, the UHF band has become the preferred option for passive systems [2], [3]. Nevertheless, backscattering modulation is still adopted by many passive sensing solutions, thus requiring implementing sophisticated electronic hardware on remote boards (also known as chip-enabled RFID) [4]. Although this

Manuscript received November 16, 2015; accepted March 9, 2016. This work was supported in part by the Innovation–R&D Department, IMA SpA, Bologna, Italy, in part by the EU COST Action IC1301 “Wireless Power Transmission for Sustainable Electronics” (WIPE), and in part by the EU project Arrowead. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Phoenix, AZ, USA, May 17–22, 2015. R. Trevisan was with the Department of Electrical, Electronic and Information Engineering, “Guglielmo Marconi,” University of Bologna, 40126 Bologna, Italy. He is now with IMA SpA, 40064 Bologna, Italy (e-mail: [email protected]). A. Costanzo is with the Department of Electrical, Electronic and Information Engineering, “Guglielmo Marconi,” University of Bologna, 40126 Bologna, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2544317

Fig. 1. Example of a concurrent wireless power and data transfer in a closedloop system.

approach ensures a high flexibility in the choice of sensing elements and digital data elaboration, it cannot be considered the most genuine embodiment of the passive sensing technique. Recent studies describe truly passive sensing systems, which do not include any active element on the remote board that hosts the sensor [5]. In such cases, sensing elements are based on chipless RFID circuits that modify their reflection coefficient depending on the physical quantity to be measured (e.g., temperature, or humidity). This modification, resulting in a different reflected power or a shift in the resonance frequency, is then detected by the transmitter and can be digitally related to the physical quantity after a system characterization [6], [7]. Such solutions have the advantage of exploiting the whole input characteristic of the RFID circuit specifically designed to operate in the designated frequency band, leading to excellent sensitivity and compactness. Most chipless RFIDs, however, have environmental limits and cannot operate under extremely harsh conditions. Many industrial applications, for example, require the remote measurement of temperatures greater than 300 °C. For those temperature ranges, reliable and accurate resistive temperature detectors (RTDs) have already been developed and successfully employed for several decades [8]. The trend toward wireless solutions is not limited to remote sensing. Some complex industrial equipment, as automatic machines, for example, demand for wirelessly powered actuators that are controlled through complex feedback loops. In these cases, remote sensors may be located in proximity of actuators, but require independent energy supply and communication links physically decoupled from the actuator power link due to robustness and safety requirements [9]. A simultaneous wireless transmission of power and data in an automatic machine environment can be represented as shown in Fig. 1. The upper part of the diagram shows the actuator supply: electric power is modulated by the switching dc/ac converter and wirelessly delivered to the actuator (e.g., a heater) by an inductive unit. The lower part of the diagram shows the feedback path of the sensor data: its readout

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

is conditioned, digitalized, elaborated, and sent back to the controller through a secondary wireless interface. Many contactless industrial applications do not necessarily involve large air gaps between the energy source and the electric load (sensor, actuator, etc.). This allows to investigate the use of UHF signals in the near-field range for passive sensing purposes. Following the research activity through this topic, by expanding the previous study [9], where a 13.56-MHz capacitive link is exploited for remote temperature sensing, in this work the design of a UHF link based on near-field coupled split-ring resonators (SRRs) for a passive sensing platform is introduced. The link is intended to be adapted to the existing wireless power transfer (WPT) system. Numerical and experimental validations of the UHF link itself and of the entire sensing system are also provided. The remainder of the paper is organized as follows. In Section II, the fundamental aspects of the proposed remote sensing technique are discussed, which are based on the exploitation of the mismatch between the source and the load of the rectifying side of a near-field link for WPT. Section III describes more in detail the near-field link by focusing on the choices of its physical layout and compares this solution with a previously proposed LF realization of the link based on coupled capacitive plates [10]. Section IV provides the experimental validation for the SRR-based UHF near-field link and for the entire chain realized for the readout of a remote sensor temperature. Some conclusions are finally drawn in Section V. II. PASSIVE S ENSING S ENSITIVITY In case of resistive or capacitive measurements, chipless passive RFID sensing has some advantages compared to its tag- or chip-enabled counterpart, e.g., compactness, temperature range of operation, robustness, etc. In general, however, the radio channel between the reader and the sensor increases the readout uncertainty in terms of resonance frequency shifts and attenuation. In fact, some chipless RFID are based on changes in phase of the reflected signal, which may be unpredictably affected by the environment [11]. In other cases, the readout is achieved by estimating the reflected power by the sensor at the reader output port for a given frequency [12]; reasonably, in this case, if the radio channel cannot be characterized, the measurement uncertainty is even larger. In our case, however, the channel is short, invariant, and very well known. This allows to include its model in the system characterization and exclude such uncertainties. This has also been presented in [13]. A. Reflected Power Sensitivity For high-temperature industrial equipment, resistive temperature sensors (RTDs) are used. Thus, when used at the far end of a near-field wireless resonant link (either inductive or capacitive) the RTD acts as the variable resistive load of the link, and the reflection coefficient  L variations can be retrieved at the transmitter side [14], [15]. This work exploits this simple well-known circuital operation for converting the sensor (the link resistive load) data at the transmitter side of the near-field link. It is well known that if a near-field resonant

Fig. 2. Maximum sensitivity mismatch explanation. (a) Equivalent diagram. (b) Normalized reflected power versus normalized load. (c) First derivative of the normalized power with respect to the 10-base logarithm of the load [see (6)].

link is time invariant (i.e., the link distance/alignment is fixed), its resonant frequency does not change with load variations. Based on this knowledge, in the following, the analytical formulation of a novel passive sensor readout is developed, with reference to the very basic circuit model of the receiver part of the link, shown in Fig. 2. The voltage generator with its internal impedance represents the receiver input port and the load represents the sensor. Given the available power PLav , the reflected power is derived as PL− = PL ,av ·| L |. As well-known from the maximum power transfer principle (MPTP) [16], in matched conditions, the reflected power is minimum and all the available power is delivered to the load, as shown in Fig. 2(b). In fact, considering the non-reactive load case, for the sake of simplicity, the incident power is 1  2 RL RL PL+ = Vg   2 = PL ,av 4Rg  2 . (1) 2 R L + Rg R L + Rg Since the reflected power PL− is computed as PL− = PL ,av − PL+

(2)

its maximum sensitivity with respect to R L can be found by its second derivative with respect to R L , ∂ 2 PL− ∂ R 2L

=

∂ 2 PL+ ∂ R 2L

= 0.

(3)

In most cases, however, logarithmic variations of the load can be adopted, as in Fig. 2(b), which means that if the load is expressed as (4) R L = 10α L differences of α L have equal weight, rather than of R L itself. In other words, logarithmic quantities are compared instead of linear ones. For this reason, it is convenient to evaluate the derivative of PL− with respect to α L , as shown in Fig. 2(c). Solving ∂ 2 PL− =0 (5) ∂α 2L results in

  √  α L = log Rg 2 ± 3  √  R L = Rg 2 ± 3

(6)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TREVISAN AND COSTANZO: UHF NEAR-FIELD LINK FOR PASSIVE SENSING IN INDUSTRIAL WPT SYSTEMS

Fig. 3.

3

Block diagram of the entire sensing system.

which defines the optimal load values to maximize the sensitivity with respect to its small exponential variations. Thus, if the receiving part of the link is set to operate in such conditions, which can be called maximum sensitivity mismatching (MSM), far from the conjugate matching, it is possible to reach the needed accuracy, at the transmitter side, to transduce the sensor measurement from the reflected power at the receiving side. B. RF Rectifier for Industrial DC Probes Industrial RTDs are uncommon loads for RF designs. Their severe parasitic components, especially parallel capacitances, make it impossible to supply such sensors directly at RF. This problem can be solved by introducing, between the link and the load, the rectifying part of a passive UHF RFID tag. This is shown in Fig. 3 where the RTD is driven by the rectified voltage and current of the RF excitation. The resulting nonlinear circuit of the link receiver side is optimized with the goal of providing the largest range of reflected power at its input port with respect to the interval variations of the RTD load. During this nonlinear circuit optimization the RF input power and the MSM components are used as the design variables. As will be shown by the numerical results of Section II, a quite high input RF power resulted at the end of the optimization process. This is explained as the best tradeoff to operate the diode at such signal levels that the resistive losses are minimized [2]. It is noteworthy that, for the applications addressed in this work, the need for passive sensing capabilities is mandatory to get rid of sensor reading circuit and power supply at the high-temperature sensor farend side, rather than to minimize power consumption. C. Link Attenuation of Power Sensitivity Up to this point, with reference to Fig. 3 where the transmitting and receiving link ports are referred as T and R, respectively, it is possible to evaluate the reflected power sensitivity at the receiver input port PL− . Unavoidably, the evaluation of the reflected power at the channel input port − suffers from further reduction due to the link transmission Pch − coefficient ST R . Thus, Pch can be calculated as follows: − Pch = PL− |ST R |2

= PL ,av | L |2 |ST R |2 + |S RT |2 | L |2 |ST R |2 = Pch   = Pch,av 1 − |ST T |2 |S RT |2 | L |2 |ST R |2

(7)

where Si j , i, j = T, R, refers to the scattering matrix of the near-field link of Fig. 3 and Pch,av is the power available at the

link input. From (7), we infer that the link must be matched and lossless in order to minimize the contributes of reflected power and power loss due to the link itself. D. Reflected Power Isolation The reflected power at the channel input port can be easily decoupled from the other power contributes by exploiting the isolated port of a directional coupler connected as shown in Fig. 3. We recall that the coupling factor C also indicates the fraction of the entering power from the through port 2 that is coupled to the isolated port 4 [17]:     P2 P1 (8) = 10 log = −20 log |S24 | C = 10 log P3 P4 where the subscripts are referred to the convention of Fig. 3. Since a high |S24 | is desired in order to detect reflected power variations, the coupling factor should be as low as possible. Among commercial integrated components, for the present case, a hybrid coupler has been chosen. Alternatively, a circulator can be used. To device compactness, however, an integrated coupler is adopted. III. N EAR -F IELD R ESONATING L INK Some wireless industrial designs do not necessarily have to cover large distances. In some cases, the contactless link is as short as a few millimeters, or even less, in order to replace traditional sliding contact devices with compact WPT units [12]. In a previous work [10], the possibility to integrate independent power and sensing links into a single WPT device was presented. The proposed solution, which is briefly recalled in Section III-A, was based on the integration of a 50-kHz inductive link and a 13.56-MHz capacitive link for power and data sensing transfer, respectively, included in a single semirotating unit, as shown in Fig. 4(a). A. Capacitive Link (13.56 MHz) A good solution to decouple the information link from the power transmission is to rely on the electric field [i.e., capacitive power transfer (CPT)], which is complementary to the inductive one [i.e., inductive power transfer (IPT)] exploited by the power unit. The simplified lumped-element equivalent circuits of the IPT and CPT units for power and data transfer, respectively, are reported in Fig. 4(b). The capacitive interface is realized by two pairs of plates that form the capacitive network shown in Fig. 4(c). The slots on the capacitive rings are necessary to prevent the plates to act as single-turn coils coupled to the LF 50-kHz inductive system. Moreover, a conductive shield is added, as shown in Fig. 4(a),

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Drawing of an SRR with ground plane. The substrate between the SRR and the ground is omitted.

(i.e., 13.56 MHz), the resonance of pF capacitances can be achieved by adopting inductors in the range of 10 μH or more. Yet, the tolerance and losses of commercial inductors is such that centering the link resonance frequency without a post-production fine tuning is a matter of chance. Inductor loss uncertainty, in particular, is responsible for unpredictable attenuation of the reflected power, which results, in turn, into unreliable measurements. B. SRR-Based Link (868 MHz)

Fig. 4. Wireless power and information transfer device as in [10]. (a) Cut view of a rotating implementation. (b) Equivalent diagrams. (c) Physical realization of the CPT link.

Fig. 5.

Photograph of the capacitance-based system prototype.

on the back of the substrate that hosts the capacitors, to uniform the undesired effect of the magnetic field on the capacitive structure [18]. A photograph of the realized system is shown in Fig. 5, where other system components are also included, namely, the inductive pot cores, the temperature probe, and the sensing circuity, which includes a rectifier at the receiver side, and a voltage peak detector at the transmitter side. A design based on capacitive rings, however, presents several drawbacks, mainly related to the geometric limitations set by the compactness of the WPT unit. In fact, the small plate area and the air gap between the two sides lead to relatively small direct capacitances C S12, C S34. At low frequency

In order to provide a reliable solution, a different approach is presented here, with respect to [10]. The main goal is to overcome loss and tolerance issues reported in such work due to lumped resonating elements represented by fixed inductors. First, the link operating frequency is shifted up to 868 MHz, which makes an uncommon choice for nearfield design, though fully compliant with RFID standards [17]. Consequently, the sensing link cannot be characterized as a capacitive one anymore. Now, at 868 MHz, the plates behave as strip conductors, having their length of the order of the wavelength, and thus also exhibit a self- and mutual-inductive behavior. Finally, such strips realize an auto-resonant structure, as shown in the following. This allows to drop the performance limitations set by tolerance and loss of external resonating inductors, as they are not necessary any more. A possible solution, compatible with the geometric constraints of [10], is to use the same capacitive plates in an SRR arrangement. These structures were first proposed in [19] to obtain an effective magnetic permeability in nonmagnetic conducting materials. Sensing platforms based on SRRs have also been proposed [20]. In these cases, however, the SRR is used as the passive sensing element. Differently, as will be shown in the following, SRRs can be successfully exploited in the near-field wireless link as self-resonant reactive structures to achieve power or data transfer [21]. 1) Single SRR: The geometric shape of the SRR is shown in Fig. 6. The two open rings resemble the capacitive plates of Fig. 4, although the inner ring is 180°-rotated and both microstrips have the same width in the case of the SRR. Many authors proposed equivalent circuital representations of SRRs [22]–[24]. In this case, for a single SRR with a ground plane, the model proposed in [25] and shown in Fig. 7 was used inside our circuit simulator, validated by electromagnetic (EM) simulations and resulted to consistently represent its circuital behavior. It consist of the two pairs of coupled transmission lines TL11 , TL21 and TL25 , TL15 that model the SRR in the absence of the slots. The transmission lines TL12,14,16,17 account for the length difference

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TREVISAN AND COSTANZO: UHF NEAR-FIELD LINK FOR PASSIVE SENSING IN INDUSTRIAL WPT SYSTEMS

Fig. 9.

5

Geometric definitions of the manufactured SRRs. TABLE I SRR G EOMETRIC D IMENSIONS

Fig. 7.

Model representation of an SRR with ground plane as in [16].

Fig. 8. Final stack-up of the SRR link. Two separate substrates are considered: each SRR belongs to the substrate of the corresponding ground plane. The distance d represents the clearance between the facing SRRs, whereas the angle α is the relative angular position of the outer strips slots positions.

of the external microstrips. The slots circuital contributions are modeled as a π-network of capacitances, whose values are derived by circuit optimization, with the goal of matching the EM simulated [10] S-parameter over the frequency band of interest. To do so, two further lines TL13,23 model the opposite microstrip segments with respect to the slot positions. 2) Coupled SRRs: A UHF near-field link can then be efficiently realized by exploiting two faced SRRs, whose geometries are fine tuned by EM simulations with respect to the single SRR design, to realize a resonant link at 868 MHz. A rendering of the final link arrangement is shown in Fig. 8 where the distance d represents the clearance between the facing SRRs, whereas the angle α is the relative angular position of the outer strips slots positions. The differential input and output ports of the SRR-based link are connected to the respective unbalanced feeding circuits by means of two identical baluns, B1 and B2 . Each side of the near-field link, composed by the SRR and its own ground plane, is free to rotate with respect to the other. As explained in Section IV, this can be used to finely tune the resonance frequency. The fine tuning of the SRRs-based link is carried out by means of EM simulators with the starting-point layout derived from the equivalent ciruit model of Fig. 7. The final EM-derived circuit model of Fig. 8 is then analyzed at the circuit level and its port parameters are compared with the experimental measurements.

Fig. 10. Photograph of the first SRR prototype. On the right, the bottom layer is shown; the ground plane is visible as a dark inner layer.

IV. UHF-L INK E XPERIMENTAL VALIDATION Some prototypes of SRRs have been manufactured. The geometrical specification of the design are given in Fig. 9 and Table I. The outer diameter of the board is determined by the available radial space in the ferrite core cavity of Fig. 4(a), whereas the width of the microstrips has been numerically optimized starting from the circuit-equivalent capacitive link model. The adopted substrate is an FR4 Panasonic four-layer R1566/R1551 substrate (εr = 5.0, tan δ = 0.015). Two sets of measurements have been carried out: the first one was devoted to validate the single SRR in the band of interest; the second one is dedicated to the frequency behavior of the two faced coupled SRRs, realizing the RF near-field data link. A. Single SRR The standalone SRR has been characterized by means of measurements, numerical simulations, and model simulations in the frequency band of interest. In Fig. 10, a photograph of the multi-layer manufactured resonator used for the reflection coefficient measurement is shown: on the left, the top copper metallization strips are visible; the ground plane is realized

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Comparison of the port reflectivity of a single SRR as in Fig. 6 (CST numerical results, electrical model simulation, measurements).

as an inner layer, whereas the integrated balun and the SMA connector are soldered on the bottom copper shown on the right side of the photograph. The selected balun is model 0900BL18B100 manufactured by Johanson Technology. In Fig. 11, the results of the SRR reflectivity comparison are shown. The CST software has been used to compute the numerical simulation of the model, and Keysight ADS has been adopted to implement its microstrip equivalent circuit representation of Fig. 7. There is an excellent agreement between the measured and simulated results in the considered frequency band, especially with the microstrip model. The single SRR structure does not show any resonant behavior below 1 GHz. The reflectivity trend, visible in the rightmost part of the plot, however, suggests that it may resonate close to 1.1 GHz, in any case, outside the considered frequency band.

Fig. 12. Photograph of the two stacked SRR prototypes. The drilled holes allow to test different angular orientations. In the inset, a faced layer is shown.

B. Reactive Link Based on Two Faced SRRs The choice of employing faced SRRs has a major drawback with respect to capacitive plates: the link loses its axial symmetry, which was particularly useful in rotary applications such as slip-ring replacement. Nonetheless, for those applications addressed in this work and related to industrial automatic machines, this does not represent a criticism of the proposed system since the angular speed of the rotary side (300 r/min) is many orders of magnitude slower than the data readout rate at UHF (at least 1000 times the average time for data readout, more than 170000 times the UHF signal period). Thus, for the purpose of data communications, the rotating side can been assumed in static conditions and the best angular position of the SRRs can be used. Indeed, the on-board machine encoders can provide this information to activate the sensor reading in the proper time-slot, as explained at the end of this section. An assembly composed by two stacked facing SRRs has been arranged, as shown in Fig. 12. The drilled holes allow to test different relative angular positions of the two faced SRR boards. The clearance d between the SRRs, as indicated in Table I, is ensured by three shims in correspondence with the screws that tighten the prototypes together. As also reported

Fig. 13. Comparison of the reflection coefficient at the port 1 of two faced SRRs as in Fig. 8 (CST numerical results, measurements).

in Table I, given a 0.6-mm clearance, a relative rotation of 45° represents the optimal choice to maximize the transfer coefficient of the link. Finally, the same balun of the single SRR setup has been used for the two boards. The comparison between CST simulations and measurements is shown in Figs. 13 and 14 for the link ports reflection and transmission coefficients, respectively. The exact resonance frequency is 867 MHz, although in the frequency range between 864 and 883 MHz, the link insertion loss remains approximately constant and equal to 4 dB. Different arrangements can be tested. In particular, in Fig. 15, the measured plots of the amplitude of the link transmission coefficient for different angular positions are shown. As can be seen, deviations greater than 20° from the nominal relative angle α can be exploited to tune the resonance frequency within a certain range of extent. Above all, it has

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TREVISAN AND COSTANZO: UHF NEAR-FIELD LINK FOR PASSIVE SENSING IN INDUSTRIAL WPT SYSTEMS

7

Fig. 14. Comparison of the transmission coefficient of two faced SRRs as in Fig. 8 (CST numerical results, measurements).

Fig. 17. Circuit-equivalent schematic of the entire wireless UHF passive sensing system. TABLE II S YSTEM C OMPONENT VALUES

Fig. 15. Comparison of the measured transmission coefficients of two faced SRRs, as in Fig. 8, for different angular positions.

Fig. 16. Relationship between the time required for temperature conversion and the rotation of the remote split ring.

to be noticed that, within an angle interval of 10° around the 45° reference, the same link performance are obtained. In this interval, the remote temperature measurements are not affected by any link performance variations. This ensures a safe accuracy of temperature measurement during the receiver rotation. This is also confirmed by the plots of Fig. 16 where the split-ring angular position and the corresponding link

transmission coefficient variation versus time are compared. The average time to complete the temperature sensing operation, which is mainly determined by the A/D conversion time, is also superimposed on the same plot. These results clearly confirm that a safe operational area is largely guaranteed. V. PASSIVE S ENSING S YSTEM D ESIGN The described UHF near-field link has been included in the design of a passive sensing system. The circuit-equivalent schematic of the whole system is reported in Fig. 17. The component values adopted in the circuit simulation are reported in Table II. As per the reactive link, its measured frequencydependent S-parameters are used. The sub-circuit loading the link receiver side consists of (from right to left): the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 18. Power range at the detector input port before and after optimization of the input power and of the mismatching network of the system receiving side.

temperature probe RRTD , the rectifier (D1,2 , C D1,D2), and the (mis)matching network Cm , L m , which is the same as in Fig. 3. The near-field link representation is also included: namely, the coupled SRRs (SRR 1 , SRR 2 ), the two baluns (B1 , B2 ), and the matching networks (C1,2 , L 1,2 ). It is noteworthy that the two capacitances C2 and Cm are kept separated in the circuit representation for the sake of clarity. The hybrid (H1) coupled ports (1,2) and (3,4) allow the incident RF power to be transferred from the RF source to the receiver and the RF power reflected by the receiver loading circuit to the power detector U1 . A conventional matching network CU , L U and a dc-block capacitor CC are used to join the power detector to the hybrid coupler. The sensing system is intended to detect temperature variations in the range [0, 323] °C. The corresponding range of variation of the RTD is [1, 2.2] k. The matching networks (C1,2 , L 1,2 ) realize the simultaneous conjugate matching of the link, including the baluns, as required by (7). The input power Pav and the mismatching network Cm , L m (see Fig. 17) have been simultaneously optimized, as anticipated in Section II-B, in order maximize the reflected power variation at the receiver port. The quasi-Newton algorithm has been adopted for the circuit optimization. In Fig. 18, the result of the optimization in terms of the power reflected at the transmitter side, and available at the detector input port, all over the system load, is shown: a 0.51-dB increase of in the reflected power dynamics is observed. Constrained optimization was carried out within intervals: Pav = [−10, 10] dBm, the following

Cm = 1 p, 100 n F, L m = [1, 100] nF; starting values: Pav = 0 dBm, Cm = 1.5 nF, L m = 15 nF, according to load impedance resulting from (6). By supplying the system with Pav = 10 dBm, the rectifier is ensured to receive roughly [−2.5, −0.5] dBm, depending on the load value. This optimized power level ensures the lowest reduction in the load reflection coefficient dynamics due to the nonlinear network, as discussed in Section II-B. Circuital simulation results based on the manufacturers’ models of employed components are hereinafter presented. The required transmitted power is relatively high, but since the system has no restrictions on power availability at the

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 19. Measured and predicted power levels versus the resistive dc load (RTD) with reference to subscripts of Fig. 17. PL+ cannot be measured (no available test points); simulated result is shown.

Fig. 20. Measured and predicted relationship between the sensed temperature and the power detector output voltage.

transmitter side [12], this is not an issue. On the contrary, its first requirement is to be able to track the temperature at the far-end location of the sensor, where it is preferable not to locate further circuits for sensor read-out, due to high temperatures. In Fig. 19, the different power levels predicted and measured at selected subsystem interconnecting ports are compared and plotted versus the link dc load: PRTD represents the dc power on the RTD, PL+ the power delivered to the load, which includes the rectifier, and Pdect the power detector input power. Since H1 is a hybrid coupler, Pdect equals half of the reflected power by the link (Pch , not shown in Fig. 17). The selected power detector is the AD8361 manufactured by Analog Devices. The resulting power variation at the detector input port spans between −6.22 and −5.60 dBm. The analog processing of the AD8361 converts this power range to dc voltage varying between 1.53 and 1.65 V, respectively. In Fig. 20, the measured relationship between the temperature and the dc voltage level is finally shown and compared to the simulated results. The relationship between temperature and system dc load is implicitly defined, thanks to the extremely good linearity of the RTDs [10]. The resulting Vrms versus temperature curve exhibits a moderate, but expected, nonlinear behavior due to the rectifier. The corresponding voltage range

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TREVISAN AND COSTANZO: UHF NEAR-FIELD LINK FOR PASSIVE SENSING IN INDUSTRIAL WPT SYSTEMS

detected is 113 mV for temperature variations between 0 °C and 323 °C, and 105 mV between 0 °C and 300 °C. The resulting average sensitivity is 0.35 mV/◦ C. VI. C ONCLUSIONS This paper and work has presented an innovative UHF nearfield application intended to achieve wireless passive sensing of remotely located resistive temperature probes. A smart exploitation of the nonlinear dc-power to dc-load relationship of a standard RFID rectifier is adopted to convert the data of a remote sensor, representing the system variable load. The theoretical basic idea was first introduced in [9], where a resonant inductive LF (50-kHz) link and a resonant capacitive high-frequency (HF) (13.56-MHz) link were combined to effectively implement a compact WPT unit able to transfer electrical power and sensing data at the same time. The frequency choice for the data transfer resulted to be a serious limiting factor to the successful exploitation of the theoretical idea due to excessive tolerances and losses of the components (inductors) needed to realize a resonant-type capacitive link. This work demonstrates how to solve this drawback by adopting self-resonant structures, realized by SRRs in a higher frequency band, while keeping the requirement of simultaneous power and data transfer within the same geometryconstrained solution. For this purpose, a link consisting of two faced SRRs is designed layout-wise by EM simulation, to tune its resonance to the UHF link operating frequency, thus automatically providing the link input- and outputcompensating networks. In this way, the highest possible link efficiency for the band of interest, centered around 868 MHz, is obtained. Due to the accurate design procedure adopted, excellent agreement is achieved among the circuit-equivalent model, EM simulation, and measurement of the optimized standalone SRR, as well as of the entire reactive link. The link is also characterized for different relative angular positions of the SRRs. This easily allows to tune the resonance to different frequencies or compensating the substrate permittivity tolerance. In order to demonstrate the implementation of the SRRbased link, a passive sensing system is fully described. The measured scattering matrix of the link is used to model the near-field channel. Since the main goal of a passive sensing system is to maximize the measured accuracy and sensitivity, an analytical formulation of the optimal design criteria (i.e., MSM) is developed and is first tested by means of circuit-level nonlinear simulation. At the transmitter side, the output voltage of a peak or power detector provides the temperature measured by an industrial Pt1000 RTD. Significantly enhanced performance of the present passive remote sensing system, with respect to the preliminary realization of [9], are obtained with the detector spanning from 90 to 203 mV for the same temperature extent [0, 300] °C and an increased average sensitivity from 0.30 to 0.66 mV/°C. Thus, this work proves that a reactive link at UHF can be successfully exploited in the near-field range for remote passive sensing purposes in place of conventional low-frequency RFID bands.

9

R EFERENCES [1] X. Zhang, J. Yan, B. Vermeire, F. Shadman, and J. Chae, “Passive wireless monitoring of wafer cleanliness during rinsing of semiconductor wafers,” IEEE Sensors J., vol. 10, no. 6, pp. 1048–1055, Jun. 2010. [2] G. De Vita and G. Iannaccone, “Design criteria for the RF section of UHF and microwave passive RFID transponders,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 2978–2990, Sep. 2005. [3] Z. Safarian and H. Hashemi, “Wirelessly powered passive systems with dynamic energy storage mechanism,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 1012–1021, Apr. 2014. [4] A. Sample, D. Yeager, P. Powledge, A. Mamishev, and J. Smith, “Design of an RFID-based battery-free programmable sensing platform,” IEEE Trans. Instrum. Meas., vol. 57, no. 11, pp. 2608–2615, Nov. 2008. [5] J. Dowling, M. Tentzeris, and N. Beckett, “RFID-enabled temperature sensing devices: A major step forward for energy efficiency in home and industrial applications?,” in IEEE MTT-S Int. Microw. Symp. Dig., Catvat, Croatia, Sep. 2009, pp. 1–4, Wireless Sens., Local Positioning, RFID Workshop. [6] D. Girbau, A. Ramos, A. Lazaro, S. Rima, and R. Villarino, “Passive wireless temperature sensor based on time-coded UWB chipless RFID tags,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 11, pp. 3623–3632, Nov. 2012. [7] S. Capdevila, L. Jofre, J.-C. Bolomey, and J. Romeu, “RFID multiprobe impedance-based sensors,” IEEE Trans. Instrum. Meas., vol. 59, no. 12, pp. 3093–3101, Dec. 2010. [8] W.-S. Chung and K. Watanabe, “A temperature difference-to-frequency converter using resistance temperature detectors,” IEEE Trans. Instrum. Meas., vol. 39, no. 4, pp. 676–677, Aug. 1990. [9] R. Trevisan and A. Costanzo, “Exploitation of passive RFID technology for wireless read-out of temperature sensors,” in Proc. IEEE RFID Technol. Appl. Conf., Tampere, Finland, Sep. 2014, pp. 150–154. [10] R. Trevisan and A. Costanzo, “Wireless sensing and power transfer in a rotary tool,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 2015, pp. 1–4. [11] S. Shrestha, M. Balachandran, M. Agarwal, V. Phoha, and K. Varahramyan, “A chipless RFID sensor system for cyber centric monitoring applications,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1303–1309, May 2009. [12] R. Trevisan and A. Costanzo, “A 1-kW contactless energy transfer system based on a rotary transformer for sealing rollers,” IEEE Trans. Ind. Electron., vol. 61, no. 11, pp. 6337–6345, Nov. 2014. [13] A. Ramos, D. Girbau, A. Lazaro, A. Collado, and A. Georgiadis, “Solar-powered wireless temperature sensor based on UWB RFID with self-calibration,” IEEE Sensors J., vol. 15, no. 7, pp. 3764–3772, Jul. 2015. [14] D. Pozar, Microwave Engineering, 4th ed. New York, NY, USA: Wiley, 2011, ch. 2, p. 57. [15] A. Costanzo, M. Dionigi, F. Mastri, M. Mongiardo, J. A. Russer, and P. Russer, “Design of magnetic-resonant wireless power transfer links realized with two coils: Comparison of solutions,” Int. J. Microw. Wireless Technol., vol. 7, pp. 349–359, Jun. 2015. [16] D. Masotti, A. Costanzo, P. Francia, M. Filippi, and A. Romani, “A load-modulated rectifier for RF micropower harvesting with startup strategies,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 994–1004, Apr. 2014. [17] D. M. Pozar, Microwave Engineering, 4th ed. Boston, MA, USA: Wiley, 2011. [18] A. Esser, “Contactless charging and communication for electric vehicles,” IEEE Ind. Appl. Mag., vol. 1, no. 6, pp. 4–11, Nov. 1995. [19] J. Pendry, A. Holden, D. Robbins, and W. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [20] T. Thai et al., “A novel passive ultrasensitive RF temperature transducer for remote sensing and identification utilizing radar cross sections variability,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Toronto, ON, Canada, Jul. 2010, pp. 1–4. [21] J. Dong and X. Li, “UHF near-field tags design based on split ring resonator,” in Proc. IEEE Asia–Pacific Microw. Conf., Melbourne, Vic., Australia, Dec. 2011, pp. 1794–1797. [22] J. Baena et al., “Equivalent-circuit models for split-ring resonators and complementary split-ring resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1451–1461, Apr. 2005.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

[23] M. Shamonin, E. Shamonina, V. Kalinin, and L. Solymar, “Resonant frequencies of a split-ring resonator: Analytical solutions and numerical simulations,” Microw. Opt. Technol. Lett., vol. 44, no. 2, pp. 133–136, 2005, [Online]. Available: http://dx.doi.org/10.1002/mop.20567 [24] J. Naqui et al., “Common-mode suppression in microstrip differential lines by means of complementary split ring resonators: Theory and applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3023–3034, Oct. 2012. [25] V. Zhurbenko, T. Jensen, V. Krozer, and P. Meincke, “Analytical model of planar double split ring resonator,” in Proc. IEEE MTT-S Microw. Optoelectron. Conf., Salvador, Brazil, Oct. 2007, pp. 753–756.

Riccardo Trevisan (S’13) was born in Bologna, Italy, in 1987. He received the B.Sc. and M.Sc. degrees in electronics engineering from the University of Bologna, Bologna, Italy, in 2009 and 2012, respectively, and is currently working toward the Ph.D. degree in electronics, telecommunications, and information technologies at the University of Bologna. He conducts his research activities at IMA SpA, Bologna, Italy, where he is a full-time Electronic Research and Development Engineer. His main areas of interests include contactless energy transfer systems, wireless information transfer links, and RF and microwave electronics oriented to industrial applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Alessandra Costanzo (M’99–SM’13) received the Laurea degree in electronic engineering (with honors) from the University of Bologna, Bologna, Italy, in 1987. Thereafter she joined the University of Bologna, as a Research Associate and, in 2001, became an Associate Professor there. She has authored more than 150 scientific publications in peer-reviewed international journals and conferences and several chapter books. She holds three international patents. Her research activities have been dedicated to the development of computer-aided design (CAD) algorithms for multi-domain co-design and modeling of active nonlinear microwave/RF circuits. These approaches have been extended to the efficient circuit-level design of entire wireless links, including rigorous models of the radiating elements and of the propagation channels. She has carried out designs of multiple input multiple output (MIMO), ultra-wideband (UWB), and RF identification (RFID) systems and of highly innovative multi-band rectennas, specialized for wearable applications. She is currently involved in multiple research activities related to wireless power transmission technologies, adopting both far- and nearfield solutions, for several power needs, operating frequencies, and application scenarios, namely, powering of energy autonomous sensor nodes and charging of portable devices. Dr. Costanzo has served on several committees of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). She is Member of MTT-24 (RFID), MTT-26 (Wireless Energy Transfer and Conversion), where she served as Vice-Chair form 2013 to 2015 and currently serves as the Chair. She was Workshops Chair and Focus Sessions Chair for EuMC2014, where she also organized the IEEE Women in Microwaves (WIM) event. She is an IEEE MTT-S Representative on the Council of RFID (CRFID). She cofounder the EU COST action IC1301 WiPE “Wireless Power Transfer for Sustainable Electronics” where she chairs WG1: “Far-Field Wireless Power Transfer.”

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Compact Low-Frequency Metamaterial Design for Wireless Power Transfer Efficiency Enhancement Erik Saturnino Gámez Rodríguez, Graduate Student Member, IEEE, Anil Kumar RamRakhyani, Member, IEEE, David Schurig, Member, IEEE, and Gianluca Lazzi, Fellow, IEEE

Abstract— An extremely compact and low-frequency metamaterial design is presented in the following work. A ferrite loaded solenoid with a size on the order of 1/10000 of the wavelength of operation is used as the unit cell of the proposed metamaterial. This unit cell allows for the construction of a 77 unit-cell sample with dimensions of 6 cm × 6 cm × 2 cm and operating at a working frequency of 5.57 MHz. Measurements show that using this metamaterial sample in a wireless power transfer (WPT) system results in an efficiency enhancement of 10% at a working distance of 4.5 cm, which is twice the efficiency of the original system at the same distance. Alternatively, for a target efficiency of 10%, the range of the system can be extended from 4.5 to 8.8 cm by using the proposed metamaterial, a 4.3-cm, or 95%, extension over the original system range. The proposed metamaterial design, characterized by compactness, low frequency of operation, and large efficiency enhancement, is useful in a number of applications, such as biomedical telemetry systems and wireless charging. Index Terms— Efficiency enhancement, indefinite metamaterial, low frequency, mutual impedance enhancement, negative permeability, resonant inductive wireless power transfer (WPT).

I. I NTRODUCTION

W

IRELESS power transfer (WPT) is a topic that has gained a great deal of attention in recent years. This surge on interest has been fueled by the quick adoption of: mobile computing devices [1], medical implantable devices [2]–[5], electric cars [6], etc. The preferred method of WPT used in these applications has been resonant inductive coupling [7]–[10]. Resonant inductive-coupling WPT leverages the magnetic fields produced by resonant coils to transmit power. In practice, the efficiency of these systems is limited by the quality factor of the coils and the mutual coupling between them [7]–[9]. Mutual coupling decays rapidly as a function of distance between the coils (∼ 1/d 3 ), thus limiting the applications where efficient WPT can be achieved to those requiring midrange distances (about twice the diameter of the coils). Metamaterials have been proposed as a way to increase WPT range [10]–[20]. Metamaterials are materials with engineered polarizable inclusions. By properly designing these Manuscript received February 2, 2016; revised March 10, 2016; accepted March 26, 2016. The authors are with the Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT 84112 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549526

inclusions the electromagnetic properties of the material can be manipulated to achieve effective properties that are not found in traditional media [21]–[26]. Negative permeability μ < 0, negative permittivity  < 0, and negative refractive index n < 0 (simultaneous μ < 0 and  < 0) are among the exotic properties that can be achieved with metamaterials. The enhancement seen in WPT systems using left-handed metamaterials has been attributed to the exponential growth of the evanescent waves lying within them [10]–[13], [15]–[17], [19], [20], [24]. Metamaterial designs proposed in the literature are commonly based on printed resonators (spirals, split rings, wires, etc.) [10], [12], [14], [16], [18], [20], [27]–[31]. Arrays of these structures are stacked perpendicularly or parallel to the axis of the system on which control of the effective permeability and permittivity is desired. Nonetheless, even the smallest printed unit cell reported in the literature, with a size of ∼ λ/800 [20], [31], is too large to create a practical size metamaterial sample at the working frequency of conventional WPT systems. Furthermore, the higher parasitic losses seen in miniaturized printed unit cells lead to a reduction of the quality factor, which, in turn, leads to an increase in effective losses. Alternative unit-cell designs have been explored in the literature, but even the smallest unit-cell size reported to date (the Swiss roll with a size of λ/1000 [32]) does not allow for the creation of a practical size metamaterial at a few MHz, the operation frequency of conventional WPT systems. Recent studies on the use of metamaterials in WPT systems have shown significant range enhancements over conventional systems. Nonetheless, these systems require samples sizes that are too large [10], [14]–[17], [19], [25], work at significantly higher frequencies [10], [12]–[14], [20], and have the possibility of reducing working distance when compared to conventional systems [10]–[17], [19], [25], [26]. Only modest WPT efficiency enhancements have been reported in experimental setups where the working distance was maintained constant [20], [33]. These disadvantages prevent metamaterials from being used in some applications such as bio-telemetry systems. In bio-telemetry systems, the operating frequency needs to remain low in order to minimize power deposition in biological tissue. Additionally, the device needs to be compact, to minimize exposure of the body to the induced electromagnetic fields and increase portability. Finally, the working distance of the device needs to be preserved since the space between the receiver and the transmitter cannot be accessed if the receiver has been implanted. To the best of

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

and Ri is the total parasitic resistance of the sub-circuit. The equivalent Z -parameters of the system can be computed from the circuit shown in Fig. 2, in particular, Z 11,eq and Z 21,eq are given by

Fig. 1. Two-coil coupling schematic and circuit equivalent. (a) Setup diagram. (b) Two-port equivalent circuit.

(1) Z 11,eq = Z tx − Z M + Z M (−Z M + Z rx + R L ) RL . (2) Z 21,eq = Z M Z rx + R L Based on the work presented in [7], we can derive a formula to compute the WPT efficiency of the system using the system Z -parameters η=

Fig. 2.

Two-coil WPT system circuit diagram.

our knowledge, a material capable of addressing all of these requirements simultaneously has not yet been reported. In this work, we propose a ferrite loaded solenoid (a threedimensional (3-D) unit cell) to overcome the limitations of current metamaterials. Such a unit cell is promising for the construction of compact, low-frequency, and low-loss metamaterial samples due to its large quality factor and compact dimensions. Section II presents the background on WPT and metamaterials. Section III presents the design, fabrication, and characterization of the proposed metamaterial sample. Section IV presents the system tuning, optimization, efficiency simulations, and measurements. Finally, Section V presents conclusions. II. BACKGROUND A summary of the state-of-the-art on inductive WPT and indefinite metamaterials is presented in this section. A. Inductive WPT Inductive WPT systems use mutual inductive coupling to transmit energy. A pair of inductively coupled coils can be connected to a vector network analyzer (VNA) Fig. 1(a) and their two-port Z -parameters recorded. These Z -parameters can be used in the equivalent circuit shown in Fig. 1(b). In this circuit, Z 11 and Z 22 are the impedances seen at each port when the remaining port is left open, and Z M represents the mutual impedance between the two coils. Since no assumptions regarding the nature of Z M have been made, this model is valid even when an object, such as a metamaterial slab, is placed in between the coils. Equivalent circuits of the two coupled coils are used to create the complete circuit diagram, as seen in Fig. 2. In this diagram, Z tx is the total transmitter impedance (including the transmitter coil), Z M is the mutual impedance between the transmitter and receiver coils, and Z rx is the total impedance of the receiver (including the receiver coil) minus the useful load R L . For a series–series resonant WPT system like the one shown in Fig. 2, Z tx and Z rx are of the form Z i = j ωL i + 1/ j ωCi + Ri i = tx, rx, where L i is the inductance of the i th coil, Ci is the i th coil resonating capacitor,

|Z 21,eq |2 × 100 [%]. {Z 11,eq }R L

(3)

WPT efficiency of multi-coil systems is often written in terms of the quality factors of the transmitter and receiver devices, and the coupling coefficients between them [7], [9]. The formulation given in this work was chosen due to its convenience. This formulation allows for the computation of efficiency values for WPT systems from parameters derived from VNA measurements (S-parameters). Another advantage of this formulation is its explicit dependence on mutual impedances. In later sections, we will compute WPT efficiency from mutual impedance measurements in the presence of the proposed metamaterial slab. Notice that we have modified the formula presented in [7] by replacing |Z 11,eq | with {Z 11,eq }. For the case of a WPT system using metamaterials, it is expected that some power will be stored in the metamaterial near-field, even when the transmitter and receiver coils are self-resonant. Therefore, a complex power would be drawn from the power supply. The reactive power needs to be removed from efficiency calculations since it is not dissipated in the circuit. Finally, it is common to find a series-parallel topology [3], [7] instead of the series–series topology discussed so far. A series equivalent of an ideal parallel RLC circuit can be found by computing the Thevenin equivalent of a capacitor in parallel to a resistor Zs =

R 2p Zp

1+



Rp |Z p |

2

Rs =

1+

Rp 2  Rp |Z p |

(4)

where Z p is the impedance of the original parallel capacitor, R p is the resistance of the original parallel resistor, Z s is the impedance of the equivalent series capacitor, and Rs is the impedance of the equivalent series resistor. B. Indefinite Metamaterial The effective properties of metamaterials depend on the properties of the resonant inclusions that comprise them. The resonance of these inclusions gives rise to effective properties that ideally display a Lorentzian dispersion, as shown in Fig. 9. The strength of this material resonance is partially determined by the quality factor (Q) of the resonant inclusions. High-Q resonant inclusions are desirable since they can lead to lower losses in the metamaterial, but their fabrication is not always possible due to unit-cell and material

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GÁMEZ RODRÍGUEZ et al.: COMPACT LOW-FREQUENCY METAMATERIAL DESIGN FOR WPT EFFICIENCY ENHANCEMENT

size limitations. One such limitation is the homogenization requirement, which dictates that an individual cell should be small compared to the wavelength at the operating frequency for effective medium properties to be valid. Additionally, unit cells cannot be arbitrarily large since a fine enough sampling of the excitation source is required to observe all the properties of an ideal metamaterial [34]. Furthermore, the overall sample size cannot be arbitrarily large since most applications impose a size limit for practical use. As a consequence, compact unit cells (on the order of cm) are preferred, even at frequencies in the MHz range. Among the unit-cell designs reported in literature, split-ring and spiral resonators are some of the most popular. Usually, the number of rings or turns has to be increased in order to reduce the operating frequency of these resonators [27]–[30], which can lead to an increased unit-cell size. In many cases, and especially at low frequencies (a few MHz), the increase of unit-cell size leads to an overall metamaterial size that is impractical for many applications. Capacitive loading [35], broadside coupling [30], and multi-layer spirals [31] are some of the techniques used to further reduce the size of unit cells. To the best of our knowledge, the most compact lowfrequency metamaterial unit cell reported in literature was the Swiss Roll [23], [32]. The Swiss Roll achieves a lateral size of λ0 /1000 at 21.3 MHz. One important fact that differentiates metamaterials from similar approaches using resonant structures is that they are often used off resonance. For example, it can be demonstrated that ideal metamaterials with negative refractive index n = −1 (simultaneous r = −1 and μr = −1) support perfect lensing [24]. These properties need to be achieved off resonance since the effect disappears for large material losses. In WPT efficiency enhancement applications, only control of the effective permeability of the material is needed to cause evanescent wave enhancement in the magnetic field. This happens because resonant inductive WPT systems usually work in the low MHz range, and at the length scale of practical devices, the magnetic and electrical fields are uncoupled. It should also be noted that, for WPT efficiency enhancement, we do not need to match the metamaterial impedance to that of free space since, once again, for practical device sizes, the radiated waves are largely suppressed in the low MHz range. Finally, the ideal permeability for WPT enhancement may vary from μr = −1 (the ideal permeability of the perfect lens) since the objective is to maximize the mutual impedance, which may not necessarily require the reconstruction of the transmitter field distribution at the receiver (perfect lensing) [12], [13], [15]. Metamaterial properties that enhance the amplitude of the field would result in efficiency enhancements even if the field of the transmitter is not perfectly reconstructed. In fact, some authors have proposed using properties different from the perfect lensing condition for metamaterials designs used in WPT [12], [13], [15], [17], [20], [25], [26], [36]. C. Case for Efficiency Enhancement Depending on the application, it may be important to differentiate between range extension and efficiency enhancement.

3

Fig. 3. Efficiency measurement setup. (a) Efficiency measurement setup using metamaterial design. (b) Efficiency measurement setup in air.

Fig. 3 shows how efficiency enhancement differs from the range extension considered by other works in this area [10]–[19], [25], [26]. If the working distance is to be kept constant, adding the metamaterial sample to the conventional WPT system requires that we increase the distance between the receiver and transmitter coils [see Fig. 3(a)]. In an application that requires a certain working distance, the use of the metamaterial would only make sense if the efficiency is increased even at the increased coil separation, as compared to the original system [see Fig. 3(b)]. An example of such an application is biotelemetry systems. In biotelemetry systems, the receiver coil is implanted and the transmitter device is placed outside of the body. The minimum required working distance is equal to the depth of the implantation. III. D ESIGN , FABRICATION , AND C HARACTERIZATION In the following, we present the analysis, design, fabrication, and characterization of the unit cell and metamaterial sample presented in this work. A. Unit-Cell Design Careful thought was given to the design of the unit cell used in this work. Cells based on multi-split ring and planar spiral resonators are not likely to meet the requirements of the applications under consideration since most resonant inductive WPT systems work in the low MHz range. Even extremely compact unit cells like the Swiss Roll [23], [32] (which to the best of our knowledge was the most compact cell found in the literature) are not suitable since the reported length (5 cm),

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 4. Unit-cell diagram and setting for effective property versus cell spacing study. (a) Proposed unit cell. (b) Setting used for effective property versus cell spacing study.

lateral size (λ0 /1000), and operating frequency (21.4 MHz) are too large to be used in common WPT systems. Inspired in part by the multi-layer spiral unit cell and the Swiss roll, it was realized that an ideal compact lowfrequency unit cell would need to efficiently use its depth to avoid a large lateral size. It was found that the ferrite loaded solenoid provides such efficient use. This cell has been previously proposed in [22] to attain a large permeability in the low GHz range, and it was considered as a promising candidate for metamaterial electromagnetic interference (EMI). Due to its structure, this cell possesses several properties that are desirable for the construction of compact low-frequency designs, which are: 1) the solenoidal flux coupling allows for a rapid increase in unit-cell inductance as the number of turns in the solenoid is increased; 2) this inductance scaling does not impact lateral size; and 3) loading the unit cell with a ferrite material enhances the inductance of the resonator while adding only relatively small losses, thus increasing the Q of the resonator. Higher Q makes it possible to further reduce the operating frequency or losses in the metamaterial without increasing its footprint. The ferrite loaded solenoidal unit cell also presents new challenges when compared to other unit cells found in the literature; most of them are associated with the use of the ferrite material. Since ferrite materials show relaxation at higher frequencies, the frequency of operation is constrained by the properties of the particular ferrite material. This relaxation can lead to low-Q resonators and high loss metamaterials at higher frequencies. Additionally, due to the finite size of the ferrite rods, the effective permeability of the rod decreases near the ends of the solenoid. As a consequence of this, the inductance of the solenoid does not always grow as the square of the number of turns, as would be expected. It is worth noting that a unit cell consisting of only the solenoidal coil would still provide large reductions on lateral size and could be considered in cases where the ferrite rod does not provide compelling advantages. The ferrite loaded solenoidal unit cell is depicted in Fig. 4(a). Fig. 5 shows the simulated Q for an inductor fabricated using the proposed ferrite loaded solenoid. A ferrite rod of length 20 mm and diameter of 2.5 mm with a bulk

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Simulated unit-cell Q factor versus number of turns. The simulated ferrite rod had 2 cm in length, 2.5 mm in diameter, and a bulk relative permeability of μr = 133.

relative permeability of μr = 133 was used for the simulation. The Q factors for 15-, 20-, and 25-turn solenoids made of 24 AWG single-strand copper wire (0.511-mm diameter) with a turn spacing of 0.1 mm were computed. As seen in Fig. 5, when the number of turns used in the solenoid increases, the Q of the unit cell decreases. The reason is that as the number of turns in the solenoid is increased, the ferrite core effective permeability decreases since the ends of the solenoid approach the ends of the ferrite rod. As a consequence, the inductance of the solenoid increases at a slower rate than the parasitic resistance of the solenoid, causing a reduction in Q. It must be noted that it is possible to further optimize the unit cell presented in this work. For example, the solenoid wire could be replaced by a Litz wire, which would cause an enhancement in Q due to its lower resistance. Another possible optimization would explore the effects of wire gauge. Constructing a more compact solenoid reduces the length of the solenoid for the same number of turns, thus reducing the effects of the finite length of the rod, though resistive losses would likely increase. Finally, instead of using a singlelayer solenoid, a multi-layered helix could be used, thus potentially increasing inductance and parasitic capacitances to the point where the unit cell can be made self-resonant. These optimization techniques were not attempted due to lack of the equipment necessary to fabricate enough of these cells to create a metamaterial sample. Table I shows the unit-cell parameters chosen for the fabrication of the metamaterial. A compromise in the number of turns of the unit cell was necessary since the number of turns increased inductance at the expense of Q. A unit cell with 20 turns was chosen as the best compromise between Q and inductance. B. Metamaterial Fabrication The compactness of the unit cell chosen in Section III-B allows for the fabrication of a very dense metamaterial sample. The larger the number of unit cells the better the effective

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GÁMEZ RODRÍGUEZ et al.: COMPACT LOW-FREQUENCY METAMATERIAL DESIGN FOR WPT EFFICIENCY ENHANCEMENT

5

TABLE I U NIT-C ELL PARAMETERS

Fig. 7.

Fig. 6. Simulated properties of ideal metamaterial versus unit-cell spacing. Unit-cell parameters are shown in Table I.

material properties describe the sample. Nonetheless, increasing the number of cells in the sample increases fabrication complexity, which may not be desirable. In order to explore the effect of unit-cell spacing, an infinite single-layer metamaterial with varying unit-cell spacing was simulated. The unit-cell parameters are given in Table I, the unit-cell length was aligned with the zˆ axis of the model, and E t = 0 and Ht = 0 boundary conditions were used for the termination in the yˆ - and zˆ -axis, respectively, while the ports were placed at opposite ends along the xˆ axis. The effective material properties were extracted with an extraction distance equal to the length of the ferrite core, and for lateral cell sizes of 6, 8, and 10 mm using the method proposed by Smith et al. [37]. The results of this experiment are shown in Fig. 6. As shown in Fig. 6, decreasing the unit-cell spacing results in a stronger resonance response and an slight frequency shift. The frequency shift seen on the effective material properties is mainly attributed to the larger resonance strength seen in metamaterials built with closely spaced unit cells, but also to the inter-cell coupling. Due to these results, it was decided to make a dense metamaterial sample since that cell spacing does not affect metamaterial size and, the stronger resonant response would, most likely, increase the efficiency enhancement of the system. Constructing this denser metamaterial sample should not be challenging in an industrial setting since solenoidal coils are used widely in industry and there is specialized equipment to fabricate them.

Fabricated metamaterial. (a) Side view. (b) Perspective view.

The metamaterial sample size was set to 6 × 6 × 2 cm3 , as a compromise between evanescent wave enhancement and compactness. It has been reported in the literature that, in general, a larger metamaterial (in any direction) leads to larger magnetic field enhancement [11], [15]. The metamaterial sample was designed to be anisotropic; it was fabricated using a single layer of 77 unit cells spaced by 6.6 mm in the lateral direction with each cell aligned with the zˆ axis of the system. Therefore, the effective material permeability shows a Lorentzian dispersion along the zˆ -axis of the system and ˆ and yˆ -axis. the properties of air (μr = 1) along the xFig. 7 shows the constructed metamaterial. The resonance frequency of each of the unit cells used to fabricate the metamaterial sample was measured, and all were found to fall in the range from 3.35 to 3.50 MHz. The consistency of the unit-cell parameters is expected to increase if more specialized equipment were to be used. C. Metamaterial Validation and Property Extraction As mentioned in Section I, an indefinite metamaterial is expected to increase the efficiency of WPT systems due to the enhancement of the evanescent waves that lie within it. This claim can be tested by measuring the mutual impedance between two coils when the metamaterial is placed in between them. Mutual impedance between two coils is defined as the flux seen at the receiver coil normalized to the transmitter coil current; therefore, the flux enhancement caused by the metamaterial would result in an increase in mutual impedance between the coils. Two 2-cm-radius single-turn coils made of 24 AWG solidstrand copper wire were used to perform the mutual impedance measurements. The coils were placed 4 cm apart: the mutual impedance between the coils was measured in absence of the metamaterial slab and when the metamaterial slab was placed 5 mm away from the excitation coil. For mutual impedance measurements only, a 40- resistor was added to the coils in series in order to provide a broadband impedance match that would not affect the frequency response of the system.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9.

Fig. 8. Metamaterial effect on mutual impedance of two-coil system. (a) Mutual impedance measuring setup. (b) Measured mutual impedance between two coils that are 4 cm apart.

This simple impedance match helps reduce the effect of measurement noise. Fig. 8(a) shows the measurement setup. Fig. 8(b) shows the result of performing the measurements described previously. The results of Fig. 8(b) show several features that are directly related to the presence of the metamaterial sample. The large enhancement in mutual impedance seen around 5.574 MHz is particularly notable. Another notable observation is that the mutual impedance is frequency dependent, which is expected since the effective material properties of the sample change with frequency. Additionally, it must be pointed out that the frequency at which the mutual impedance achieves its maximum (5.574 MHz) is different from the unitcell resonant frequency (3.35–3.50 MHz), which is expected, since negative permeability is achieved above resonance and results in mutual impedance enhancement. Finally, at the frequency of the largest enhancement (5.574 MHz), the unit cell achieves a lateral size of λ0 /10,766, which makes it, to the best of our knowledge, the most compact unit cell presented in the literature. It is important to show that the measured mutual impedance enhancement seen in Fig. 8(b) is the product of the evanescent wave enhancement caused by the metamaterial sample and is not just due to the ferrite rods used in the fabrication of the unit cells. In order to demonstrate this, we fabricated a 6×6×2 cm3 material consisting of 32 unit cells, using only the ferrite cores (no solenoidal resonator), with a lateral spacing of

Effective material properties of the fabricated metamaterial sample.

about 1.3 cm. It must be noted that replacing the volume of the metamaterial with a ferrite slab of the same dimensions would likely decrease the mutual impedance since ferrite slabs are commonly used for shielding in many applications. The results of this experiment can be seen in Fig. 8(b). As expected, the ferrite core results in an enhancement in mutual impedance. Nonetheless, this enhancement is significantly smaller than the enhancement seen in presence of the metamaterial sample and does not show the same frequency dependence. It would be desirable to measure the effective properties of the fabricated metamaterial, but such measurements are challenging due to the low operating frequency. Due to this, we opted to extract the properties of the metamaterial through an optimization routine. The setup in Fig. 8(a) was simulated in CST Microwave Studio, but the fabricated metamaterial was replaced with an homogeneous anisotropic slab of the same dimensions as the fabricated metamaterial. The simulated slab had the properties of air along the xˆ and yˆ -directions, while its permeability was constrained to show a Lorentzian dispersion along the zˆ -direction, thus modeling the intended anisotropic metamaterial design. The CST Microwave Studio Trust Region Framework optimizer was run to find the resonant frequency and damping coefficient of the Lorentzian dispersion that caused the simulated mutual impedance to better match the measured mutual impedances. The mutual impedance data in the range from 5.4 to 5.7 MHz was used to perform this optimization in order to avoid the parameter extraction uncertainty that would result from using a single frequency sample. During optimization, emphasis was given to the maximum absolute impedance enhancement value and frequency (5.574 MHz) since this was the frequency where maximum WPT efficiency enhancement was expected to be seen. The extracted effective permeability of the metamaterial along the zˆ -direction is shown in Fig. 9, while the simulated mutual impedance is shown in Fig. 8(b). The simulated mutual impedance shows excellent agreement with measurements near its peak value, at 5.574 MHz, while degrading as we move away from it. This results from the relatively narrow frequency range used for the numerical fit and the frequency relaxation of the ferrite core, which was not modeled in our simulation.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GÁMEZ RODRÍGUEZ et al.: COMPACT LOW-FREQUENCY METAMATERIAL DESIGN FOR WPT EFFICIENCY ENHANCEMENT

Fig. 10.

Mutual impedance magnitude measurement versus simulation.

The effective material permeability that results in the largest mutual impedance is found to be μr = −0.61 for the system under consideration. D. Validation of Extracted Properties The value of a simulation model is in its predictive capabilities. We compared simulated and measured mutual impedance values in order to validate our simulation model and extracted properties. For this we simulated and measured mutual impedance for varying coil separations. The coils separation was swept from 4 to 11 cm, while the metamaterial remained 5 mm away from the excitation coil. The maximum mutual impedance magnitude measurement and simulation results are shown in Fig. 10. For the cases where no metamaterial was used (air), or the ferrite-only material was used, Fig. 10 shows the mutual impedance measured at the frequency at which the maximum mutual impedance magnitude was measured in the presence of the metamaterial, 5.574 MHz for all coil separations. As seen in Fig. 10, excellent agreement was observed between the simulated and measured maximum mutual impedance magnitudes. Additionally, it can be seen that the maximum magnitude of the mutual impedance in the presence of the metamaterial sample is always larger than the mutual impedance in air, and the mutual impedance when using the ferrite-only material, even as the coils were moved further apart within the measuring range. Equations aimed at predicting the mutual inductance enhancement and WPT efficiencies caused by negative permeability metamaterials can be found in the literature [11]. The validity of these equations depends on two main assumptions: 1) the coils in the system can be approximated by ideal magnetic dipoles and 2) the lateral size of the metamaterial sample is large enough to be accurately approximated by an infinite metamaterial slab. Neither of these assumptions are valid in our system since the characteristic dimension and separation of all elements were very similar. These equations failed at describing our measurements, which helps confirm the results in [15], where the results predicted by the equations in [11] were compared to simulations of systems with finite size

Fig. 11.

7

Receiver radius effect on mutual impedance enhancement.

metamaterial slabs and finite size coils, and large discrepancies were found. E. Effect of Receiver Size Since some application may require smaller receiver coils than that considered in Section III-D, we decided to test if the type of enhancements seen for the mutual impedance between our coils would be observed for smaller coils as well. To do this, we used our extracted model and simulated the mutual impedance as we decreased the radius of the receiver coil. Fig. 11 shows the results of simulating the mutual impedance between coils, when the receiver coil radius is swept from 0.5 to 2 cm, the transmitter coil radius was 2 cm, coil-tocoil separation was 4 cm, and the metamaterial to transmitter separation was 5 mm. As seen in Fig. 11, the metamaterial produces a large enhancement in the mutual impedance between the coils even when the receiver coil radius is reduced. This result is expected since a reduction in the receiver coil radius only reduces the area over which the flux is seen, but the metamaterial flux enhancement is independent of the receiver coil area. IV. R ESULTS In order to further optimize our system efficiency, the metamaterial sample position that results in the largest possible mutual impedance needs to be identified. The optimal metamaterial sample position needs to be found experimentally since: 1) formulas presented in previous works [11] are not applicable to our system and 2) the extracted material properties that result in the largest efficiency enhancements in our system are different from the perfect lensing properties. The optimal metamaterial position was found through the following procedure: the metamaterial sample was placed in between two 2-cm-radius coils, these coils were placed 11 cm apart. The mutual impedance between the coils was then measured, when the metamaterial was moved from 3 to 9 cm away from the excitation coil (using the center of the metamaterial as the reference). Fig. 12 shows the mutual impedance measurements and simulations at 5.574 MHz.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II S INGLE -T URN WPT S YSTEM PARAMETERS

Fig. 12. Mutual impedance magnitude versus metamaterial sample position.

As seen in Fig. 12, the maximum mutual impedance of the system shows a significant dependence on the metamaterial position. An ideal perfect lensing system would not show any dependence on the position of the material since a perfect lens metamaterial can be modeled as a reduction on the distance between the coils that is equal to twice the thickness of the metamaterial. In our case though, we are not working at the perfect lensing condition of μr = −1, but at the condition μr = −0.61 where the maximum mutual impedance was observed. It can be seen in Fig. 12 that the mutual impedance between the coils increases as we move the coil closer to the excitation or receiver coils, therefore we conclude that the optimal placement of the metamaterial is as close to the excitation coil as possible, which, due to the limitations of our measurements setup, is 5 mm away from the excitation coil. Notice that this position has the benefit of maximizing the working distance of the system as well. The symmetry of the plot shown in Fig. 12 helps validate the measurement results. The impedance matrix should be symmetric for passive circuits and, as a consequence, the mutual impedance among the coils should be the same regardless of weather we excite the transmitter or receiver coil or equivalently move the metamaterial sample closer to the transmitter or receiver. A. Single-Turn System Enhancement Having shown that our metamaterial sample is capable of increasing the mutual impedance between two coils, and identified the position of maximum mutual impedance, we move on to measure efficiency increases. A two-coil resonant inductive WPT system was built with the parameters listed in Table II. As seen in Table II, we decided to add additional lumped elements to the system in order to increase the tunability of its frequency range. Care was taken to make sure that the additional inductors would not influence the mutual coupling of the transmitter and receiver devices and thus affect the results. Table II also shows that the receiver radius was set to 2 cm. Some applications may require smaller receiver coils, but we chose these coils to decrease sensitivity to errors in coil separation and angular misalignment. As seen in Fig. 11,

Fig. 13.

WPT efficiency measured as a function of coil-to-coil separation.

our metamaterial sample produces a large enhancement even for smaller coils, therefore, we expect to see efficiency enhancements similar to the ones presented on this paper even when the received coil is miniaturized. Fig. 13 shows the measured and simulated efficiency for the conventional and the metamaterial-assisted systems as a function of transmitter to receiver coil separation. The working frequency was chosen to be the frequency at which the metamaterial caused the largest mutual impedance enhancement, 5.574 MHz. For these measurements, the metamaterial was placed 5 mm away from the excitation coil, which is the minimum separation we could achieve in our measurement setup (mostly due the thickness of the metamaterial and coil holders). Range extension caused by the metamaterial can be found by subtracting the distance at which the metamaterialassisted system achieves a target efficiency from the distance at which the conventional system achieves the same efficiency. On the other hand, the efficiency enhancement of the system can be found by subtracting the metamaterial-assisted system efficiency from the conventional system efficiency at the same working distance. The working distance for the metamaterialassisted system can be computed by subtracting 3 cm, the effective metamaterial thickness, from the distance shown in Fig. 13. Fig. 3 is included to clarify these definitions. The simulated results presented in Fig. 13, were computed using the properties shown in Fig. 9 and the homogeneous

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GÁMEZ RODRÍGUEZ et al.: COMPACT LOW-FREQUENCY METAMATERIAL DESIGN FOR WPT EFFICIENCY ENHANCEMENT

9

TABLE III M ULTI -T URN WPT S YSTEM PARAMETERS

anisotropic model developed in the previous section. The computed results were found using (3) and the mutual impedance values shown in Fig. 10. It can be seen from Fig. 13 that, using the metamaterial, resulted in tangible efficiency enhancements. At 1-cm working distance, 1-cm coil-to-coil separation in air, and 4-cm coilto-coil separation when using the metamaterial, the system efficiency increased from 20% to 35%, 1.7 times larger than the original. Efficiency enhancement up to nine times the original system efficiency can be measured at the largest working distances, but at this point the efficiency of the system is very small. Such large efficiency enhancements can be explained by analyzing the WPT efficiency (3). It can be seen in this equation that the efficiency of the WPT system has a square dependence on |Z 21,eq |, which is a linear function of the mutual impedance Z M . The efficiency of a conventional two-coil WPT system decays rapidly since Z M decays rapidly as working distance is increased. However, in the presence of the metamaterial, the mutual impedance Z M is greatly enhanced and, therefore, the decay in mutual impedance is not as dramatic as in the conventional WPT system, thus the WPT efficiency decays more slowly when the metamaterial is used. Simulation and computed results are in good agreement with measurement results, as shown in Fig. 13. The differences can be explained by errors in the computation of the lumpedelement impedances, errors in the measurements of distances between the devices, and noise. B. Multi-Turn System Enhancement The system used in Section IV-A helped us analyze all aspects of using metamaterial in WPT systems in a consistent manner. Nevertheless, that system efficiency is not representative of the efficiency that two-coil systems are capable of achieving. Due to this, we further analyzed the efficiency enhancements that our design is capable of achieving when paired with higher efficiency multi-turn coils. Table III shows the parameters of this system and Fig. 14(a) and (b) show the multi-turn system measurement setup and results. As seen in Fig. 14(b), using the metamaterial designed in this paper results in significant enhancements even when the system uses multi-turn coils. In particular, it is important to notice that at a working distance 4.5 cm (7.5-cm equivalent coil–coil separation when using the metamaterial), the efficiency enhancement over the original system is around 10%,

Fig. 14. Multi-turn system efficiency. (a) Measurement setup. (b) Measured and simulated efficiencies.

which is double the efficiency of the conventional system. Furthermore, for an efficiency level of 10%, the range of the system is extended from 4.5 to 8.8 cm, an enhancement of 4.3 cm or 95% of the original range. These measurements stress even further that, despite the low frequency of operation and extremely compact dimensions, the metamaterial sample presented in this paper is capable of realizing tangible efficiency and range enhancements when used in WPT systems. Finally, Fig. 14(b) shows good agreement between simulated and measured results, despite all possible sources of error. V. C ONCLUSIONS In this paper, we presented a metamaterial sample that is compact (6 × 6 × 2 cm3 ), works at low frequencies (5.574 MHz), and produces large WPT efficiency enhancements. An efficiency enhancement of 10%, or double the original efficiency, is seen at a distance larger than one diameter of the transmitter coil (4.5 cm). Additionally, it is shown that the same design is capable of extending the range at which 10% efficiency is achieved from 4.5 to 8.8 cm, a 4.3-cm extension or 95% of the original system range. Larger enhancements are possible through further optimization of the metamaterial and the WPT system. The ferrite-loaded solenoid unit cell was the key to attaining these performance metrics. The optimized unit cell achieved a lateral size

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

of λ0 /10,766 and a low resonance frequency (3.35–3.50 MHz) when loaded by a 470-μF capacitor. To the best of our knowledge, this unit cell and metamaterial sample are the most compact reported in literature at low frequency. Yet this design can be used to provides large WPT efficiency enhancements while maintaining the system working distance. We believe these results indicate a strong future for metamaterials in low-frequency applications such as bio-telemetry systems. R EFERENCES [1] E. Waffenschmidt and T. Staring, “Limitation of inductive power transfer for consumer applications,” in Eur. Power Electron. Appl. Conf., Sep. 2009, pp. 1–10. [2] G. Wang, W. Liu, M. Sivaprakasam, and G. Kendir, “Design and analysis of an adaptive transcutaneous power telemetry for biomedical implants,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 10, pp. 2109–2117, Oct. 2005. [3] A. RamRakhyani, S. Mirabbasi, and M. Chiao, “Design and optimization of resonance-based efficient wireless power delivery systems for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 1, pp. 48–63, Feb. 2011. [4] F. Zhang, X. Liu, S. Hackworth, R. Sclabassi, and M. Sun, “In vitro and in vivo studies on wireless powering of medical sensors and implantable devices,” in IEEE/NIH Life Sci. Syst. Appl. Workshop, Apr. 2009, pp. 84–87. [5] N. Neihart and R. Harrison, “Micropower circuits for bidirectional wireless telemetry in neural recording applications,” IEEE Trans. Biomed. Eng., vol. 52, no. 11, pp. 1950–1959, Nov. 2005. [6] J. Park, S. H. Kim, and O. Jeong, “Use case and service framework for WPT (wireless power transfer),” in Int. Adv. Commun. Technol. Conf., Feb. 2014, pp. 1027–1030. [7] A. RamRakhyani and G. Lazzi, “On the design of efficient multicoil telemetry system for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 7, no. 1, pp. 11–23, Feb. 2013. [8] A. Kurs, A. Karalis, R. Moffatt, J. D. Joannopoulos, P. Fisher, and M. Soljaˇci´c, “Wireless power transfer via strongly coupled magnetic resonances,” Science, vol. 317, no. 5834, pp. 83–86, 2007. [9] L. Rindorf, L. Lading, and O. Breinbjerg, “Resonantly coupled antennas for passive sensors,” in IEEE Sensors, Oct. 2008, pp. 1611–1614. [10] B. Wang, K. H. Teo, T. Nishino, W. Yerazunis, J. Barnwell, and J. Zhang, “Experiments on wireless power transfer with metamaterials,” Appl. Phys. Lett., vol. 98, no. 25, 2011, Art. no. 254101. [11] Y. Urzhumov and D. R. Smith, “Metamaterial-enhanced coupling between magnetic dipoles for efficient wireless power transfer,” Phys. Rev. B, Condens. Matter, vol. 83, May 2011, Art. no. 205114. [12] Y. Zhao, V. Vutipongsatorn, and E. Leelarasmee, “Improving the efficiency of wireless power transfer systems using metamaterials,” in 10th Int. Elect. Eng./Electron., Comput., Telecommun., Infor. Technol. Conf., May 2013, pp. 1–4. [13] Y. Zhao and E. Leelarasmee, “Controlling the resonances of indefinite materials for maximizing efficiency in wireless power transfer,” in Int. Elect. Eng. Congr., Mar. 2014, pp. 1–4. [14] B. Wang, W. Yerazunis, and K. H. Teo, “Wireless power transfer: Metamaterials and array of coupled resonators,” Proc. IEEE, vol. 101, no. 6, pp. 1359–1368, Jun. 2013. [15] D. Huang, Y. Urzhumov, D. R. Smith, K. H. Teo, and J. Zhang, “Magnetic superlens-enhanced inductive coupling for wireless power transfer,” J. Appl. Phys., vol. 111, no. 6, 2012, Art. no. 064902. [16] G. Lipworth et al., “Magnetic metamaterial superlens for increased range wireless power transfer,” Sci. Rep., vol. 4, 2014, Art. no. 3642. [17] A. L. A. K. Ranaweera, T. P. Duong, and J.-W. Lee, “Experimental investigation of compact metamaterial for high efficiency mid-range wireless power transfer applications,” J. Appl. Phys., vol. 116, no. 4, 2014, Art. no. 043914. [18] Y. Fan and L. Li, “Efficient wireless power transfer system by using highly sub-wavelength negative-index metamaterials,” in IEEE Int. Wireless Symp., Apr. 2013, pp. 1–4. [19] A. Ranaweera, T. P. Duong, B.-S. Lee, and J.-W. Lee, “Experimental investigation of 3D metamaterial for mid-range wireless power transfer,” in IEEE Wireless Power Transfer Conf., May 2014, pp. 92–95.

[20] A. Rajagopalan, A. RamRakhyani, D. Schurig, and G. Lazzi, “Improving power transfer efficiency of a short-range telemetry system using compact metamaterials,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 947–955, Apr. 2014. [21] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of  and μ,” Sov. Phys.—Usp, vol. 10, pp. 509–514, 1968. [22] Z. Li, R. Huang, and L. Kong, “Permeability and resonance characteristics of metamaterial constructed by a wire coil wound on a ferrite core,” J. Appl. Phys., vol. 106, no. 10, pp. 103929–103929-6, Nov. 2009. [23] J. Pendry, “Manipulating the near field with metamaterials,” Opt. Photon. News, vol. 15, no. 9, pp. 32–37, Sep. 2004. [24] J. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, pp. 3966–3969, Oct. 2000. [25] H. Kim and C. Seo, “Highly efficient wireless power transfer using metamaterial slab with zero refractive property,” Electron. Lett., vol. 50, no. 16, pp. 1158–1160, Jul. 2014. [26] S. Lee, S. Kim, and C. Seo, “Design of multiple receiver for wireless power transfer using metamaterial,” in Proc. Asia–Pacific Microw. Conf., Nov. 2013, pp. 1036–1038. [27] F. Aznar et al., “Miniaturization and characterization of metamaterial resonant particles,” in 38th Eur. Microw. Conf., Oct. 2008, pp. 269–272. [28] F. Bilotti, A. Toscano, and L. Vegni, “Design of spiral and multiple split-ring resonators for the realization of miniaturized metamaterial samples,” IEEE Trans. Antennas Propag., vol. 55, no. 8, pp. 2258–2267, Aug. 2007. [29] K. B. Alici, F. Bilotti, L. Vegni, and E. Ozbay, “Miniaturized negative permeability materials,” Appl. Phys. Lett., vol. 91, no. 7, pp. 071121–071121-3, Aug. 2007. [30] F. Aznar, J. Garcia-Garcia, M. Gil, J. Bonache, and F. Martin, “Strategies for the miniaturization of metamaterial resonators,” Microw. Opt. Technol. Lett., vol. 50, no. 5, pp. 1263–1270, Mar. 2008. [31] W.-C. Chen, C. M. Bingham, K. M. Mak, N. W. Caira, and W. J. Padilla, “Extremely subwavelength planar magnetic metamaterials,” Phys. Rev. B, Condens. Matter, vol. 85, May 2012, Art. no. 201104. [32] M. C. K. Wiltshire, J. Pendry, J. Hajnal, and D. J. Edwards, ““Swiss roll” metamaterials—An effective medium with strongly negative permeability,” in IEE Metamater. Microw. and (Sub) Millim.-Wave Appl: Photon. Bandgap and Double Negative Designs, Compon., Experiments Seminar, Nov. 2003, pp. 13/1–13/10. [33] E. Gamez, A. Rajagopalan, and G. Lazzi, “Increasing wireless power transfer efficiency on implantable biomedical devices using ferrite based negative permeability metamaterial design,” in Joint USNC–URSI Radio Sci. Meeting/AP-S Symp., Jul. 2014, pp. 6–6. [34] D. R. Smith, D. Schurig, M. Rosenbluth, S. Schultz, S. A. Ramakrishna, and J. B. Pendry, “Limitations on subdiffraction imaging with a negative refractive index slab,” Appl. Phys. Lett., vol. 82, no. 10, pp. 1506–1508, 2003. [35] K. Aydin and E. Ozbay, “Capacitor-loaded split ring resonators as tunable metamaterial components,” J. Appl. Phys., vol. 101, no. 2, Jan. 2007, Art. no. 024911. [36] D. Ahn, M. Kiani, and M. Ghovanloo, “Enhanced wireless power transmission using strong paramagnetic response,” IEEE Trans. Magn., vol. 50, no. 3, pp. 96–103, Mar. 2014. [37] D. R. Smith, S. Schultz, P. Markoš, and C. M. Soukoulis, “Determination of effective permittivity and permeability of metamaterials from reflection and transmission coefficients,” Phys. Rev. B, Condens. Matter, vol. 65, Apr. 2002, Art. no. 195104.

Erik Saturnino Gámez Rodríguez (GSM’12) was born in El Rosario, Cuscatlán, El Salvador. He received the Bachelors degree in electrical engineering and Masters of Science degree in electrical engineering from the University of Utah, Salt Lake City, UT, USA, and is currently working toward the Ph.D. at the University of Utah. His research interests and work include wireless power transfer optimization and enhancement, antenna design and miniaturization, novel wireless communication devices, radar imaging, and applications of spatial filtering in the microwave regime.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GÁMEZ RODRÍGUEZ et al.: COMPACT LOW-FREQUENCY METAMATERIAL DESIGN FOR WPT EFFICIENCY ENHANCEMENT

Anil Kumar RamRakhyani (M’14–S’09) received the B.Tech. degree in electrical engineering from the Indian Institute of Technology–Kanpur, Kanpur, India, in 2006, the M.A.Sc. degree in electrical and computer engineering from the University of British Columbia, Vancouver, BC, Canada, in 2010, and the Ph.D. degree in electrical and computer engineering from the University of Utah, Salt Lake City, UT, USA, in 2014. From 2006 to 2008, he was a Senior Design Engineer with the Sarnoff Corporation, where he was involved with software and hardware design in healthcare- and RF-based products. In the summer of 2013, he was an Intern with the Neuromodulation Division, Boston Scientific. From June 2014 to July 2015, he was a Postdoctoral Scholar with the University of Utah. His main research interests include wireless power transfer, computational electromagnetics, bioelectromagnetics, metamaterials, neural stimulators, biomedical systems, and integrated analog circuit design.

David Schurig received the B.S. degree in engineering physics from the University of California at Berkeley, Berkeley, CA, USA, and the Ph.D. degree in physics from the University of California at San Diego, La Jolla, CA, USA, in 2002. He then joined the Lawrence Berkeley Laboratory, where he was involved with laser ablation and photoacoustic spectroscopy. After enrolling in graduate school and performing many unpublished experiments, he submitted a theoretical thesis on negative index media, the perfect lens, and related structures. He was also with the California Space Institute, where he performed space mission feasibility studies, and with Tristan Technologies, where he designed and built cryogenically cooled SQUID-based instruments. He then joined Duke University, where he was supported by the Intelligence Community (IC) Postdoctoral Fellowship Program. He was then an Assistant Professor with the Electrical and Computer Engineering Department, North Carolina State University. In January 2011, he joined the Electrical and Computer Engineering Department, University of Utah, Salt Lake City, UT, USA.

11

Gianluca Lazzi (S’94–M’95–SM’99–F’08) received the Dr. Eng. degree in electronics from the University of Rome “La Sapienza,” Rome, Italy, in 1994, the Ph.D. degree in electrical engineering from the University of Utah, Salt Lake City, UT, USA, in 1998, and the Executive M.B.A. (with a specialty in corporate finance) from the Instituto de Empresa (IE) Business School, Madrid, Spain, in 2015. He is currently a USTAR Professor and Department Chair with the Department of Electrical and Computer Engineering, University of Utah. Prior to his appointment with the University of Utah, he was a Professor (2006–2009), an Associate Professor (2003–2006), and an Assistant Professor (1999–2003) with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC, USA. He has been a Visiting Researcher with the Italian National Board for New Technologies, Energy, and Environment (1994), a Visiting Researcher with the University of Rome “La Sapienza” (1994–1995), and a Research Associate (1995–1998) and Research Assistant Professor (1998–1999) with the University of Utah. He has authored or coauthored more than 200 international journal papers, conference presentations, and book chapters on implantable devices, medical applications of electromagnetics, wireless telemetry, antenna design, computational modeling, dosimetry, and bioelectromagnetics. Dr. Lazzi was the Chair of Commission K (Electromagnetics in Biology and Medicine) (2006–2008) and a Member-at-Large (2009–2011) of the U.S. National Committee of the International Union of Radio Science (URSI). He was an Associate Editor for IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS (2001–2007) and served as its Editor-in-Chief from 2008 to 2013. He also served as a Guest Editor for the “Special Issue on Biological Effects and Medical Applications of RF/Microwaves” of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES in 2004 and as the Technical Program Chair of the IEEE Antennas and Propagation International Symposium and URSI Meeting in Charleston, SC, USA, in 2009. He is currently a Member of the Editorial Board of the P ROCEEDINGS OF THE IEEE, the Chair of the IEEE Sensors Council Fellow Committee, and the Chair of the Publications Committee of the IEEE Antennas and Propagation (AP) Society. He was the General Co-Chair of the 2014 edition of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium on RF and Wireless Technologies for Biomedical Applications. He was the recipient of the 1996 Curtis Carl Johnson Memorial Award for the best student paper presented at the 18th Annual Technical Meeting of the Bioelectromagnetics Society, a 1996 URSI Young Scientist Award, a 2001 Whitaker Foundation Biomedical Engineering Grant for Young Investigators, a 2001 National Science Foundation CAREER Award, a 2003 NCSU Outstanding Teacher Award, the 2003 NCSU Alumni Outstanding Teacher Award, the 2003 ALCOA Foundation Engineering Research Award, the 2006 H. A. Wheeler Award from the IEEE Antennas and Propagation Society for the best application paper published in IEEE T RANSACTIONS ON A NTENNAS AND P ROPAGATION in 2005, a 2008 Best Paper Award at the IEEE GlobeCom Conference, the 2009 ALCOA Foundation Distinguished Engineering Research Award, a 2009 R&D 100 Award, and the 2009 Editors Choice Award from the R&D Magazine for the Artificial Retina Project.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1655

A 2.4-GHz CMOS Class-E Synchronous Rectifier Soroush Dehghani, Graduate Student Member, IEEE, and Thomas Johnson, Member, IEEE

Abstract— A class-E synchronous rectifier has been designed and implemented using 0.13-μm CMOS technology. A design methodology based on the theory of time-reversal duality has been used where a class-E amplifier circuit is transformed into a class-E rectifier circuit. The methodology is distinctly different from other CMOS RF rectifier designs which use voltage multiplier techniques. Power losses in the rectifier are analyzed including saturation resistance in the switch, inductor losses, and current/voltage overlap losses. The rectifier circuit includes a 50- single-ended RF input port with on-chip matching. The circuit is self-biased and completely powered from the RF input signal. Experimental results for the rectifier show a peak RF-to-dc conversion efficiency of 30% measured at a frequency of 2.4 GHz. Index Terms— Class-E amplifier, class-E rectifier, RF energy harvesting, wireless power.

I. I NTRODUCTION

T

HERE is great interest in developing fully integrated wireless sensors that do not require battery power. Selfpowered sensors have many potential applications including embedded sensors in infrastructure, biomedical implants, and wearable sensors. One method for powering these sensors is to use wireless power transmission methods [1]–[3] that rectify either ambient or directed RF power. Most ultra-low power radios in wireless sensors are fabricated in CMOS technology. Therefore, a fully integrated design, which includes a CMOS wireless power subsystem, motivates research in the design of CMOS RF rectifier circuits. Published work on the design of RF-to-dc rectifiers can be broadly classified into integrated designs [CMOS and monolithic microwave integrated circuits (MMICs)] and discrete designs implemented with diodes or transistors. There are different metrics that can be used to compare designs including frequency, efficiency, dynamic range, area, matching, and circuit topology. Using these metrics, a summary of recent work is shown in Table I. The comparison table is complemented by Fig. 1, which shows the dynamic range versus power efficiency for each design listed in the table. CMOS rectifier designs in Table I span a frequency range of 950 MHz to 5.8 GHz and designs [4]–[10] use voltage multiplier circuits to rectify low-power RF input signals. When comparing these designs, it is important to distinguish the conditions under which power efficiency is reported. In [6]–[8], [10], the designs include input matching circuits

Manuscript received December 7, 2015; revised February 12, 2016; accepted March 20, 2016. Date of publication April 14, 2016; date of current version May 10, 2016. The authors are with the School of Engineering, University of British Columbia, Kelowna, BC, Canada V1V 1V7 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2547393

with a single-ended RF input source and RF to dc power efficiency ranges from 8.8% to 15.9%. In other work, [4], [5] and [9], the power efficiency that is reported ranges from 29% to 67.5%, but these measurements have been de-embedded to exclude input mismatch loss. Consequently, higher power efficiency is reported in work that removes input mismatch loss compared to other designs that report power efficiency including on-chip and/or off-chip input matching networks. Input mismatch loss can be quite significant if the input impedance deviates significantly from the antenna impedance. Another important distinction between different designs is whether the circuit requires a differential input signal. In a differential design, if a single-ended antenna port is connected to the rectifier, an input balun is required and losses associated with the balun reduce the overall power efficiency of the rectifier. Frequency is also another variable that affects the reported power efficiencies in Table I. Higher power efficiency is reported for lower frequencies; for example, in [5, Fig. 7], power efficiency was measured at frequencies of 100 MHz, 500 MHz, 953 MHz, and 2 GHz. The corresponding power efficiencies measured for a differential input source and after removing input mismatch loss are 82%, 73%, 67%, and 57%. In other work [6]–[8] that includes mismatch loss and a singleended RF input, the power efficiency at 2.4 GHz ranges from 8.8% to 15.9%. In this work, we have obtained a power efficiency of 30% at 2.4 GHz for a single-ended RF input including on-chip matching. For comparison with CMOS rectifier designs, Table I also shows recent work in the implementation of RF to dc rectifiers using MMICs [11] and discrete devices. Discrete RF rectifier designs include diode circuits [12]–[17] and transistor switching circuits [18]–[20]. The typical power efficiency of discrete rectifier designs is higher than CMOS and ranges from 51% to 83%. However, despite the high performance of discrete designs, they are not easily integrated into ultralow-power CMOS wireless radio hardware. In this paper we report on the design of a class-E switching RF rectifier implemented in CMOS that is a distinctly different from other CMOS designs that use voltage multiplier techniques. There are several reasons to consider switching circuits instead of voltage multiplier circuits. First, as demonstrated by the discrete designs shown in Table I, very good power efficiency has been obtained with transistor switching circuits. Second, because the circuit is derived from the time-reversed dual of a switch-mode power amplifier [21], the design methodology includes input matching, which is fundamental to the design of the amplifier circuit. Input matching required

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

TABLE I P ERFORMANCE C OMPARISON OF R ECENTLY R EPORTED RF R ECTIFIER C IRCUITS

for voltage multiplier circuits can be more difficult and is not inherently part of the design methodology; rather, the voltage multiplier circuit is designed first, then the implications of matching are considered. Third, rectifier duals derived from switching amplifiers operate the device in the third quadrant where drain voltage and current are reversed when the switch is on. The diode-like behavior in the third quadrant is dependent on the gate voltage and offers another degree of freedom relative to diode connected MOS devices in voltage multiplier circuits where the drain and gate are tied together. Finally, CMOS circuits can have advantages in terms of gate biasing. In most of the discrete rectifier designs with GaAs or GaN devices, a separate gate bias supply is required and the gate bias circuit is not included in the design. In the CMOS rectifier design described here, zero gate biasing is used and no separate gate supply is required. Therefore, the CMOS class-E rectifier design is completely self-powered from the RF input. In the following sections, the design and experimental test results for a CMOS RF class-E synchronous rectifier are described. We begin with a brief summary of the design methodology to transform a class-E amplifier into a class-E rectifier using the theory of time-reversal duality [21]. Since the amplifier design serves as a prototype for the rectifier circuit, the amplifier design is evaluated carefully with respect

to power-efficiency losses. A study of the incremental effect of different losses versus power efficiency is presented and this highlights factors that reduce power efficiency in the circuit. A CMOS class-E amplifier is designed and transformed into a synchronous class-E rectifier circuit by adding feedback from the RF input to the gate. In Section V, simulation and experimental test results are shown for the CMOS class-E rectifier. II. C LASS -E S YNCHRONOUS R ECTIFIER C IRCUIT T OPOLOGY The circuit topology for the RF class-E rectifier is obtained from the theory of time-reversal duality. As shown in [21], time-reversal duality can be used to transform an amplifier into a rectifier. The theory was first applied to circuits in power electronics where an inverter (amplifier) was transformed into a synchronous rectifier. The class-E amplifier and class-E rectifier circuits used in this work are shown in Figs. 2 and 6, respectively. When the theory of time-reversal duality is used, it is important to consider the assumptions and implications of the transformation. First, the theory is based on lossless switching. At low frequencies this is a good assumption; however, at high frequencies this becomes less accurate and in the case of RF CMOS circuits, the losses can be substantial.

DEHGHANI AND JOHNSON: 2.4-GHz CMOS CLASS-E SYNCHRONOUS RECTIFIER

Fig. 1.

Fig. 2.

1657

Performance comparison of recently reported RF rectifier circuits. (a) Discrete rectifier circuits. (b) Integrated rectifier circuits.

Class-E amplifier circuit.

Despite the assumption of lossless switching, the theory provides an excellent starting point to investigate an appropriate circuit topology that is suitable for rectification. Second, timereversal duality has implications in terms of the operating region of the switch [22], [23]. In a switch-mode amplifier like class E, current flows into the drain of the device and the device operates in quadrant I. However, in the rectifier

configuration, current flow is reversed and current flows out of the drain, which means the device operates in quadrant III. Third, the theory assumes the output match of the amplifier under large-signal conditions is perfect and that the load waveform is perfectly sinusoidal. The class-E amplifier output circuit has finite Q and deviations from a perfectly real output impedance mean that there are differences when the circuit is reconfigured as a rectifier. Fourth, the gate drive is assumed to be sufficiently large to switch the device. In RF rectifier applications this means that the class-E rectifier conditions are satisfied at peak power, but as the power is reduced, the assumption becomes less valid and the operating mode of the rectifier changes from a switch to a transconductor. This ultimately constrains the dynamic range over which the RF rectifier can be used. The implication of these points is that a practical RF class-E synchronous rectifier needs some adjustment from ideal theory.

1658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

III. A NALYSIS OF A C LASS -E A MPLIFIER W ITH L OSSES Since the class-E synchronous rectifier is based on the transformation of a class-E amplifier, the design methodology begins with the amplifier. The theory of ideal class-E amplifiers is well known [24], [25], and in the ideal analysis, losses are assumed to be negligible. On the other hand, when losses are not negligible, the values of circuit elements needs to be modified to optimize power efficiency. In the following sections, the implication of losses in the class-E amplifier and the class-E rectifier are described. A. Current and Voltage Equations for Class-E Amplifiers A class-E amplifier circuit is shown in Fig. 2. In the circuit, a switch M1 is shunted by a capacitance C. The switch closes with a frequency of f sw , also called the fundamental switching frequency. The switch and capacitor are combined into a subnetwork indicated by the dashed box. At the output node labeled A there are three currents that must satisfy Kirchoff’s current law i A = IDC − i L . (1) We now consider the different frequency components that make up the currents at node A. A dc current, IDC , is the power supply current for the amplifier and the dc current passes through inductor L D D . The inductor is sufficiently large and has high impedance at the fundamental frequency switching frequency, f sw , and at all harmonics of the switching frequency. The series resonator (L S and C S ) has sufficiently high Q at f sw to ensure the load current, i L , is sinusoidal. Therefore, a harmonic-balance analysis of currents at node A shows that the current i A , which flows into the switch subnetwork, has only two frequency components: a dc component and a sinusoidal frequency component at fsw . Inside the switch subnetwork, the current i A is split between the switch current (i sw ) and the capacitor current (i C ). Therefore, i A = i sw + i C . (2) The switch state is controlled by the input gate signal VS . When the switch is on, current i A flows through the switch, and when the switch is off, current i A flows through the capacitor. The voltage waveform at node A, v A , is directly linked to the shape of the capacitor current waveform, i C . The relation is given by  t 1 v A (t) = i C (t) dt. (3) C 0 Since the capacitor current has harmonic frequency components, the voltage waveform at node A also has harmonic frequency components. At this point, it is important to note that no assumptions have been made about switching time. Equations (1)–(3) are valid for both instantaneous switching as well as for finite switching times. B. Ideal Class-E Amplifier Equations In a CMOS design, losses are significant. Our goal is to investigate how different loss mechanisms impact power efficiency, as well as determine how component values for an

ideal class-E design need to be modified to maximize power efficiency in the presence of loss. As a first step, equations for the ideal class-E amplifier with no loss are summarized. These equations are then used to study losses. All signals in the class-E circuit are assumed to be periodic and in the steady state. Since the signals are periodic, it is convenient to work with the instantaneous phase of signals rather than time. The instantaneous phase is defined as θ = ωsw = 2π fsw t. It is assumed the gate-drive signal has a 50% duty cycle, and over a 2π interval, the switch is on from 0 to π and off from π to 2π. The output load current i L is sinusoidal and defined as i L (θ ) = −Iom sin(θ − ϕ),

for θ ∈ [0, 2π]

(4)

where Iom is the peak amplitude of the load current. The load current has an initial phase ϕ determined by imposing a zero voltage switching condition on the capacitor voltage waveform. The dc supply current is IDC , and the current into the switch subnetwork is i A (θ ) = IDC + Iom sin(θ − ϕ),

for θ ∈ [0, 2π].

(5)

Equation (5) can also provide an expression for the dc current by noting that the current i A is zero at θ = 0. Evaluating this condition shows IDC = Iom sin(ϕ). (6) This equation also shows that the peak load current Iom can be expressed as IDC csc(ϕ). When the switch is on for the interval [0, π], the voltage is ideally zero, and all the current i A flows through the switch. Using (5) and (6), the switch current is   (7) i sw (θ ) = IDC 1 + cot(ϕ) sin(θ ) − cos(θ ) for θ ∈ [0, π]. The voltage across the switch is found using (3). When the switch is open during the interval [π, 2π], the capacitor current i C is equal to i A , and the corresponding voltage is  θ 1 i A (θ ) dθ v A (θ ) = 2π f sw C π Iom [(θ − π) sin ϕ − cos(θ − ϕ) − cos ϕ] (8) = 2π f sw C for θ ∈ [π, 2π]. An ideal class-E amplifier has zero voltage switching, which requires v A (π) = v A (2π) = 0. The zero voltage switching is satisfied providing   2 ϕ = arctan = 32.482°. (9) π The dc supply voltage for the amplifier is found by calculating the average value of the switch voltage waveform v A over a 2π interval. Noting that the voltage is zero when the switch is closed over the interval (0, π), and using (8) for v C over the open switch interval,  2π 1 IDC . (10) v A (θ )dθ = VD D = 2π π πωsw C The last expressions required to design an ideal class-E amplifier are values for the phase-shift inductor L M and

DEHGHANI AND JOHNSON: 2.4-GHz CMOS CLASS-E SYNCHRONOUS RECTIFIER

1659

Fig. 3. Waveforms for the class-E amplifier circuit in Fig. 2 (top row): (a) drain and gate voltages for the switch (M1 ), (b) drain current through the switch M1 and current through the shunt capacitor C, (c) dynamic I -V curve for the switch. Waveforms for the class-E rectifier dual (bottom row): (d) drain and gate voltages for the switch (M1 ), (e) drain current through the switch M1 and current through the shunt capacitor C, (f) dynamic I –V curve for the switch. The gate-drive signal has rise and fall times equal to T /10 for both the class-E amplifier and class-E rectifier.

the shunt capacitance C. These values can be found from the required impedance seen looking towards the load at node A (see Fig. 2). The optimum load impedance at node A is [25]–[27] Z A,opt (ωsw ) =

(0.1836 + j 0.2116) (ωsw C)

(11)

for the fundamental frequency. For harmonic frequencies, Z A,opt (ω) is ideally open; however, in practical circuits the loaded Q of the output network is finite and the impact of finite harmonic impedance needs to be considered. This is discussed more in Section III-E. From (11), the real and imaginary parts can be used to find expressions for C and L M , C = Re[Z A,opt (ωsw )] = and LM =

0.1836 (ωsw R L )

Im[Z A,opt (ωsw )] 0.2116 = 2 . (ωsw ) (ωsw C)

(12)

(13)

C. Current/Voltage Overlap Losses When the gate-drive signal has finite switching times, this modifies the waveforms in the class-E amplifier. Since the switch current waveform follows the gate voltage, the current i A has finite rise and fall times, which overlaps with the voltage waveform v A . Other factors that affect the shape of the waveforms are the threshold voltage of the device, nonlinear transconductance during the switching interval, and current saturation during the switching interval. These factors

complicate the analysis of modified waveforms resulting from finite switching time and instead we investigate the effect of switching times qualitatively by looking at simulated waveforms and dynamic I –V curves. A detailed analysis of overlap loss is not particularly useful in the CMOS circuit because, as will be shown, other resistive loss mechanisms are much more significant and limit power efficiency. As a way to investigate the effect of finite switching in class-E circuits, the switch in Fig. 2 is modeled as a nonlinear transconductance that is extracted from the BSIM4 device model in the CMOS process design kit (PDK). All other components are assumed to be ideal. The corresponding waveforms for a class-E amplifier and a rectifier dual are shown in Fig. 3. For these circuit simulations, the gate drive is a square wave with rise and fall times of T /10, where T = 1/ f sw . The top row corresponds to the amplifier, while the bottom row corresponds to the rectifier. The amplifier waveforms are referenced here, and later, in Section IV, the rectifier waveforms will be discussed. Six points have been labeled in Fig. 3 to follow the evolution of one RF cycle over an interval of [0, 2π]. The RF cycle in the amplifier begins at point A, which is defined as the start of the rising edge of the gate-drive signal shown in Fig. 3(a). As the gate voltage rises it eventually reaches the threshold voltage of the device at point B. The threshold voltage for this CMOS device is approximately 0.4 V, and the time it takes to reach threshold creates a short period of time where the current through the switch is zero. This is seen in the drain current waveform in plot Fig. 3(b). The drain current has a small delay

1660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

and then steps up to the saturation current. Above threshold, drain current flows through the switch. At point C, the drain current peaks and current continues to flow until the switch turns off at point D. The falling edge of the gate waveform corresponds to the interval D–E and during this time the current through the switch is chopped while the capacitor current starts to rise. By the end of the switching interval at point E, the capacitor carries all the current entering the switch subnetwork. The zero crossing of the capacitor current is at point F and this corresponds to peak drain voltage. After point F, the drain voltage falls and the voltage transitions to zero at the end of the RF cycle returning to point A. A dynamic I –V curve illustrating how the drain current and drain voltage change over an RF cycle is shown in Fig. 3(c). Power loss associated with the overlap of the drain current and drain voltage as the switch turns off is evident by the contour D–E, which sweeps across the I –V plane. The power loss can be estimated by assuming the drain current and capacitor current transition linearly over the interval D–E. The switching transition time is τs , the phase at point D is θ D , and the phase at point E is θ E . Using these variables, the switch current is   θ − θD i sw (θ ) = i (θ D ) 1 − , for θ ∈ [θ D , θ E ] (14) τs and the capacitor current is   θ − θD , i C (θ ) = i (θ D ) τs

2 i (θ D )  θ − θD , 2ωsw Cτs

a period from [0, π]. The corresponding power dissipation in rsat is Prsat

1 = 2π

for θ ∈ [θ D , θ E ].

(15)

for θ ∈ [θ D , θ E ].

The switch current at θ D is approximately equal to the ideal switch current for θ = π. Therefore, from (7) it follows that i sw (π) = i sw (θ D ) = 2IDC . Using this relation, and (14), (16), and (17), 2 τ2 IDC s . (18) Pov = 12π ωsw C

0

i 2A (θ ) rsat dθ =

2 rsat IDC (π 2 + 28). 16

(19)

(20)

and the power loss in the output inductor is 1 = 2π



2π 0

i L2 (θ ) rm dθ =

2 r Iom m . 2

(21)

Another way to express the loss from the resistance of the output inductor is to combine resistance rm with the load R L and then express the loss in terms of the dc supply power. Using this method, Prm = PDC

rm . rm + R L

(22)

Equations (19)–(21) give expressions for the absolute power losses created by resistive losses. It is also useful to normalize these power losses to the total dc power (PDC ) to determine the relative significance of each specific loss mechanism. Using (10) for the dc drain supply voltage, and rearranging (12) to obtain the relation ωsw C = 0.1836/R L , the dc supply power is PDC = V D D IDC =

2 R IDC L . 0.1836 π

(23)

Normalized power losses are then expressed as Prsat rsat = 1.365 PDC RL Pr D D rDD = 0.5767 PDC RL

D. Resistive Losses In a CMOS implementation, the most significant loss mechanisms, which reduce power efficiency, are dissipation in switch saturation resistance (rsat ), resistive losses (r D D ) in the drain inductor L D D , and resistive losses (r M ) in the output inductor, L M + L S . A class-E circuit model with these losses is shown in Fig. 4. Equations for these power losses are derived below. The switch has an average saturation resistance rsat during the on state. Over one RF cycle, the switch is on for half

π

2 Pr D D = r D D IDC

(16)

The corresponding power dissipated from the overlap of drain current and drain voltage during the on-to-off transition interval is  θE 1 Pov = i sw (θ )v A (θ ) dθ. (17) 2π θ D



The power loss in the drain inductor L D D is

Prm

The corresponding drain voltage is found by integrating the capacitor current and v A (θ ) =

Fig. 4. Class-E amplifier with dissipative losses in the switch and the inductors.

(24) (25)

and Prm rm = . PDC R L + rm

(26)

These equations are used in Section III-E to compare analytic results with simulations results.

DEHGHANI AND JOHNSON: 2.4-GHz CMOS CLASS-E SYNCHRONOUS RECTIFIER

1661

TABLE II S IMULATION R ESULTS FOR D IFFERENT C LASS -E A MPLIFIER C IRCUITS

E. CMOS Class-E Amplifier Reference Design A 2.4-GHz class-E amplifier was designed using components in the IBM CMRF8SF PDK for 0.13-μm CMOS technology. The switch is an NMOS device and the device has a maximum operating voltage of 3.3 V. A drain supply voltage (V D D ) of 1 V is selected and provides headroom for the peak voltage across the device [28], [29]. The NMOS switch has a gate width of 360 μm and the device is sized to directly match to a 50- load. The gate bias voltage (VGG ) for the switch is 500 mV and the bias is compatible with a 1-V peak-to-peak gate-drive signal. Initial component values for the design were found using the ideal class-E design equations in Section III-B. From the design equations, the switch capacitance C is 243.5 fF and the phase-shift inductance L M is 3.8 nH. The series resonator capacitance, C S , is 700 fF and has a loaded Q of approximately 5. The Q needs to be sufficiently large to ensure the load signal is sinusoidal. The corresponding resonator inductor, L S , is 6.3 nH for operation at a fundamental frequency of 2.4 GHz. The estimated dc current is 11.5 mA calculated from (10) and the total dc power supplied to the drain is 11.5 mW. Although the ideal class-E amplifier design equations provide a good starting point, a practical CMOS amplifier requires further refinement in component values to compensate for loss. Factors that modify the ideal design equation values include finite switch resistance, finite inductor Q, tradeoffs between area and inductance, and finite capacitor Q. These factors reduce the power efficiency of the class-E design and a sequential design methodology is adopted to independently evaluate the effect of each nonideal component. A summary of the design steps that were used to arrive at the final values for the CMOS class-E amplifier design are summarized in Table II. The table includes component values, simulation results, and analytic results. For the simulation results, the input signal to the amplifier is a 2.4-GHz square wave with a peak-to-peak amplitude of 1 V and a dc offset of 0.5 V. The first row in the table corresponds to the

ideal class-E amplifier. The ideal amplifier uses a switch for the active device, and the switch has negligible on resistance (1 m) and changes state nearly instantaneously (trise = t f all = 0.024 × T ). Since the output resonator has a Q of 5, the harmonic impedances at reference plane A (see Fig. 2) are not infinite. Therefore, the ideal values do not lead to zero voltage switching and the values for C and L M are increased by approximately 18% to obtain ideal zero voltage switching conditions. The readjustment in component values leads to nearly ideal performance with a power efficiency of 99.5%. In row 2, the power loss associated with the output inductors, L S and L M , are evaluated. The final inductor used in the CMOS design is 14 nH (see row 6) and the Q of the inductor is 13.9 at a frequency of 2.4 GHz. Based on these values, the equivalent series resistance, rm , is 15 . A series resistance is added to the ideal class-E amplifier, as shown in Fig. 4, to model the inductor loss. The class-E is then re-optimized to find the best values for C and L M with the addition of the inductor loss. The simulated power efficiency including rm is 76.5% and this compares very closely to the analytic obtained using (26). In row 3, the on state switch resistance is changed from 1 m (ideal) to rsat . For this design, rsat is approximately 7.75 , and the switch loss reduces power efficiency by 21.6%. The analytic estimate for the power loss associated with rsat is 21.2%, very close to the simulated value. The addition of rsat also changes the switching waveforms in the amplifier, and C and L M are re-optimized to maximize the power efficiency with the additional loss. In row 4, the overlap loss from finite switching times are evaluated. The switch model in row 3 is used for the simulation. The results show that the additional power loss generated by changing the gate waveform from instantaneous switching to a waveform with rise and fall times of T /10 has a very small effect on power efficiency—only 1% in this case. In row 5, the power loss from the drain inductor is evaluated. In the final design (row 6), a 18.7-nH inductor is used and the inductor has a Q of 12 at a frequency of 2.4 GHz.

1662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 5.

Impedance of CMOS class-E amplifier load network: Z A ( f ).

The inductor loss is modeled by r D D in Fig. 4. The simulated power efficiency with the addition of r D D is 75.2% and the analytical estimate is 73% using (24). Conclusions from the loss study show that the contribution to power loss from rsat , rm , and r D D are similar and result in power efficiencies that range from 75.2% to 78.4%. The study also shows that each impairment requires a re-optimization step to adjust the shunt capacitance C and the phase-shift inductance L M to maximize power efficiency in the presence of loss. Other conclusions are that it is difficult to predict the superposition of all the losses, and the analytic results are most useful to evaluate the relative significant of a specific loss. In row 6, results are shown for a complete simulation of the CMOS class-E amplifier. The simulation results were generated using Spectra RF and the PDK for the CMOS process. The design includes all loss mechanisms, which were evaluated independently, as well as other impairments including nonlinear device capacitances and models for the physical layout of the inductors. In the final design, the intrinsic device capacitance of the device is used for C and no additional discrete capacitance is added. The simulated power efficiency for the complete CMOS class-E amplifier design is 46.7%. The impedance of the output network at reference plane A is important and the simulation results for the final output network design implemented with the CMOS PDK are shown in Fig. 5. At the fundamental frequency, the real part of the impedance is close to 50  and the reactive part is 72 . The reactive part is associated with the choice of L M . The harmonic impedances should ideally be an open, and in this design the magnitude of the second and third harmonic impedances are approximately 800 . The harmonic impedances are more than an order of magnitude larger than the fundamental harmonic impedance and provide a good compromise between theory and implementation constraints in CMOS. IV. C LASS -E S YNCHRONOUS R ECTIFIER D ESIGN The class-E rectifier circuit is developed from the class-E amplifier by reversing the dc source and RF output nodes in

Fig. 6.

Class-E synchronous rectifier circuit.

the amplifier. A feedback path from the RF input to the gate is also added to provide a gate-drive signal. The class-E rectifier circuit is shown in Fig. 6. The effect of reversing the input and output nodes in the amplifier is that the drain voltage and drain current waveforms in the rectifier are time reversed compared to the amplifier waveforms. In addition to time reversing the waveforms, the current through the switch in the rectifier is flipped in terms of  , flowing out of amplitude because there is a net dc current, IDC the drain inductor L D D into the dc load (see Fig. 6). Examples of class-E rectifier waveforms are shown in Fig. 3(d) and (e). The waveforms can be compared with the amplifier waveforms in Fig. 3(a) and (b), and the time reversal is clearly evident. A. Overlap Loss The simulated class-E waveforms shown in Fig. 3 correspond to a class-E rectifier model based on the class-E amplifier model shown in row 4 of Table II. The switch is modeled as a nonlinear transconductance based on extracted characteristics from the CMOS BSIM4 device model, and the gate-drive signal is a 1-V square-wave signal with rise and fall times of T /10. For this simulation, the gate drive is provided from an external source similar to the amplifier configuration and the circuit model can be directly compared with the amplifier dual. Although the shape of the amplifier waveforms in Fig. 3(a) and (b) are similar to the time-reversed rectifier waveforms in Fig. 3(c) and (d), there are differences caused by the direction of current flow through the switch. In the amplifier, current flows into the drain when the switch is on; conversely, in the rectifier, current flows out of the drain when the switch is on. Since the rectifier on state current flow is out of the drain, the device operates in quadrant III, while the amplifier on state operates in quadrant I. The difference in the operating states is clearly seen in the corresponding dynamic I –V plots shown in Fig. 3(c) and (f). Another difference between the rectifier and amplifier duals is the phase reversal of the voltage and current waveforms with respect to the switching instants in the gate signal. In the amplifier, the switch transition from off to on corresponds

DEHGHANI AND JOHNSON: 2.4-GHz CMOS CLASS-E SYNCHRONOUS RECTIFIER

1663

Fig. 7. Dynamic I –V curve for the class-E rectifier. The gate drive is a square-wave signal with 10% rise and fall times.

Fig. 8. Dynamic I –V curves for the class-E rectifier for different gate-drive signals and different feedback phase.

to interval A–B. During this interval, the drain voltage and drain current are low, ideally zero. On the other hand, in the rectifier, the switch transition from off to on corresponds to interval D  –C  . During interval D  –C  , current transfers from the capacitor to the switch, and the current through the switch must change from zero to nearly maximum current (point B  ). The negative drain current also means the on state voltage drop is negative, and the combination of high current and switch voltage leads to overlap loss. The overlap loss in the rectifier is slightly higher than the amplifier. This is evident in both the drain current and drain voltage waveforms where there is a small peak at point C  . The overlap loss is also easily seen by examining the loop area in the dynamic I –V curve for the rectifier. This is shown in Fig. 7 and clearly the power loss associated with the rising edge of the gate waveform (off to on state) is much larger than the falling edge (on to off state). Although the overlap loss is slightly higher in the rectifier, the on state switch resistance is slightly lower in quadrant III compared to quadrant I and the overall power efficiency of the amplifier and rectifier are very similar. For the simulation results in Fig. 3, the amplifier has an efficiency of 77.3% and the rectifier has an efficiency of 78.8%. Therefore, the results show that the net losses in the circuit duals are quite similar.

threshold, and therefore the tradeoff using a zero bias scheme is a reduction in power efficiency, especially for low amplitude input signals. The design of the phase-shift network is considered next. The purpose of the phase-shift network is to create a gatedrive signal that synchronously switches the device, and the implication of converting the square-wave drive signal in the amplifier into a sinusoidal gate-drive signal in the rectifier needs to be considered. If an equal amplitude sine wave is applied to the gate instead of a square wave, the peak is delayed by T /4 relative to the rising edge of the square wave, and the timing of on and off states in the device are shifted. Therefore, the optimum phase shift for a sine-wave gate-drive signal is not the same as for a square-wave drive signal. The change in gate phase required to synchronously switch the device was explored through simulation. For the first set of simulations, the circuit model in row 4 of Table II was used. In this model, the switch is modeled as a nonlinear transconductance and the model includes overlap and on-state losses. Different gate-drive signals with different phase shifts relative to the RF input signal were simulated and the conclusions are summarized by the dynamic I –V plots in Fig. 8. A benchmark for power efficiency was first established using a square-wave drive signal with a peak-to-peak amplitude of 1 and a 0.5-V dc offset. The rise and fall times are approximately instantaneous and the power efficiency of the rectifier is 82.1% for a phase shift of 120°. The square-wave drive was then replaced with an equivalent sine-wave drive with a 1-V peak-to-peak amplitude and a 0.5-V dc offset. For a phase shift of 120°, the power efficiency is 51.4%, and if the phase of the sine-wave drive is readjusted to 28°, power efficiency is improved significantly to 78.7% within a few percent of the power efficiency for a square-wave signal. The difference in phase between the square-wave signal and sine-wave signal is 92° confirming the change in phase delay is approximately T /4. Similar simulation experiments were run with the full CMOS design using post-layout models. A bridged tee phase network was designed to create a gate-drive signal from the RF input signal, as shown in Fig. 6. After optimizing

B. Gate Bias and Sinusoidal Drive Signals The class-E amplifier is designed assuming a switching signal is applied to the gate. The switched gate signal in the amplifier is generated by a driver stage, and in a practical design, the requirements for the gate drive can be established independently. In a self-powered rectifier design, the gate drive must be derived from the sinusoidal RF input signal, and the input signal affects both the design of the gate bias circuit and the phase-shift network. The implementation of a bias circuit needs to consider both complexity and performance. Since CMOS devices have low threshold voltages, a zero bias scheme is used in this design, and the gate is tied to ground through a 5.6-nH bias inductor, L b . Ideally, the device should be biased near

1664

Fig. 9.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 10. powers.

S11 of the class-E synchronous rectifier for three different source

Fig. 11.

Measured power efficiency as a function of frequency.

Microphotograph of the class-E synchronous rectifier.

the post-layout simulation for power efficiency, the phase shift was readjusted to 89°. The final design values for the phase shift network circuit elements are Csample = 29.7 fF, L T 1 = L T 2 = 5.3 nH, C T = 1 pF, and C T P = 302 fF. The simulated power efficiency of the CMOS rectifier is 43% at 2.4 GHz with a +11-dBm sinusoidal RF input signal. This result can be compared with the simulation result for the class-E amplifier dual shown earlier in Table II, row 6. The amplifier power efficiency is 46.7% for a square-wave gate-drive signal. The difference in power efficiency between the amplifier with a square-wave gate signal and the rectifier with a sine-wave gate signal is 3.7%. Referring back to Fig. 8, a difference of 3.4% in power efficiency was obtained when the gate-drive signal in the rectifier was changed from a square wave to a sine wave. Therefore, the reduction in power efficiency from a square-wave gate signal to a sine-wave gate signal is relatively small in this design providing the phase shift is optimized for the sine-wave drive. V. E XPERIMENTAL R ESULTS A photograph of the fabricated rectifier design is shown in Fig. 9. The circuit has an area of 850 μm× 870 μm and the rectifier circuit was verified using an EP6 Cascade probe station with two coplanar-waveguide probes. Since power efficiency is a key metric, it is very important to calibrate the available power at the probe tips. A power meter was connected to a directional coupler, which samples the input signal to the probe station. The frequency response of the coupler and cable were then measured to correct for frequency response errors between the probe tip and the power measurement point at the coupler. An Agilent vector network analyzer (N5241A) was used as a signal source and a full two-port calibration at the probe tip plane was made. With this setup, the available input power at the probe tips was known and S11 measurements could also be made.

For this design, the input power range of the rectifier was within the power range of the network analyzer and the input match (S11 ) of the circuit could be measured directly under large-signal conditions. The measurements are shown in Fig. 10. As shown, the input match is centered at approximately 2.4 GHz and the return loss is greater than 10 dB over a 200-MHz bandwidth. The input match is power sensitive, which is expected since the gate amplitude changes with input power. As the results show, the match is best at high input power (12 dBm) and slowly starts to degrade as power is reduced. These results show that at the design frequency input mismatch loss is small and the power efficiency is determined primarily by power losses in the circuit. The phase-shift network is critical in terms of optimizing power efficiency and insight into the performance of the feedback network is obtained by measuring power efficiency over frequency. The test results are shown in Fig. 11. As the measurements show, 32% peak efficiency is obtained around 2.3 GHz, 100 MHz below the design frequency. The measurements show that the feedback phase delay is slightly mistuned and better alignment with the input match would likely yield

DEHGHANI AND JOHNSON: 2.4-GHz CMOS CLASS-E SYNCHRONOUS RECTIFIER

1665

VI. C ONCLUSION A CMOS class-E rectifier has been designed. The synchronous switching design is distinctly different from other CMOS RF rectifier circuits that use voltage multiplication techniques. The rectifier includes input matching, as well as a self-biased gate. Experimental results for the rectifier design show a power efficiency of 30% at a frequency of 2.4 GHz. The design provides a new benchmark for monolithic RF rectifiers implemented in CMOS technology using a switching circuit topology. Future work will focus on improving power efficiency by migrating the design to 65-nm CMOS, broadening the bandwidth of the phase-shift network to the gate and optimizing the layout to improve power efficiency. Fig. 12. Power efficiency and output voltage as a function of load resistance.

Fig. 13. Power efficiency and output voltage across a 250- load as a function of available input power.

even better power efficiency than measured for this design. However, for a first iteration of the design, the results are very encouraging and demonstrate the potential of a class-E synchronous rectifier in CMOS. Other interesting measurement results include power efficiency at 2.4 GHz as a function of dc load resistance R L (Fig. 12) and power efficiency as a function of input power (Fig. 13). In. Fig. 12, efficiency as a function of load resistance is shown and the optimum load resistance is 225  for an input power of 10 dBm. In Fig. 13, the power efficiency of the rectifier as a function of available input RF power is shown. The measurements are made at 2.4 GHz with a load resistance of 250 . As the data show, power efficiency peaks at 30% for an input power of 12 dBm, while power efficiency remains above 24% for a 10-dB dynamic range from 6 to 16 dBm. Full post-layout simulation results are also shown for the measurements in Figs. 12 and 13. The physical layout contributes significantly to the overall power efficiency of the CMOS design. Of particular note is the importance of modeling the gate resistance in the physical layout, as this can significantly affect the power efficiency of the rectifier.

R EFERENCES [1] H. Gao, Y. Wu, M. Matters-Kammerer, J.-P. Linnartz, A. van Roermund, and P. Baltus, “System analysis and energy model for radio-triggered battery-less monolithic wireless sensor receiver,” in IEEE Int. Circuits Syst. Symp., May 2013, pp. 1572–1575. [2] C.-Y. Liou, M.-L. Lee, S.-S. Huang, and S.-G. Mao, “High-power and high-efficiency RF rectifiers using series and parallel powerdividing networks and their applications to wirelessly powered devices,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 616–624, Jan. 2013. [3] S. Hemour et al., “Towards low-power high-efficiency RF and microwave energy harvesting,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 965–976, Apr. 2014. [4] K. Kotani and T. Ito, “High efficiency CMOS rectifier circuit with selfVth-cancellation and power regulation functions for UHF RFIDs,” in IEEE Asian Solid-State Circuits Conf., Nov. 2007, pp. 119–122. [5] K. Kotani, A. Sasaki, and T. Ito, “High-efficiency differential-drive CMOS rectifier for UHF RFIDs,” IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3011–3018, Nov. 2009. [6] K.-H. Chen, J.-H. Lu, and S.-I. Liu, “A 2.4 GHz efficiency-enhanced rectifier for wireless telemetry,” in IEEE Custom Integr. Circuits Conf., Sep. 2007, pp. 555–558. [7] J. Pandey, Y.-T. Liao, A. Lingley, R. Mirjalili, B. Parviz, and B. Otis, “A fully integrated RF-powered contact lens with a single element display,” IEEE Trans. Biomed. Circuits Syst., vol. 4, no. 6, pp. 454–461, Dec. 2010. [8] J. Masuch, M. Delgado-Restituto, D. Milosevic, and P. Baltus, “Co-integration of an RF energy harvester into a 2.4 GHz transceiver,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1565–1574, Jul. 2013. [9] C.-J. Li and T.-C. Lee, “2.4-GHz high-efficiency adaptive power,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 22, no. 2, pp. 434–438, Feb. 2014. [10] W. Lerdsitsomboon and K. Kenneth, “Technique for integration of a wireless switch in a 2.4 GHz single chip radio,” IEEE J. Solid-State Circuits, vol. 46, no. 2, pp. 368–377, Feb. 2011. [11] M. Litchfield, S. Schafer, T. Reveyrand, and Z. Popovic, “Highefficiency X-band MMIC GaN power amplifiers operating as rectifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [12] S. Abdelhalem, P. Gudem, and L. Larson, “An RF–DC converter with wide-dynamic-range input matching for power recovery applications,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 6, pp. 336–340, Jun. 2013. [13] J. Guo, H. Zhang, and X. Zhu, “Theoretical analysis of RF–DC conversion efficiency for class-F rectifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 977–985, Apr. 2014. [14] H. Takhedmit et al., “A 2.45-GHz low cost and efficient rectenna,” in Proc. 4th Eur. Conf. Antennas Propag., Apr. 2010, pp. 1–5. [15] J. Guo and X. Zhu, “An improved analytical model for RF–DC conversion efficiency in microwave rectifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [16] T. Hoang, A. Douyere, J.-L. Dubard, and J.-D. Luk, “TLM design of a compact PIFA rectenna,” in Int. Electromagn. Adv. Appl. Conf., Sep. 2011, pp. 508–511. [17] H. Takhedmit et al., “A 2.45-GHz dual-diode RF-to-dc rectifier for rectenna applications,” in Eur. Microw. Conf., Sep. 2010, pp. 37–40.

1666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

[18] M. Ruiz, R. Marante, and J. A. Garcia, “A class E synchronous rectifier based on an E-pHEMT device for wireless powering applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [19] S. Abbasian and T. Johnson, “High efficiency GaN HEMT class-F synchronous rectifier for wireless applications,” IEICE Electron. Exp., vol. 12, no. 1, pp. 1–11, 2015. [20] S. Yoshida et al., “The C-band MPT rectifier using a HEMT without bonding-wire connection for a space health monitoring system,” in IEEE Wireless Power Transfer Conf., May 2013, pp. 163–166. [21] D. Hamill, “Time reversal duality and the synthesis of a double class E DC–DC converter,” in 21st Annu. IEEE Power Electron. Specialists Conf., 1990, pp. 512–521. [22] M. Roberg, T. Reveyrand, I. Ramos, E. Falkenstein, and Z. Popovi´c, “High-efficiency harmonically terminated diode and transistor rectifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4043–4052, Dec. 2012. [23] Z. Popovi´c, T. Reveyrand, S. Schafer, M. Litchfield, I. Ramos, and S. Korhummel, “Efficient transmitters and receivers for high-power wireless powering systems,” in IEEE Wireless Power Transfer Conf., May 2014, pp. 32–35. [24] N. Sokal and A. Sokal, “Class E, a new class of high-efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. 10, no. 3, pp. 168–176, Jun. 1975. [25] F. Raab, “Class E, class C, and class F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [26] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA, USA: Artech House, 2006. [27] A. Grebennikov, N. O. Sokal, and M. J. Franco, Switchmode RF and Microwave Power Amplifiers, 2nd ed. Waltham, MA, USA: Academic, 2012.

[28] A. Mazzanti, L. Larcher, R. Brama, and F. Svelto, “Analysis of reliability and power efficiency in cascode class-E PAs,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1222–1229, May 2006. [29] T. Johansson and J. Fritzin, “A review of watt-level CMOS RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 111–124, Jan. 2014. Soroush Dehghani (GSM’14) was born in Tehran, Iran, in 1990. He is currently working toward the Ph.D. degree at the University of British Columbia, Kelowna, BC, Canada. In 2013, he joined the Microwave Technology Laboratory (MTL), University of British Columbia. His research interests include integrated RF circuit design and low-power integrated analog circuit design with an emphasis on biomedical applications.

Thomas Johnson (S’06) received the MA.Sc. and Ph.D. degrees from Simon Fraser University, Burnaby, BC, Canada, in 2001 and 2007, respectively. He is currently an Assistant Professor with the School of Engineering, University of British Columbia (UBC), Kelowna, BC, Canada. Prior to joining UBC in 2009, he was a Technical Lead for a number of companies, including PulseWave RF, ADC Telecommunications, and Norsat International. His research interests include the design of RF circuits and systems, the application of electromagnetic field concepts in the design of sensors, and industrial applications of RF/microwave power.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1667

A Proximity Coupling RF Sensor for Wrist Pulse Detection Based on Injection-Locked PLL Byung-Hyun Kim, Student Member, IEEE, Yunseog Hong, Student Member, IEEE, Yong-Jun An, Student Member, IEEE, Sang-Gyu Kim, Member, IEEE, Hee-Jo Lee, Member, IEEE, Sung-Woo Kim, Seung-Bum Hong, Gi-Ho Yun, and Jong-Gwan Yook, Senior Member, IEEE Abstract— In this paper, a proximity coupling RF sensor based on injection-locked phase-locked loop (PLL) for wrist pulse detection is proposed. The sensor is composed of two main parts: a free-running oscillator and a PLL synthesizer containing a voltage-controlled oscillator. The free-running oscillator is built with a two-port microstrip line resonator (interdigital electrodes), which acts as part of a transducer that can transform the expansion or contraction of the radial artery into an impedance variation. Measurements show that the impedance variation of the resonator due to changes in the radial artery causes a frequency change of up to 0.74 MHz in the freerunning oscillator. For the PLL part, the frequency change can be transformed to a variation in dc voltage by injection of the modulated signal from the wrist pulse into a phase-locked oscillator. The variation of the loop-control voltage, in one cycle of the pulse, is approximately 10–15 mV peak-to-peak. Our sensor is demonstrated to be an effective noncontact and noninvasive scheme for wrist pulse detection. Index Terms— Injection-locked oscillator, inter-digital electrodes, microwave sensors, phase-locked loops (PLLs), proximity effects, resonator filters, sensor systems, voltagecontrolled oscillator (VCO), wrist pulse.

I. I NTRODUCTION

D

URING the past few decades, a number of studies and developments have been aimed at measuring physiological information (e.g., heart rate, respiration, blood pressure, and oxyhemoglobin saturation) because the monitoring of this

Manuscript received January 8, 2015; revised April 29, 2015, September 21, 2015, January 3, 2016, and March 3, 2016; accepted March 26, 2016. Date of publication April 28, 2016; date of current version May 10, 2016. This work was supported by the Ministry of Science, ICT and Future Planning, Korea, under the Convergence Information Technology Research Center support program NIPA-2014-H0401-14-1007 supervised by the National IT Industry Promotion Agency. (Corresponding author: Jong-Gwan Yook.) B.-H. Kim and J.-G. Yook are with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, South Korea (e-mail: [email protected]). Y. Hong is with Hanwha Thales, Radar and PGM Center, Yongin 449-050, South Korea. Y.-J. An is with Samsung Electronics, Suwon 443-742, South Korea. S.-G. Kim is with Future Device R&D, LG Electronics, Seoul 137-724, South Korea. H.-J. Lee is with the Department of Physics Education, College of Eduction, Daegu University, Gyeongsan 712-714, South Korea. S.-W. Kim is with i-Aurora Co., Ltd, Seoul 120-140, South Korea. S.-B. Hong is with the Advanced Research Institute, Future IT R&D Laboratory, LG Electronics, Seoul 137-724, South Korea G.-H. Yun is with the Information and Communications Engineering Department, Sungkyul University, Kyungki-do 430-742, South Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549531

physiological information allows the prediction and diagnosis of cardiovascular disease [1], [2]. Tracking of the heart rate, in particular, can provide valuable information on arterial occlusions, arrhythmia, atherosclerosis, and autonomous nervous system pathologies [3]–[7]. Monitoring patient condition and assessing these pathologies in daily life, however, requires the sensors to be suitable for long-term monitoring (i.e., they should be noninvasive, low-cost, reliable, and robust regardless of environmental conditions). Many studies have therefore focused upon these parameters [7], [8]. One method of heart-rate tracking involves the use of a piezoelectric sensor. This method employs a polyvinylidene fluoride thin film as a transducer, which transforms mechanical pressure on it into electrical signals. Various methods have been developed to measure the arterial pulse at the elbow, at the wrist above the radial artery, and at the peripheral blood vessel (such as fingertips and toes) as a way to estimate certain physiological information, including arterial pulsewave velocity and pulse-transit time [9], [10]. Transformation of mechanical pressure due to arterial pulsation into electrical signals, however, requires that these sensors be tightly fastened to the arm or finger where the arteries pass, and this tightening may interfere with the blood flow of the subject as the measurement period increases. Therefore, this method, although non-invasive, is not optimal for continuous monitoring. Another method involves the use of a photoplethysmography (PPG) sensor [11]–[15]; until recently, this was one of the most actively studied methods for tracking physiological information. The PPG method utilizes light sources and a photo-detector to measure changes in blood volume in a dermal blood vessel. When red or near-infrared light penetrates the skin and reaches the blood vessel, the light is absorbed, transmitted, and scattered by tissues and blood. Both light reflectance and transmittance are modulated by changes in arterial-blood volume with the periodicity of heartbeats. Many studies have shown excellent experimental results and have attempted to extract physiological information from these sensors. However, the sensors must be very closely attached to bare skin to achieve reasonable output, and light from external sources must be blocked for outdoor measurement. Other studies have attempted to detect respiration and heart rates using microwave frequencies near the chest area of a human subject (e.g., Doppler radar [16]–[18] and proximity coupling sensors [19]–[24]). Doppler radar-based sensors are able to measure the respiration and/or heart rates of subjects

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

by tracking the Doppler shift caused by movement of the chest and/or heart. These sensors basically transmit and receive signals, so they might not be adequate for multiple subjects in their detectable range. Although they can measure the respiration and/or heart rates of moving subject, the detection scenario is limited to a subject on the treadmill because these sensors are not wearable. On the other hand, proximity coupling sensors utilize a planar RF resonator as a transducer to detect the movement of the chest or heart within the near-field range of the planar RF resonator. Therefore, these sensors are freer from the co-interference effect than Doppler radar-based sensors are, but they were not designed with consideration of a moving subject. To sum up, both types of sensors can detect vital signs near the chest area. However, the chest area is not practical for the incorporation of smart devices. In our opinion, the wrist is a better place for measuring the heart rate of a moving subject than the chest, and proximity coupling sensors are more suitable than Doppler radar-based sensors in this case. In our previous study, a wrist pulse sensor was proposed [25], [26], and biomedical analysis of a sleeping subject was made [27]. Note that the previous system requires manual calibration procedure of adjusting the variable attenuator and phase shifter for accurate measurement. It is necessary to develop a calibration-free circuit for a wrist pulse detection system. This paper proposes a proximity coupling sensor for wrist pulse detection based on injection-locked phase-locked loop (PLL), and it would be appropriate for use in a wearable device such as a smart watch. This sensor has several advantages. First, it is free from the tightening required with piezoelectric sensors. Second, it is insensitive to light from external sources for outdoor measurements, unlike PPG sensors. Third, unlike our previous works, it can be applicable to existing electronic devices containing a PLL synthesizer with an additional injection port to the PLL circuit. Finally, it is more robust to subject and distance variation than our previous works, because the proposed system does not require a manual calibration procedure for every measurement. For use on the wrist, an interdigital electrode is employed for the RF planar resonator that acts as a transducer, transforming changes in blood volume in the radial artery to frequency and phase variations. The interdigital electrode has a finger-like, periodic, and parallel pattern. When the interdigital electrode is placed close to the wrist, where the radial artery passes, the fringing fields existing among the fingers are distorted by the wrist as well as the radial artery. This proximity effect allows detection of the variation in the S-parameters of the interdigital electrode due to blood flow, that is, the periodic pulse of the radial artery causes periodic changes in the S-parameters of the interdigital electrode. A free-running oscillator was then constructed with the interdigital electrodes for operation as a frequency selective element (bandpass filter). In other words, the S-parameters of the interdigital electrode determine the oscillation condition. If the S-parameters of the interdigital electrode vary due to the wrist pulse, the oscillation frequency changes periodically. Throughout the remainder of this paper, this free-running oscillator is termed as the sensor oscillator.

Fig. 1.

Block diagram of the proposed sensor.

The oscillation scheme and structure of the interdigital electrode are described in Section II-A. The proximity effect caused by the human body and its equivalent circuit are analyzed in Sections II-B and II-C. The sensor oscillator is simulated and measured in Section II-D. The output signal from the sensor oscillator is injected into a PLL, which demodulates the frequency variation due to the wrist pulse in terms of dc voltage (i.e., loop-control voltage). The effect of the injection lock on the PLL is analyzed in Section II-D. Section III reports the experimental results of the proposed sensor including the reliability test. II. S ENSOR S YSTEM D ESIGN Fig. 1 shows a block diagram of the proposed sensor for detection of the wrist pulse. The system can be divided into two main parts. The first part, the sensor oscillator, is a freerunning oscillator combined with an interdigital electrode. The interdigital electrode not only acts as a frequency-selective element in the oscillator design, but also transduces the volume change of the radial artery to frequency variation, where the oscillation condition is satisfied. The mechanism of the interdigital electrode as a transducer and its equivalent circuit model are well known [28]. This sensor oscillator is placed close to the radial artery at the wrist so that the fringing electric fields of the electrodes are affected by changes in the volume of the radial artery. The output signal from the sensor oscillator is injected into the voltage-controlled oscillator (VCO) through a microcoaxial cable, and, by the injection lock phenomenon, the frequency of the VCO is synchronized to the frequency of the sensor oscillator, under the condition that the frequency difference between the two oscillators is within the injection lock range. The frequencies of both oscillators vary with the periodicity of the wrist pulse. Eventually, the PLL synthesizer stabilizes the VCO frequency by applying a loop-control voltage, and the voltage variation from the loop filter contains the physiological information. The design procedure is described below in detail. A. Oscillation Scheme and Interdigital Electrode Various methods are available for oscillator analysis and design, including the loop method and negative resistance method [29], [30]. The sensor oscillator used in this work

KIM et al.: PROXIMITY COUPLING RF SENSOR FOR WRIST PULSE DETECTION BASED ON INJECTION-LOCKED PLL

Fig. 2. Conceptual diagram. (a) Feedforward amplifier with positive feedback. (b) Open-loop.

1669

Fig. 5. (a) Configuration for full-wave EM simulation. (b) Side view of the configuration. TABLE I E LECTRICAL C HARACTERISTICS OF H UMAN T ISSUE

Fig. 3. (a) Geometry of the interdigital electrode. (b) Side view of the sensor oscillator.

Fig. 6. Comparison of S-parameters of the interdigital electrodes in freespace condition and wrist model condition. Fig. 4.

S-parameters of the interdigital electrode in free-space condition.

was designed by the loop method as illustrated in Fig. 2. The bandpass filter (BPF) and the amplifier form a positive feedback loop, and the start-up condition is determined by the open-loop characteristics [31] as expressed by 

G f = 20 log |S21 | ≥ 0

(1)

S21 = ±2πn, n is an integer.

(2)

The geometry of the BPF and the structure of the sensor oscillator are illustrated in Fig. 3. They are fabricated on a three-layer, 0.254-mm-thick, printed circuit board (PCB) with a relative permittivity of 2.2 and loss tangent of 0.0009. The interdigital electrode and the active circuit are connected by vertical via-holes, sharing ground in the middle layer. The frequency response of the interdigital electrode itself was identified by a conducting full-wave electromagnetic simulation and measurement with a vector network analyzer (VNA, E5071B by Keysight Technology). Fig. 4 shows the simulated and

measured S-parameters of the interdigital electrode in freespace condition where there is not any dielectric substance, and they show good agreement. B. Proximity Effect Caused by the Human Body If a human body is placed within the fringing-field range of the interdigital electrodes, the frequency response of the interdigital electrode will shift to a lower frequency region. We estimated this proximity effect caused by a human body by designing a simplified wrist model for full-wave electromagnetic simulation, as illustrated in Fig. 5. Table I lists the detailed electrical characteristics of the wrist model. Note the presence of a 20-μm-thick solder-mask layer on the interdigital electrode conductor. Compared with the free-space condition, the resonance frequency of the interdigital electrode shifts to a lower frequency region (about 360 MHz), as shown in Fig. 6. The design of the sensor oscillator for the wristpulse application has to take into consideration this proximity

1670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 9.

Open-loop characteristic with varying diameter of the radial artery.

Fig. 7. Equivalent circuit model of (a) the interdigital electrodes themselves and (b) with the proximity effect of the wrist model. (c) Mechanism of the electrical path on the wrist model.

Fig. 10.

Measured output spectrum of the sensor oscillator.

D. Sensor Oscillator

Fig. 8. model.

S21 of the full-wave EM simulation and of the equivalent-circuit

effect, and the oscillation conditions have to be satisfied in the proximity condition rather than in the free-space condition. C. Equivalent-Circuit Modeling The frequency-shift phenomenon caused by the human body can be explained by the equivalent-circuit model shown in Fig. 7. The values of the lumped elements can be calculated by referring to previous studies [32], [33]. The closely placed wrist model would create additional displacement and conduction-current channels among the fingers of the interdigital electrodes [34]. These current channels can be modeled with RB (conduction-current channel) and CB (displacement current channel), as illustrated in Fig. 7(b) and (c). The S21 -parameters of the equivalent-circuit model are compared with those of the full-wave EM simulation as shown in Fig. 8. The results are not exactly the same because the equivalent circuit model has a simple and approximated structure. However, this graph is still meaningful because it shows the frequency response change due to the proximity effect.

Then, an amplifier using a bipolar junction transistor (BFP420 by Infineon) has been combined with the interdigital electrodes to form a loop as shown in Fig. 2(b). The openloop characteristics of the sensor oscillator were examined by hybrid simulation (full-wave EM simulation and circuit simulation), as plotted in Fig. 9; the diameter of the blood vessel in the simplified wrist model was varied. The variation in the blood volume flowing in the radial artery clearly affects the open-loop characteristics, and subsequently results in variation of the frequency satisfying the oscillation condition (for phase) about 0.7 MHz. Fig. 10 shows the measured output spectrum (MS2668C by Anritsu) of the sensor oscillator with the inter-digital electrode attached to the wrist above the radial artery. The output power of the sensor oscillator is about 7 dBm, and the oscillation frequency varies (low to high) about 0.74 MHz with the period of the wrist pulse. This result shows good agreement with the results of the open-loop simulation shown in Fig. 9. Note that the sensor oscillator is followed by a buffer amplifier to minimize the load-pull effect due to the cascade components, micro-coaxial cable and PLL synthesizer. E. Analysis of Injection-Locked PLL This section models the injection locking due to continuous blood flow in the radial artery. The injection locking and

KIM et al.: PROXIMITY COUPLING RF SENSOR FOR WRIST PULSE DETECTION BASED ON INJECTION-LOCKED PLL

1671

If we simply assume that the β(t) is a sinusoidal function having frequency f , which is the frequency of the wrist pulse β(t) ≈ A sin(2π f t)

Fig. 11. Vector diagram of the voltage signal in the injection-locked oscillator.

(6)

then Vcont (t) also becomes a periodic function having the frequency of the wrist pulse  A · π f syn Pinj sin(2π f t). (7) Vcont (t) ≈ Q · KV Posc Thus, the loop-control voltage can be viewed as directly correlated with the wrist pulse of a human subject. III. E XPERIMENTAL R ESULTS A. Fabrication and Measurement Setup

Fig. 12.

Linear frequency-domain model of the proposed sensor.

pulling phenomena of this oscillatory system have been well documented [18], [35]–[40]. The usual oscillators in RF systems have been phase-locked by the PLL synthesizer to the desired frequency. Fig. 11 presents a vector diagram of the signals in the phase-locked oscillator. The system architecture can be transformed to a linear frequency-domain model as presented in Fig. 12. The variables Vcont (s) and β(s) denote the loop-control voltage and the injection-induced source, respectively, and the injection signal from the sensor oscillator is phase-modulated by the periodic change of the blood volume at the wrist. The relation between Vcont (s) and β(s) can then be derived as Vcont (s) =

s

K D ωLR Z (s) N β(s) + K DNK V Z (s)

where ωLR

π f syn ωosc E inj ≈ = 2Q E osc Q

(3)

 Pinj Posc

(4)

is the single side-lock range of the injection-locked oscillator under weak injection. Here, Pinj is the power of the injection signal, that is, the output signal from the sensor oscillator, and Posc is the power of the VCO while Q is the quality factor. Substituting (4) into (3) and assuming s is small, (3) can be approximated as  Pinj π f syn Vcont (s) ≈ β(s). (5) Q · K V Posc β(t) is a phase-modulated term due to the change in the diameter of the blood vessel, so it can be approximated to a periodic function with the periodicity of the wrist pulse.

A prototype of the proposed sensor was fabricated on a 0.254–mm-thick PCB, with a relative permittivity of 2.2, and loss tangent of 0.0009, as shown in Fig. 13. The VCO and PLL synthesizer are located on top of the wrist and are fabricated on the three-layer PCB, as shown in Fig. 13(b) and (c). The VCO and PLL IC (HMC698LP5 by Hittite), having a built-in phasefrequency detector (PFD) and an N-counter, are located on the top layer, whereas a loop filter (OP27 by Analog Device) is located on the bottom layer, and the output signal from the PFD is connected to the loop filter through vertical viaholes. Note that a second-order differential-type loop filter was designed with the consideration that the output of the PFD has a differential form. The signal generator (E4438C by Keysight Technologies) is used for a reference signal having a frequency of 100 MHz, and the value of the N-counter is set at 24. The frequency of the VCO is fixed at 2.4 GHz. The output signal from the sensor oscillator and the reference signal for PLL are injected through the SMA connector. The VCO was constructed using a lumped LC tank, a varactor diode (SMV1405 by Skyworks), and a transistor (BFP 420 by Infineon). Fig. 14 shows the output frequency variation as a function of the applied varactor-control voltage. The measured VCO gain (K V ) and quality factor (Q) are about 40.2 MHz/V and 6.9, respectively. The output power of the VCO and the sensor oscillator are similar. The lock range is about 170 MHz, as calculated by (4), and the lock range of the proposed sensor has to be as broad as possible to cover the variation in oscillation caused by the wrist pulse. The sensor oscillator part is located near the radial artery of the wrist, and the fabricated PCBs are shown in Fig. 13(d) and (e). The interdigital electrode is connected to an amplifier through the vertical via-holes to form a feedforward loop. A buffer amplifier has been added to reduce the load-pull effect due to the sensor oscillator. The measurement setup is shown in Fig. 15. The proposed sensor is placed around the wrist of a human subject. The loop-control voltage is sampled by data acquisition (DAQ) board, while a commercial piezoelectric sensor (UFI-1010) is also sampled by the DAQ to compare its performance with that of the proposed sensor. Finally, the measured data are compared in the time and frequency domains.

1672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Fig. 13. Fabricated PCB. (a) Device placement on a human subject’s wrist, (b) Top view of the PLL part (VCO and PLL IC). (c) Bottom view of the PLL (loop filter). (d) Top view of the sensor oscillator (interdigital electrode). (e) Bottom view of the sensor oscillator (amplifier and buffer amplifier).

Fig. 14. voltage.

Oscillation frequency of the VCO with varying varactor control

B. Experimental Results: Human Subject at Rest The human subject at rest wore the proposed sensor. Fig. 16(a) shows the raw data of the loop-control voltage from the proposed sensor, and Fig. 16(b) shows the bandpassfiltered (0.5–8 Hz) data obtained after removal of the dc-offset and 60-Hz noise. Fig. 16(c) shows the output signal from the piezoelectric sensor. The data obtained from the proposed sensor clearly shows excellent agreement with the piezoelectric sensor data in the time and frequency domains, as shown in Fig. 16(d) and (e). C. Reliability Test I: Distance, Moisture Level, and Temperature Furthermore, additional experiments have been conducted to identify the reliability of the sensor. At first, the frequency of the sensor oscillator could drift according to the external environment because the sensor oscillator is free-running. If the free-running frequency remains within the lock range of the sensor, the sensor can operate reliably. Therefore, we proposed the following three scenarios for frequency

Fig. 15. (a) Conceptual diagram of measurement setup. (b) Photograph of measurement setup.

drift: 1) distance variation between the skin and the sensor; 2) moisture level of the skin; and 3) temperature around the sensor. The distance between the skin and the sensor was set to 1 mm by using a 1-mm-thick layer of expanded polystyrene (Styrofoam). The amount of frequency drift was

KIM et al.: PROXIMITY COUPLING RF SENSOR FOR WRIST PULSE DETECTION BASED ON INJECTION-LOCKED PLL

1673

Fig. 17. Measured data with 1-mm separation distance. (a) Amount of the frequency drift of the sensor oscillator. (b) DFT result after bandpass filtering.

Fig. 18. Measured data with variation of the moisture level of the skin. (a) Amount of the frequency drift of the sensor oscillator. (b) DFT result after bandpass filtering.

Fig. 19. Measured data with temperature variation. (a) Amount of the frequency drift of the sensor oscillator. (b) DFT result after bandpass filtering.

Fig. 16. Measured wrist pulse signal. (a) Raw data from the proposed sensor. (b) Bandpass-filtered data from the proposed sensor. (c) Bandpass-filtered data from the piezoelectric sensor. (d) DFT result of the proposed sensor. (e) DFT result of the piezoelectric sensor.

about 11.1 MHz compared with direct contact, as shown in Fig. 17(a). Nevertheless, the performance of the sensor was reliable, as shown in Fig. 17(b), because the lock range (170 MHz) was much broader than the amount of the frequency drift caused by separation distance variation. A thin arm sleeve is used to control the moisture level of the skin. After wetting of the sleeve, the oscillation frequency of the sensor oscillator drifted about 6.1 MHz compared with the dry arm sleeve condition, as shown in Fig. 18(a). The amount of the frequency drift was smaller than that in the previous scenario. Thus, the measured wrist pulse rate is in exact agreement with the reference sensor, as shown in Fig. 18(b). The frequency drift due to the environmental temperature also did not affect to the reliability of the sensor. The sensor is

heated by a heat-gun up to 50 ◦ C. The frequency drifted about 2.1 MHz, as shown in Fig. 19(a), and an exact agreement between the proposed sensor and the reference sensor is shown in Fig. 19(b). D. Reliability Test II: Motion Artifact Another issue regarding the reliability of the sensor is motion artifact. Most wearable sensors are not free from random motion signal [41], [42]. In this paper, the proposed sensor can operate up to 1 mm away from the skin as demonstrated above, so we conducted an experiment regarding the effect of motion artifacts, and the results are presented in Fig. 20. A human subject wore the proposed sensor not very tightly and slowly swung the arm on which the sensor was worn after about 15 s. During the first 15 s, there was only the pulse signal. Then, a large voltage swing caused by motion was observed, and the pulse signal was overlapped by the motion signal. Some pulse signals disappeared due to motion.

1674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

a built-in planar resonator can vary by about 0.74 MHz due to the wrist pulse. The output signal from the free-running oscillator is injected into the VCO of the PLL synthesizer to transform the frequency variation to a DC-voltage variation, which measures 10–15 mV peak-to-peak due to the wrist pulse. This setup could be incorporated into present-day smart communication devices, such as smart watches. The proposed sensor clearly could be a great candidate for a noncontact type wrist pulse sensor for ambulatory subjects. R EFERENCES

Fig. 20. Measured data for an ambulatory human subject. (a) Time-domain results obtained from both sensors. (b) DFT result obtained after bandpass filtering.

Nevertheless, most of the wrist pulse signals were in good accord with that of the reference sensor. Fig. 20(b) presents the frequency-domain data obtained after bandpass filtering. The motion signal appeared in a lower frequency region than the pulse signal, and the level of the signal was similar to that of the pulse signal. Although the proposed sensor retrieves the wrist pulse signals out of the motion artifact, reducing the level of motion signal should be considered in future works. IV. C ONCLUSION This paper described a novel wrist pulse sensor based on an injection-locked PLL system. The proposed sensor employs the concept of proximity coupling between at planar resonator and the radial artery in the wrist. The S-parameters of the planar resonator are affected by the radial artery when the radial artery is within the fringing-field range between electrodes. The sensor is able to detect the wrist pulse without direct skin-contact or tightening (typical requirements of conventional PPG or piezoelectric sensors), which interfere with long-term monitoring. Variation in the diameter of the blood vessel was successfully demonstrated to affect the oscillation condition of the oscillator. The measured results confirm that the oscillation frequency of the free-running oscillator with

[1] J. Spigulis, “Optical noninvasive monitoring of skin blood pulsations,” Appl. Opt., vol. 44, no. 10, pp. 1850–1857, Apr. 2005. [2] M. Folke, L. Cernerud, M. Ekstrom, and B. Hok, “Critical review of non-invasive respiratory monitoring in medical care,” Med. Biolog. Eng. Computing, vol. 41, no. 4, pp. 377–383, Jul. 2003. [3] Y. Mendelson and B. D. Ochs, “Noninvasive pulse oximetry utilizing skin reflectance photoplethysmography,” IEEE Trans. Biomed. Eng., vol. 35, no. 10, pp. 798–805, Oct. 2006. [4] S. Sarin, D. A. Shields, J. H. Scurr, and P. D. C. Smith, “Photoplethysmography: A valuable noninvasive tool in the assessment of venous dysfunction?,” J. Vascular Surgery, vol. 16, no. 2, pp. 154–162, Aug. 1992. [5] U. R. Acharya, K. P. Joseph, N. Kannathal, C. M. Lim, and J. S. Suri, “Heart rate variability: A review,” Med. Biolog. Eng. Computing, vol. 44, no. 12, pp. 1031–1051, Dec. 2006. [6] A. Schafer and J. Vagedes, “How accurate is pulse rate variability as an estimate of heart rate variability?: A review on studies comparing photoplethysmographic technology with an electrocardiogram,” Int. J. Cardiology, vol. 166, no. 1, pp. 15–29, Jun. 2013. [7] J. Allen, “Photoplethysmography and its application in clinical physiological measurement,” Physiol. Meas., vol. 28, no. 3, pp. R1–R39, Feb. 2007. [8] H. Liu, Y. Wang, and L. Wang, “A review of non-contact, low-cost physiological information measurement based on photoplethysmographic imaging,” in Proc. 34th Annu. Int. Conf. Eng. Med. Biol. Soc., 2012, pp. 2088–2091. [9] J. McLaughlin, M. McNeill, B. Braun, and P. D. McCormack, “Piezoelectric sensor determination of arterial pulse wave velocity,” Physiol. Meas., vol. 24, no. 3, pp. 693–702, Jun. 2003. [10] J. Y. A. Foo and C. S. Lim, “Pulse transit time based on piezoelectric technique at the radial artery,” J. Clin. Monitoring and Computing, vol. 20, no. 3, pp. 185–192, Mar. 2006. [11] A. A. R. Kamel, J. B. Harness, G. Irving, and A. J. Mearns, “Skin photoplethysmography–A review,” Computer Methods and Programs in Biomedicine, vol. 28, no. 4, pp. 257–269, Apr. 1989. [12] L. -G. Lindberg, T. Tamura, and P. A. Oberg, “Photoplethysmography. Part 1. Comparison with laser Doppler flowmetry,” Med. Biol. Eng. Computing, vol. 29, no. 1, pp. 40–47, Jan. 1991. [13] J. Allen and A. Murray, “Variability of photoplethys-mography peripheral pulse measurements at the ears, thumbs and toes,” Proc.–Sci. Meas., vol. 147, pp. 403–407, 2000. [14] R. Stojanovic and D. Karadaglic, “A LED-LED-based photoplethysmography sensor,” Physiol. Meas., vol. 28, no. 6, pp. N19–N27, May 2007. [15] H. J. Baek, G. S. Chung, K. K. Kim, J. S. Kim, and K. S. Park, “Photoplethysmogram measurement without direct skin-to-sensor contact using an adaptive light source intensity control,” IEEE Trans. Inf. Technol. Biomed., vol. 13, no. 6, pp. 1085–1088, Nov. 2009. [16] F.-K. Wang, C.-J. Li, C.-H. Hsiao, T.-S. Horng, J. Lin, K.-C. Peng, J.-K. Jau, J.-Y. Li, and C.-C. Chen, “A novel vital-sign sensor based on a self-injection-locked oscillator,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 4112–4120, Dec. 2010. [17] F.-K. Wang, T.-S. Horng, K.-C. Peng, J.-K. Jau, J.-Y. Li, and C.-C. Chen, “Mutual injection-locked sil sensor array for vital sign detection with random body movement cancellation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [18] P.-H. Wu, J.-K. Jau, C.-J. Li, T.-S. Horng, and P. Hsu, “Vital sign detection Doppler radar based on phase locked self-injection oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012. [19] S.-G. Kim, G.-H. Yun, and J.-G. Yook, “Compact vital signal sensor using oscillation frequency deviation,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 2, pp. 393–400, Feb. 2012.

KIM et al.: PROXIMITY COUPLING RF SENSOR FOR WRIST PULSE DETECTION BASED ON INJECTION-LOCKED PLL

[20] S.-G. Kim, G.-H. Yun, and J.-G. Yook, “Wireless RF sensor structure for non-contact vital sign monitoring,” J. Korean Inst. Electromagn. Eng. Sci., vol. 12, no. 1, pp. 37–44, Mar. 2012. [21] Y. Hong, S.-G. Kim, B.-H. Kim, H.-J. Lee, G.-H. Yun, and J.-G. Yook, “Advanced non-contact near-field proximity vital sign sensor using phase locked loop,” in Proc. Eur. Microw. Conf., 2013, pp. 605–608. [22] Y. Hong, S.-G. Kim, B.-H. Kim, S.-J. Ha, H.-J. Lee, G.-H. Yun, and J.-G. Yook, “Noncontact proximity vital sign sensor based on PLL for sensitivity enhancement,” IEEE Trans. Biomed. Circuit Syst., vol. 8, no. 4, pp. 584–593, Aug. 2014. [23] B.-H. Kim, Y. Hong, Y.-J. An, S.-G. Kim, G.-H. Yun, and J.-G. Yook, “Proximity coupled vital sign sensor based on phase locked loop under injection,” in Proc. IEEE Asia–Pacific Microw. Conf., 2013, pp. 536–538. [24] Y.-J. An, G.-H. Yun, and J.-G. Yook, “Sensitivity enhanced vital sign detection based on antenna reflection coefficient variation,” IEEE Trans. Biomed. Circuits Syst., to be published. [25] Y.-J. An, G.-H. Yun, and J.-G. Yook, “Wrist pulse detection system based on changes in the near-field reflection coefficient of a resonator,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 10, pp. 719–721, Oct. 2014. [26] Y.-J. An, B.-H. Kim, G.-H. Yun, S.-W. Kim, S.-B. Hong, and J.-G. Yook, “Flexible non-constrained rf wrist pulse detection sensor based on array resonators,” IEEE Trans. Biomed. Circuits Syst., to be published. [27] S. W. Kim, S. B. Choi, Y.-J. An, B.-H. Kim, D. W. Kim, and J.-G. Yook, “Heart rate detection during sleep using a flexible RF resonator and injection-locked PLL sensor,” IEEE Trans. Biomed. Eng., vol. 62, no. 11, pp. 2568–2575, Nov. 2015. [28] A. V. Mamishev, K. Sundara-Rajan, F. Yang, Y. Du, and M. Zahn, “Interdigital sensor and transducers,” Proc. IEEE, vol. 92, no. 5, pp. 808–845, May 2004. [29] R. W. Rhea, Oscillator Design and Computer Simulation. New York, NY, USA: McGraw-Hill, 1995. [30] G. Gonzalez, Foundations of Oscillator Circuit Design. Norwood, MA, USA: Artech House, 2007. [31] U. L. Rohde, “Designing SAW resonators and DRO oscillators using nonlinear CAD tools,” in Proc. 49th Annu. Frequency Control Symp., Jun. 1995, pp. 379–396. [32] I. Bahl, Lumped Elements for RF and Microwave Circuits. Boston, MA, USA: Artech House, 2003, pp. 230–235. [33] R. Esfandiari, D. W. Maki, and M. Siracusa, “Design of integrated capacitors and their application to gallium arsenide monolithic filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-31, pp. 57–64, Jan. 1983. [34] N. Cho, T. Roh, J. Bae, and H.-J. Yoo, “A planar MICS band antenna combined with a body channel communication electrode for body sensor network,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2515–2522, Oct. 2009. [35] R. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1380–1385, Oct. 1973. [36] K. Kurokawa, “Injection locking of microwave solid-state oscillators,” Proc. IEEE, vol. 61, pp. 1336–1410, Oct. 1973. [37] L. J. Paciorek, “Injection locking of oscillators,” Proc. IEEE, vol. 53, no. 11, pp. 1723–1727, Nov. 1965. [38] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [39] C.-J. Li, C.-H. Hsiao, F.-K. Wang, T.-S. Horng, and K.-C. Peng, “A rigorous analysis of a phased-locked oscillator under injection,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2009, pp. 409–412. [40] Y. Wan, X. Lai, and J. Roychowdhury, “Understanding injection locking in negative-resistance LC oscillators intuitively using nonlinear feedback analysis,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2005, pp. 729–732. [41] O. Such, “Motion tolerance in wearable sensors – the challenge of motion artifact,” in Proc. IEEE EMBS 29th Annu. Int. Conf., 2007, pp. 1542–1545. [42] A. Lanatà, E. P. Scilingo, E. Nardini, G. Loriga, R. Paradiso, and D. De-Rossi, “Comparative evaluation of susceptibility to motion artifact in different wearable systems for monitoring respiratory rate,” IEEE Trans. Inf. Technol. Biomed., vol. 14, no. 2, pp. 378–386, Mar. 2010. [43] S.-G. Kim, H.-J. Lee, and J.-G. Yook, “A biomolecular sensing platform using RF active system,” J. Korean Inst. Electromagn. Eng. Sci., vol. 12, no. 4, pp. 227–233, Dec. 2012. [44] Y. Hong, H.-J. Lee, S.-G. Kim, B.-H. Kim, G.-H. Yun, and J.-G. Yook, “A label-free biosensing platform using a PLL circuit and biotinstreptavidin binding system,” IEEE Trans. Biomed. Circuit Syst., vol. 9, no. 3, pp. 345–352, Jun. 2015.

1675

[45] Y. G. Lim, K. K. Kim, and K. S. Park, “ECG measurement on a chair without conductive contact,” IEEE Trans. Biomed. Eng., vol. 53, no. 5, pp. 956–959, May 2006. [46] Y. G. Lim, K. K. Kim, and K. S. Park, “ECG recording on a bed during sleep without direct skin-contact,” IEEE Trans. Biomed. Eng., vol. 54, no. 4, pp. 718–725, Apr. 2007. [47] S. Leonhardt and A. Aleksandrowicz, “Non-contact ECG monitoring for automotive application,” in Proc. 5th Int. Summer School Symp. Medical Devices and Biosensors, 2008, pp. 183–185. [48] Y. M. Chi, T.-P. Jung, and G. Cauwenberghs, “Dry-contact and noncontact biopotential electrodes: Methodological review,” IEEE Rev. Biomed. Eng., vol. 3, pp. 106–119, Oct. 2010. [49] A. Hart, K. Tallevi, D. Wickland, R. E. Kearney, and J. A. Cafazzo, “A contact-free respiration monitor for smart bed and ambulatory monitoring applications,” in Proc. 32nd Annu. Int. Conf. Eng. Med. Biol. Soc., 2010, pp. 927–930.

Byung-Hyun Kim (S’12) was born in Incheon, South Korea. He received the B.S. degree in electrical and electronics engineering from Yonsei University, Seoul, South Korea, in 2012, where he is currently working toward the Ph.D. degree in electrical and electronics engineering. His main research interests are in the microwave/millimeter-wave component/systems, vital sign sensors, RF biosensors and gas sensors. Mr. Kim was the recipient of the Spring 2012 Undergraduate-Pre-graduate (BS/MS) Scholarship from the IEEE Microwave Theory and Techniques Society (MTT-S).

YunSeog Hong (S’12) was born in Jeju, South Korea. He received the B.S. degree in telecommunication engineering from Jeju National University, Jeju, South Korea, in 1996, the M.S. degree in radio science and engineering from Kwangwoon University, Seoul, South Korea, in 2007, and the Ph.D. degree from Yonsei University, Seoul, South Korea, in 2016. From January 1996 to August 2002, he was with KMW Inc., South Korea, as an Antenna System Engineer. From September 2002, he is currently with Hanwha Thales, South Korea, as an Active Array Radar System Engineer. His main research interests are in microwave/millimeter wave components, active array radar system, remote wireless vital signal sensor, and RF bio-molecular sensor.

Yong-Jun An (S’12) was born in Seoul, Korea. He received the B.S. and Ph.D. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2009 and 2015, respectively. He is currently with Samsung Electronics, Suwon, South Korea, as a Senior Research Engineer. His research interests include remote wireless vital signal monitoring sensors, RF passive circuits, and radars.

1676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

Sang-Gyu Kim (S’05–M’13) was born in Seoul, South Korea. He received the B.S. and M.S. degrees in electrical and electronic engineering from Kyungwon University, Kyunggi, South Korea, in 2001 and 2003, respectively, and the Ph.D. degree from Yonsei University, Seoul, South Korea, in 2013. From December 2005 to December 2008, he was a Research Engineer with Analog Devices Inc., Seoul, Korea, where he was involved in system in package (SiP) design and signal/power integrity analysis. In March 2013, he joined LG Electronics, Seoul, Korea, as a Chief Research Engineer, where he is involved with the New Material Team, Future Device R&D Department. His research interests are theoretical/numerical electromagnetic modeling, characterization of microwave circuit, analysis and optimization of high-speed interconnection including electromagnetic interference/electromagnetic compatibility, wireless power transfer, energy harvesting, and remote wireless vital signal monitoring sensors.

Hee-Jo Lee (S’06–M’11) was born in Namhae, Gyeongnam, South Korea. He received the Ph.D. degree in electrical and electronic engineering from Yonsei University, Seoul, South Korea, in 2010. From March 2010 to March 2012, he was with the Electrical and Electronic Engineering Department, Yonsei University, and the Graphene Research Institute, Sejong University, Seoul, South Korea, as a Post-doctoral Researcher. From April 2012 to August 2014, he was a Research Professor with Yonsei University. Currently, he is an Assistant Professor with the Department of Physics Education, Daegu University, Gyeongsan, Korea. His main research interests are in the areas of electromagnetic wave and field theory, RF bio-and gas-sensors, RF circuit modeling and characterization of carbon nanomaterials, including graphene, graphene ribbon, graphene oxide, metamaterials, and plasmonics for biosensing.

Sung-Woo Kim was born in Incheon, South Korea. He received the Ph.D. degree from Yonsei University, Seoul, South Korear, in 2016. From 2004 to 2008, he was a Research Assistant with the Department of Medical Engineering, Yonsei University College of Medicine, Severance Hospital, Seoul, South Korea. Also, he was a Senior Research Engineer with the Biometrics team, Future IT R&D Laboratory, LG Electronics Advanced Research Institute, Seoul, South Korea from 2010 to 2015. Currently, he is a CTO of i-Aurora Co., Ltd., Seoul, South Korea. His main research interests include biosignal processing, biosensors such as PPG/IPG, and healthcare using the smart watch.

Seung-Bum Hong was born in Seoul, South Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, South Korea, in 1999 and 2001, respectively. Currently, he is a Principal Research Engineer with the Biometrics team, Future IT R&D Laboratory, LG Electronics Advanced Research Institute, Seoul, South Korea, and is an advisory committee member with the KFDA. His main research interests include biosignal processing, biosensors, and the mHealth project.

Gi-Ho Yun was born in Jeonju-Si, Korea. He received the B.S., M.S., and Ph.D. degrees in electronics engineering from Yonsei University, Seoul, South Korea, in 1984, 1986, and 1999, respectively. From 1985 to 1997, he was with Samsung Electronics and Samsung Electro-Mechanics. He also served at Honam University, Gwangju, South Korea, from 1997 to 2008. He is currently an Associate Professor with the School of Information and Communication Engineering, Sungkyul University, Kyeonggi-Do, South Korea. He is currently with Advanced Computational Electromagnetic Laboratory, Yonsei University, Seoul, South Korea. His main research interests have been in the areas of active and passive circuitry in the RF/MW frequency. Recently, he has studied various sensors detecting vital signals and biosignals in the human body.

Jong-Gwan Yook (S’89–M’97–SM’12) was born in Seoul, South Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, South Korea, in 1987 and 1989, respectively, and the Ph.D. degree from the University of Michigan, Ann Arbor, MI, USA, in 1996. Currently, he is a Professor with the School of Electrical and Electronic Engineering, Yonsei University, Seoul, South Korea. His main research interests are in the areas of theoretical/numerical electromagnetic modeling and characterization of microwave/millimeter-wave circuits and components, design of radio frequency integrated circuits and monolithic microwave integrated circuits, and analysis and optimization of high-frequency high-speed interconnects, including signal/power integrity, based on frequency as well as time-domain full-wave methods. Recently, his research team developed various biosensors, such as carbon-nano-tube RF biosensors for nanometer size antigen-antibody detection as well as remote wireless vital signal monitoring sensors.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1677

Letters Corrections to “Incident Electric Field Effect and Numerical Dosimetry for a Wireless Power Transfer System Using Magnetically Coupled Resonances” Sang Wook Park, Kanako Wake, and Soichi Watanabe The maximum allowable powers (MAPs) of current density and internal electric field shown in the above paper [1, Figs. 15 and 16] are incorrectly scaled. They should be scaled as shown here. The respective context related to these figures should be corrected as shown in this Letters. Per the above paper, [1, p. 3466, col. 2, line 7], “As a result, we can see that the MAPs for the SAR are the largest, whereas those for the current density are the lowest. We can also see that the MAPs for the SAR for the exposure conditions for Case 1 are smaller than those for Case 2, but the current densities and 99th percentile values of the internal electric fields are similar in both cases.” This should be corrected as “As a result, we can see that MAPs for the internal electric field (E99 and E99cns) are the largest, whereas those for the SARs are the lowest. We can see that the all MAPs for the exposure condition Case 1 are smaller than those for Case 2, except for E99cns.” Per the above paper [1, p. 3466, col. 2, line 18], “In the exposure conditions studied in this paper, the maximum allowable input powers that satisfy the basic restriction limits are 9.7 W to protect against stimulation effects and 58.6 W to protect against thermal effects. This suggests that the internal electric field, which can cause stimulation effects on the nervous system tissue, should be considered more carefully than the SAR in these cases.” This should be corrected as “In the exposure conditions studied in this paper, the maximum allowable input powers that satisfy the basic restriction limits are 8.7 kW to protect against stimulation effects and 58.6 W to protect against thermal effects. This suggests that the SAR should be considered more carefully than the internal electric field, which can induce stimulation effects on the nervous system tissue.” Per the above paper [1, p. 3466, col. 2, line 27], “From these results, MAPIMP are not so much different from for Case 1, whereas MAPFDTD are MAPIMP different from MAPFDTD , especially at the SAR for Case 2. This suggests that the SAR should be carefully estimated for cases having large IEF differences.” This should be corrected as “From these results, the MAPIMP are not so much different from the MAPFDTD for the Case 1, whereas the MAPIMP are different from the MAPFDTD for the Case 2. This suggests that the dosimetry should be carefully conducted for cases having large IEF differences.” Manuscript received September 14, 2015; revised December 22, 2015; accepted March 28, 2016. Date of publication April 20, 2016; date of current version May 10, 2016. S. W. Park was with the National Institute of Information and Communications Technology, Tokyo 184-8795, Japan. He is now with the Korea Automotive Technology Institute, Cheonan 330-912, Korea (e-mail: [email protected]). K. Wake and S. Watanabe are with the National Institute of Information and Communications Technology, Tokyo 184-8795, Japan (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2549013

Fig. 1.

MAP to satisfy the basic restrictions based on the FDTD results.

Fig. 2. Ratio of MAP based on the impedance results (MAPIMP ) to MAP based on the FDTD results (MAPFDTD ).

Per the above paper [1, p. 3467, col. 2, line 7], “The SAR should be carefully estimated where large IEF differences occur.” This should be corrected as “The dosimetry should be carefully conducted where large IEF differences occur.” Per the above paper [1, p. 3467, col. 2, line 11], “In the worse exposure condition (Case 1), the maximum allowable input power that satisfies all basic restrictions was 1.3 W.” This should be corrected as “In the worse exposure condition (Case 1), the maximum allowable input power that satisfies all basic restrictions was 58 W.” R EFERENCES [1] S. W. Park, K. Wake, and S. Watanabe, “Incident electric field effect and numerical dosimetry for a wireless power transfer system using magnetically coupled resonances,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3461–3469, Sep. 2013.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Comments on “Design of Highly Efficient Broadband Class-E Power Amplifier Using Synthesized Low-Pass Matching Networks” Qian-Fu Cheng, Hai-Peng Fu, Shou-Kui Zhu, and Chang Liu

Abstract— In the above paper, the author presents a new methodology for designing and implementing high-efficiency broadband Class-E power amplifiers (PAs) using a high-order low-pass filter-prototype. The designed broadband class-E PA achieves a state-of-the-art performance compared to recently published results. However, an error has been found, which will be clarified in this Letters.

The above paper [1] presents a new methodology for designing and implementing high-efficiency broadband Class-E power amplifiers (PAs) using a high-order low-pass filter prototype. However, an error has been found in the text that may affect the readers understanding. In the above paper [1], two key formulas ([1, eq. (10)] and [1, eq. (11)]) are used to transform the low-pass matching networks with lumped elements to the ones with all-distributed elements. The inductors are replaced by high-impedance (high-Z) transmission-line sections and capacitors are replaced by low-impedance (low-Z) opencircuit stubs. Using short-line-approximation theory expressed in [2], the length of the short high-Z transmission lines are calculated by [1, eq. (10)]. For the open-circuit stubs, which are identically placed on both sides of each nodes, the length of each stub is calculated using [1, eq. (11)], Z arctan (2ωZ C C) 1 =2 C ⇒l = ωC tan βl β

(1)

where Z C is the stub characteristic impedance and β is the propagation constant.

Fig. 1.

Distributed equivalent circuit for a capacitor.

However, we would like to point out that this formula is not right. If the two parallel open-circuit stubs, which are identically placed on both sides of each nodes, can replace a parallel capacitor, the total susceptance of two parallel open-circuit stubs must be equal to the susceptance of the capacitor at the operating frequency, as shown in Fig. 1. Thus, [1, eq. (11)] should be modified to   ωZ C C arctan tan βl 2 ⇒l = . (2) ωC = 2 ZC β R EFERENCES [1] K. Chen and D. Peroulis, “Design of highly efficient broadband class-E power amplifier using synthesized low-pass matching networks,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3162–3173, Dec. 2011. [2] D. M. Pozar, Microwave Engineer, 3rd ed. Boston, MA, USA: Wiley, 2005.

Manuscript received August 23, 2015; accepted March 19, 2016. (Corresponding author: Hai-Peng Fu.) The authors are with the School of Electronic Information Engineering, Tianjin University, Tianjin 300072, China (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2016.2546254 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 5, MAY 2016

1679

Authors’ Reply to “Comments on ‘Design of Highly Efficient Broadband Class-E Power Amplifier Using Synthesized Low-Pass Matching Networks”’ Kenle Chen and Dimitrios Peroulis

The authors appreciate the comments made by Cheng et al. [1] that point out an error in [2, eq. (11)]. In [2], this equation was formed to transform the shunt lumped capacitors into distributed representation with suspended open-ended transmission-lines. As discussed in [1], the expression of [2, eq. (11)] should be corrected to ωC = 2

arctan( ωZ2c C ) tan βl ⇒l = Zc β

(1)

where the shunt capacitor and open-ended stubs present equalized susceptance. It is important to note that this minor issue does not violate the correctness of the presented power amplifier (PA) design methodology, which is based on effectively combined analytic calculation and computer-aided design (CAD) optimization. Although the referred erratic equation gives a suboptimal starting point, the optimization engine can lead to the same

eventual results if the parameters’ sweeping ranges were properly defined. Furthermore, in our actual design, the shunt capacitors of the synthesized low-pass matching were replaced by transmission lines one by one. During each replacement, the transmission-line length was swept in order to determine its proper value that results in the desired low-pass response, while the length obtained from [2, eq. (11)] was only considered as a reference. Therefore, this error does not affect the final results presented in [2]. R EFERENCES [1] Q.-F. Cheng, H.-P. Fu, S.-K. Zhu, and C. Liu, “Comments on ‘Design of highly efficient broadband class-E power amplifier using synthesized lowpass matching networks’,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, May 2016. [2] K. Chen and D. Peroulis, “Design of highly efficient broadband class-E power amplifier using synthesized low-pass matching networks,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3162–3173, Dec. 2011.

Manuscript received February 21, 2016; accepted March 19, 2016. Date of publication April 15, 2016; date of current version May 10, 2016. K. Chen was with the School of Electrical and Computer Engineering and Birck Nano Technology Center, Purdue University, West Lafayette, IN 47906 USA. He is now with Skyworks Solutions Inc., Santa Clara, CA 95054 USA (e-mail: [email protected]). D. Peroulis is with the School of Electrical and Computer Engineering and Birck Nano Technology Center, Purdue University, West Lafayette, IN 47906 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2016.2549525 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Digital Object Identifier 10.1109/TMTT.2016.2558798

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail: [email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL 32611 USA E-mail: [email protected] or [email protected]

Information for Authors The IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications standards/publications/authors/authors journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications standards/publications/authors/auth names native lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications standards/publications/graphical abstract.pdf Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2016.2555558