[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 64. No 2

Citation preview

FEBRUARY 2016

VOLUME 64

NUMBER 2

IETMAB

(ISSN 0018-9480)

MINI-SPECIAL ISSUE ON 2015 IEEE WIRELESS POWER TRANSFER CONFERENCE (WPTC 2015)

Guest Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Popovi´c, K. K. Afridi, and G. E. Ponchak

329

MINI-SPECIAL ISSUE PAPERS

Time-Modulation of Linear Arrays for Real-Time Reconfigurable Wireless Power Transmission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Masotti, A. Costanzo, M. Del Prete, and V. Rizzoli Adjustable Load With Tracking Loop to Improve RF Rectifier Efficiency Under Variable RF Input Power Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Dehghani, S. Abbasian, and T. Johnson Thin PCB-Type Metamaterials for Improved Efficiency and Reduced EMF Leakage in Wireless Power Transfer Systems . . . . . . . . . . . Y. Cho, J. J. Kim, D.-H. Kim, S. Lee, H. Kim, C. Song, S. Kong, H. Kim, C. Seo, S. Ahn, and J. Kim A Real-Time Electrically Controlled Active Matching Circuit Utilizing Genetic Algorithms for Wireless Power Transfer to Biomedical Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Bito, S. Jeong, and M. M. Tentzeris EMF Safety and Thermal Aspects in a Pacemaker Equipped With a Wireless Power Transfer System Working at Low Frequency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Campi, S. Cruciani, V. De Santis, and M. Feliziani Coil Design and Measurements of Automotive Magnetic Resonant Wireless Charging System for High-Efficiency and Low Magnetic Field Leakage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Kim, C. Song, D.-H. Kim, D. H. Jung, I.-M. Kim, Y.-I. Kim, J. Kim, S. Ahn, and J. Kim High Data-Rate Communication in Near-Field RFID and Wireless Power Using Higher Order Modulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Besnoff, M. Abbasi, and D. S. Ricketts

331 343 353 365 375 383 401

REGULAR PAPERS

EM Theory and Analysis Techniques Design And Measurement of Tensor Impedance Transmitarrays For Chiral Polarization Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Selvanayagam and G. V. Eleftheriades Polarization Plane Rotation by Arbitrary Angle Using Symmetrical Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. Kolmakova, S. Prikolotin, A. Perov, V. Derkach, and A. Kirilenko

414 429

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Passive Circuits A Simple and Effective Method for 1.9–3.4-GHz Tunable Diplexer With Compact Size and Constant Fractional Bandwidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Yang and G. M. Rebeiz Strip-Loaded Slotline Resonators for Differential Wideband Bandpass Filters With Intrinsic Common-Mode Rejection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Guo, L. Zhu, and W. Wu Short-Through-Line Bandstop Filters Using Dual-Coupled Resonators . . . . . . . . . . . . . . . . . . A. C. Guyette and E. J. Naglich Bandstop Filter (BSF) Topology With Variable Attenuation . . . . . . . . . T.-H. Lee, K. Lee, G. C. Park, Y.-S. Kim, and J. Lee Design of Hybrid Folded Rectangular Waveguide Filters With Transmission Zeros Below the Passband . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Carceller, P. Soto, V. E. Boria, and M. Guglielmi Design of a Planar Dual-Band Power Divider With Arbitrary Power Division and a Wide Isolated Frequency Band . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-W. Tang and Z.-Q. Hsieh Periodic Synthesized Transmission Lines With 2-D Routing Capability and Its Applications to Power Divider and Couplers Using Integrated Passive Device Process . . . . . . . . . . . . L.-C. Hsu, Y.-L. Wu, J.-Y. Zou, H. N. Chu, and T.-G. Ma Magnetless Microwave Circulators Based on Spatiotemporally Modulated Rings of Coupled Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. A. Estep, D. L. Sounas, and A. Alù Hybrid and Monolithic RF Integrated Circuits Design Optimization of Single-/Dual-Band FET LNAs Using Noise Transformation Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-C. Hsiao, C. Meng, and C. Yang A Wideband Analog-Controlled Variable-Gain Amplifier With dB-Linear Characteristic for High-Frequency Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Liu, C. C. Boon, X. He, X. Zhu, X. Yi, L. Kong, and M. C. Heimlich A 90-nm CMOS V-Band Low-Power Image-Reject Receiver Front-End With High-Speed Auto-Wake-Up and Gain Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J.-Y. Hsieh, T. Wang, and S.-S. Lu Techniques for Power Dynamic Range and Back-Off Efficiency Improvement in CMOS Digitally Controlled Polar Transmitters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Nakatani, D. F. Kimball, and P. M. Asbeck A Fully Integrated 240-GHz Direct-Conversion Quadrature Transmitter and Receiver Chipset in SiGe Technology . . . . . . . . . . . . N. Sarmah, J. Grzyb, K. Statnikov, S. Malz, P. Rodriguez Vazquez, W. Foerster, B. Heinemann, and U. R. Pfeiffer A Fully Integrated X-Band Phased-Array Transceiver in 0.13- m SiGe BiCMOS Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Liu, Q. Li, Y. Li, X.-D. Deng, X. Li, H. Liu, and Y.-Z. Xiong Concurrent Dual -Band T/R/Calibration Switch Module With Quasi-Elliptic Dual-Bandpass Frequency Response Implementing Metamaterial Transmission Line and Negative Resistance . . . . . . . . . . . . . . . D. Lee, J. Lee, and C. Nguyen Instrumentation and Measurement Techniques W-Band Characterizations of Printed Circuit Board Based on Substrate Integrated Waveguide Multi-Resonator Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. J. Cheng and X. L. Liu Measurements on the Effects of Moisture on the Complex Permittivity of High Temperature Ash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. C. Baum and K. Ghorbani Diagnostics of Plasma Processes Based on Parallelized Spatially Resolved In-Situ Reflection Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Schulz, J. Runkel, M. Oberberg, P. Awakowicz, and I. Rolfes RF Systems and Applications A Reconfigurable Resonant Coil for Range Adaptation Wireless Power Transfer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Lee, B. H. Waters, Y. G. Shin, J. R. Smith, and W. S. Park Wireless Power Transfer Charging System for AIMDs and Pacemakers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Campi, S. Cruciani, F. Palandrani, V. De Santis, A. Hirata, and M. Feliziani Software-Defined Radar for Medical Imaging . . . . . . . . . . . . . . . . . . . . . . . . . . J. Marimuthu, K. S. Bialkowski, and A. M. Abbosh Fast Frequency-Based Multistatic Microwave Imaging Algorithm With Application to Brain Injury Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Zamani, A. M. Abbosh, and A. T. Mobashsher Opto-Electronic Oscillator With Quality Multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Bogataj, M. Vidmar, and B. Batagelj

436 450 459 467 475 486 493 502

519 533 541 550 562 575 585

599 607 616

624 633 643 653 663

CALLS FOR PAPERS

Special Issue on Emerging RF Measurement Techniques and Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

669

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $28.00 per year for electronic media only or $50.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. WU, President T. BRAZIL R. GUPTA

A. ABUNJAILEH S. BARBIN

M. BOZZI, Secretary

D. WILLIAMS, President Elect R. HENDERSON W. HONG

A. JACOB S. KOUL

J. LASKAR G. LYONS

M. MADIHIAN S. PACHECO

Honorary Life Members T. ITOH R. SPARKS

A. ABUNJAILEH, Treasurer

G. PONCHAK S. RAMAN

J. RAUTIO J. E. RAYAS-SANCHEZ

S. REISING M. SALAZAR-PALMA

A. SANADA D. SCHREURS

Distinguished Lecturers

P. STAECKER

C. CAMPBELL R. H. CAVERLY G. CHATTOPADHYAY J.-C. CHIAO

T.-W. HUANG M. JARRAHI J. J. KOMIAK S. KOUL

A. MORTAZAWI T. NAGATSUMA J. C. PEDRO L. PIERANTONI

M. STEER

Past Presidents P. ROBLIN A. STELZER D. SCHREURS J. WOOD N. SHINOHARA H. ZIRATH

T. LEE (2015) R. WEIGEL (2014) M. GUPTA (2013)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. HENZE Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: I. AHMAD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: S. MALYSHEV Benelux: G. VANDENBOSCH Boston: C. GALBRAITH Bombay/India: M. V. PITKE Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: C. SEABURY Buffalo: M. R. GILLETTE Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: C. G. XIE Central & South Italy: L. TARRICONE Central No. Carolina: Z. XIE Central Texas: J. PRUITT Centro-Norte Brasil: M. V. ALVES NUNES Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: A. O’BRIEN Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. VOVES Dallas: R. SANTHAKUMAR Dayton: A. TERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: V. VIIKARI Florida West Coast: J. WANG Foothills: M. CHERUBIN France: D. BAJON Germany: G. BOECK Greece: R. MAKRI Gujarat/India: S. CHAKRABARTY Harbin: Q. WU Hawaii: K. MIYASHIRO Hong Kong: H. WONG Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: H. SCHANTZ Hyderabad/India: S. R. NOOKALA India: D. BHATNAGER India/Kolkata: S. SANKARALINGAM Indonesia: E. T. RAHARDJO Israel: S. AUSTER Japan: N. SUEMATSU Kansai: T. ISHIZAKI Kingston: S. PODILCHAK Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: B. LEVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO

Macau: C. C. PONG Madras/India: S. SALIVAHANAN Malaysia: M. K. M. SALLEH Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Mexican Council: R. M. RODRIGUEZ-DAGNINO Milwaukee: S. G. JOSHI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: J. BAE Nanjing: W. HONG Nanjing, Hangzhou: L. SUN New Hampshire: E. H. SCHENK New Jersey Coast: J. SINSKY New South Wales: Y. RANGA New Zealand: A. WILLIAMSON North Italy: G. OLIVERI North Jersey: A. K. PODDAR Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. RAWAT Norway: M. UBOSTAD Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: K. KARNATI Ottawa: Q. ZENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

DOMINIQUE SCHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants MARCIA HENSLEY USA ENAS KANDIL Belgium

Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: D. MCPHERSON Taegu: Y.-H. JEONG Tainan: H.-H. CHEN Taipei: C. MENG Thailand: C. PHONGCHAROENPANICH Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: C. FULLER UK/RI: A. REZAZADEH Ukraine, East: N. K. SAKHNENKO Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO United Arab Emirates: N. K. MALLAT Uttar Pradesh/India: M. J. AKHTAR Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: T. IVANOV Western Saudi Arabia: A. SHAMIM Winnipeg: P. MOJABI Xian: X. SHI

Associate Editors

Editors-In-Chief JENSHAN LIN Univ. of Florida Gainesville, FL 32611-6130 USA

Pikes Peak: K. HU Poland: W. J. KRZYSZTOFIK Portugal: J. CALDINHAS VAZ Princeton/Central Jersey: W. CURTICE Queensland: K. BIALKOWSKI Rio de Janeiro: J. R. BERGMANN Rochester: M. SIDLEY Romania: T. PETRESCU Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. PROKHOROV Russia, Saint Petersburg: S. P. ZUBKO Russia, Siberia: V. V. SUHOTIN Russia, Tomsk: D. ZYKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: S. EBADI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIĆ Shanghai: J. MAO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. KAUFMANN South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: A. U. A. W. GUNAWARDENA St. Louis: D. BARBOUR

X. CHEN Nat. Univ. Singapore Singapore J.-C. CHIAO Univ. of Texas at Arlington Arlington, TX USA ALESSANDRA COSTANZO Univ. Bologna Bologna, Italy GILLES DAMBRINE Univ. of Lille Lille, France

NUNO BORGES CARVALHO Universidade de Aveiro Aveiro, Portugal OLGA BORIC-LUBECKE Univ. of Hawaii at Manoa Manoa, HI USA JAMES F. BUCKWALTER Univ. of California at Santa Barbara Santa Barbara, CA USA SHENG-FUH R. CHANG Nat. Chung Cheng Univ. Chiayi County, Taiwan

A. RIDDLE, Editor-in-Chief, IEEE Microwave Magazine N. S. BARKER, Editor-in-Chief, IEEE Microwave and Wireless Component Letters HOWARD E. MICHEL, President BARRY L. SHOOP, President-Elect PARVIZ FAMOURI, Secretary JERRY L. HUDGINS, Treasurer ROBERTO DE MARCA, Past President

KAMRAN GHORBANI RMIT Univ. Melbourne, Vic., Australia ROBERTO GOMEZ-GARCIA Univ. Alcala Madrid, Spain JIASHENG HONG Heriot-Watt Univ. Edinburgh, UK T.-W. HUANG Nat. Taiwan Univ. Taipei, Taiwan

JON MARTENS Anritsu Morgan Hill, CA USA FRANCISCO MESA Universidad de Sevilla Seville, Spain LUCA PERREGRINI Univ. of Pavia Pavia, Italy CARLOS SAAVEDRA Queen’s Univ. Kingston, ON, Canada

J. STAKE, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. MIYAMOTO, Web Master

2015 IEEE Officers

SAURABH SINHA, Vice President, Educational Activities SHEILA HEMAMI, Vice President, Publication Services and Products WAI-CHOONG WONG, Vice President, Member and Geographic Activities BRUCE P. KRAEMER, President, Standards Association VINCENZO PIURI, Vice President, Technical Activities JAMES A. JEFFRIES, President, IEEE-USA WILLIAM W. MOSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration CHERIF AMIRAT, Information Technology ELENA GERSTMANN, Corporate Activities PATRICK MAHONEY, Marketing DOUGLAS GORHAM, Educational Activities CECELIA JANKOWSKI, Member and Geographic Activities EILEEN M. LACH, General Counsel & Corporate Compliance Officer MICHAEL FORSTER, Publications SHANNON JOHNSTON, Human Resources KONSTANTINOS KARACHALIOS, Standards Association CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Senior Director, Publishing Operations: FRAN ZAPPULLA Director, Editorial Services: DAWN MELLEY Director, Production Services: PETER M. TUOHY Associate Director, Editorial Services: WILLIAM A. COLACCHIO Associate Director, Information Conversion and Editorial Support: KEVIN LISANKIE Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $167.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2016 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2016.2524378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

329

Guest Editorial

T

HIS “Mini-Special Issue on the 3rd IEEE Wireless Power Transfer Conference (WPTC)” of this TRANSACTIONS includes seven expanded papers from this conference, which was held in Boulder, CO, USA, on May 13–15, 2015. Although an old idea, wireless power transfer has recently gained increased attention for power levels ranging from to kW, in both far- and near-field implementations. The main challenges relate to reducing the size and increasing the efficiency of wireless power transfer (WPT) systems. With support of the Technical Committee on Wireless Energy Transfer and Conversion (MTT-26), the 1 and 2 IEEE WPT conferences held in Perugia, Italy, in 2013, and Jeiju Island, Korea, in 2014, respectively, successfully provided an opportunity to present results and exchange ideas related to solving WPT challenges in an international and open environment. The 3 WPTC was hosted at the University of Colorado, Boulder, CO, USA, at an elevation above 1600 m. Despite the high altitude, all presented papers provoked numerous questions from the over 200 engaged attendees. The conference had 166 submissions from 29 countries, of which 93 papers were accepted after the review process, with 52 oral presentations in 6 single-track sessions and 41 extremely well-attended posters in a single poster session. Three outstanding keynote talks were presented by Prof. Ron Hui (University of Hong Kong and Imperial College London), Dr. Paul Jaffe (U.S. Naval Research Laboratories) and Dr. James McSpadden (Raytheon), with a pre-conference IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecture (DML) talk by Prof. T. Ohira and two lively and interesting industry panels led by Dr. Kamil Grajski (Qualcomm). Authors of the papers presented at WPTC 2015 were invited to submit significantly expanded versions of their work for publication in this TRANSACTIONS’ Mini-Special Issue. A total of 18 papers were submitted and, after a rigorous review process,

Digital Object Identifier 10.1109/TMTT.2016.2515167

7 contributions were accepted for publication. The papers included in this TRANSACTIONS’ Mini-Special Issue cover topics ranging from adaptive impedance matching for loads that vary due to misalignment of power transmitters and receivers, to electric and magnetic field safety in WPT systems for medical applications, and from modulation of active antenna arrays for far-field power beaming to techniques for simultaneous power and information transfer. Some of the papers that were not accepted for this issue may appear in later issues of this TRANSACTIONS after the authors revise their papers. As Guest Editors, we would like to express our sincere gratitude to the Editors-in-Chief of this TRANSACTIONS, Prof. Jenshan Lin and Prof. Dominique Schreurs, for the opportunity to publish this Mini-Special Issue devoted to extended papers from WPTC 2015. Special thanks to Prof. Joungho Kim, Prof. Seungyoung Ahn, and Prof. Alessandra Costanzo for significantly contributing to the promotion and success of WPTC 2015. We also wish to thank all the reviewers for their valuable time and expertise. Finally, on behalf of Prof. Nuno Borges Carvalho (WPTC 2016 General Chair), Dr. Shigeo Kawasaki (WPTC 2016 Co-Chair), and Dr. Pedro Cruz (WPTC 2016 TPC Chair), we look forward to seeing you at WPTC 2016, to be held in Aveiro, Portugal. ZOYA POPOVIĆ, Guest Editor Department of Electrical, Computer and Energy Engineering University of Colorado at Boulder Boulder, CO 80309 USA KHURRAM K. AFRIDI, Guest Editor Department of Electrical, Computer and Energy Engineering University of Colorado at Boulder Boulder, CO 80309 USA GEORGE E. PONCHAK, Guest Editor NASA Glenn Research Center Cleveland, OH 44135-3127 USA

Zoya Popović (S’86–M’90–SM’99–F’02) received the Dipl.Ing. degree from the University of Belgrade, Serbia, Yugoslavia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, CA, USA, in 1990. Since 1990, she has been with the University of Colorado at Boulder, where she is currently a Distinguished Professor and holds the Hudson Moore Jr. Endowed Chair with the Department of Electrical, Computer and Energy Engineering. She was named the 2015 Distinguished Research Lecturer of the University of Colorado. In 2001/2003 and 2014, she was a Visiting Professor with the Technical University of Munich, Munich, Germany and ISAE, Toulouse, France, respectively. Since 1991, she has graduated 52 Ph.D. students and currently leads a group of 15 doctoral students and 3 post-doctoral fellows. Her research interests include high-efficiency transmitters for radar and communication, low-noise and broadband microwave and millimeter-wave circuits, antenna arrays, wireless powering for batteryless sensors, and medical applications of microwaves such as microwave core-body thermometry and traveling-wave magnetic resonance imaging (MRI). 0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Prof. Popović was the recipient of the 1993 and 2006 IEEE MTT-S Microwave Prize for the best journal paper. She was the recipient of the 1996 URSI Issac Koga Gold Medal and was named a National Science Foundation (NSF) White House Presidential Faculty Fellow in 1993. She was the recipient of a 2000 Humboldt Research Award for Senior U.S. Scientists from the German Alexander von Humboldt Stiftung. She was elected a Foreign Member of the Serbian Academy of Sciences and Arts in 2006. She was also the recipient of the 2001 Hewlett-Packard (HP)/American Society for Engineering Education (ASEE) Terman Medal for combined teaching and research excellence. In 2013, she was named an IEEE MTT-S Distinguished Educator.

Khurram K. Afridi (S’93–M’98) received the B.S. degree in electrical engineering from the California Institute of Technology, Pasadena, CA, USA, in 1989, and the S.M. and Ph.D. degrees in electrical engineering and computer science from the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 1992 and 1998, respectively. During summers and between degrees, he was with the Jet Propulsion Laboratory (JPL), Lutron, Philips, and Schlumberger. In 1997, he joined the founding team of Techlogix as Chief Technology Officer and became Chief Operating Officer in 2000. From 2004 to 2008, he also led the development of the LUMS School of Science and Engineering (SSE), as Project Director, and became an Associate Professor and the Werner-von-Siemens Chair for Power Electronics in 2008. From 2009 to 2014 he was a Visiting Associate Professor with the Department of Electrical Engineering and Computer Science, MIT. Since January 2014, he has been an Assistant Professor with the Department of Electrical, Computer and Energy Engineering, University of Colorado (CU) at Boulder, Boulder, CO, USA. His research interests are in power electronics and energy systems incorporating power electronic controls. Dr. Afridi was a recipient of the California Institute of Technology Carnation Merit Award, the CU Boulder College of Engineering and Applied Science Dean's Professional Progress Award, and the BMW Scientific Award.

George E. Ponchak (S’82–M’83–SM’97–F’08) received the B.E.E. degree from Cleveland State University, Cleveland, OH, USA, in 1983, the M.S.E.E. degree from Case Western Reserve University, Cleveland, OH, USA, in 1987, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1997. In 1983, he joined the staff of the Communications, Instrumentation, and Controls Division, NASA Glenn Research Center, Cleveland, OH, USA, where he is currently a Senior Research Engineer. From 1997 to 1998 and 2000 to 2001, he was a Visiting Professor with Case Western Reserve University. He has authored or coauthored 200 papers in refereed journals and symposia proceedings. His research interests include the development and characterization of microwave and millimeter-wave printed transmission lines and passive circuits, multilayer interconnects, integrated antennas, wireless sensors, Si and SiC RF integrated circuits, and microwave packaging. Dr. Ponchak is an Associate Member of the European Microwave Association. He was the Editor-in-Chief of the IEEE TRANSACTIONS MICROWAVE THEORY AND TECHNIQUES (2010–2013), the Editor-in-Chief of IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS (2006–2009), and the Editor of a Special Issue on Si monolithic microwave integrated circuits (MMICs) of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has served on the Editorial Board of the International Journal of RF and Microwave Computer Aided Engineering since 2005. He founded the IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems and served as its Chair in 1998, 2001, and 2006. He was the General Chair of the 2011 IEEE Radio and Wireless Symposium, the Technical Program Chair of the 2010 IEEE Radio and Wireless Symposium, and the Technical Program Chair of the 2013 IEEE International Microwave and RF Conference (IMaRC). He served as Chair of the Cleveland IEEE MTT-S/Antennas and Propagation Society (AP-S) Chapter (2004–2006). He is a Member of the IEEE MTT-S International Microwave Symposium Technical Program Review Committee on Transmission Line Elements and served as its Chair (2003–2005). He was a Member of the IEEE MTT-S Technical Committee 12 on Microwave and Millimeter-Wave Packaging and Manufacturing (2003–2014). He is a Member of IEEE MTT-S Technical Committee 26 on Wireless Energy Transfer and Conversion and MTT-27 on Wireless-Enabled Automotive and Vehicular Applications. He served on the IEEE MTT-S Administrative Committee (AdCom) Membership Services Committee (2003–2005) and was elected to the IEEE MTT-S AdCom in 2010. He chaired the Meeting and Symposia Committee in 2012–2013 and the Publication Committee (2014–2015). He was the recipient of the 2014 N. Walter Cox Award that recognizes an IEEE MTT-S member who has given exemplary service to the IEEE MTT-S and the Best Paper of the ISHM’97 30th International Symposium on Microelectronics Award.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Time-Modulation of Linear Arrays for Real-Time Reconfigurable Wireless Power Transmission Diego Masotti, Member, IEEE, Alessandra Costanzo, Senior Member, IEEE, Massimo Del Prete, Graduate Student Member, IEEE, and Vittorio Rizzoli, Fellow, IEEE

Abstract—This paper proposes a smart wireless power transmission method, based on a two-step procedure, exploiting real-time beaming of time-modulated arrays. The sideband radiation phenomenon, which is usually a drawback of these radiating systems, is favorably used for intentional wireless power transfer (WPT): in a first step to precisely localize the tag to be powered and in the second one to perform directive WPT. The approach is first theoretically discussed, then the numerical procedure, which integrates full-wave analysis of the antenna array with nonlinear simulation of the modulated nonlinear feeding network, is used to validate the principle of operation and to include nonlinearities and electromagnetic couplings affecting the whole system performance. The procedure allows a flexible design of the time-modulated-array-based WPT system, taking into account the impact of different array elements layout and spacing on localization and power transmission performance. Experiment of the first step is carried out in a real indoor environment at 2.45 GHz: a TI MSP430 drives a Schottky-diode-based network to provide proper modulated RF excitations of the array elements. Measurements show that the system is able to select tags to be energized randomly distributed in a 100 -scanning range. Index Terms—Electromagnetic (EM) analysis, linear antenna arrays, nonlinear circuits, time modulation, wireless power transfer (WPT).

I. INTRODUCTION

I

N MODERN society, there is plenty of applications based on networked low-cost nodes (tags) that are distributed in the space: wireless sensor networks, logistic, and industrial automation. The need for low power, batteryless, or rechargeable devices is thus increasing exponentially. The possibility to scavenge the RF from the ambient has demonstrated to be a potential solution to the energy autonomy problem of such devices, but the extremely low levels of available RF power in practical scenarios [1] lead to few W of collected dc power [2], [3]. For this reason, wireless power transfer (WPT) is foreseen as one of the Manuscript received July 21, 2015; revised November 07, 2015 and December 14, 2015; accepted December 18, 2015. This paper is an extended paper from the IEEE Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. D. Masotti and V. Rizzoli are with the Department of Electrical, Electronic and Information Engineering (DEI), University of Bologna, Bologna 40136, Italy (e-mail: [email protected]; [email protected]). A. Costanzo and M. Del Prete are with the Cesena Campus, Department of Electrical, Electronic and Information Engineering (DEI), University of Bologna, Bologna 40136, Italy (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2512275

Fig. 1. Schematic representation of a linear -element TMA with detailed diodes switch bias networks, including dc-block capacitors.

key enabling technologies for an energy-aware world [4], for the effective implementation of the so popular paradigm of the Internet of Things [5]–[7]. With these scenarios in mind, smart strategies to intentionally provide RF energy to a large number of wireless nodes become of leading importance [8]–[11]. This paper proposes a new solution based on a suitable configuration of time-modulated arrays (TMAs), firstly introduced in [12], for wirelessly energizing randomly distributed selectable devices. The unrivaled level of reconfigurability of the TMA has been analytically computed by using the time as an additional degree of freedom in radiation pattern synthesis [13], [14]: ideal switches connected to the array ideal elements are periodically modulated to realize real time beaming. Several optimized modulation sequences have already been proposed. Among the most appealing ones, we cite those providing: adaptive nulling [15], harmonic beam forming [16], [17], beam steering [18], [19], and direction finding [20]–[22]. A dynamic radiating system based on the effective implementation of TMA theory is thus foreseen to be a very promising solution for the above-mentioned widely distributed modern wireless applications such as secure communication in future cognitive radio systems [23]. It is noteworthy that, despite their agility, TMAs have a much simpler architecture if compared to other beam-forming solutions, such as phased arrays or retrodirective arrays [24], [25]. Indeed, the desired phase condition at the antennas ports is reached with no need for phase shifters, thus drastically simplifying the design and the realization phases, as schematically reported in the block diagram of Fig. 1 for a linear TMA. Few interesting implementations of TMA theory are provided in [26] and [27]. In [28], the direction finding operation is demonstrated, in the broadside direction only, by using bulky

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

wire monopoles and by adding a coaxial line stretcher at one antenna port for the phase compensation. In [22], the same operation is obtained with planar patches, but a quite complex signal processing is needed. In this paper we propose a novel two-step real-time beaming implementation of linear TMAs to realize a smart WPT system: in the first step, the TMA is configured in such a way that the sole inner couple of antennas are driven and the control sequences are designed to simultaneously provide the and patterns [29] that allow to precisely determine the position of the devices to be energized; such positions are used in the second operative step of the system, to set the time control modulating signals of the entire array for real-time beaming the RF power to the wanted directions. The dynamic nature of TMAs thus allows creating an agile energy-aware reader/transmitter to be adopted in different scenarios, pervasively occupied by batteryless devices. Starting from the theoretical motivations introduced in [12], in this paper we first demonstrate the numerical implementation of the entire system design for the two-step operation. This is carried out by means of the multi-domain computer-aided design (CAD) approach described in [30], which allows to account for the fundamental effects of both nonlinearities and electromagnetic (EM) couplings. Differently from other research on TMAs, in our approach we consider both real switches and real radiators, driven by various switch control patterns available in the literature. Interesting theoretical optimization strategies have been proposed in [14], [26], and [31]–[34], but under ideal conditions, both from the control and radiation point of view, whereas in [35] a more realistic pulse shape is taken into account during the design procedure. In our case the nonlinear circuit effects, as well as the linear EM ones, are all taken into account in this way, and reveal their importance in the effective implementation of the TMA-based WPT system. This paper is organized as follows. In Section II, the main features of TMAs are presented, focusing on favorably exploitation of the sideband radiation (SBR) phenomenon, which is usually a drawback of these radiating systems, for intentional WPT. Section III briefly recalls the guidelines of our CAD approach, useful for a better understanding of the complex TMA radiation mechanism. Section IV describes the two-step agile WPT strategy, whereas the corresponding simulated results are given in Section V for two real array layouts. Section VI discusses the experimental results for the first-step operation of the proposed TMA system, in a real indoor environment. A planar 2.45-GHz two-monopole array is realized and a TI MSP430 is used to drive the control pattern of the array Schottky-diodebased feeding network. II. TMA RADIATION AT FUNDAMENTAL SIDEBAND FREQUENCIES

AND

The presence, at TMA element ports, of nonlinear switches, driven by periodical control sequences, open the way to an almost unlimited number of excitation combinations: not only the switch on percentage [14], but also the rise and fall instants [26], [31], [32] can be adopted in an optimization procedure to manipulate the high versatility of these radiating system. Fig. 1 shows the simple array architecture for a standard linear

array: as can be evinced from the figure, the array architecture is extremely simple, especially if compared to phased arrays. No complex phase shifters are required, but only simple control circuit boards. Moreover, TMAs offer an additional known capability, not feasible for other radiating architectures, represented by a multi-frequency radiation mechanism; and this will represent the focus of this paper. Let us start considering a linear array, whose elements are resonant at the fundamental frequency, , and are aligned along the direction , with inter-element spacing . The RF switch at the th antenna port, driven by a periodical sequence of rectangular pulses of period and normalized amplitude , leads to the replacement of the generic standard constant excitation coefficient with the corresponding time-dependent version . This way the far-field radiated by the whole array becomes time dependent too, through the time dependency of the array factor , as described in the following field evaluation in the point :

(1) where is the free-space phase constant, and represents the far-field radiated at the carrier frequency by the base element of the array. Due to the periodicity of the switch control sequences it is possible to Fourier transform the time-dependent array factor

(2) is the th Fourier coefficient of the pulse. where The direct consequence of the replacement of (2) in (1) is the multi-frequency radiation contribution: indeed, the TMA is able to radiate at the usual fundamental frequency , but also at the near-carrier sideband harmonics . This SBR is efficiently transmitted/received due to the low value of the modulation frequency with respect to the carrier (MHz versus GHz), which allows the array elements to be still almost resonant. At the beginning of TMAs history, the phenomenon of SBR has represented an unwanted radiation. Many efforts have been made in literature to suppress this waste of power. Fig. 2 gives, at a glance, the effect of this phenomenon by plotting the radiation patterns of a 16-element linear array operating at 2.45 GHz, driven by a control sequence assuring both a sideband radiation level (SBL) around 20 dB, and a sidelobe level (SLL) at the same frequency below 30 dB [31]. In recent years, the capability of TMAs to simultaneously radiate at different frequencies has been considered as an added value for specific applications. In this paper we intend to exploit the capabilities of direction finding [20]–[22] and harmonic beamforming [16], [17] to realize a new and smart WPT procedure, as explained in Section IV.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MASOTTI et al.: TIME-MODULATION OF LINEAR ARRAYS FOR REAL-TIME RECONFIGURABLE WIRELESS POWER TRANSMISSION

Fig. 2. Multi-frequency radiation patterns of a 16-element linear TMA with switch control sequence taken from [31].

III. NONLINEAR AND FULL-WAVE CO-SIMULATION OF TMAs The complexity of TMA systems, with the unavoidable presence of EM couplings and the nonlinear nature of the driving switches, can lead to highly inaccurate results if proper countermeasures are not taken. The analytical approaches available in the literature focus on the fundamental goal of the control sequences optimization, but consider both ideal radiators and ideal switches. For this reason we have proposed in [30] a rigorous approach for the accurate modeling of TMA systems by accounting for all the nonidealities. In this paper, we adopt the same simulation tool, and some hints are restated here, for the sake of completeness and for a better understanding of the following results. The approach consists of the nonlinear/EM analysis of the whole radiating system by combining the harmonic balance (HB) technique, for the accurate description of the nonlinearities, and the broadband full-wave simulation of the array and its feeding network. In case of an array with ports (identical to the number of switches), the EM-based description of the system will consists of an -port network (including the RF input port) where the switch ports are internal ports of the EM simulator, i.e., couples of floating nodes directly connected to the mesh, thus embedding the diodes in the whole EM-based system. As described by Fig. 3, where three different switch controls are given, the superposition of the periodic switch modulation

3

Fig. 3. Examples of periodical switches excitation sequences, modulating the RF carrier waveforms, for three different switching patterns.

to the carrier frequency to be radiated allows to resort to the modulation-oriented HB method [36]. Since the condition is always valid in practical TMA applications (GHz versus a few MHz), the modulated regime can be seen as a sequence of slowly changing unmodulated ones. Therefore, a generic signal flowing in the circuit (e.g., the current at the th port of the array) can be cast in the form (3) where is the needed number of harmonics of the fundamental frequency , is the slow envelope time of the switches modulation law, is the fast carrier time, and is the time-dependent complex th current envelope (or modulation law). For the far-field evaluation, two aspects have to be considered, which are: 1) the modulation is obviously transferred from the driving currents to the radiated far-field and 2) the linearity of the antenna system allows to exploit the superposition principle and to calculate the field from the knowledge of the excitations (currents), thus, the far-field envelope at the generic harmonic takes on (4), shown at the bottom of this page [37], where and are the scalar components of the normalized field, easily evaluated by means of a sequence of full-wave analyses. For each array port, the generic th radiating element is excited by a unit-current sinusoidal source of frequency , while the other ports are left open. The additional term in square brackets is

(4)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Switches driving sequences of a two-element array for localization purposes: is the pulse shape design parameter for pattern steering.

Fig. 4. Two-step WPT procedure exploiting a linear TMA. 1 step: tags localization; 2 step: power transmission to the previously detected tags.

due to the time dependency of the current phasors. It is worth mentioning that, given for granted the antenna topology, this time-consuming EM database has to be evaluated only once in the frequency bands of interest; it can then be used whatever antenna regime need be computed. The set of results, in terms of radiated far-field, given by the present approach, is a complete one since the field is treated as any other signal in the system. The direct application of (4) provides the field envelope at the desired harmonic (typically the fundamental, ) in any direction of radiation. Hence, from (4), the far-field harmonics of the bi-dimensional regime due to the intermodulation between and is available: . While, by varying the harmonic number , the resulting far-field spectrum around the carrier harmonic is obtained, for a fixed distance/direction. Finally, by varying , the result is the radiation surface/pattern at a given distance and for a given harmonic. An important aspect of this approach is that it allows to investigate the nonlinear nature of the radiating system. In the following, the power transmission as a function of the input power level will be discussed in detail, by putting into evidence the power range where the desired radiating performance can be achieved. IV. TWO-STEP AGILE WPT STRATEGY The scenario we have in mind is an indoor room where several sensors, equipped with rectennas, are randomly placed. The dynamic reconfigurability of a linear TMA is fully deployed in a novel WPT two-step procedure, as represented in Fig. 4: in the first step only two elements of the TMA are periodically driven to localize the tags; in the second step, the entire -element array is used to precisely energize the previously detected tags. In both phases we take advantage from the TMA capability to perform a multiple radiation at the fundamental and the first sideband harmonics . A. Localization Step By properly driving the two switches, an interesting direction finding functionality of a two-element TMA is deployable exploiting the TMA SBR. We choose a complementary control sequence of the kind reported in Fig. 5 with solid lines (i.e., duty cycle of 50% for both switches) to obtain from the two-element

Fig. 6. Fixed and steerable patterns of an ideal array of two isotropic and (b) with spacing , as a function of . elements: (a) with spacing

array symmetric radiation surfaces both of the sum type at (two elements in-phase), and of the difference type , but at (two elements out-of-phase). Fig. 5 also shows the tuning parameter allowing a variable overlapping percentage of the control sequences: in this way beam steering of the pattern is achieved in the scanning plane : increasing results in a shift increase of the null in the right half-plane at ; a symmetric result in the left half-plane is observed at . The steering pattern is made possible by the complex nature of the corresponding Fourier coefficient in (2). Conversely, the real coefficient is responsible for the fixed nature of the pattern, while changing . Fig. 6(a) shows the radiation patterns in the scanning plane of an ideal array of two isotropic radiators with : thus they correspond to the patterns obtained from (2) with given by the waveforms of Fig. 5. With an increase of up to 20%, a scanning region of about 60 is achieved. In [20], a reduced element spacing is suggested: in this way the goal of increasing the -pattern flatness is obtained and, consequently, almost unchanged localization properties are guaranteed while steering. In Fig. 6(b), the same ideal patterns as in Fig. 6(a) are reported with . Superior scanning

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MASOTTI et al.: TIME-MODULATION OF LINEAR ARRAYS FOR REAL-TIME RECONFIGURABLE WIRELESS POWER TRANSMISSION

5

performance pertain to this case: besides the almost flat pattern, a wider scanning region of about 70 with sensibly lower values of is possible. Let us assume that sensor identifications (IDs) have been already acquired by standard RF identification (RFID) reading operations of passive tags. The (flat) pattern of a standard (unmodulated) two-element array could be used for this purpose. When tag IDs are acquired, the true scanning phase takes place, by exploiting the time modulation according to the patterns of Fig. 5: the sharp nulls of the steered patterns allow high resolution in the tags detection: the backscattered received signal strength indicators (RSSIs) due to the and patterns can be suitably combined to build the maximum power ratio (MPR) [29], Fig. 7. Ideal full exploitation of a 16-dipole TMA SBR, when considering up to the sixth harmonic, for multiple tags powering.

(5) The combination of the radar monopulse operating principle with the scanning capability has proven its effectiveness in indoor localization, with resolution up to a few cm at 2.45 GHz [29]. At the end of the scanning activity a vector with the values of corresponding to the peaks of the received MPRs is recorded. B. Power Transfer Step Once the tags positions have been detected, the entire array is driven by a proper control sequence involving all the switches and providing the desired radiation properties: from this side, there is plenty of optimization strategies for the engineering of the radiation patterns shape of ideal TMAs [26], [31]–[34]. In particular, it is possible to add, as an additional design parameter, the maximum radiation direction at the sideband harmonics . In this way it is possible to point the sideband harmonic radiation in the desired direction, and this can be done simultaneously at several near-carrier frequencies . A possible decision rule during the WPT activity could be to split the scanning region (the actual situation implementing Fig. 6) into sectors of amplitude equal to the half-power beamwidth (HPBW), centered around , as described in Fig. 7. Here, the hypothetical situation of an array of 16 dipoles, with , and the exploitation of up to the sixth sideband harmonics, is envisaged. For a falling in the -centered sector, the pre-loaded control sequence pointing the desired harmonic pattern (at ) to the direction is used. This operation is repeated for each . In case of a falling in the sector centered around , the fixed fundamental harmonic beam can be used to energize the corresponding sensor, while the first sideband harmonics can simultaneously transfer the power to another couple of almost symmetrically placed tags. V. SIMULATION RESULTS The CAD approach described in Section III can now help in the choice of the proper antenna topology from both the localization and power transmission points of view, by evaluating plots of the kind of Figs. 6 and 7, now obtained by taking into account the actual layouts and radiation patterns.

Fig. 8. Layouts and dimensions (in mm) of planar two-element TMAs -spaced monopoles, (b) -spaced monopoles, (c) multilayer with: (a) -spaced patches, and (d) multilayer -spaced patches.

A. Localization Step For localization purposes we examine the planar two-element array topologies described in Fig. 8. A couple of monopoles with and and a couple of multi-layer square patch antennas fed by means of apertures in the ground plane with and . All the arrays are realized on a Taconic RF60A substrate ( , thickness mm), and the corresponding antennas resonate at GHz. Note that in order to experimentally validate, in a research laboratory, the numerical results discussed in this section, we have chosen to use medium-power microwave Schottky diodes (Skyworks SMS7630-079) as the switching elements driven by periodic sequences with modulation frequency kHz. The linear localization performance of the arrays under test, are then analyzed at low power levels. A 10-dBm RF power at each antenna port is used for the following analyses. It is noteworthy that, for higher power needs, p-i-n diodes (such as Infineon BAR64–02V) and their associated nonlinear models can be adopted in a straightforward way to predict the system behavior.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. (a) Equally and (b) unequally spaced arrays of 16 planar monopoles.

Fig. 9. and radiation patterns for the arrays of Fig. 8 in the scanning -plane given in the same order for different values. The dotted curve represents the standard radiation pattern of the array of Fig. 8(a) with out-of-phase antennas excitation.

Note that the arrays of Fig. 8 correspond to the inner elements of larger arrays, deploying a higher number of radiating elements, which are needed for the second step of the WPT procedure. The following results are thus obtained by making use of the EM database corresponding to the larger arrays (with -ports, ). This way, the unavoidable EM couplings between the nearby elements are automatically taken into account, even if the sole two inner switches are driven at this stage, while the remaining ones are left open. Moreover, the same database can be exploited in the analyses involving all the switches of the second phase. Fig. 9 shows the results in terms of and radiation patterns for the arrays of Fig. 8 in the scanning -plane, for different values. Very wide steering of the sideband patterns can be easily obtained with the monopoles arrays, as shown in Fig. 9(a) and (b). A slightly flatter pattern is achieved in the closer antennas case, as expected, but the actual arrays radiation patterns taken into account in this analysis drastically worsen the closer antennas behavior with respect to the ideal case of Fig. 6(b). In both the monopole array cases the scanning region remains almost unchanged, roughly . It is worth noting also the higher parameter value needed for these steering activities: determines peaks (at ) steering at 45 . Besides the nonideal antenna radiating characteristics, the real control switch behavior also influences the final array performance. Finally, the results of Fig. 9(c) and (d) demonstrate that the more directive patch antenna behavior is a drawback in this case. The scanning region is drastically reduced: more than 50%, with respect to the almost omnidirectional monopoles situation, in the case of closer patches. A further interesting advantage in using TMAs is represented by the sharpness of the negative peaks of the patterns, clearly

visible in Fig. 9 for all the array topologies under exam. These peaks are improved by the time-modulation technique because, in this way, the two antennas are always alternatively active in the case or simultaneously active for brief time intervals in the cases. This configuration strongly reduces the EM couplings between the two antennas and drastically improve the whole system performance with respect to an identical standard array. This consideration has been validated by the full-wave simulation. The array of Fig. 8(a) has been described as a two-port array with the ports placed at the diodes location. The -pattern obtained by simply exciting out-of-phase these ports is superimposed to the simulated patterns of Fig. 9(a) via dotted lines. If compared with the -pattern provided by the TMA, in the case, the full-wave result (dashed black line) has a stronger field, but the sharpness of the peak, which the localization capability relies on, has become significantly worse. Of course, this phenomenon is more evident for omnidirectional antennas, which are responsible for high EM couplings. The directive behavior of patch antennas is an advantage, in this case, as verified by full-wave simulation. B. Power Transfer Step In the power transfer step we need a highly directive array in order to precisely energize the detected tags. For this purpose, a standard choice of element-spacing would be recommended. In fact, lowering implies a reduced-space solution, but also a significant directivity reduction. For this reason, and for the previous results in terms of tags detection, in the following we will limit the comparison between the two 16-monopole arrays of Fig. 10(a) and (b), having almost identical directivity of about 14.5 dBi: a standard equally spaced array, and an unequally spaced one, with the sole inner couple of monopoles at a reciprocal distance of . As regards the switches excitation, we make use of the sequence proposed in [17] for a 16-ideal-dipole array, reported in Fig. 11, for the sake of clarity. Fig. 12 shows the obtained harmonics radiation patterns, limited to the cases, for the two arrays of Fig. 10: these performance show that this TMA configuration allows to correctly locate three tags within sectors centered around . Despite that the sequence has been optimized for ideal uniformly distributed dipoles, the performance are quite satisfactory for the two array arrangements of Fig. 10: with respect to the equally spaced case [see Fig. 12(a)], the unequally spaced array [see Fig. 12(b)] shows an SLL increase of almost 5 dB for all the plotted patterns; hence, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MASOTTI et al.: TIME-MODULATION OF LINEAR ARRAYS FOR REAL-TIME RECONFIGURABLE WIRELESS POWER TRANSMISSION

7

Fig. 11. Switches control pattern for the 16-dipole arrays of Fig. 10 (taken from [17]). Fig. 13. Far-field envelopes at 1-m distance, in correspondence of the three radiation maxima of Fig. 12(a).

Fig. 12. Radiation patterns at the fundamental and at the two first symmetrical sideband harmonics due to the excitation of Fig. 11: (a) for the equally spaced and (b) unequally spaced arrays of Fig. 10.

control sequence should be re-optimized taking into account the actual nonstandard array layout of Fig. 10(b). By exploiting (4), the far-field envelopes at the fundamental harmonic in three directions , at a distance , can be straightforwardly obtained, as reported in Fig. 13 for the array of Fig. 10(a), driven by the sequence of Fig. 11. The waveforms undergo a field intensity oscillation due to the number of active switches at each sampling instant, which is typical of TMAs radiating behavior. These oscillations can be eventually minimized by adopting a proper control sequence, focusing on a flat directivity envelope [38]. In the power transfer step, a transmission of high power levels can occur if requested by the specific application, e.g., in cases

of distant and/or power-hungry tags. For this reason, an investigation of the effects of the input power level on the TMA nonlinear performance can be useful. When the RF input signal increases, the diodes adopted for the switching operations start rectifying a significant portion of the RF signal, in such a way that the bias control sequence can be completely overrun. Once again, this nonlinear phenomenon can be straightforwardly predicted by our CAD procedure, as plotted in Fig. 14, where the equally spaced array radiation patterns at the three harmonics of interest are shown for different values of the RF power at each antenna port. These results are obtained with the time sequence of Fig. 11. The progressive increase of the SLL in the fundamental pattern of Fig. 14(a) with input power is evident. For the adopted device, this degradation is reached at dBm: all the switches are forced to the on state for the whole modulation period by this rectification phenomenon. Indeed in such nonlinear condition our nonlinear/EM simulation predicts the same radiation pattern as the one of a standard 16-element static array. The situation is even more shattered for the sideband harmonics patterns , where the main lobe radiation strength at is progressively reduced, down to a level comparable to the sidelobes of the fundamental pattern. This investigation confirms that, for the medium-power Schottky diodes in use, the input power limit is about 0 dBm. As previously stated, for higher power needs, the use of different devices can release this limit. C. Null Mean-Value Control Sequence As a further proof of the versatility of these radiating systems, we can also modify the shape of the control pulses in order to limit either the sideband or the fundamental radiations. The SBR suppression becomes advantageous in those scenarios where the tags to be energized fall in the sector only. Many solutions have been presented in literature to provide a broadside radiation at the fundamental with simultaneous SBR control [27], [39]. Conversely, for WPT purposes, if no tags are present in the broadside sector , in that direction it is required not to waste power. We adopt a very simple solution by designing an anti-symmetric control sequence of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 15. (a) Waveform of a null mean-value pulse for broadside radiation suppression at the fundamental frequency. (b) Corresponding antenna feeding network schematic layout.

Fig. 16. Switches control pattern realizing null mean value, optimized for WPT purposes, for the 16-dipole array of Fig. 10(a).

Fig. 14. Effect of input RF power level on the time-modulated radiation patterns of the array of Fig. 10(a): (a) at the fundamental and (b) and (c) at the sideband harmonics.

kind reported in Fig. 15(a), where the time intervals with positive and negative values of the bias pulses are identical, as addressed in [12] and [40]. In this way, a null mean-value sequence is obtained, thus automatically providing no broadside radiation at . This can be easily explained by the evaluation of the Fourier coefficient at the fundamental in (2),

(6) Note that a further degree of freedom is available in this case, given by the positive and negative pulses separation parameter [see Fig. 15(a)], thus increasing the control sequence combinations for future optimizations. The circuit solution requires a more complex implementation, due to a couple of antiphase switches, as indicated in Fig. 15(b): the diode, which is on during the negative cycle, requires an additional half wavelength-long meandered line to guarantee the suitable current

phase condition at the antenna port for both positive and negative bias cycles. According to this solution, a symmetric radiation pattern involving only the sideband harmonics is obtained, and no radiation content is observed at the fundamental harmonic. The optimized sub-optimal (with ) anti-symmetric control sequence is shown in Fig. 16. In case of application of the sequence to the equally spaced array of Fig. 10(a), the radiation patterns at , shown in Fig. 17, are obtained: two maxima of transmission in correspondence of the sectors can be exploited in this case, whereas the power radiated at the fundamental remains below the SLL. VI. MEASURED RESULTS As an experimental proof of the TMA technique, we have prototyped a two-element array to be driven by the control sequence used in the first step of operation to generate the and patterns for localizing the tags to be energized. Since for this purpose the simulated performance of the arrays with element spacing equal to and are similar, we decide for the standard larger array and we co-design the array with its feeding network. The final layout of the realized prototype is shown in Fig. 18. The adopted SMD components for each array element feeding network of the kind of Fig. 1 are: two Schottky diodes (Skyworks SMS7630–079), two 10-pF dc block capacitors (Murata GRM1885), four RF chokes nH (Johanson Technology L402DC), and two 1-k bias resistors. A microprocessor TI MSP430 is used to drive the diodes based on the control patterns of Fig. 5 with kHz.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MASOTTI et al.: TIME-MODULATION OF LINEAR ARRAYS FOR REAL-TIME RECONFIGURABLE WIRELESS POWER TRANSMISSION

9

Fig. 17. Fundamental and first sideband harmonics patterns of the array of Fig. 10(a) under excitation conditions of Fig. 16.

Fig. 19. Measured pulse waveforms at the TI MSP430 output ports: (a) with and (b) with . the tuning parameter

Fig. 18. 2.45-GHz prototype of a two-element TMA with dimensions in mm (lines dimensions: length/width).

Fig. 19 shows the real waveforms measured at the microprocessor ports for the cases and . In order to properly bias the Schottky diodes, positive and negative waveforms levels are 3.5 and 3.5 V, respectively, thus ensuring the correct control at the antenna ports. The waveforms show slight oscillations around the 3.5-V values, while the zoomed inset demonstrates the rapid transition between the two states ns . A 3.5-mA current flows through the diodes in the on-state. Since the actual waveform driving the diodes is the crucial design parameter in TMA synthesis, the measured control waveforms are first used inside the system simulation to verify the actual modeled system performance: the corresponding simulated and radiation patterns result to be almost identical to those of Fig. 9(a), obtained with ideal rectangular pulses, apart from a difference of a few dB in correspondence of the negative peaks, thus confirming the good quality of the measured switch bias patterns. Fig. 20 shows a photograph of the measurement setup prepared in an office scenario to test the system in real operating conditions. The first test of the prototype consists of spectra measurements to verify the complex radiation mechanism of the system

Fig. 20. Photograph of the measurement setup.

under exam: the measured spectra obtained by switching the diodes with the sequence of Fig. 19(a) and (b) are reported in Fig. 21 for the broadside direction , and for and . The SBR phenomenon at 2.499975 and 2.450025 GHz is clearly visible in the figure: in the perfect complementary excitation case , the field strength, at the sideband harmonics, increases with increasing value, whereas the field at the fundamental smoothly changes, as predicted by simulation. In the shifted control sequence case , the field has a reverse dependence on , and its minimum is around in this case. From these preliminary results, it is already notable that the minimum in the case is not very deep since

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

at the antenna ports. This is also confirmed by the patterns in the case: the peaks are at (expected 45 ) and (expected 45 ) for the higher and lower sideband, respectively. Furthermore, a lower amplitude of the measured -field with respect to the corresponding modeled one is observed. This is probably due to the uncertainties of the available diode package model used in the nonlinear simulation of the entire system. Indeed we experimentally observed that in real operation an alternative path for the RF signal is created by the diode capacitance parasitic, which allows a small amount of the RF signal to reach the antenna ports, thus perturbing the delicate equilibrium, which the SBR is based on. VII. CONCLUSION

Fig. 21. Measured fundamental and first sideband harmonics spectral lines, ra, (b) , and (c) diated in three different directions: (a) in the case of the tuning parameter .

Fig. 22. Measured (at fundamental) and (at first sideband harmonics).

In this paper, we have exploited the unrivaled level of configurability of TMAs to perform a new dynamic two-step intentional WPT operation. By simultaneously controlling in real time the bias waveforms of nonlinear switches feeding the array elements, we show that the system allows to first precisely localize and then energize randomly placed sensors without the wasting of power. The nonlinear switch dynamics and the linear EM couplings between different portions of the WPT system are rigorously predicted by the presented co-design procedure, demonstrating its necessary role for the accurate prediction of TMAs implementation. The effectiveness of the adopted design method is demonstrated by the measured radiation patterns of a realized two-monopole array. The obtained behavior demonstrates the feasibility of the TMAs localization function, even in a realistic office scenario. These first promising results, obtained by very simple architecture implementation, indicate TMAs as very interesting candidates for agile and reconfigurable WPT systems, to be exploited in many civil and industrial energy-wise assisted ambient. Future work will be dedicated to the implementation and experimental tests of TMA-based WPT systems for different requirements in terms of power levels.

radiation patterns for

the sideband harmonic spectral lines are not much lower than the fundamental one [see Fig. 21(c)]. The resulting measured radiation patterns are shown in Fig. 22 for , confirming that the TMA operation is reached. The and patterns have the predicted shape. In particular, a very deep peak 40 dB of the pattern with is reached and good steering capabilities pertain to the case (the peaks are 25 dB only for ). The pattern is not reported for all the values because it is always the same due to its unsteerable nature. Few discrepancies with simulated results are observed and are discussed below. A slight asymmetry of the measured patterns can be observed. In particular, when , the negative peak is at (expected 0 ) for both the harmonics. This is probably due to the in-house realization of the prototype, which could be responsible for asymmetries in the feeding network and/or in the antenna layouts, causing the phase unbalance

REFERENCES [1] M. Pinuela, P. D. Mitcheson, and S. Lucyszyn, “Ambient RF energy harvesting in urban and semi-urban environments,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2715–2726, Jul. 2013. [2] D. Masotti, A. Costanzo, M. Del Prete, and V. Rizzoli, “A genetic-based design of a tetra-band high-efficiency RF energy harvesting system,” IET Microw. Antennas Propag., vol. 7, no. 15, pp. 1254–1263, 2013. [3] J. A. Hagerty, F. B. Helmbrecht, W. H. McCalpin, R. Zane, and Z. B. Popovic, “Recycling ambient microwave energy with broad-band rectenna arrays,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 1014–1024, Mar. 2004. [4] A. Massa, G. Oliveri, F. Viani, and P. Rocca, “Array designs for longdistance wireless power transmission: State-of-the-art and innovative solutions,” Proc. IEEE, vol. 101, no. 6, pp. 1464–1481, Jun. 2013. [5] E. Hossain, M. Rasti, H. Tabassum, and A. Abdelnasser, “Evolution toward 5G multi-tier cellular wireless networks: An interference management perspective,” IEEE Wireless Commun., vol. 21, no. 3, pp. 118–127, Jun. 2014. [6] L. Roselli et al., “Smart surfaces: Large area electronics systems for Internet of Things enabled by energy harvesting,” Proc. IEEE, vol. 102, no. 11, pp. 1723–1746, Nov. 2014. [7] M. M. Tentzeris et al., “Inkjet-printed ‘zero-power’ wireless sensor and power management nodes for IoT and “smart skin” applications,” in 20th Int. MIKON Conf., Jun. 2014, pp. 1–7.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MASOTTI et al.: TIME-MODULATION OF LINEAR ARRAYS FOR REAL-TIME RECONFIGURABLE WIRELESS POWER TRANSMISSION

[8] J. A. Hagerty, F. B. Helmbrecht, W. H. McCalpin, R. Zane, and Z. B. Popovic, “Recycling ambient microwave energy with broad-band rectenna arrays,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 1014–1024, Mar. 2004. [9] A. Boaventura, D. Belo, R. Fernandes, A. Collado, A. Georgiadis, and N. B. Carvalho, “Boosting the efficiency: Unconventional waveform design for efficient wireless power transfer,” IEEE Microw. Mag., vol. 16, no. 3, pp. 87–96, Apr. 2015. [10] S. Yoshida, N. Hasegawa, and S. Kawasaki, “Experimental demonstration of microwave power transmission and wireless communication within a prototype reusable spacecraft,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 8, pp. 556–558, Aug. 2015. [11] A. Costanzo et al., “Electromagnetic energy harvesting and wireless power transmission: A unified approach,” Proc. IEEE, vol. 102, no. 11, pp. 1692–1711, Nov. 2014. [12] D. Masotti, R. Marchukov, V. Rizzoli, and A. Costanzo, “Far-field power transmission by exploiting time-modulation in linear arrays,” in Proc. IEEE WPTC, Boulder, CO, USA, 2015, pp. 1–4. [13] H. E. Shanks and R. W. Bickmore, “Four dimensional electromagnetic radiators,” Can. J. Phys., vol. 37, no. 3, pp. 263–275, 1959. [14] W. H. Kummer, A. T. Villeneuve, T. S. Fong, and F. G. Terrio, “Ultra-low sidelobes from time-modulated arrays,” IEEE Trans. Antennas Propag., vol. AP-11, no. 6, pp. 633–639, Nov. 1963. [15] Y. Chen, S. Yang, G. Li, and Z. Nie, “Adaptive nulling with time-modulated antenna arrays using a hybrid differential evolution strategy,” Electromagnetics, vol. 30, no. 7, pp. 574–588, Sep. 2010. [16] G. Li, S. Yang, Y. Chen, and Z. Nie, “An adaptive beamforming in time modulated antenna arrays,” in Proc. ISAPE, Nov. 2008, pp. 166–169. [17] L. Poli, P. Rocca, G. Oliveri, and A. Massa, “Harmonic beamforming in time-modulated linear arrays,” IEEE Trans. Antennas Propag., vol. 59, no. 7, pp. 2538–2545, Jul. 2011. [18] Y. Tong and A. Tennant, “Simultaneous control of sidelobe level and harmonic beam steering in time-modulated linear arrays,” Electron. Lett., vol. 46, no. 3, pp. 201–202, Feb. 2010. [19] G. Li, S. Yang, Y. Chen, and Z. Nie, “A novel electronic beam steering technique time modulated antenna arrays,” Progr. Electromagn. Res., vol. 97, pp. 391–405, 2009. [20] A. Tennant and B. Chambers, “A two-element time-modulated array with direction-finding properties,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 64–65, 2007. [21] G. Li, S. Yang, and Z. Nie, “Direction of arrival estimation in time modulated antenna arrays with unidirectional phase center motion,” IEEE Trans. Antennas Propag., vol. 58, no. 4, pp. 1105–1111, Apr. 2010. [22] H. Chong, L. Xianling, L. Zhaojin, G. Junping, and J. Ronghong, “Direction finding by time-modulated array with harmonic characteristic analysis,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 642–645, 2015. [23] P. Rocca, Q. Zhu, E. T. Bekele, S. Yang, and A. Massa, “4-D arrays as enabling technology for cognitive radio systems,” IEEE Trans. Antennas Propag., vol. 62, no. 3, pp. 1102–1116, Mar. 2014. [24] T. Takahashi, T. Mizuno, M. Sawa, T. Sasaki, T. Takahashi, and N. Shinohara, “Development of phased array for high accurate microwave power transmission,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011, pp. 157–160. [25] R. T. Iwami, A. Zamora, T. F. Chun, M. K. Watanabe, and W. A. Shiroma, “A retrodirective null-scanning array,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 81–84. [26] S. Yang, Y. B. Gan, A. Qing, and P. K. Tan, “Design of a uniform amplitude time-modulated linear array with optimized time sequences,” IEEE Trans. Antennas Propag., vol. 53, no. 7, pp. 2337–2339, Jul. 2005. [27] H. Chong, Y. Hangchen, L. Xianling, G. Junping, and J. Ronghong, “Sideband radiation level suppression in time-modulated array by nonuniform period modulation,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 606–609, 2015. [28] A. Tennant, “Experimental two-element time-modulated direction finding array,” IEEE Trans. Antennas Propag., vol. 58, no. 3, pp. 986–988, Mar. 2010. [29] M. Del Prete, D. Masotti, N. Arbizzani, and A. Costanzo, “Remotely identify and detect by a compact reader with mono-pulse scanning capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 641–650, Jan. 2013. [30] D. Masotti, P. Francia, A. Costanzo, and V. Rizzoli, “Rigorous electromagnetic/circuit-level analysis of time-modulated linear arrays,” IEEE Trans. Antennas Propag., vol. 61, no. 11, pp. 5465–5474, Nov. 2013.

11

[31] L. Poli, P. Rocca, L. Manica, and A. Massa, “Pattern synthesis in time-modulated linear arrays through pulse shifting,” IET Microw., Antennas Propag., vol. 4, no. 9, pp. 1157–1164, Sep. 2010. [32] Q. Zhu, S. Yang, L. Zheng, and Z. Nie, “Design of a low sidelobe time modulated linear array with uniform amplitude and sub-sectional optimized time steps,” IEEE Trans. Antennas Propag., vol. 60, no. 9, pp. 4436–4439, Sep. 2012. [33] Y. Jing, L. Wen-Tao, S. Xiao-Wei, X. Li, and Y. Jian-Feng, “A hybrid ABC-DE algorithm and its application for time-modulated arrays pattern synthesis,” IEEE Trans. Antennas Propag., vol. 61, no. 11, pp. 5485–5495, Nov. 2013. [34] J. Euziere, R. Guinvarc’h, B. Uguen, and R. Gillard, “Optimization of sparse time-modulated array by genetic algorithm for radar applications,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 161–164, 2014. [35] E. T. Bekele, L. Poli, P. Rocca, M. D’Urso, and A. Massa, “Pulseshaping strategy for time modulated arrays—Analysis and design,” IEEE Trans. Antennas Propag., vol. 61, no. 7, pp. 3525–3537, Jul. 2013. [36] V. Rizzoli, D. Masotti, F. Mastri, and E. Montanari, “System-oriented harmonic-balance algorithms for circuit-level simulation,” IEEE IEEE Trans. Comput.-Aided Design. Integr. Circuits Syst., vol. 30, no. 2, pp. 256–269, Feb. 2011. [37] V. Rizzoli, A. Costanzo, D. Masotti, P. Spadoni, and A. Neri, “Prediction of the end-to-end performance of a microwave/RF link by means ofnonlinear/electromagnetic co-simulation,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4149–4160, Dec. 2006. [38] P. Rocca, L. Poli, and A. Massa, “Instantaneous directivity optimisation in time-modulated array receivers,” IET Microw., Antennas, Propag., vol. 6, no. 14, pp. 1590–1597, Nov. 2012. [39] S. Yang, Y. B. Gan, and A. Qing, “Sideband suppression in time-modulated linear arrays by the differential evolution algorithm,” IEEE Antennas Wireless Propag. Lett., vol. 1, pp. 173–175, 2002. [40] A.-M. Yao, W. Wu, and D.-G. Fang, “Single-sideband time-modulated phased array,” IEEE Trans. Antennas Propag., vol. 63, no. 5, pp. 1957–1968, May 2015. Diego Masotti (M’00) received the Ph.D. degree in electric engineering from the University of Bologna, Bologna, Italy, in 1997. In 1998, he joined the University of Bologna, as a Research Associate of electromagnetic fields. His research interests are in the areas of nonlinear microwave circuit simulation and design with an emphasis on nonlinear/electromagnetic co-design of integrated radiating subsystems/systems for wireless power transfer and energy harvesting applications. Dr. Masotti serves on the Editorial Board of the International Journal of Antennas and Propagation. He is a member of the Paper Review Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES since 2004.

Alessandra Costanzo (M’99–SM’13) has been an Associate Professor of electromagnetic fields with the University of Bologna, Bologna, Italy, since 2001. She has coauthored more than 150 scientific publications in peer-reviewed international journals and conferences and 3 chapter books. She holds two European and one US patents. She is an Associate Editor of the Cambridge Wireless Power Transfer Journal and the International Journal of Microwave and Wireless Technologies. In 2013 she co-funded the EU COST action WiPE “Wireless power transfer for sustainable electronics” where she chairs WG1: “far-field wireless power transfer.” Her main research interests include multi-domain design (based on nonlinear/electromagnetic co-simulation) of entire wireless links, such as RF identification (RFID), multiple-input multiple-output (MIMO), and ultra-wideband (UWB), including rigorous modeling of radiating elements and realistic channel models. She has recently developed innovative wireless power systems for both far- and near-field solutions. Prof. Costanzo is the Chair of the IEEE MTT-26 Technical Committee on Wireless Energy Transfer and Conversion, and IEEE Microwave Theory and Techniques Society (IEEE MTT-S) representative of the IEEE Council on RFID.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

Massimo Del Prete (GSM’15) received the B.S. and M.S. degree in telecommunication engineering from the University of Bologna, Bologna, Italy, in 2007 and 2011, respectively, and is currently working toward the Ph.D. degree at the University of Bologna. In 2014, he joined the Department of Electrical, Electronic and information Engineering, University of Bologna. His research interests include wearable and multi-band antennas, computer-aided design (CAD) of microwave integrated circuits with a special emphasis on low-power rectennas, power management for autonomous sensors, and wireless power transmission.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Vittorio Rizzoli (M’79–SM’90–F’94) joined the University of Bologna, Bologna, Italy, as a Full Professor of electromagnetic fields in 1980. He has authored or coauthored over 210 refereed technical papers on these and related topics. His main research interests are in the areas of nonlinear microwave circuit simulation and design with an emphasis on electromagnetic analysis and design of microwave integrated circuits and nonlinear/electromagnetic co-simulation and co-design of communications links. Dr. Rizzoli is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, and Wiley’s International Journal of RF and Microwave Computer Aided Engineering. In 1990/1992, he served as the Distinguished Microwave Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for Region 8, lecturing in Europe, USA, and Middle East on “Simulation and Design of Nonlinear Microwave Circuits.” Since 1993, he has been a member of the Technical Committee IEEE MTT-1 on Computer-Aided Design. From 1999 to 2009, he was a member of the Technical Program Committee of the IEEE MTT-S International Microwave Symposium. In 2005/2006, he was an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Adjustable Load With Tracking Loop to Improve RF Rectifier Efficiency Under Variable RF Input Power Conditions Soroush Dehghani, Graduate Student Member, IEEE, Sadegh Abbasian, and Thomas Johnson, Member, IEEE

Abstract—Terminating an RF rectifier with an optimum load resistance is important to maximize the efficiency of converting RF power to dc power. The optimum load resistance can also vary significantly as a function of RF input power. Therefore, an adjustable load circuit that tracks changes in RF power is required to maximize efficiency. As a way of implementing an adjustable load circuit that can provide a regulated output voltage to power a host system, a two-stage power-conversion circuit is proposed. The first stage is a dc-to-dc discontinuous mode converter that is controlled by an input loop to regulate the load impedance presented to the RF rectifier. The analysis, design, and experimental results of the first stage adjustable load circuit with a tracking loop are described. The load is connected to a 985-MHz 10-W GaN Class-F RF rectifier and results with the load circuit are compared to the optimum load. Index Terms—Class-F synchronous rectifier, RF rectifiers, wireless power.

I. INTRODUCTION

T

HERE ARE many applications of RF rectifiers including energy harvesting for low-power sensors [1]–[4], wireless power transfer [5]–[13], and rectification in outphasing and switch-mode power amplifiers that employ energy recycling as a way to improve power efficiency [14]–[18]. The RF power ranges in these applications are equally diverse and vary from mW levels in energy harvesting to high power in wireless power and amplifier systems. Device technology and circuit topologies for RF rectifiers are also diverse. Examples include CMOS voltage multiplier designs [1], CMOS synchronous switching rectifiers designs [3], Schottky diode rectifiers designs [5]–[7], and discrete high-power switching designs using GaAs [8] or GaN devices [5], [10]. Although there are different ways to implement RF rectifiers, there are common design challenges, and the focus in this paper is on the sensitivity of RF rectifiers to dc load impedance changes. Other factors that affect power efficiency include the RF input match, power losses in the rectifying element, the in-

Manuscript received June 17, 2015; revised November 17, 2015 and December 14, 2015; accepted December 18, 2015. This paper is an expanded version from the IEEE MTT-S Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. The authors are with the School of Engineering, University of British Columbia, Kelowna V1V 1V7, Canada (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2512583

fluence of higher order harmonics, and radiation losses [19]. Similar to the input RF match, the dc load impedance has a significant effect on the overall power efficiency of the RF rectifier, and there is an optimum load for a specific RF input power that maximizes the power efficiency of the rectifier. Therefore, the sensitivity of rectification efficiency to dc load impedance is general and the requirement to select an appropriate dc load impedance has broad application. As examples of the sensitivity of rectification efficiency to dc load resistance, Tables I and II summarize measurement results that have been published. Table I shows examples of how optimum load resistance changes as a function of RF input. The dc load resistance varies from 20:1 in [2] for a 40-dB change in RF power, to a range of 2.3:1 in [7] for a 17-dB change in RF power. Table II shows examples of how power efficiency varies as function of dc load resistance for a fixed RF input power condition. Similar to the measurements in Table I, the data shows that an optimum dc load is important to maximize the power efficiency of the RF rectifier. Therefore, a load circuit that can maintain the optimum dc load over a range of RF power conditions is highly desirable. Finding potential solutions to the implementation of adjustable and tracking loads has motivated the work in this paper, and we investigate this problem by considering the dc load requirements for a 10-W GaN class-F synchronous rectifier. The high-power rectifier is appropriate for both rectification of RF power in energy recycling amplifiers [14]–[18], as well as far-field wireless power applications [20], [21]. Although measurement results in Tables I and II highlight the importance of an optimal load match, there has been much less work published on the design of the load circuit and the integration of the load with the RF rectifier. Two references from the recent literature that have investigated the implementation of the load circuit for RF rectifiers are [22] and [23]. In [22], a boost–buck converter was implemented to create a fixed input resistance for the rectifier while driving a variable dc load. The dc-to-dc converter effectively isolates the load from the rectifier, and the input impedance of the converter is selected to be near the optimal resistance for the rectifier. This method provides a good solution assuming the RF input power does not vary too much. In [23], a two-stage dc-to-dc converter was used in a near-field wireless power system at a frequency of 13.56 MHz. The first stage was adjusted to maximize the power transfer to the converter, while the second stage was used to provide a regulated output. A digital controller was used to control the converters and test results are shown for a fixed RF input power

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I EXAMPLES OF OPTIMUM LOAD RESISTANCE AS A FUNCTION OF RF INPUT POWER

TABLE II EXAMPLES OF POWER EFFICIENCY VERSUS LOAD RESISTANCE

Fig. 1. RF rectifier with a power management circuit.

of 40 W. The system performance was not characterized over a range of RF input power. In this paper, we also pursue the idea of using a two-stage dc-to-dc converter as a way of implementing a robust load management circuit that provides the optimum load impedance to the RF rectifier over a range of RF input power conditions [24]. The concept is shown in Fig. 1. The first stage is configured as a dc-to-dc converter, which operates in the discontinuous current mode (DCM). The control for the DCM converter is steered by the measurement of current and voltage at the input of the converter. The control loop adjusts the duty cycle of the DCM converter to a condition that maximizes the power delivered by the rectifier. Since the control loop is designed to maximize load power, it can also track changes in RF input power. Since the first stage converter is input regulated, the output voltage, , in Fig. 1 fluctuates depending on the RF input power to the rectifier. In order to create a regulated supply voltage , a second stage is added. The second stage can be any classical boost or buck converter circuit, and therefore we do not focus on the design of this stage. Instead, the focus in this work has been on the design of the first stage and integration with the RF rectifier. The challenge of designing adjustable loads that can maximize the power efficiency of a source is not unique to RF rectifiers, and other applications such as photovoltaic systems and

wind power systems require similar types of load circuits. A review of this literature shows that much of the research has focused on the implementation of software control algorithms, which are used to control the operation of dc-to-dc converters in order to obtain a maximum power point tracking load characteristic [25]–[28]. Although a digital controller and software can be used to steer the first-stage DCM converter, other closed-loop control methods are also possible including analog loops. In this paper, we significantly expand on the concept of using a DCM converter as a way of implementing a tracking load circuit for an RF rectifier [24]. A detailed analysis of the DCM converter is made and equations are derived to show how the load resistance changes as a function of duty cycle. Design equations are also derived for circuit elements. An experimental implementation of the load circuit was built and compared to analytic and simulated results. After verifying the adjustable load in an open-loop configuration, the design of an analog closed-loop control for automatically adjusting the load impedance is presented. The tracking load is then integrated with a 985-MHz GaN HEMT Class-F synchronous RF rectifier. Experimental results are shown to verify the operation of the tracking load as RF input power changes over a 13-dB dynamic range from 0.5 to 11 W. The tracking circuit is compared with the optimal load resistance as well as operation with a fixed load resistance. The measurements confirm a tracking load can significantly improve the power efficiency of the rectifier, especially when the optimal load deviates significantly from a fixed load. II. RECTIFIER EFFICIENCY CHARACTERISTICS A load tracking circuit is designed for a 985-MHz class-F synchronous rectifier. The class-F rectifier circuit topology is obtained from a time-reversed dual [29] of a class-F power amplifier. The circuit consists a Cree 10-W GaN HEMT (CGH40010F) device and multiharmonic input and output matching networks. The matching networks provide termination impedances for the fundamental frequency and second and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DEHGHANI et al.: ADJUSTABLE LOAD WITH TRACKING LOOP TO IMPROVE RF RECTIFIER EFFICIENCY UNDER VARIABLE RF INPUT POWER CONDITIONS

Fig. 2. Class-F synchronous rectifier test bench.

third harmonic frequencies. The detailed design of the rectifier is described in [10] and measurement results, which show the dependencies between RF to dc rectification efficiency and load resistance, are presented here. Since the class-F rectifier is a high-power rectifier, a power amplifier is required to generate sufficient RF input power to drive the rectifier using standard test equipment. For the experimental results shown in this paper, the power amplifier is a class-F amplifier very similar in design to the class-F rectifier. A photograph of the test bench is shown in Fig. 2. Between the RF output of the class-F power amplifier and the input of the class-F synchronous rectifier there are two couplers. The first coupler is used to measure the available source power delivered by the amplifier to the rectifier. A second coupler is used to sample the RF input and provide a gate drive signal for the synchronous rectifier. The feedback signal includes a variable delay line, which is used to adjust the phase of the feedback signal for maximizing the power efficiency of the RF rectifier. The dc output of the rectifier is either connected to a fixed load or a tracking load. The power delivered to the load is called , where “ ” refers to the input of the tracking load circuit. The power efficiency of the rectifier is defined as , and all measurements in the test bench where made using a continuous wave (CW) source signal at a frequency of 985 MHz. Using the test bed shown in Fig. 2, measurements were made to characterize the class-F synchronous rectifier. In Fig. 3, the power efficiency of the rectifier is shown as a function of the dc load resistance for an RF input power of 10 W. The results show that there is an optimal load resistance that maximizes the power efficiency of the rectifier. For an input power of 10 W, the optimum load is 56 and the corresponding power efficiency is 76% delivering a dc load power of 7.6 W. A second set of measurements for the class-F rectifier are shown in Fig. 4. In these measurements, the power efficiency of the rectifier is measured as function of load resistance for different RF input power levels ranging from 0.5 to 10 W. For each input power level there is an optimum dc load resistance that maximizes power efficiency. The optimum load resistance for each RF input power level is marked by a red dot, and the resistance ranges from 14.7 for an RF input power of 0.5 W to 60.2 for an RF power of 6 W. Above 6 W, the optimum load starts to decrease to a value of 56 at 10 W. Therefore, for this rectifier configuration, a dc load circuit that provides a resistance

3

Fig. 3. Measured power efficiency and load power for a GaN HEMT Class-F synchronous rectifier. The RF input power is 10 W.

Fig. 4. Measured rectifier power efficiency versus load resistance for different input RF power levels. The optimum load for each RF input power level is indicated by a dot.

of 14–61 is required to maximize the power efficiency of the rectifier over an input RF power range from 0.5 to 10 W. III. DESIGN AND ANALYSIS OF THE ADJUSTABLE LOAD In this section, we analyze the first stage dc-to-dc converter and determine the relationship between the input resistance of the converter and the duty cycle of the converter. Once the relations between resistance and duty cycle are known, a controller can adjust the first stage converter to acquire and track the optimum load resistance for the rectifier. A simple boost converter model is used for analysis and is shown in Fig. 5. The converter consists of an input capacitor and a series input inductor , which is shunted by a switch. When the switch is on, the circuit charges the inductor and the input voltage is applied across the inductor. The series diode DR prevents current flowing back from the load into the closed switch. Therefore, during the on state, the load is effectively disconnected from the input, as shown in Fig. 6. When the switch is open, the inductor current flows directly into the load circuit, which consists of a shunt RC circuit composed of the load resistance and a filter capacitor . The off-state equivalent circuit is shown in Fig. 7. Depending on the size of the inductor, the boost converter can operate either in a continuous conduction mode (CCM) or a discontinuous conduction mode (DCM). In the CCM configuration, the inductor is large enough to ensure that current through

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

to the converter is a dc current the expected value of ,

. The input current is equal to

(1) As shown in Fig. 8, the peak-to-peak amplitude swing of the inductor current is . When the switch is on, the inductor is connected to ground and is applied directly across the inductor. The voltage across the inductor is equal to . Therefore, these relations show

Fig. 5. Schematic of the boost converter.

(2) Fig. 6. Boost converter when the switch is closed (on state).

If the expression for in (2) is substituted in (1), the input resistance to the DCM boost converter is (3)

Fig. 7. Boost converter when the switch is open (off state).

Equations are now derived to replace with other fundamental design variables including the boost voltage ratio and the output load resistance . When the switch is open, during interval the inductor current is discharging into the load. In this state, the inductor voltage is , which must equal . Therefore, a second expression for for the interval is (4)

Fig. 8. DCM boost converter current waveform

.

the inductor is always greater than zero. In the DCM configuration, the inductor size is smaller than in the CCM configuration, and the inductor current is zero for a short interval in each switching cycle. For integrated circuit designs where the inductor is on chip, the DCM mode is advantageous because inductor size is constrained. Since the focus here is on converters that can be integrated with RF to dc rectifiers, we proceed with an analysis of the DCM configuration. An example of a DCM inductor current waveform is shown in Fig. 8. The input current is subdivided into three time intervals each specified by a duty cycle relative to the switching period . The on-state duration is , where is the duty cycle. The off-state duration is subdivided into two intervals: the first interval corresponds to the period where inductor current is falling, but nonzero; the second interval corresponds to the time where inductor current is zero (discontinuous). The corresponding off-state duty cycles are and , respectively. Therefore, . A. Input Resistance The DCM boost converter is now analyzed to determine the relation between duty cycle and the dc input resistance of the converter. Assuming the input capacitor is large, the harmonic components of are shunted to ground and the input current

Since we have two equations for , they can be equated to find an expression for the voltage gain of the DCM boost converter (5) Equation (5) can also be arranged to find an expression for , (6) At the output terminals of the converter, the load resistance is equal to . The dc output current is equal to the mean inductor current over the discharge period , (7) If the expression for

in (2) is substituted in (7), then (8)

Rearranging this equation gives a second expression for the voltage gain of the DCM converter (9) We have two expressions for the DCM boost converter gain given by (5) and (9). The equations can be combined to

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DEHGHANI et al.: ADJUSTABLE LOAD WITH TRACKING LOOP TO IMPROVE RF RECTIFIER EFFICIENCY UNDER VARIABLE RF INPUT POWER CONDITIONS

eliminate the variable ,

5

, which then gives a third equation for

(10) If the expression for

in (6) is substituted in (3), then (11)

controls the Together, (10) and (11) show how duty cycle input resistance of the first-stage DCM converter. An analysis of a CCM boost converter is much simpler because . For completeness, the CCM equations are (12) (13) B. Selecting the Inductor Value The previous analysis shows how the input resistance varies as a function of duty cycle. The equations depend on the load resistance , the switching period , and the inductor value . For a design, the load and switching period are known, and must be selected to operate the boost converter in the DCM condition. In order to be in the DCM condition, the average input current of the boost converter should be less than half of , the peak of inductor current. Therefore, (14) By substituting (2) into (14) for satisfy

, the input current must (15)

From this relation, a bound on the maximum value of tained,

is ob(16)

The corresponding input resistance at the boundary between the DCM and CCM conditions can be found from (13). The input resistance is , which can be substituted in (16) to get (17) For the range of , the maximum of at , and therefore,

occurs (18)

and (19)

Fig. 9. Input resistance of the DCM boost converter versus duty cycle.

An inductor of approximately mentation.

was used for imple-

IV. EXPERIMENTAL VERIFICATION OF ADJUSTABLE LOAD As a verification of the analysis of the DCM converter presented in Section III, a DCM converter was designed and tested. The primary requirements for the DCM converter load are the input resistance range and the load power. For the class-F synchronous rectifier described in Section II, an input resistance range from 14 to 61 is required, and the converter needs to handle at least 10 W of load power. A switching frequency of 600 kHz is used and the inductor value is calculated using (19) for a load resistance of 149 . The final circuit design that was implemented and tested is described later in Section V-C. The DCM converter load was first characterized in an openloop configuration using a power supply as a source and a resistive output load. From these measurements, the input resistance was measured as a function of duty cycle for different input voltages. With reference to Fig. 9, measurements of the input resistance are shown for a range of input voltages from 2 to 18 V. For these measurements, the DCM output was connected to a 149resistor. The measurement results are compared with a simulation of the circuit, as well as the analytic value found from evaluating (11). Experimental, simulation, and analytic results are all very similar and verify the operation of the adjustable load. The results also show that the input resistance of the DCM converter can span nearly a decade range from 15 to 95 as the duty cycle changes from 50% to 5%. The large range in input resistance makes the circuit attractive for large dynamic-range rectifiers similar to designs summarized in Table I. The power efficiency of the DCM converter was measured and the results are shown in Fig. 10. The results show that power efficiency is relatively insensitive to input voltage changes. For an input voltage range of 6–18 V, the power efficiency varies by no more than 10% and the overall power efficiency remains above 78% (refer to the inset in Fig. 10). From these results, we conclude that the input resistance of the load is relatively constant and does not dependent significantly on the input voltage. This is consistent with the theoretical analysis presented earlier where (11) shows that input resistance in the DCM condition is not dependent on the absolute input voltage, only the relative voltage gain . Hence, input voltage changes affect the output voltage , but not the input resistance.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Measured power efficiency of the DCM converter.

Fig. 11. Analog control loop for the DCM converter.

V. ANALOG CONTROL LOOP A control loop is required to adjust the duty cycle of the DCM load such that the impedance presented to the RF rectifier is close to the optimal load resistance. There are different ways to implement the control loop ranging from digital control methods using a microcontroller or field-programmable gate array (FPGA) to analog control loops. In this work, we explore the implementation of an analog control loop as an alternative to digital control loops. Two control loops are discussed in Sections V-A and V-B. The first is a linear control loop, and the second is a control loop with a charge pump and loop filter. The latter design is similar to circuits employed in phase-locked loops.

for a negative rectifier slope. The sign of the rectifier slope is defined as whether the load resistance needs to increase as RF input power increases (a positive slope) or whether the load resistance needs to decrease as RF input power increases. For the class-F rectifier characteristics shown in Fig. 4, the rectifier slope is positive for RF input power levels below 6 W and negative for power levels above 6 W. Since the optimum load varies most significantly below 6 W, a positive slope is assumed. Once the loop gain is determined to meet a specific operating point, the response of the control loop can be determined for other RF input power conditions. If the measured load power is , then the corresponding duty cycle generated by the loop assuming a positive rectifier slope is (22)

A. Linear Control Loop The purpose of the control loop is to adjust the duty cycle of the pulse-width modulation (PWM) converter such that the input resistance of the DCM converter is near the optimal dc load impedance for the RF rectifier. Under this condition, the power delivered to the DCM is maximized. A block diagram of the analog controller is shown in Fig. 11. The current and voltage at the input of the DCM converter are measured. The power measurement block multiplies the voltage and current to measure power, and an output voltage proportional to the input power is generated. The voltage is amplified by a gain block, . The gain, , controls the loop gain and is a design variable. In the linear feedback loop, the output of gain block is connected directly to the PWM block, and the voltage controls the duty cycle, and the duty cycle controls the input resistance of the DCM. In a linear control loop, a specific operating point is selected, and the duty cycle is varied linearly around the operating point to track changes in input power. The operating point is defined in terms of a load power , which corresponds to a PWM duty cycle of . If there is to be no error at the operating point, then the loop gain must either satisfy (20) for a positive slope rectifier slope or (21)

An expression for the input resistance of the DCM converter as a function of the measured power for a linear control loop is found by substituting (22) into (11). The input resistance is

(23) These equations show that the gain not only determines the operating point for the loop, but it also determines how well the loop will track. If the gain response is different from the combined gain response of all the other components in the loop, then a tracking error will accumulate as soon as the measured power deviates from the operating point. The primary limitation of the linear loop is that the operating point determines the tracking performance and there are not enough independent variables to set both the operating point and the gain response. For these reasons, the linear control loop has a limited operating range and a better control loop is required to reduce tracking error. B. Control Loop With Charge Pump If a charge pump is added to the analog control loop, significantly better dynamic range can be obtained. An example of an analog control loop with a charge pump is shown in Fig. 11. Instead of directly connecting the output of the gain block to

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DEHGHANI et al.: ADJUSTABLE LOAD WITH TRACKING LOOP TO IMPROVE RF RECTIFIER EFFICIENCY UNDER VARIABLE RF INPUT POWER CONDITIONS

7

Fig. 13. PWM input voltage at steady-state condition. Fig. 12. Adaptation method employed in the analog controller.

the PWM input, the signal is split into two paths. One path is delayed, while the other is not. The purpose of the delay is to introduce memory in the loop to compare the current power, , with the delayed power, . By comparing the current power with the delayed power, the comparator generates an output pulse that is integrated by a loop filter to steer the control voltage of the PWM converter. A charge pump and loop filter are used to track changes in RF power, and the control loop is similar to a phase-locked loop. The advantage of the charge pump over the linear loop is that the operating point can move dynamically depending on the measured power . A change in operating point corresponds to an average change in the PWM control voltage. Important design variables in the control loop are the delay and the bandwidth of the loop filter. These values depend on the components in the loop, but the principle theory of the loop design is illustrated in Fig. 12. For a specific RF input power condition, there is an optimum dc load resistance that maximizes the power delivered to the input of the DCM converter. If the load resistance were swept by sweeping the duty cycle of the converter, then a parabolic power contour would be generated with a unique maximum that is marked as point “a.” Now consider a delayed version of this same contour. By comparing a sample of the delayed contour with the nondelayed version, a difference in power is measured, which can be used to determine which way the control voltage needs to change to increase load power. During acquisition, the loop climbs up one side of the power contour until the maximum power point “a” is reached. The loop then continues to pass the maximum and steps to point “b.” At point “b,” the delayed power measurement is at point “c” and exceeds point “b.” When , the comparator output changes sign and the output of the D-flip plop switches polarity reversing the direction of the charge pump. Under steady conditions, the loop dithers back and forth between two points (“a” and “c”), which creates an average load resistance (point “b”) that is near the optimum point. Once the steady state is reached, the average control voltage is , which corresponds to point “b” in Fig. 12. With reference to Fig. 13, the control voltage fluctuates about with a ripple of . The ripple is associated with the time constant of the loop filter, , and the average period of the comparator signal. If the comparator oscillates with an average period , then the

loop filter is charged and discharged by . The switching period of the comparator determines the duration of the charge and discharge times, and can be approximated by expanding the exponential response of the loop filter with a Taylor series. Retaining only the linear terms, and noting that the control voltage to the PWM is normalized to 1 V, then (24) The steady-state fluctuation about the operating point provides approximately linear gain control in the loop assuming the fluctuation is small. Therefore, the charge pump control loop has a small-signal response that is similar to the linear analog control loop with the additional feature that the operating point can track changes in RF power. The simple linear loop can only be adjusted for a single operating point, hence it has a much smaller tracking range. Equation (23), which shows how varies in the linear control loop, can also be applied to the charge pump loop around a specific operating point providing is substituted with the equivalent loop gain in the charge pump. As shown in (24), the charge pump ripple voltage depends on the average period of the comparator pulse train. The average period is in turn dependent on the delay chosen to create a delayed power sample . The value of the delay should have sufficient resolution for the comparator to accurately distinguish between the delayed powered power sample, , and current power sample, . The offset voltage of the comparator and the signal-to-noise ratio of the power measurement signals also need to be considered in selecting the delay to ensure the comparator is triggered reliably. On the other hand, a large amount of delay leads to more error at the convergence point. Therefore, the final choice for delay is a tradeoff that determines the relative error between points “a” and “b” in Fig. 12. C. Circuit Implementation of the Analog Control Loop A detailed schematic of the analog controller that has been built is shown in Fig. 14. In this design, a delay is implemented in the loop using an RC network consisting of a 1-k resistor and a 100-nF capacitor. The output of the delay feeds the inverting input of the comparator (LT1018) and the nondelayed path feeds the noninverting input. The time constant of the delay network is 10 s and the delay determines the displacement between the two power contours shown in Fig. 12.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. Class-F synchronous rectifier with a tracking DCM load.

The comparator has a 5-V supply voltage and the output is a switching signal that oscillates between 0 and 5 V. The output is buffered through an inverter and then amplitude scaled by a resistive voltage divider to generate a 0–1-V control signal for the PWM converter (LT6992). The pulse train is then filtered by a loop filter consisting of an equivalent 1.8-k resistor and a 4.7- F capacitor. The component values have been optimized experimentally based on maximizing the tracking and acquisition range of the loop when tested with the class-F rectifier. VI. EXPERIMENTAL RESULTS The adjustable load circuit with the analog control loop was implemented and tested with the GaN HEMT Class-F synchronous rectifier. The test bench for measuring the power efficiency of the rectifier was shown earlier in Fig. 2. Three different tests were made to evaluate the performance of the load tracking circuit as a function of RF input power.

For the first set of tests, the DCM load was run in an open loop mode where the duty cycle is controlled by an external source. Under open loop conditions, the control voltage can be adjusted to maximize load power for each power level and this determines the optimum load resistance for the rectifier. This corresponds to the best power efficiency that can be delivered by the rectifier. The measured results for the open test correspond to the solid blue line shown in Fig. 15. For the second set of tests, the analog control loop was used to automatically adjust the duty cycle of the DCM load to maximize the power, which could be delivered by the RF rectifier. The closed-loop operation of the converter corresponds to dashed red line in Fig. 15. As shown, the analog control loop closely follows the ideal open-loop response over the entire RF input power range from 0.5 W (27 dBm) to 11 W (40.4 dBm). For the third test, the power efficiency of the RF rectifier was measured with a fixed 50- load. The load is close to the optimal

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DEHGHANI et al.: ADJUSTABLE LOAD WITH TRACKING LOOP TO IMPROVE RF RECTIFIER EFFICIENCY UNDER VARIABLE RF INPUT POWER CONDITIONS

9

similar to an automatic gain control circuit. Another design constraint in terms of dynamic range is the operating range of the DCM converter. As shown in Fig. 9, the input resistance is a nonlinear function of duty cycle and the best operating range, which offers good sensitivity ranges from about 5% to 50%. Over this range, the resistance changes by a ratio of about 10:1. If a larger range of load conditions is required, then the DCM would need to be adaptive to expand the resistance range. VII. CONCLUSIONS

Fig. 15. Power efficiency of the rectifier for a tracking load and a fixed load. The response for the optimum dc load is also shown.

Fig. 16. Closed-loop tracking error as a function of RF input power. The power efficiency error for a fixed load resistance of 50 is also shown.

resistance for high RF power levels, but becomes less optimal for low RF power levels. The corresponding power efficiency of the rectifier over the RF input power range is shown by the dashed–dotted trace in Fig. 15. As expected, a fixed load is a compromise and power efficiency is reduced compared to the tracking load circuit. The tracking error in the analog loop is shown in Fig. 16. The error shows how much the tracking loop deviates from the optimal load. As shown, the loop tracks very well over most of the power range with a worst case error of 12% around 34 dBm. The plot also shows the corresponding power efficiency error that results when a fixed load is used. The fixed load provides relatively good performance over a 6-dB range for RF power levels above 34 dBm; however, for power levels below 34 dBm, the error becomes increasingly large. Therefore, the tracking load has significant benefit especially as the dynamic range of the RF rectifier is expanded. In this design, a load tracking circuit with a dynamic range of 13 dB has been achieved, and before concluding, it is worth commenting on how the design could be improved to achieve even larger dynamic ranges. As the dynamic range is expanded, the signal-to-noise ratio in the loop starts to limit the acquisition and tracking range of the loop. The amplitude of the power measurement signals at the input of the comparator and the relative difference in the amplitude between the delayed and nondelayed signals are important. Therefore, a loop that can track over a broader range will probably require adjustable loop gain

The power efficiency of an RF rectifier is load dependent and there is an optimal load resistance that maximizes efficiency. The optimal load resistance is a function of RF input power, and a load that tracks changes in RF input power can significantly improve the efficiency of the rectifier compared to a fixed load resistance. The concept of using a discontinuous mode dc-to-dc converter as an adjustable load circuit for RF rectifiers has been described. The effective load resistance is set by the duty cycle in the converter and the resistance is independent of the input voltage. A power measurement circuit and an analog control loop were added to the DCM converter to maintain an efficient load resistance as the RF input power to the rectifier changes. An analysis of the input resistance characteristics of the converter as a function of duty cycle was presented, and the design methodology was verified by supporting simulation and experimental measurements. As a demonstration of the adjustable load and tracking loop, an experimental design of the load was implemented and tested with a 10-W GaN HEMT Class-F synchronous rectifier. The performance of the tracking load was compared to the optimal performance of the rectifier, as well as with a fixed load. The tracking load provided good performance over a 13-dB dynamic range of RF input power, and the load performed substantially better than a fixed load, especially at low RF power levels. Future work will focus on improving the dynamic range of the tracking loop as well as the design of load circuits for low-power rectifiers. REFERENCES [1] T. Le, K. Mayaram, and T. Fiez, “Efficient far-field radio frequency energy harvesting for passively powered sensor networks,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1287–1302, May 2008. [2] K. Kotani, A. Sasaki, and T. Ito, “High-efficiency differential-drive CMOS rectifier for UHF RFIDs,” IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3011–3018, Nov. 2009. [3] S. Dehghani and T. Johnson, “2.4 GHz CMOS class D synchronous rectifier,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–3. [4] P. Burasa, N. Constantin, and K. Wu, “High-efficiency wideband rectifier for single-chip batteryless active millimeter-wave identification (MMID) tag in 65-nm bulk CMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 1005–1011, Apr. 2014. [5] M. Roberg, T. Reveyrand, I. Ramos, E. Falkenstein, and Z. Popović, “High-efficiency harmonically terminated diode and transistor rectifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4043–4052, Dec. 2012. [6] E. Falkenstein, M. Roberg, and Z. Popović, “Low-power wireless power delivery,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2277–2286, Jul. 2012. [7] J. Guo, H. Zhang, and X. Zhu, “Theoretical analysis of RF–DC conversion efficiency for class-F rectifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 977–985, Apr. 2014.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[8] S. Yoshida et al., “The C-band MPT rectifier using a HEMT without bonding-wire connection for a space health monitoring system,” in IEEE Wireless Power Transfer Conf., May 2013, pp. 163–166. [9] C.-Y. Liou, M.-L. Lee, S.-S. Huang, and S.-G. Mao, “High-power and high-efficiency RF rectifiers using series and parallel power-dividing networks and their applications to wirelessly powered devices,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 616–624, Jan. 2013. [10] S. Abbasian and T. Johnson, “High efficiency GaN HEMT class-F synchronous rectifier for wireless applications,” IEICE Electron. Exp., vol. 12, no. 1, pp. 1–11, 2015. [11] M. Ruiz and J. Garcia, “An E-pHEMT self-biased and self-synchronous class E rectifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [12] N. Shinohara, “Recent wireless power transmission via microwave and millimeter-wave in Japan,” in 42th Eur. Microw. Conf., Oct. 2012, pp. 1347–1350. [13] A. Sample, B. Waters, S. Wisdom, and J. Smith, “Enabling seamless wireless power delivery in dynamic environments,” Proc. IEEE, vol. 101, no. 6, pp. 1343–1358, Jun. 2013. [14] R. Langridge, T. Thornton, P. Asbeck, and R. Larson, “A power reuse technique for improved efficiency of outphasing microwave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 8, pp. 1467–1470, Aug. 1999. [15] P. A. Godoy, D. J. Perreault, and J. L. Dawson, “Outphasing energy recovery amplifier with resistance compression for improved efficiency,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 2895–2906, Dec. 2009. [16] S. Ali and T. E. Johnson, “RF switch-mode power amplifier with an integrated diplexer for signal reconstruction and energy recovery,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [17] M. Litchfield and Z. Popović, “X-band outphasing GaN MMIC PA with power recycling,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–4. [18] H.-S. Yang, C.-W. Chang, and J.-H. Chen, “A highly efficient LTE pulse-modulated polar transmitter using wideband power recycling,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4437–4443, Dec. 2015. [19] T.-W. Yoo and K. Chang, “Theoretical and experimental development of 10 and 35 GHz rectennas,” IEEE Trans. Microw. Theory Techn., vol. 40, no. 6, pp. 1259–1266, Jun. 1992. [20] R. Dickinson, “Performance of a high-power, 2.388-GHz receiving array in wireless power transmission over 1.54 km,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1976, pp. 139–141. [21] N. Shinohara and H. Matsumoto, “Experimental study of large rectenna array for microwave energy transmission,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 3, pp. 261–268, Mar. 1998. [22] Y. Huang, N. Shinohara, and T. Mitani, “A constant efficiency of rectifying circuit in an extremely wide load range,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 986–993, Apr. 2014. [23] M. Fu, C. Ma, and X. Zhu, “A cascaded boost–buck converter for high-efficiency wireless power transfer systems,” IEEE Trans. Ind. Informat., vol. 10, no. 3, pp. 1972–1980, Aug. 2014. [24] S. Dehghani, S. Abbasian, and T. Johnson, “Tracking load to optimize power efficiency in RF to DC rectifier circuits,” in IEEE Wireless Power Transfer Conf., May 2015, pp. 1–3. [25] R. Enne, M. Nikolic, and H. Zimmermann, “Dynamic integrated MPP tracker in 0.35 m CMOS,” IEEE Trans.Power Electron., vol. 28, no. 6, pp. 2886–2894, Jun. 2013.

[26] A. Dolgov, R. Zane, and Z. Popović, “Power management system for online low power RF energy harvesting optimization,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 7, pp. 1802–1811, Jul. 2010. [27] H. Kim, Y.-J. Min, C.-H. Jeong, K.-Y. Kim, C. Kim, and S.-W. Kim, “A 1-mW solar-energy-harvesting circuit using an adaptive MPPT with a SAR and a counter,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 60, no. 6, pp. 331–335, Jun. 2013. [28] H. Kim, S. Kim, C.-K. Kwon, Y.-J. Min, C. Kim, and S.-W. Kim, “An energy-efficient fast maximum power point tracking circuit in an 800 W photovoltaic energy harvester,” IEEE Trans. Power Electron., vol. 28, no. 6, pp. 2927–2935, Jun. 2013. [29] D. Hamill, “Time reversal duality and the synthesis of a double class E DC–DC converter,” in 21st Annu. IEEE Power Electron. Specialists Conf., 1990, pp. 512–521. Soroush Dehghani (GSM’14) was born in Tehran, Iran, in 1990. He is currently working toward the Ph.D. degree at the University of British Columbia, Kelowna, BC, Canada. In 2013, he joined the Microwave Technology Laboratory (MTL), University of British Columbia. His research interests include integrated RF circuit design and low-power integrated analog circuit design with an emphasis on biomedical applications.

Sadegh Abbasian received the B.Sc. degree in electrical engineering from Ferdowsi University of Mashhad, Mashhad, Iran, in 1999, the M.Sc. degree from Shiraz University, Shiraz, Iran, in 2002, and the Ph.D. degree from the University of British Columbia, Kelowna, BC, Canada, in 2015. He is currently a Post-Doctoral Researcher with the Microwave Technology Laboratory, University of British Columbia. His research interests include switch-mode RF power amplifiers, microwave synchronous rectifiers, energy recycling in RF power amplifiers, large-signal device modeling, and semiconductor device modeling.

Thomas Johnson (S’02–M’06) received the MA.Sc. and Ph.D. degrees from Simon Fraser University, Burnaby, BC, Canada, in 2001 and 2007, respectively. He is currently an Assistant Professor with the School of Engineering, University of British Columbia (UBC), Kelowna, BC, Canada. Prior to joining UBC in 2009, he was a Technical Lead in a number of companies including PulseWave RF, ADC Telecommunications, and Norsat International. His research interests include the design of RF circuits and systems, the application of electromagnetic field concepts in the design of sensors, and industrial applications of RF/microwave power.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

353

Thin PCB-Type Metamaterials for Improved Efficiency and Reduced EMF Leakage in Wireless Power Transfer Systems Yeonje Cho, Student Member, IEEE, Jonghoon J. Kim, Dong-Hyun Kim, Seongsoo Lee, Hongseok Kim, Student Member, IEEE, Chiuk Song, Sunkyu Kong, Student Member, IEEE, Hyoungjun Kim, Chulhun Seo, Senior Member, IEEE, Seungyoung Ahn, Senior Member, IEEE, and Joungho Kim, Fellow, IEEE

Abstract—Current wireless power transfer (WPT) technology can only allow power transfer over a limited distance because, as the distance between the transmitter (Tx) and receiver (Rx) coils increases, the power transfer efficiency (PTE) decreases with a steep slope, while the electromagnetic field (EMF) leakage increases. In order to increase the PTE and decrease the EMF leakage simultaneously, we need to develop a method to concentrate the magnetic fields between the Tx and Rx coils. In this paper, we proposed a novel metamaterial structure to realize high efficiency and low EMF leakage. Metamaterials can confine the magnetic fields between the Tx and Rx coils by negative relative permeability. We designed and fabricated a thin metamaterial using a 1.6-mm dual layer printed circuit board (PCB) with a high dielectric constant substrate and a fine pattern to achieve a negative relative permeability with low loss at 6.78 MHz. The thin PCB-type metamaterial has a wide range of applications with low fabrication cost, light weight, and a simple fabrication process. We demonstrated a 44.2% improvement in the PTE and 3.49-dBm reduction in the EMF leakage around the WPT system at 20-cm distance. Furthermore, we first analyzed metamaterials from an EMF point of view using the 3-D magnetic field scanner. Finally, we discussed a combination of metamaterials and ferrites to further improve the PTE and reduce the EMF leakage for long-distance mobile WPT systems. Index Terms—Efficiency, electromagnetic field (EMF), ferrite, magnetic field forming, magnetic field scanner, metamaterials, relative permeability, wireless power transfer (WPT).

I. INTRODUCTION

M

OTIVATED by the consumer demand to eliminate power cables for convenience, many attempts are being made to apply wireless charging system in various mobile

Manuscript received July 25, 2015; revised November 08, 2015, December 19, 2015, and December 23, 2015; accepted December 28, 2015. Date of publication January 18, 2016; date of current version February 03, 2016. This work was supported in part by the Korea Government (MSIP) under National Research Foundation of Korea (NRF) Grant 2010-0028680 and Grant 2010-0029179. This paper is an expanded version from the IEEE MTT-S Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. Y. Cho, J. J. Kim, D.-H. Kim, S. Lee, H. Kim, C. Song, S. Kong, S. Ahn, and J. Kim are with the School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 34141, Korea (e-mail: [email protected]). H. Kim and C. Seo are with the Electronics Engineering from the Soongsil University, Seoul 156-743, Korea. Digital Object Identifier 10.1109/TMTT.2015.2514090

applications such as smartphones, smartwatches, tablets, and other portable devices. While wireless power transfer (WPT) technology has numerous advantages, it can only transfer power over a limited distance because, as the distance between the transmitter (Tx) and receiver (Rx) coils increases, the power transfer efficiency (PTE) decreases with a steep slope. Much research has been conducted to increase the coil-to-coil distance and, hence, the PTE for WPT systems [1]–[4]; however, as we increase the distance by increasing the Tx power, the total magnetic field leakage also increases inevitably. Recently, electromagnetic field (EMF) noise has been highlighted as one of the most important issues in WPT systems in terms of the user safety [5], [6]. It is recommended that the EMF level should be under 270 mG at 6.78 MHz, according to the international guidelines for human exposure safety set forth by the International Commission on Non-Ionizing Radiation Protection (ICNIRP) 2010 [7], [8]. Thus, when designing a WPT system, both the efficiency and EMF levels should be considered. Therefore, in order to improve the PTE and reduce the EMF leakage simultaneously, we need to develop a novel method to confine the magnetic field mostly between the Tx and Rx coils in WPT systems, while reducing the magnetic field leakage around the coils in WPT systems. Such objectives can be achieved using materials such as ferrite or different coil structures such as a solenoid. In this paper, we used metamaterials with a negative relative permeability for magnetic field forming. Ideally, metamaterials with a negative relative permeability can concentrate the magnetic fields between the Tx and Rx coils by bending the fields spreading in outward direction back towards the Rx coil. Metamaterials are artificially fabricated because they inherently do not exist in nature [9]. Whereas the conventional materials excluding metal below THz plasma frequency have a positive reflective index, metamaterials have a negative reflective index with an artificial periodic structure, which combines the negative permittivity and the negative relative permeability. Metamaterials are classified into three categories depending on the polarity of and : double negative (DNG), negative (ENG), and negative (MNG), as shown in Fig. 1 [10]. Since our WPT system uses magnetic field resonance coupling, we decided to use the metamaterial from the MNG category in this paper.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 1. Materials classified by four categories. Most of the conventional materials have a positive permittivity and positive relative permeability. However, metamaterials have a negative relative permittivity and/or relative permeability. A metamaterial in the MNG area is used to improve the efficiency and to reduce the EMF leakage by magnetic field forming in a WPT system.

When the magnetic fields from the Tx coil are incident on a metamaterial with MNG, the outgoing magnetic fields are bent back towards the Rx coil, increasing the field strength between the two coils. Moreover, the magnetic field leakage around the WPT system is reduced by concentrating the magnetic field mostly between the Tx and Rx coils. Thus, the efficiency is enhanced and the EMF leakage is reduced by using a metamaterial in between the coils. In addition to the PTE and EMF noise, other structural factors that should be considered in the design of a WPT system include the size and the weight of a metamaterial. The Wireless power consortium (WPC) recommends the frequency range of 110–205 kHz for low power applications and 80–300 kHz for medium power applications, while the Alliance for Wireless Power (A4WP) recommends the frequency of 6.78 MHz for WPT systems [11]. Most of the previously reported papers suggested the use of metamaterials, which are too thick and large in size for mobile WPT applications for enhanced efficiency [12]. Relay coils are also an available solution for enhanced PTE; however, it is hard to reduce EMF noise [13]. This paper, expanded from [14], has added contributions including relative permeability research for various metamaterial structures and analysis of metamaterials from an EMF point of view by demonstrating a novel magnetic field measurement method. Furthermore, we discussed a combination of metamaterials and ferrites to further improve the PTE and reduce the EMF leakage for long-distance WPT systems. In this paper, we proposed a novel thin dual-layer printed circuit board (PCB) metamaterial for improved PTE and reduced EMF. The negative relative permeability of the metamaterial can concentrate the magnetic fields between the Tx and Rx coils by magnetic boundary condition. The thin structure is designed to have a negative relative permeability real part with minimum loss imaginary part at the frequency of 6.78 MHz using a high dielectric constant substrate and a fine-pattern planar-type dual-layer spiral coil. By using a 1.6-mm-thick PCB, the proposed metamaterial can be widely adopted in various applications with low fabrication cost, light weight, and simple fabrication process. We achieved a 44.2% improvement in the PTE from S-parameter measurements and a 3.49-dBm reduction in the EMF leakage from magnetic field distribution measurements using the 3-D magnetic field

Fig. 2. Unit cell of the proposed thin PCB-type metamaterial structure for negative relative permeability. It is designed as a dual-layer square structure with a high dielectric constant substrate and fine patterns (0.2 mm). The unit cell size 37.2 mm length 1.6 mm (thickness). is 37.2 mm width

scanner (3-D-MFS), with the coil-to-coil distance of 20 cm and power transfer frequency of 6.78 MHz. II. PROPOSED THIN DUAL-LAYER PCB-TYPE METAMATERIAL STRUCTURE AND APPLICATION TO A WPT SYSTEM USING A RESONANT MAGNETIC FIELD In this section, we proposed the structure of a dual-layer PCB type metamaterial and simulated the relative permeability. Thus, we determined the key design factors at the target power transfer frequency. A. Simulation of the Relative Permeability With the Proposed Metamaterial Two important parameters to be considered in metamaterial design are the resonance frequency and the relative permeability. This paper targeted the power transfer frequency of 6.78 MHz as recommended by the A4WP. To reach the highest efficiency at the target power transfer frequency, the imaginary value of the relative permeability should be minimized while the real value should be a negative value at 6.78 MHz. In this case, the real value of the relative permeability determines the angle of magnetic field direction. Moreover, the relative permeability of the metamaterial has the highest imaginary value at the resonance frequency, which means that the loss is maximized. To achieve low imaginary value and negative real value of relative permeability at the power transfer frequency of 6.78 MHz, the metamaterial structure should be designed with high inductance and high capacitance. Thus, we designed the proposed metamaterial with a relatively small size of 37.2 mm 37.2 mm, the thickness of 1.6 mm, the pattern width and space of 0.2 mm, the dielectric constant of 10, and 18 turns-planar type spiral coil structures on both the top and bottom layer, as shown in Fig. 2. A detailed description of the parameters is listed in Table I.

CHO et al.: THIN PCB-TYPE METAMATERIALS FOR IMPROVED EFFICIENCY AND REDUCED EMF LEAKAGE IN WPT SYSTEMS

TABLE I DESIGN PARAMETERS FOR THE PROPOSED METAMATERIAL STRUCTURE

355

from the simulation results, which can then be converted to the relative permeability [15]–[17]. The relative permeability extracted with 3-D simulation tools is more accurate when compared to the result obtained from (1)–(3), but the process of 3-D modeling and analysis is time consuming. Therefore, an alternative approach to obtain the relative permeability is required. Some methods have already been reported for basic metamaterial structures. Among the alternative methods, there are a few equations for a split-ring resonator (SRR), a spiral resonator, and a Swiss roll [18]–[21]. However, because they are targeted for a single-layer structure only, they cannot be used to estimate the relative permeability of other possible metamaterial structures with more complex design. Hence, in this section, [22, eq. (1)] is modified to estimate the relative permeability of the dual-layer metamaterial structure. Since we can obtain the relative permeability of the proposed dual layer metamaterial structure in a short time using the equation, estimation of the relative permeability using the equation can be very efficient in the initial stage of metamaterial structure design, (1) (2)

(3)

Fig. 3. Simulation result for the relative permeability of the proposed unit cell of the metamaterial. The resonance frequency is 5.36 MHz, where the imaginary value of the relative permeability and, hence, the loss is maximized. Therefore, the power transfer resonance frequency used in this paper is 6.78 MHz, where the imaginary value is low (0.025) with a negative real value 0.1 for relative permeability. The result of the 3-D EM simulation was obtained using ANSYS HFSS.

In the proposed thin metamaterial design, the unit cell of the structure is designed to have the relative permeability with a real value of 0.1 and an imaginary value of 0.025 at 6.78-MHz frequency, as shown in Fig. 3. As a result, our proposed design satisfies the low-loss condition and achieves negative relative permeability. At its self resonance frequency of 5.36 MHz, the imaginary value is at its maximum with a zero real value, which results in reduced efficiency of the WPT system due to magnetic loss tangent. B. Comparison of Resonance Frequencies for Various Metamaterial Structures To obtain the relative permeability of a specific metamaterial structure, full-wave 3-D simulation tools can be used to model the metamaterial structure. The S-parameter can be extracted

in (2) refers to the capacitance for unit area in a singlelayer metamaterial. In (3), , the duel-layer metamaterial’s total capacitance, is modeled as the summation of (capacitance per unit area in top layer), (capacitance per unit area in bottom layer) and (capacitance per unit area between top and bottom layer). Hence, using the proposed dual-layer metamaterial equation, various structures are analyzed to design a thin dual-layer metamaterial structure for resonance frequency control, as shown in Fig. 4. First, the increase in the number of turns results in the increase of the inductance, lowering the resonance frequency. Additionally, by using a material with a high dielectric constant as high as the PCB substrate, the resonance frequency can be lowered due to the increased capacitance. Furthermore, the increase in the number of layers also increases the capacitance; however, the fabrication cost and the size of the structure subsequently increase. Therefore, the number of layers may be limited due to undesirable increase in fabrication cost and size. Lastly, the thickness of the layers is a major factor determining the capacitance per unit area between the top and the bottom layer . Therefore, it should be considered when designing a metamaterial for 6.78-MHz WPT applications. In this paper, to reduce the thickness and the size of the structure, the metamaterial is designed with a planar type dual-layer spiral coil, high dielectric constant, and fine pattern width and pattern space on a PCB. By using a thin PCB type metamaterial, it has the advantages over the conventional PCBs due to low fabrication cost, light weight, and simplicity in fabrication.

356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 5. Simulation result of the magnitude of complex impedance obtained by 3-D EM simulation using ANSYS HFSS. The Tx and Rx coils with series–series topology are connected with 56-pF capacitors for 6.78-MHz resonance frequency.

15 cm; the pattern width is 2 mm; the pattern space is 7 mm, and they both have a spiral coil structure with 7 turns. 35 m of copper is used, and the total PCB thickness is 1.6 mm. The material for the PCB substrate is FR-4 with a dielectric constant of 4.4. The resonance type of the WPT system in this paper uses a series–series topology because the resonance frequency theoretically remains constant independent of the magnetic coupling [23] when the effect of the parasitic components in the capacitor for resonance frequency is ignored. The value of the inductance and resistance of the Tx and Rx coils are approximately 9.96 H and 1.59 , respectively. They are calculated by the measurement data of the -parameter using a vector network analyzer (VNA). Series MLCC-type capacitors (56 pF) are used for the resonance at the power transfer frequency of 6.78 MHz to meet the A4WP mobile WPT standard, as shown in Fig. 5. The quality factor of the coil is 267, which is proportional to a WPT efficiency. Thus, lower resistance results in higher efficiency when the value of the inductance and the operating frequency are fixed. III. SIMULATION OF THE EFFICIENCY AND EMF OF THE WPT SYSTEM WITH THE PROPOSED METAMATERIAL In this section, simulations for the proposed metamaterial structure, which was analyzed in previous sections, are done with the 3-D EM simulation tool to evaluate the change in efficiency and EMF leakage noise depending on its distance from the coils. Furthermore, by analyzing the distribution of the magnetic field generated from the simulation, the change in the distribution of the magnetic field due to the metamaterial is visualized. Fig. 4. Calculation of the relative permeability (real) and resonance frequency depending on the: (a) number of turns, (b) dielectric constant of the PCB substrate, (c) number of PCB layers, and (d) thickness of the metamaterial substrates.

C. Application of the Proposed Metamaterial With Tx and Rx Coils for a WPT System Using a Resonant Magnetic Field The Tx and Rx coils for the WPT system have identical designs. For both the Tx and Rx coils, the PCB size is 15 cm

A. Simulation of the PTE With the Proposed Metamaterials The metamaterial cell, modeled in Section II, is used to fabricate 5 5 arrays shown in Fig. 6 to match the size of the Tx and Rx coils. It is then placed in between the Tx and Rx coils and simulated by increasing the distance at 5-cm intervals from 15 to 30 cm. The PTE is calculated according to (4) from the simulated transmission characteristics . Ports 1 and 2 for the simulation are placed Ctx and Crx in the Tx and Rx coils, accordingly.

CHO et al.: THIN PCB-TYPE METAMATERIALS FOR IMPROVED EFFICIENCY AND REDUCED EMF LEAKAGE IN WPT SYSTEMS

357

Fig. 6. Simulation model and ports definition of the WPT system with the metamaterial slab, which consists of 5 5 metamaterial unit cells. (a) Top view and (b) side view of WPT system with metamaterial.

Fig. 7 shows the transmission characteristic between the Tx and Rx coils with and without the metamaterial. The simulation results are listed in Table II, and it shows the enhanced and the PTE of 33.8%–74.4% at 15 cm, 8.7%–25.6% at 20 cm, 2.1%–6.0% at 25 cm, and 0.5%–1.4% at 30-cm distances using the proposed thin metamaterial. It also includes several key parameters, such as coupling coefficient ( ), mutual inductance ( ) between the Tx and Rx coils, and the efficiency of the WPT system, which are extracted from the 3-D EM simulation tool. The relationship between and can be written as (5), (4) (5) From the results, the increase in the PTE of the WPT system with the proposed metamaterial structure can be estimated. Furthermore, the proposed thin metamaterial structure can be considered as an applicable solution to increase the coil-to-coil distance for WPT systems.

Fig. 7. Simulation results of the S-parameter , which is the transmission characteristic between the Tx and Rx coils: (a) without the metamaterial slab and (b) with the metamaterial slab. The distances between the Tx and Rx coils are 15, 20, 25, and 30 cm. The enhancement of the transmission characteristic using the proposed metamaterial is shown at all four distances. TABLE II SIMULATION RESULTS OF PARAMETER COMPARISONS WITH THE VARIATION OF DISTANCE AND THE METAMATERIAL EXISTENCE

B. Simulation of Magnetic Field Distribution With the Proposed Metamaterial The relative permeability of metamaterials is a negative value. Thus, the incident magnetic field bends towards the opposite direction by magnetic boundary condition expressed as follows in (6): (6) The change in the magnetic field from the Tx coil as it passes through the metamaterial with negative relative permeability is visualized through 3-D field simulation. Fig. 8 shows the result of cross-sectional magnetic field analysis of the WPT system. The upper half of Fig. 8(a) shows the magnetic field distribution and the lower half shows the magnetic field strength and the vectors. The magnetic field, generated from the Tx coil, is bent towards the opposite direction inside the metamaterial, and it is bent once again towards the opposite direction as it exits the metamaterial. The direction of the magnetic field is illustrated in Fig. 8(b). Hence, the field is focused towards the Rx coil and the

magnetic field is reduced around the Tx and Rx coils. Therefore, the simulation result matches well with the previously stated boundary condition of the magnetic field. Fig. 9 visualizes the magnetic field distribution using the 3-D simulation tool. When compared to a WPT system with only the

358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 8. Simulation result of the magnetic field vectors obtained for a WPT system using a metamaterial with negative relative permeability (cross-sectional view). The magnetic field generated by the Tx coil is bent towards the opposite direction in the metamaterial by the boundary condition of the magnetic field. (a) Magnetic field distribution and field vectors. (b) Magnetic field vector plot zoomed in around the metamaterial.

Tx and Rx coils, as shown in Fig. 9(a), the WPT system with the metamaterial inserted in between the coils, shown in Fig. 9(b), demonstrates more focused magnetic field on the Rx coil by magnetic boundary condition. For more accurate comparison, the magnetic field is calculated at point C. The calculation shows a 74% increase, from 52.4 to 91.1 mG, in the magnetic field with the metamaterial, as listed in Table III. This implies that the metamaterial increases the PTE of the WPT system. Since the metamaterial confines the magnetic field between the two coils, the surrounding fields around the WPT system decreases. The magnetic field at points A and B shows that the magnetic field is approximately 18 19 less when the metamaterial is used at points A and B. This implies that the proposed metamaterial decreases the EMF leakage noise around the WPT system as well. From the field distribution analysis, it is verified that the proposed material effectively increases the PTE and decreases the EMF leakage noise at the same time. IV. FABRICATION AND EXPERIMENTAL VERIFICATION OF THE PROPOSED METAMATERIAL FOR A WPT SYSTEM

Fig. 9. Simulation results of the magnetic field distribution at a 20-cm distance in WPT system. Points A and B are selected positions outside the coils of the system for EMF leakage noise analysis, point C is a position located in between the coils for efficiency analysis. (a) Without metamaterial and (b) with metamaterial. TABLE III SIMULATION RESULT OF MAGNETIC FLUX DENSITY FOR WPT SYSTEM WITH AND WITHOUT METAMATERIAL AT 20 cm DISTANCE

The proposed metamaterial structure is fabricated on a PCB, as shown in Fig. 10, and the efficiency of the WPT system is measured and analyzed. Furthermore, to measure the field distribution, a novel measurement setup with a 3-D-MFS is utilized. The change in magnetic field distribution and the focused field between the two coils due to the metamaterial are visualized and verified with the proposed 3-D-MFS measurement setup. A. Measurement of the Efficiency and EMF Leakage Using the Proposed Metamaterial for a WPT System A VNA is used to measure the transfer efficiency from the square of the S-parameter . The port 1 (50 ) corresponds to the Tx coil side and the port 2 (50 ) corresponds to the Rx side for measurement of the S-parameter. The proposed metamaterial slab is inserted between the Tx and Rx coils, as shown in Fig. 11(a). The measurement results show that the transfer efficiency enhances at all distances, with the maximum improvement of 44.2% at 20-cm distance, as shown in Fig. 11(b). Based on these measurement results, it is experimentally verified that

the proposed thin PCB-type metamaterial with negative relative permeability highly enhances the WPT system through magnetic field confinement. The simulation result in Table II and the measurement result in Fig. 11(b) are represented in Table IV. The WPT system with only Tx and Rx shows a high correlation between the simulation

CHO et al.: THIN PCB-TYPE METAMATERIALS FOR IMPROVED EFFICIENCY AND REDUCED EMF LEAKAGE IN WPT SYSTEMS

359

TABLE IV SUMMARY OF PTE RESULTS (SIMULATION VERSUS MEASUREMENT)

Fig. 10. Fabricated thin dual-layer PCB-type metamaterial with 1.6-mm thick2 layer, 35 m copper, dielectric ness: (a) metamaterial unit cell (18 turn constant of 10, and 3.72 cm 3.72 cm in size, 7.2 g) and (b) metamaterial slab with 5 5 cells (18.6 cm 18.6 cm in size, 180 g).

Fig. 12. 3-D-MFS, which can be measured magnetic field distribution of and directions for WPT system. The software is control of the probe position and acquisition of field strength at each point.

increased efficiency with the presence of the metamaterial is still the same. B. Measurement of Magnetic Field With the Proposed Metamaterial Using the 3-D-MFS

Fig. 11. Measurement setup and results of the PTE from the S-parameter (S21) using a VNA depending on the various between Tx and Rx coils from distances with and without the metamaterial slab. (a) Measurement setup with VNA. (b) PTE measurement results.

and measurement. However, with the metamaterial slab in the WPT system, the simulation shows lower PTE compared to the measurement as the distance increases because of the simplified metamaterial simulation model [14]. However, the trend of the

In previously reported papers, field distribution of a WPT system is illustrated using simulations or numerical analysis only. However, we show the field distribution using a novel measurement setup, the 3-D-MFS, which allows the actual measurement of the 3-D magnetic field strength. The 3-D-MFS measurement equipment consist of and stages for adjusting the scanning position, a near magnetic field probe with an amplifier for the magnetic field measurement, a camera for verifying the reference position, a spectrum analyzer, and a field data acquisition software, which merges and plots the field data at all three and directions, as shown Fig. 12. Therefore, the 3-D-MFS system is capable of analyzing the field data from all directions. It can also analyze the magnetic field spectrum at a specific position. As shown in Fig. 13, the magnetic field distribution is analyzed with the 3-D-MFS. Fig. 13(a) and (b) shows the top view for the measurement of the magnetic field distribution without and with the metamaterial in between the coils, respectively. When compared to the case without the metamaterial array slab, shown in Fig. 13(a), the magnetic field is much more focused in front of the Rx coil at point C after passing through the metamaterial, as shown in Fig. 13(b). These results show improved PTE between the Tx and Rx coils. On the other hand, the magnetic fields outside of the two coils at points A and B are decreased due to the magnetic field confinement between the Tx and Rx

360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 13. Measurement results of the 3-D field distribution for the WPT system using 3-D-MFS: (a) without the metamaterial array slab and (b) with the metamaterial array slab. The distance between the Tx and Rx coils in this figure is a 20-cm distance. Point C is positioned inside between the coils to analyze the transfer power efficiency. Points A and B are positioned outside the coils to analyze the EMF leakage noise.

coils. The magnetic field measurement space is 460 mm (in direction) 290 mm (in direction) 300 mm ( direction), and 320 160 points are measured with 5-mm resolution from 6.5- to 7.0-MHz frequency using the 3-D-MFS. The magnetic field spectrum based on the different measurement positions are obtained and compared as shown in Fig. 14. The strength of the magnetic field increases by 0.4 dBm at point C in between the Tx and Rx coils with the use of the metamaterial. In contrast, the magnetic fields observed at points A and B are decreased by 3.49 dBm when the metamaterial is placed in between the coils. Hence, we verified that the proposed metamaterial both improves the PTE and reduces the EMF leakage noise level simultaneously. A summary of the magnetic field measurement results obtained with the 3-D-MFS is shown in Table V. C. Discussion Although we only analyzed the effect of the negative relative permeability in this paper, we can also design various structures to achieve different areas of the relative permeability, which are

Fig. 14. Measurement results of the magnetic field spectrum plots for the setup shown in Fig. 13, obtained with the 3-D-MFS. (a) Magnetic field spectrum measured at point C increases by 0.4 dBm with the use of the metamaterial and the efficiency is improved. (b) In contrast, at points A and B, the magnetic spectrum decreases by 3.49 dBm with the use of the metamaterial; in other words, EMF leakage is reduced. TABLE V SUMMARY OF MAGNETIC FIELD MEASUREMENT RESULTS IN FIGS. 13 AND 14 FOR WPT SYSTEM WITH AND WITHOUT METAMATERIAL

CHO et al.: THIN PCB-TYPE METAMATERIALS FOR IMPROVED EFFICIENCY AND REDUCED EMF LEAKAGE IN WPT SYSTEMS

361

Fig. 15. Basic principle of relative permeability classifications. The magnetic fields direction are controlled by magnetic field boundary condition. (a) High positive relative permeability. (b) Zero relative permeability. (c) High negative relative permeability.

high positive, zero, and high negative areas depending on the structure and the operating frequency. Fig. 15 shows the other areas of the relative permeability for magnetic field forming. High positive relative permeability can be used for shielding incident magnetic fields by bending the incident magnetic field towards the direction of boundary, zero relative permeability can be used for changing the direction of magnetic fields by bending the incident magnetic field towards the normal vector to the boundary and high negative relative permeability can be used for confining and shielding magnetic fields by bending the incident magnetic field in opposite direction towards the boundary. Using these different characteristics of the relative permeability by the magnetic field boundary condition, metamaterials can be applied not only to enhance PTE, but also for shielding electromagnetic interference (EMI) and EMF. Furthermore, ferrite is a material that can be used for magnetic field forming. The high relative permeability of ferrite results in similar directional change of the magnetic field, as shown in Fig. 15(a). A ferrite allows the magnetic fields to be bent inwards. Therefore, if we place the ferrites at both ends of the coils and place the metamaterial in between the two coils, we cannot only strengthen the field between the Tx and Rx coils by concentrating the magnetic field between the two coils, but also minimize the magnetic field loop by shielding the outgoing magnetic field with ferrites. Such a setup will yield increased PTE and decreased EMF leakage noise by combining the ferrite and metamaterial, as shown in Fig. 16. However, most types of ferrite have the relative permeability around a few thousands in the few tens of kHz frequency range, the relative permeability of ferrite decreases to approximately several hundreds at 6.78-MHz frequency because the loss due to ferrite increases in the high-frequency range. Moreover, its shielding performance can be further worsened by the eddy currents due to the increased temperature, thus more research needs to be conducted to overcome such problems for the application of ferrite shielding. In addition, the PTE only between the coils with the usage of metamaterial is calculated in this paper. However, once the entire WPT system is designed, the PTE at each stage must be considered [24]. The limitation of the power to the Rx must also be considered in future design [25]. As reported in the previous studies and this paper [12]–[14], metamaterials are placed in between the Tx and Rx coils. However, in order for the metamaterial to be used in various applica-

Fig. 16. Combined coil structure using ferrite with high positive relative permeability and metamaterial with negative relative permeability. The ferrite can divert the incident magnetic field to its surface, allowing it to form the magnetic fields in the inwards direction. Thus, the metamaterial can be used for the enhanced efficiency and the can be used for EMF leakage shielding.

tions, the position of the metamaterials must be located as close to the coils as possible to achieve true WPT technology. Therefore, we performed additional simulations with the proposed metamaterials placed close to the Tx and Rx coils. Furthermore, we additionally inserted ferrites for shielding the EMF leakage outside the coils, as shown in Fig. 17. First, when two metamaterial slabs are placed close to Tx and Rx coils with a 2-mm gap, the efficiency is improved by 10.5% compared to the efficiency using the coils only. The second simulation case applies two ferrite slabs behind the coils and two metamaterial slabs, as shown Fig. 17(d). Ferrites not only strengthens the magnetic field by minimizing the magnetic field loop, but also shields the EMF leakage outside the coils by the magnetic boundary condition. The PTE is enhanced up to 52.4% (from 14.3% to 52.4%) EMF leakage at point A, outside the Tx, is reduced by 92% (from 267 to 22 mG ) and at point B, outside the Rx, is reduced by 40% (from 13.9 to 8.3 mG) when compare to the EMF leakage levels with the coils only. The magnetic field at point C, inside the Rx coil, is proportional to the PTE. Simulated results of four different cases are listed in Table VI. Although a small shift in the resonance frequency occurs due to the increased inductance of coils by additional ferrite near the coils, it can be adjusted by changing the value of the resonance capacitor of the coils. Therefore, the combination of the metamaterial and the ferrite, by utilizing different relative permeability values, is a solution to increase the charging distance of WPT systems with EMF leakage shielding and PTE enhancement.

362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

TABLE VI SIMULATION OF PTE FOR FIG. 17

of positive, negative, and zero relative permeability and a ferrite to improve the PTE and reduce the EMF leakage noise for long-distance WPT systems. We designed a dual-layer PCBtype metamaterial structure to achieve the transfer frequency of 6.78 MHz by optimizing the number of turns, dielectric constant of substrate, number of layer, and thickness. In this paper, we proposed a novel PCB-type metamaterial structure with a negative relative permeability for improved efficiency and reduced EMF leakage noise at 6.78 MHz in a WPT system. The structure is designed using a 1.6-mm thickness dual-layer PCB with a high dielectric constant substrate and fine patterned planar type spiral coil. We verified the performance of the proposed metamaterial by comparing the PTE and EMF of a WPT system in the absence and presence of the metamaterial. Especially, we first analyzed a metamaterial from an EMF point of view for a WPT system using the 3-D-MFS. As a result, we demonstrated a 44.2% improvement in the PTE from 10.7% to 54.9% and 3.49-dBm reduction in the magnetic field leakage from 0.69 to 4.18 dBm using the proposed thin metamaterial at the frequency of 6.78 MHz, when the coil-to-coil distance is 20 cm. The proposed thin PCB-type metamaterial structure has numerous advantages such as low cost, light weight, and simplicity in fabrication. In conclusion, the proposed thin dual-layer PCB-typed metamaterial structure with a negative relative permeability is proven to be a promising solution for WPT systems to increase the distance with high efficiency and to protect the human body from EMF leakage noise by magnetic field forming. ACKNOWLEDGMENT The authors would like to acknowledge the technical support of ANSYS Korea. REFERENCES Fig. 17. Combination of metamaterial with negative relative permeability and ferrite with high relative permeability: (a) Tx and Rx coils only, (b) with metamaterials nearby the Rx and Tx coils, (c) with ferrites nearby the Tx and Rx coils, and (d) with ferrites and metamaterials nearby the Tx and Rx coils.

V. CONCLUSION In previous sections, we introduced the basic principles of various magnetic field forming methods using a metamaterial

[1] A. Kurs et al., “Wireless power transfer via strongly coupled magnetic resonances,” Science, vol. 317, no. 5834, pp. 83–86, 2007. [2] A. Karalis, J. D. Joannopoulos, and M. Soljacic, “Efficient wireless non-radiative mid-range energy transfer,” Ann. Phys., vol. 323, no. 1, pp. 34–48, 2008. [3] S. Valtchev, B. Borges, K. Brandisky, and J. Klaassens, “Resonant contactless energy transfer with improved efficiency,” IEEE Trans. Power Electron., vol. 24, no. 3, pp. 685–699, Mar. 2009. [4] J. Garnica, J. Casanova, and J. Lin, “High efficiency midrange wireless power transfer system,” in IEEE MTT-S Int. Microw. Symp. Workshop, May 12–13, 2011, pp. 73–76, Microw. Workshop ser. Innovative Wireless Power Transmission: Technol., Syst., Appl..

CHO et al.: THIN PCB-TYPE METAMATERIALS FOR IMPROVED EFFICIENCY AND REDUCED EMF LEAKAGE IN WPT SYSTEMS

[5] S. Ahn et al., “Reduction of electromagnetic field (EMF) of wireless power transfer system using quadruple coil for laptop applications,” in IEEE MTT-S Int. Microw. Symp. Workshop, May 10–11, 2012, pp. 65–68, Microw. Workshop ser. Innovative Wireless Power Transmission: Technol., Syst., Appl.. [6] H. Kim, C. Song, J. Kim, and J. Kim, “Shielded coil structure suppressing leakage magnetic field from 100 W-class wireless power transfer system with higher efficiency,” in IEEE MTT-S Int. Microw. Symp. Workshop, May 10–11, 2012, pp. 83–86, Microw. Workshop ser. Innovative Wireless Power Transmission: Technol., Syst., Appl. [7] “International Commission on Non-Ionizing Radiation Protection. Guidelines for limiting exposure to time-varying electric and magnetic fields (1 Hz to 100 kHz),” Health Phys., vol. 99, no. 6, pp. 818–836, 2010. [8] A. Ahlbom et al., “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz) (International Commission on Non-Ionizing Radiation Protection),” Health Phys., vol. 74, no. 4, pp. 494–522, 1998. [9] J. Choi and C. H. Seo, “High-efficiency wireless energy transmission using magnetic resonance based on negative refractive index metamaterial,” Progr. Electromagn. Res., vol. 106, pp. 33–47, 2010. [10] A. Alu and N. Engheta, “Pairing an epsilon-negative slab with a mu-negative slab: Resonance, tunneling and transparency,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2558–2571, Oct. 2003. [11] R. Tseng, B. v. Novak, S. Shevde, and K. Grajski, “Introduction to the alliance for wireless power loosely-coupled wireless power transfer system specification version 1.0,” in Proc. IEEE Wireless Power Transfer, May 15–16, 2013, pp. 79–83. [12] T. Oh and B. Lee, “Analysis of wireless power transfer using metamaterial slabs made of ring resonators at 13.56 MHz,” J. Electromagn. Eng. Sci., vol. 13, no. 4, pp. 259–262, 2013. [13] B. Wang, W. Yerazunis, and K. H. Teo, “Wireless power transfer: Metamaterials and array of coupled resonators,” Proc. IEEE, vol. 101, no. 6, pp. 1359–1368, Jun. 2013. [14] Y. Cho et al., “Ultra-thin printed circuit board metamaterial for high efficiency wireless power transfer,” in Proc. IEEE Wireless Power Transfer Conf., May 13–15, 2015, pp. 1–3. [15] D. Smith, S. Schultz, P. Markos, and C. Soukoulis, “Determination of effective permittivity and permeability of metamaterials from reflection and transmission coefficients,” Phys. Rev. B, Condens. Matter, vol. 65, no. 19, 2002, Art. ID 195104. [16] D. Smith, D. Vier, T. Koschny, and C. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, no. 3, 2005, Art. ID 036617. [17] X. Chen, T. M. Grzegorczyk, B.-I. Wu, J. Pacheco, Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, no. 1, 2004, Art. ID 016608. [18] D. Ellstein, B. Wang, and K. H. Teo, “Accurate models for spiral resonators,” in Proc. 42nd Eur. Microw. Conf., Oct. 29–Nov. 1, 2012, pp. 787–790. [19] F. Bilotti, A. Toscano, and L. Vegni, “Design of spiral and multiple split-ring resonators for the realization of miniaturized metamaterial samples,” IEEE Trans. Antennas Propag., vol. 55, no. 8, pp. 2258–2267, Aug. 2007. [20] F. Bilotti, A. Toscano, L. Vegni, K. Aydin, K. B. Alici, and E. Ozbay, “Equivalent-circuit models for the design of metamaterials based on artificial magnetic inclusions,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2865–2873, Dec. 2007. [21] J. Baena et al., “Equivalent-circuit models for split-ring resonators and complementary split-ring resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1451–1461, Apr. 2005. [22] J. Pendry, A. Holden, D. Robbins, and W. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [23] C.-S. Wang, O. Stielau, and G. Covic, “Design considerations for a contactless electric vehicle battery charger,” IEEE Trans. Ind. Electron., vol. 52, no. 5, pp. 1308–1314, Oct. 2005.

363

[24] J. Lawson, M. Pinuela, D. C. Yates, S. Lucyszyn, and P. D. Mitcheson, “Long range inductive power transfer system,” in Journal of Physics, ser. Conf. Philadelphia, PA, USA: IOP Publishing, 2013, vol. 476, no. 1. [25] M. Pinuela, D. Yates, S. Lucyszyn, and P. Mitcheson, “Maximizing DC-to-load efficiency for inductive power transfer,” IEEE Trans. Power Electron., vol. 28, no. 5, pp. 2437–2447, May 2013.

Yeonje Cho (S’15) received the B.S. degree in electronic engineering from Hanyang University, Ansan, Korea, the M.S. degree in electrical engineering from Pusan National University, Pusan, Korea, and is currently working toward the Ph.D. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. He then joined Samsung Display, where he was involved with the Electromagnetic Compatibility (EMC) and Electrostatic Discharge (ESD) Group. In September 2013, he joined the TERA Laboratory, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, as a Ph.D. Student. His research has concerned wireless power transfer systems using metamaterials by magnetic field forming. Jonghoon J. Kim received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2011 and 2013, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His current research interests include signal integrity and power integrity design and verification of magnetic probing structure for current measurement and rubber-based test interposer for LPDDR4 memory tests. Dong-Hyun Kim received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2012 and 2014, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His current research interest includes through-silicon-via (TSV) hysteresis modeling and analysis in TSV-based 3-D integrated circuits.

Seongsoo Lee received the B.S degree in mechanical engineering and management science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2014, and is currently working toward the M.S. degree at KAIST. He is currently with the Division of Future Vehicle, KAIST. His current research interests are the design of hybrid metamaterials for high-power wireless power transfer systems and magnetic field confinement using hybrid metamaterials. Hongseok Kim (S’14) received the B.S. degree in electronic and electrical engineering from Sungkyunkwan University, Suwon, Korea, in 2011, vthe M.S. degree in division of future vehicle from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2013, and is currently working toward the Ph.D. degree in electrical engineering (division of future vehicle) at KAIST. His current research interests include the modeling and low electromagnetic interference (EMI) design of automotive wireless power transfer systems for electric vehicle/mobile phones and motor drive systems for electric vehicles.

364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Chiuk Song received the B.S. degree in electrical and electronic engineering from Chungnam National University, Daejeon, Korea, the M.S. degree (division of future vehicle) from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2014, and is currently working toward the Ph.D. degree in electrical engineering (division of future vehicle) at KAIST. His current research interests include electromagnetic interference modeling of wireless power transfer systems for electric vehicles and drones.

Seungyoung Ahn (M’06–SM’15) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Seoul, Korea, in 1998, 2000, and 2005, respectively. He is currently an Assistant Professor with the Cho Chun Shik Graduate School for Green Transportation, KAIST. His current research interests include wireless power transfer system design and electromagnetic compatibility design for electric vehicle and digital systems.

Sunkyu Kong (S’14) received the B.S. degree in electrical and electronic engineering from Chungnam National University, Daejeon, Korea, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His research interest includes electromagnetic interference/electromagnetic compatibility (EMI/EMC) issues in mixed-mode systems with chip-package-printed circuit board (PCB) hierar-

Joungho Kim (SM’14–F’16) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1984 and 1986, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1993. In 1994, he joined the Memory Division, Samsung Electronics, Suwon, Korea, where he was involved in gigabit-scale DRAM design. In 1996, he joined the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. He is currently a Professor with the Department of Electrical Engineering, KAIST. He is also the Director of the 3-D Integrated Circuit (IC) Research Center, supported by SK Hynix Inc., and the Smart Automotive Electronics Research Center, supported by KET Inc. He has given more than 219 invited talks and tutorials in academia and related industries. He has authored or coauthored over 404 technical papers in refereed journals and conference proceedings. He authored Electrical Design of Through-Silicon-Via (Springer, 2014). In particular, his major research interests include chip-package-printed circuit board (PCB) co-design and co-simulation for signal integrity, power integrity, ground integrity, timing integrity, and radiated emission in 3-D integrated circuits (ICs), through silicon-vias (TSVs), and interposers. His current research interests include electromagnetic compatibility (EMC) modeling, design, and measurement methodologies of 3-D ICs, TSVs, interposer, system-in-package, multilayer PCBs, and wireless power transfer (WPT) technology for 3-D ICs, electric vehicles, and mobile phones. Dr. Kim was the Symposium Chair of the 2015 IEEE EDAPS, Seoul, Korea, and Joint Conference Chair of the Japan–Korea Microwave Society in 2015. He was also the Conference Chair of the 2014 IEEE Wireless Power Transfer Conference (WPTC), Jeju, Korea, and the Symposium Chair of the 2008 IEEE EDAPS and the Technical Program Committee (TPC) Chair of the 2011 APEMC. He was an IEEE Electromagnetic Compatibility (EMC) Society Distinguished Lecturer from 2009 to 2011. He is a TPC Member of Electrical Performance of Electronic Packaging and System. He is an Associate Editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY. He served as a Guest Editor of a Special Issue of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY for PCB level signal integrity, power integrity, and electromagnetic interference/compatibility in 2010, a Special Issue of the IEEE TRANSACTIONS ON ADVANCED PACKAGING on TSV in 2011, and a Mini-Special Issue on the 2014 IEEE WPTC of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES in 2014. He was a recipient of the Outstanding Academic Achievement Faculty Award of KAIST in 2006, the KAIST Grand Research Award in 2008, the National 100 Best Project Award in 2009, the KAIST International Collaboration Award in 2010, the KAIST Grand Research Award in 2014, and the Technology Achievement Award from the IEEE Electromagnetic Society in 2010.

chical structures. Hyoungjun Kim received the B.S. and M.S. degrees in electronics engineering and Ph.D. degree in information telecommunication electronics engineering from Soongsil University, Seoul, Korea, in 2005, 2007, and 2014, respectively. From 2014 to 2015, he was a Research Assistant with the School of Electronics Engineering, Soongsil University. Since 2015, he has been an Assistant Professor with the School of Electronics Engineering, Soongsil University. His research interest includes the development of RF components, power amplifiers, antennas, and wireless power transfer techniques using metamaterials. Chulhun Seo (M’97–SM’14) received the B.S., M.S., and Ph.D. degrees from Seoul University, Seoul, Korea, in 1983, 1985, and 1993, respectively. From 1993 to 1995, he was with the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, as a Technical Staff Member. From 1993 to 1997, he was with Soongsil University, Seoul, Korea, as an Assistant Professor. From 1999 to 2001, he was with MIT, as a Visiting Professor. From 1997 to 2004, he was with Soongsil University, as an Associate Professor. Since 2004, he has been a Professor of electronic engineering with Soongsil University. His research interests include wireless technologies, RF power amplifiers, and wireless power transfer using metamaterials.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Real-time Electrically Controlled Active Matching Circuit Utilizing Genetic Algorithms for Wireless Power Transfer to Biomedical Implants Jo Bito (美藤成) , Student Member, IEEE, Soyeon Jeong, Student Member, IEEE, and Manos M. Tentzeris, Fellow, IEEE

Abstract—This paper discusses the feasibility of a real-time active matching circuit (MC) for wireless power transfer applications, especially for biomedical systems. One prototype of low-cost real-time automatic MC, utilizing a variable circuit topology, including discrete passives and p-i-n diodes, has been implemented and the principle has been verified by measurements. One genetic algorithm was introduced to optimize the design over a wide range of impedances to match. As a result of preliminary operation verification tests, the proposed real-time MC system results in improving the transfer coefficient in the range of 10–16-cm coil separation distance a maximum of 3.2 dB automatically in about 64 ms. Similar performance improvement results were observed in additional tests under misaligned conditions, as well as for nonsymmetrical Tx–Rx coil configurations further verifying the potential applicability of the proposed system to practical biomedical devices. Index Terms—Autonomous sensors, genetic algorithms (GAs), impedance matching, real-time systems, wireless power transfer (WPT).

I. INTRODUCTION

W

IRELESS power transfer (WPT) technology is one of the most highly demanded technologies to realize truly cableless/batteryless mobile devices and wirelessly connected electronics, which are required for practical implementations of Internet of Things (IoT) topologies, and could potentially alleviate the typical issues of the short cruising range until next recharging, as well as the inconvenience of wired charging of electrical vehicles [1]–[3]. In addition to these applications, the medical field is one of the most important application areas of this technology. For hygienic purposes, the unique capability of HF waves and microwaves to transfer power to sealed devices in a contactless/cableless way is a major advantage. Furthermore, WPT could have a significant impact in health and

Manuscript received July 16, 2015; revised November 08, 2015; accepted December 11, 2015. The work of J. Bito, S. Jeong, and M. M. Tentzeris was supported by the National Science Foundation (NSF) and by the Defense Threat Reduction Agency (DTRA). This paper is an expanded version from the IEEE MTT-S Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. The authors are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332-250 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2513765

biomonitoring applications, virtually eliminating the need for painful and infection-prone surgical procedures, which are currently necessary for periodical battery replacement, by wirelessly charging in-vivo implanted electronics. Generally, there are three types of electromagnetic (EM) wireless power transmission systems: far-field radio waves, inductive power transfer, and capacitive power transfer—and each method has its pros and cons [4], [5]. The resonant coupling, especially the magnetic resonant coupling method, which belongs to the inductive power transfer techniques, has attracted the interest of the research community today because of its relatively large operation distance and high maximum power transmission efficiency [6]. Also, the magnetic coupling is preferred to satisfy the fundamental requirement of penetrating the human body, which is electrically lossy conductor, to provide the power to the receiver (Rx) in the human body. A two-frequency split, called the “horn effect,” is usually associated with the fundamental operation of the magnetic resonance wireless power transmission in configurations with very small separation distances between transmitter (Tx) and Rx coils [3]. This can be a major issue for WPT applications on moving or nonstationary platforms, such as the human body, typically pushing the values of the resonance frequency outside the allowable frequency bands or drastically deteriorating the coupling efficiency. In order to compensate for the effect of human body part movements (e.g., breathing and turning), a real-time active matching circuit (MC) has to be inserted between the signal source and the Tx coil. The overview of a typical magnetic resonant wireless power transmission system with real-time MCs on the Tx side is depicted in Fig. 1. As already reported, it is possible to design a discrete value MC with a combination of lumped circuit elements and p-i-n diode switches utilizing a genetic algorithm (GA). The MC is electrically controlled by a microcontroller to decrease the mismatch between the signal generator and the transmitting coil, which has the self-resonance frequency of 13.56 MHz [7]. In this paper, the implementation of an entire real-time active MC as well as further operation tests under different coil separation distances, practical misaligned conditions, and asymmetry coils configurations are discussed in detail as an extension of previously reported results.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Block diagram of a dual-transmitting-coil wireless power transmission system with on-Tx real-time MCs.

TABLE I OF THE OPTIMIZED OPEN-HELICAL-COIL FOR THE PROPOSED WPT SYSTEM

PARAMETERS

II. TX AND RX COIL DESIGN In order to confirm the transition from the strongly coupled regime to the weakly coupled regime in the small coil separation distance range, for proof-of-concept purposes and without loss of generality, an open type helical coil, which has the self-resonance frequency of 13.56 MHz, was designed on CST Studio Suite 2014 within the size restriction in the diameter of 10 cm. In the preliminary measurement setup, in order to simplify the experiments, the same coil design was adopted for both Tx and Rx coils. The extension of the presented approach to coils of different size is straightforward. During the simulation process, the gap between each coil wires was optimized to reduce the radiation loss in given specific fabrication limitations. In order to reduce the simulation time, the integral solver was adopted. The simulation results yielded the following coil dimensions as summarized in Table I; the radius of the coil is 50 mm, the diameter of the copper wire is 1 mm, the gap between consecutive turns is 0.2 mm, and the number of turns is 26, to achieve the operation frequency of 13.56 MHz. Based on the simulation results, the Tx and the Rx coil prototypes were fabricated with a 1-mm-diameter copper wire utilizing laser cut acrylic boards for support purposes. A photograph of the fabricated Tx and Rx coils, the simulated and the measured values of the single coil without any other coil in its proximity, and the measured value values for different center-to-center coil distances (identical Tx and Rx coils) are shown in Fig. 2(a)–(c), respectively.

Fig. 2. (a) Open-helical-coil prototype for WPT system. (b) Measured and simof the single open helical coil prototype. (c) Measured of the ulated open-helical-coil WPT coil network at different separation distances.

The higher loss in the measurement results is assumed to be associated with greater radiation loss because of the fabrication error, the conductor loss associated with the copper wires, and the dielectric loss because of the acrylic board supporters. As depicted in Fig. 2(c), two frequency peaks can be seen at coil separation distances up to 12 cm. An open helical coil can be approximated with an equivalent series RLC circuit. If the resistance, the inductance and the capacitance of the single coil are R, L, and C, the impedance of the coil, , is given by (1), where is an angular frequency. Specifically, the imaginary part of the coil impedance,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 3

BITO et al.: REAL-TIME ELECTRICALLY CONTROLLED ACTIVE MC UTILIZING GAs FOR WPT TO BIOMEDICAL IMPLANTS

, can be expressed as shown in (2). Practically, the values of the self-inductance and of the self-capacitance of the coil remain constant over the frequency range of operation, allowing us to derive their values from the imaginary part of the measured coil impedance at two frequency points. If the mutual inductance between the two coupled coils is , its value can be derived from the self-resonance frequency of the single coil, , and the upper and lower resonance frequency of two coupled (Tx and Rx) coils, , , using (3) [8], [9]. From the measurement data, the inductance and the capacitance of the open helical coil prototype have been derived to be equal to 21.8 H and 6.34 pF, respectively. Also, the mutual inductance at 10-cm coil distance, for example, is 1.51 H, (1) (2)

Fig. 3. Flowchart of the GA optimization for the MC design.

(3)

III. MC DESIGN USING A GA A. MC Design Process In ideal cases, a dynamically changing MC can be easily implemented as a network, which has variable component values. However, in reality, it is quite challenging to change the impedance values arbitrarily within a wide dynamic range using off-the-shelf components. There are various different ways to realize arbitrary different impedance values by utilizing electrically controlled variable circuit components, for example, by using relays [10] or varactor diodes [11]. However, in the research presented in this paper, a discrete value impedance MC with p-i-n diode switches is adopted because of its fast switching speed, small feature size, and robustness [12]. The MC topology is based on the cascading of a unit cell consisting of an L-type series inductor and shunt capacitor. The L-type topology was chosen to make the unit-cell configuration as simple as possible to minimize the simulation and the optimization time for MC design. Ideally, the range of impedance values created by the variable MC unit increases as the number of stages increases. However, in reality, this value range saturates at some point because of the discrete available circuit component values. At the same time, the loss associated with the lumped circuit components increases as the number of stage increases. Therefore, the number of stages (six in the prototype presented in this paper) was eventually chosen to satisfy the practical MC constraints described below with the minimum number of stages [7]. Each capacitor can be grounded through a p-i-n diode, which acts as the switching element. Since every switch provides two states, six switches can provide a total of 64 states. The p-i-n diodes are controlled by a microcontroller unit to choose the best configuration for the MC by changing the combination of “on” and “off” states of the p-i-n diodes. In this work, the p-i-n diode SMP1340 from Skyworks Solutions Inc. is adopted in order to achieve a high-speed MC operation. In this effort, a GA was utilized in order to determine the optimal lumped component

values for typical WPT matching applications out of the available standard “off-the-shelf” discrete component values aiming at achieving an effective matching over a large part of the Smith chart, virtually covering most impedance values to match in the practical WPT configurations. GAs are heuristic search methods, which have been widely used to solve EM optimization problems [13]. Here, for the easy and quick implementation of GA into the MC design, the Global Optimization Toolbox of MATLAB was utilized. The procedure to design and to evaluate the performance of the MC is described in Fig. 3 [12]. In summary, the MATLAB code generates discrete load impedance values, which are evenly distributed around the center of the Smith chart, and check how well the MC at each on/off p-i-n diode combination can match these different impedance values to 50 or not. The return loss and the transducer gain , which are expressed in (4) and (5), are used as the criteria to assess the performance of the MC. These can be expressed as a function of the two-port S-parameters, and the load reflection coefficient [14]. The return loss indicates the quality of matching to the load impedance. This is a necessary condition to check if port1 (signal generator: 50 ), is actually matched to port2 (Tx coil), which has an arbitrary impedance, or not. However, this condition is not a sufficient condition to guarantee the improvement in power transfer from port1 to port2 because there is a dissipative loss associated with the insertion of the MC and a load mismatch [15], (dB) (dB)

(4) (5)

From the literature, it is possible to achieve the maximum Smith chart coverage (up to 70%) under the conditions, dB and dB, which guarantees the sufficient improvement of matching by utilizing arbitrary circuit component values [12]. The proposed method can effectively realize

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 4. Simulated input impedance values generated by MATLAB’s GA algorithm.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. (a) Tunable sixth-stage MC schematic derived using the GA and ADS. (b) Prototype of the tunable MC with modified components value.

a Smith chart coverage of 50%–60% satisfying the same criteria by modifying the combination of a discrete set of circuit components. Each component value in these sets is chosen from commercially available lumped component values. For both inductors and capacitors, 28 consecutive commonly used circuit values, which cover a lumped element value range of 1000:1 for the operation frequency of 13.56 MHz were considered. By limiting the number of components in the MC in such a way, the simulation time was significantly reduced. B. Characterization of MC During the GA simulations, based on the available lumped component values, the center of the Smith chart was targeted, offering a 50%–60% Smith chart coverage at 13.56 MHz. The input impedance values of the MC at each on/off state generated by MATLAB are shown in Fig. 4. However, the inductive component value of the fifth cell was changed from 27 to 560 nH through the simulations utilizing Advanced Design System (ADS) 2013 in order to fine tune the optimization process for the measured coil S-parameters.This improved the MC performance at the short coil separation distances, and eventually increased the range of coil separation distance that can be matched. In Fig. 5, the schematic of the GA-designed MC after the fine tuning and the picture of the MC prototype are depicted. The circuit prototype was fabricated on a 1.5-mm-thick substrate, RO4003C, which features a dielectric constant of 3.38, provided by the Rogers Cooperation. The measured and simulated reflection coefficient values for the designed MC after the fine tuning are shown in Fig. 6. During the measurements, bias circuits, each composed of a series inductor and a parallel capacitor, were connected to each pin of the MC prototype to isolate Arduino Uno microcontroller board’s general input output pins (GIOPs), which provide the dc voltage for each p-i-n diode, from the rest of the matching network. As can be easily observed in Fig. 6, the simulation and the measurement results agree quite well.

Fig. 6. Measured and simulated input impedance values of tunable MC prototype.

IV. REAL-TIME MC SYSTEM One of the easiest and most accurate ways to assess the quality of the matching and operate the system at the maximum power transfer point is to monitor the S-parameters values and modify the configuration of the active MC in real time to achieve the highest value of the transmission coefficient (minimum reflection coefficient) for time-changing topologies. However, in reality, introducing a network analyzer into the system is not a practical choice in terms of cost and flexibility. Also, in practical implantable systems, it is virtually impossible to have a physical connection between the Rx device and the matching quality control unit, thus making it difficult to directly measure the received power. In order to overcome this problem, a maximum power to the Tx, that is measured by utilizing a directional coupler and an RF detector integrated circuit (IC), which is mainly composed of a detector diode, was designed as shown in Fig. 7. In this system, a fraction of the reflected signal from the Rx coil is fed through the coupled port of a directional coupler to a detector diode, and the output dc voltage from the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BITO et al.: REAL-TIME ELECTRICALLY CONTROLLED ACTIVE MC UTILIZING GAs FOR WPT TO BIOMEDICAL IMPLANTS

5

Fig. 7. Block diagram of an MC quality assessment system utilizing a directional coupler and an RF detector IC.

diode is measured by utilizing an analog-to-digital converter (ADC) in the microcontroller module. With this method, the system does not require any RF measurement equipment, which usually increases the system cost and complexity while limiting its applicability. If the output voltage of the detector diode is at the minimum value, it can be assumed that the reflection from the Tx coil is minimized, which equivalently means the highest power transmission in the ideal magnetic resonant Tx–Rx coil network. A. Practical Limitations of Real-Time MC System In practical implementations, due to the requirements for the optimal operation of directional coupler, as well as due to the performance characteristics of the detector diodes, there exist numerous fundamental system limitations. The efficient operation of the directional couplers is guaranteed only when all their ports are matched [16]. Therefore, if the active MC cannot sufficiently match the Tx coil to the directional coupler, the reflected power estimation is no longer accurate, while the insertion loss of the directional coupler increases. In addition, the impedance of commercial detection diodes strongly depend on the input power level, thus drastically affecting the system performance. In the proof-of-concept prototype presented in this paper, the 15-dB directional coupler, ZEDC-15-2B from Mini-Circuits, and the RF detector IC, LTC5507 from the Linear Technology Cooperation, were used. In order to identify the practical system limitations, the output voltage and the impedance of the RF detector IC were measured for different input power levels within the range from 34 to 14 dBm with values plotted in Fig. 8. As a result, it can be said that the RF detector IC covers a quite wide dynamic range of input power levels providing an easily detectable output voltage change. The real part of input impedance varies from about 160 to 230 depending on the input power level, and the imaginary part of the impedance is almost constant around 10 . Next, based on these measured values, the effect of the directional coupler on the system performance was investigated through simulations on ADS by using the measured S-parameters of the coupler. For convenience, the output port, the input port, and the coupled port of the directional coupler are named port1, port2, and port3, respectively. In the actual real-time matching system, port1, which is connected to the

Fig. 8. (a) Measured output voltage from the RF detector IC with respect to the input power. (b) Measured impedance of the RF detector with respect to the input power.

signal generator, is assumed to be always matched. Therefore, the S-parameters of the coupler were simulated by changing the impedance of port2 and port3. After performing numerous simulations, it was observed that the impedance change at port3 over the above measured range of the impedance variation of the detector IC does not significantly affect the S-parameters of the coupler. However, the impedance mismatch at port2 drastically changes the S-parameters. Fig. 9(a) and (b) shows the values of and of the coupler when the real and the imaginary parts of the terminal impedance at port2 were varied from 20 to 130 and from 0 to 200 , respectively, by assuming that this is the change of input impedance of the Tx coil caused by the coil separation distance change. As it can be easily concluded from the numerical simulations shown in Fig. 9(a), the insertion loss increases as the mismatch at port2 increases. For a practical system implementation, up to 1 dBm worsening of the matching performance, which is equivalently from 20 to 130 , must be satisfied to guarantee the effective system performance. At the same time, Fig. 9(b) implies that there is an undetectable region near the perfectly matched condition because of too low reflected power below 34 dBm, which is the lower boundary of the detectable RF signal utilizing the RF detector IC. Although, if this happens or not depends on the level of input power to the system, in general.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Complete setup of the automated real-time matching system for operation testing purposes.

Fig. 9. (a) of the directional coupler for different terminal impedance of the directional coupler for different terminal values of port2. (b) impedance values of port2.

MC operation are the switching speed of the p-i-n diode and the reading rate of the ADC in the microcontroller. From the datasheet, the switching time of p-i-n diode in our system prototype, SMP1340, is in the range of hundreds of nanoseconds [17], [18]. However, the maximum reading rate of the ADC in Arduino is about 10 kHz. In our MC prototype, there are 64 states by using six p-i-n diodes. Therefore, the minimum required time for the matching is about 6.4 ms by taking only one ADC measurement for each state. In actual operation tests, ten measurements are conducted for each state for enhanced smoothing in order to increase the measurement accuracy. Therefore, the expected required time for the optimum operation point search is about 64 ms. Ideally, the required time can be reduced by introducing fast ADCs potentially reducing the time to less than 1 ms. By taking into account the fast switching time of the p-i-n diode, the time for matching can be less than 1 ms. V. OPERATION TEST OF THE AUTOMATED REAL-TIME MATCHING SYSTEM A. Performance Characterization of Automated Real-Time Matching System

Fig. 10. Flowchart of the automated real-time matching procedure utilizing a microcontroller.

B. Automated Real-Time Maximum Power Transfer Point Search Based on the assumption that the lowest output voltage from the RF detector IC is correlated to the highest transferred power, the brute force real-time matching algorithm, as shown in Fig. 10, was implemented by utilizing a microcontoller module, Arduino Uno. The major limitations for a fast real-time

In order to test the performance of the developed automated real-time matching system, all the components are arranged as shown in Fig. 11, and the received power at different separation distances was measured by utilizing a real-time spectrum analyzer, RSA3408A from Tektronix Inc., with and without an MC. The input power to the MC and the Tx coil is adjusted to be 0 dBm in both cases with and without a MC, respectively. The received power with respect to the coil center to center separation distance with and without an automated matching system is depicted in Fig. 12. It can be easily concluded that the received power increases by utilizing the MC for separation distances in the range from 10 to 16 cm with a maximum received power improvement of 3.2 dB. In order to specify the quality of the real-time MC, the of the Tx–Rx coil network with and without an MC operating at the best/optimum performance state automatically chosen by the microcontroller at different coil separation distance are measured by using a vector network analyzer, ZVA8 from Rohde & Schwarz, and results are shown

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BITO et al.: REAL-TIME ELECTRICALLY CONTROLLED ACTIVE MC UTILIZING GAs FOR WPT TO BIOMEDICAL IMPLANTS

7

Fig. 12. Measured received power with and without the automated MC at different coil separation distances.

Fig. 13. Measured of coil with and without the MC at the optimal power transfer state that is automatically chosen by the microcontroller at different coil separation distances.

in Fig. 13. After 16 cm, there is no significant change in for both with and without MC cases. Since the diameter of the coil is 10 cm and it is the minimum possible center to center separation distance between two coils, the coil’s matching is improved over the entire separation distance range from 10 to 16 cm or more. Finally, the output voltage from the detector IC and the received power are measured at different coil separation distances by changing the configuration of the MC by manually turning on/off the switches to emulate all possible states in order to confirm whether the automated MC is actually choosing the optimal combination of on/off states or not. The received power at 10, 12, 14.5, and 16 cm are shown in Fig. 14(a)–(d), respectively. From the figures, it can be said that, at 12 cm, the voltage reading of microcontroller is not accurate because of the too low reflected power associated with the good matching, as previously explained in the section of the system limitation. This can be the reason why the is high at 12 cm in Fig. 13. This can be prevented by using a coupler, which has a high coupling coefficient or the input power to the system is high. Similarly, at 16 cm, the high mismatch at the input port of the coupler breaks the correlation of low reflected power and high transferred power, and the automated MC cannot choose the best combination from the readout voltage data anymore. Therefore, technically 10–16 cm

Fig. 14. Measured received power from the Rx coil and the output voltage from the RF detector IC at each p-i-n diode on/off state with coil separation distance of: (a)10 cm, (b) 12 cm, (c)14.5 cm, and (d) 16 cm.

is the operation range of automated real-time matching system, although the variable MC unit can cover the entire separation distance range.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 15. Tx–Rx coil network under misaligned conditions caused by relative elevation.

Fig. 16. Measured received power as a function of the coil separation distance for different Rx coil elevation levels.

B. Operation Tests Under Rugged Conditions 1) Misalignment: One of the fundamental motivations for this research was to create a real-time MC system, which can compensate the effect of human movements for Tx–Rx coil networks in practical biomedical WPT systems. One of the potential causes for the change of the Tx input impedance is the resulting Tx–Rx misalignment. Due to the geometrical symmetry of the designed coil, the effect of the misalignment in 2-D radial direction can be assumed to be limited. Therefore, in order to examine the capability of our real-time matching system to handle the misalignment, the received power with and without the MC was measured for misaligned positions caused by changing the elevation of the Rx coil. The Tx–Rx coil network setup testing the effect of the misalignment is shown in Fig. 15, and the received power with respect to the coil separation distance at different Rx coil elevations is depicted in Fig. 16. From these measurements, it appears that the automated real-time MC system can improve the power transfer at all data points, implying the potential matching capability of our system in 3-D coil movement. 2) Nonsymmetrical Tx–Rx Coil Network: Most biomedical WPT systems are expected to feature nonsymmetrical Tx–Rx coil topologies to increase the power transfer efficiency under the strict size constraints for the implantable Rx coils [19].

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Nonsymmetrical WPT coil system with a large Tx coil and a small Rx coil.

Fig. 18. Measured received power of the nonsymmetrical Tx–Rx coil network with respect to the coil separation distance.

Therefore, in order to further investigate the potential of the automated real-time matching system for biomedical applications, additional operation tests using nonsymmetrical Tx–Rx coil networks were conducted. For proof-of-concept purposes and without loss of generality, a larger planar loop open helical coil was utilized as the Tx coil. The minimum and the maximum radii of the coil loop are 12 and 14 cm, respectively, the diameter of the copper wire is 1 mm, the gap between the planer loop is 4 mm, and the number of turns is 5 for the Tx coil. A polystyrene foam was utilized as the supporting material for this coil, and the self-resonance frequency of the coil is 13.7 MHz. The slight self-resonance frequency shift from the expected operation frequency of 13.56 MHz was caused by the fabrication errors. The nonsymmetrical Tx–Rx coil testing setup is shown in Fig. 17, and the received power with respect to the coil separation distance is depicted in Fig. 18. As a result, the improvement in the received power was confirmed in the entire range from 20 cm or more. By introducing the larger Tx coil, the edge-to-edge coil separation distance range, which achieves a certain received power level, for example, above 3 dBm, increased compared to the symmetrical small Tx–Rx coil network. These preliminary promising results suggest the potential applicability of the proposed automated real-time matching system to nonsymmetrical WPT systems in biomedical implants.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BITO et al.: REAL-TIME ELECTRICALLY CONTROLLED ACTIVE MC UTILIZING GAs FOR WPT TO BIOMEDICAL IMPLANTS

VI. CONCLUSION In this study, the feasibility of a real-time active MC for biomedical WPT applications is discussed. First, open helical type coils, which have a self-resonance frequency of 13.56 MHz, were designed utilizing an EM simulator and characterized through measurements. Based on the measured S-parameters of the coils, a variable MC unit was designed utilizing the GA. The prototype of low-cost real-time automatic MC was also designed and analyzed to quantitatively reveal the limitation of the real-time automatic matching system. Finally, the real-time matching system was implemented and verified through the measurement. Eventually, the proposed real-time automatic MC system achieved the maximum of 3.2-dB transfer coefficient improvement in the range of 10–16-cm coil separation distance automatically in about 64 ms. Additional operation verification tests conducted for misaligned coil topologies and for nonsymmetrical Tx–Rx WPT systems featured similar improvement results with the preliminary well-aligned same-size Tx and Rx configurations. These very promising preliminary results suggest the wide potential applicability of the proposed real-time automatic matching system to a variety of WPT applications, especially when there is strong coupling between Tx and Rx coil causing the frequency split, for example, charging of skin implanted devices, electrical vehicles, and unmanned aerial vehicles (UAVs). Possibly, the system can be applied to the powering of deep tissue implanted devices utilizing optimally designed coils.

REFERENCES [1] S. Kim et al., “Ambient RF energy-harvesting technologies for selfsustainable standalone wireless sensor platforms,” Proc. IEEE, vol. 102, no. 11, pp. 1649–1666, Nov. 2014. [2] J. Shin, “Design and implementation of shaped magnetic-resonance-based wireless power transfer system for roadway-powered moving electric vehicles,” IEEE Trans. Ind. Electron., vol. 61, no. 3, pp. 1179–1192, Mar. 2014. [3] T. Imura, H. Okabe, and Y. Hori, “Basic experimental study on helical antennas of wireless power transfer for electric vehicles by using magnetic resonant couplings,” in IEEE Veh. Power Propulsion Conf., Dearborn, MI, USA, Sep. 2009, pp. 936–940. [4] H. Shoki, “Issues and initiatives for practical deployment of wireless power transfer technologies in japan,” Proc. IEEE, vol. 101, no. 6, pp. 1312–1320, Jun. 2013. [5] J. Dai and D. C. Ludois, “A survey of wireless power transfer and a critical comparison of inductive and capacitive coupling for small gap applications,” IEEE Trans. Power Electron., vol. 30, no. 11, pp. 6017–6029, Nov. 2015. [6] A. Kurs, A. Karalis, R. Moffatt, J. D. Joannopoulos, P. Fisher, and M. Soljai, “Wireless power transfer via strongly coupled magnetic resonances,” Science, vol. 317, no. 5834, pp. 83–86, Jul. 2007. [7] J. Bito, J. Soyeon, and M. M. Tentzeris, “A real-time electrically controlled active matching circuit utilizing genetic algorithms for biomedical WPT applications,” in IEEE Wireless Power Transfer Conf., Boulder, CO, USA, May 2015, pp. 1–4. [8] T. Imura, H. Okabe, T. Uchida, and Y. Hori, “Study on open and short end helical antennas with capacitor in series of wireless power transfer using magnetic resonant couplings,” in 35th Annu. IEEE Ind. Electron. Soc. Conf., Porto, Portugal, Nov. 2009, pp. 3848–3853. [9] T. Imura and Y. Hori, “Maximizing air gap and efficiency of magnetic resonant coupling for wireless power transfer using equivalent circuit and neumann formula,” IEEE Trans. Ind. Electron., vol. 58, no. 10, pp. 4746–4752, Oct. 2011.

9

[10] T. C. Beh, M. Kato, T. Imura, S. Oh, and Y. Hori, “Automated impedance matching system for robust wireless power transfer via magnetic resonance coupling,” IEEE Trans. Ind. Electron., vol. 60, no. 9, pp. 3689–3698, Sep. 2013. [11] H. M. Nemati, C. Fager, U. Gustavsson, R. Jos, and H. Zirath, “Design of varactor-based tunable matching networks for dynamic load modulation of high power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1110–1118, May 2009. [12] C. Sanchez-Perez, J. de Mingo, P. L. Carro, and P. Garcia-Ducar, “Design and applications of a 300–800 MHz tunable matching network,” IEEE Trans. Emerg. Sel. Topics Circuits Syst., vol. 3, no. 4, pp. 531–540, Dec. 2013. [13] J. M. Johnson and V. Rahmat-Samii, “Genetic algorithms in engineering electromagnetics,” IEEE Antennas Propag. Mag., vol. 39, no. 4, pp. 7–21, Aug. 1997. [14] C. Sanchez-Perez, J. de Mingo, P. Garcia-Ducar, P. L. Carro, and A. Valdovinos, “Figures of merit and performance measurements for RF and microwave tunable matching networks,” in Eur. Microw. Integr. Circuits Conf., Manchester, U.K., Oct. 2011, pp. 402–405. [15] F. Casini, R. V. Gatti, V. Perrone, and R. Sorrentino, “A new approach to the analysis and synthesis of lossy reconfigurable matching networks,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, Sep. 2009, pp. 1235–1238. [16] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2011. [17] “Design with PIN diodes,” Skyworks Solutions Inc., Woburn, MA, USA, Appl. Note, Oct. 2012. [18] “Fast switching speed, low capacitance, plastic packaged PIN diodes,” Skyworks Solutions Inc., Woburn, MA, USA, Data Sheet SMP1340 series, Jun. 2012. [19] A. K. RamRakhyani, S. Mirabbasi, and M. Chiao, “Design and optimization of resonance-based efficient wireless power delivery systems for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 1, pp. 48–63, Feb. 2011.

Jo Bito (S’13) received the B.S. degree in electrical and electronic engineering from Okayama University, Okayama, Japan, in 2013. From 2010 to 2011, he joined the international programs in engineering (IPENG) and studied at the University of Illinois at Urbana–Champaign, Champaign, IL, USA. He is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta, GA, USA. He is currently a Research Assistant with the Agile Technologies for High-performance Electromagnetic Novel Applications (ATHENA) Group, Georgia Institute of Technology. His research interests include the application of inkjet printing technology for flexible and wearable electronics, RF energy harvesting, and wireless power transfer systems. Mr. Bito was a recipient of the Japan Student Services Organization (JASSO) Long Term Scholarship beginning in 2013.

Soyeon Jeong (S’14) was born in Seoul, Korea. She received the Bachelor of Engineering degree in electrical engineering from Gangneung-Wonju National University, Kangwon, Korea, in 2010, the Master of Engineering degree in electrical and computer engineering from Georgia Institute of Technology, Atlanta, GA, USA, in 2014, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. She is currently with the Agile Technologies for High-performance Electromagnetic Novel Applications (ATHENA) Group, Georgia Institute of Technology. Her current research is focused on wireless power transfer on methods, sensor component design, high-frequency characterization and environmental testing to the design, and simulation and fabrication of the RF system embedding the sensor.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Manos M. Tentzeris (S’89–M’92–SM’03–F’10) received the Diploma degree in electrical and computer engineering (magna cum laude) from the National Technical University of Athens, Athens, Greece, and the M.S. and Ph.D. degrees in electrical engineering and computer science from the University of Michigan, Ann Arbor, MI, USA. He is currently a Professor with the School of Electrical and Computer Enginering, Georgia Institute of Technology, Atlanta, GA, USA. He currently heads the Agile Technologies for High-performance Electromagnetic Novel Applications (ATHENA) Group, Georgia Institute of Technology (20 researchers). He has served as the Head of the Georgia Tech Electrical and Computer Engineering (GT-ECE) Electromagnetics Technical Interest Group, the Georgia Electronic Design Center Associate Director for RF identification (RFID)/sensors research (2006–2010), and the Georgia Institute of Technology National Science Foundation (NSF) Packaging Research Center Associate Director for RF Research and the RF Alliance Leader (2003–2006). He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications using ceramic and organic flexible materials, paper-based RF identifications (RFIDs) and sensors, biosensors, wearable electronics, 3-D/4-D/inkjet-printed electronics, “Green” electronics, energy harvesting and wireless power transfer systems, near-field communication (NFC) systems, nanotechnology applications in RF, origami-folded electromagnetics, microwave microelectromechanical systems (MEMs), system-on-package (SOP)-integrated (ultra-wideband (UWB), multiband, and millimeter-wave (mmW), conformal) antennas. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany. During the summer of 2009, he was a Visiting Professor with GTRI-Ireland, Athlone, Ireland. During the summer of 2010, he was a Visiting Professor with LAAS-CNRS, Toulouse, France. He has authored or coauthored more than 550 papers in refereed journals and conference proceedings, 5 books, and 23 book chapters. He has given more than 100 invited talks to various universities and companies all over the world. Dr. Tentzeris is a Member of URSI-Commission D. He is a Member of the MTT-15 Committee. He is an Associate Member of the European Microwave Association (EuMA). He is a Fellow of the Electromagnetic Academy. He is a

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Member of the Technical Chamber of Greece. He served as an IEEE MTT-S Distinguished Microwave Lecturer (2010–2012). He is currently the IEEE C-RFID Distinguished Lecturer. He was the Technical Program Committee (TPC) Chair for the 2008 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) and the Chair of the 2005 IEEE CEM-TD Workshop. He is the Vice-Chair of the RF Technical Committee (TC16), IEEE IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He is the founder and chair of the RFID Technical Committee (TC24) of the IEEE MTT-S and the Secretary/Treasurer of the IEEE C-RFID. He is an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE Transactions on Advanced Packaging, and the International Journal on Antennas and Propagation. He was the recipient or corecipient of the 2015 IET Microwaves, Antennas and Propagation Premium Award, the 2014 Georgia Institute of Technology ECE Distinguished Faculty Achievement Award, the 2014 IEEE RFID-TA Best Student Paper Award, the 2013 IET Microwaves, Antennas, and Propagation Premium Award, the 2012 FiDiPro Award (Finland), the iCMG Architecture Award of Excellence, the 2010 IEEE Antennas and Propagation Society Piergiorgio L. E. Uslenghi Letters Prize Paper Award, the 2011 International Workshop on Structural Health Monitoring Best Student Paper Award, the 2010 Georgia Institute of Technology Senior Faculty Outstanding Undergraduate Research Mentor Award, the 2009 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES Best Paper Award, the 2009 E. T. S. Walton Award of the Irish Science Foundation, the 2007 IEEE Antennas and Propagation Society (AP-S) Symposium Best Student Paper Award, the 2007 IEEE MTT-S IMS Third Best Student Paper Award, the 2007 ISAP 2007 Poster Presentation Award, the 2006 IEEE MTT-S Outstanding Young Engineer Award, the 2006 Asia–Pacific Microwave Conference Award, the 2004 IEEE TRANSACTIONS ON ADVANCED PACKAGING Commendable Paper Award, the 2003 NASA Godfrey “Art” Anzic Collaborative Distinguished Publication Award, the 2003 IBC International Educator of the Year Award, the 2003 CPMT Outstanding Young Engineer Award, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China), the 2002 Georgia Institute of Technology ECE Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award, and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

EMF Safety and Thermal Aspects in a Pacemaker Equipped With a Wireless Power Transfer System Working at Low Frequency Tommaso Campi, Silvano Cruciani, Valerio De Santis, and Mauro Feliziani

Abstract—A wireless power transfer (WPT) system based on magnetic resonant coupling is applied to a pacemaker for recharge its battery. The primary coil is assumed to be on-body, while the secondary coil is in-body. Three different configurations of the secondary coil are hereby investigated placing it inside the titanium case of the pacemaker, on the top surface of the case, or being part of the top surface case. The operational frequency is fixed to be at a relatively low frequency (20 kHz) in order to allow field penetration through the case and to limit the electric and magnetic field safety and thermal increase issues. For each examined configuration, these aspects are investigated by numerical and experimental techniques. The obtained results demonstrate the feasibility of the proposed solutions highlighting their advantages and disadvantages. Index Terms—Electric and magnetic field (EMF) safety, magnetic resonant coupling, pacemaker, temperature increase, wireless power transfer (WPT).

I. INTRODUCTION

C

ARDIAC pacemakers have the problem of a reduced lifetime of the battery and, therefore, a surgery intervention is periodically necessary to replace the pacemaker. The use of wireless power transfer (WPT) technology to recharge the pacemaker battery could be very useful to reduce health risks and inconveniences [1]–[5]. However, the use of such a technique is not trivial because there are several concerns about possible issues of medical device electromagnetic compatibility (EMC) and potential health effects due to electric and magnetic field (EMF) exposures [6]–[11]. From one side, it is well known that the immunity of pacemakers against magnetic fields is very strict, i.e., patients with pacemakers cannot always undergo magnetic resonance imaging (MRI) diagnostics, but on the other hand the power is transferred from a transmitting coil to a receiving coil via inductive coupling using the WPT technology. This means that Manuscript received July 26, 2015; revised November 20, 2015 and December 23, 2015; accepted December 28, 2015. This paper is an expanded version from the IEEE MTT-S Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. The authors are with the Department of Industrial and Information Engineering and Economics, University of L’Aquila, L’Aquila 67100, Italy (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2514087

it is not possible to considerably mitigate the magnetic field without affecting the WPT performance [12], [13]. While EMC problems can be solved, concerns regarding the EMF safety and temperature increase in the human body must still be investigated. In Europe, the EMF safety is currently regulated by the ICNIRP Guidelines whose basic restrictions are given in terms of the internal electric field in peripheral (PNS) and central nerve stimulation (CNS) tissues for frequencies up to 10 MHz [14], and specific absorption rate (SAR) for frequencies above 100 kHz [15]. The European Standard, which regulates the active implantable medical device (AIMD), limits the heating of the outer surface of an AIMD to 2 C above normal body temperature [16], [17]. The heating in tissues and pacemakers is the result of resistive losses in the circuitry, exothermic reaction in the batteries, eddy currents in the case, plus a small contribution coming from the SAR, which depends on the operational frequency. In the last few years, a research project has been addressed to assess the feasibility of using a WPT system to recharge the battery of AIMDs. The WPT system applied to pacemakers must be energetically efficient and also compliant with EMF safety and heating restrictions at the same time. In previous studies, a high value of WPT efficiency has been shown to be relevant not only for the electrical performances in terms of transferred power, but also for the EMF safety since the coil currents and the magnetic field decrease as the efficiency increases [11], [12]. In these studies, two relatively high frequencies (300 kHz and 13.56 MHz) have been tested to optimize the WPT efficiency taking into account also possible coil misalignments [5]. The best results in terms of efficiency have been obtained placing the WPT secondary coil outside the metallic case of the pacemaker, which is not easily penetrable by EMFs at relative high frequencies [4], [5], [13]. EMF safety aspects have also been evaluated inside realistic human body models (HBMs) currently available in numerical dosimetry [5]. The obtained results were very promising so that the research on the pacemaker’s WPT battery recharge has been continued with the goal to investigate other original aspects such as a thermal analysis while preserving the current pacemaker architecture. The novelty of this study is to investigate the use of a WPT system in a pacemaker operating at low frequency kHz assuming the secondary coil placed inside the metallic housing of the pacemaker. This configuration is currently used to wirelessly communicate the pacemaker functions to a control unit. Therefore, it is considered very

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

promising from the pacemaker manufacturers because they could apply this WPT solution to existing pacemakers with simple modifications. Furthermore, a new configuration with the secondary coil integrated on the top surface of the case by a milling procedure is also investigated. A low operational frequency of 20 kHz, which is approved for AIMD applications [18], [19], has been adopted in order to mitigate EMF safety issues and temperature increases due to the heating created by eddy currents. Indeed, WPT system performances are generally reduced at low frequencies, but on the other hand, the power loss due to eddy currents in conductive materials is reduced as well. Moreover, the SAR evaluation is not required by current regulations at 20 kHz, making it easier to comply with EMF safety standards and temperature increase limits [11]. Finally, the frequency of 20 kHz is also used to obtain a good magnetic field penetration through the titanium wall of the case and to reduce the coil ac resistances. This paper is an extended version of [20], now including many experimental results. It is organized as follows. First, the electro-geometrical configuration of the WPT coils and pacemaker case is analyzed by solving the time–harmonic magnetic field equations and by calculating the electrical quantities of a WPT system equivalent circuit. The bio-heat equation (BHE) is then numerically solved to predict the temperature increase in the biological tissues. Finally, some WPT demonstrators are fabricated and tested performing electrical and thermal measurements. II. SYSTEM CONFIGURATION The goal of our study is to recharge the battery of a pacemaker using magnetic resonant coupling at the frequency of 20 kHz. At this frequency, the metallic case of the pacemaker has a limited negative impact on the WPT efficiency since the titanium pacemaker case is highly penetrable by the WPT magnetic field. Mathematically speaking, the field penetrates into the housing when the skin depth of the titanium is much larger than the case wall thickness . This condition, , is satisfied at 20 kHz being mm and m. The skin effect in the coil copper wires is also negligible because at this frequency the penetration depth in copper is comparable with the wire cross-section dimensions. Thus, the spacing between coils is not necessary. The rechargeable Li–Ion battery considered in this study is specific for AIMDs and has a capacity of 330 mAh and a voltage of 3.7 V. The nominal charging current to recharge the battery in about 2 h is 110 mA. Considering a maximum charging voltage of 4.1 V the power needed to recharge the battery is W. For the sake of simplicity the load is modeled as a simple resistance and represents the battery charging systems that, in general, is composed by a rectifier system and a dc/dc converter unit. The value of the equivalent load resistances can be adjusted in a wide range of values by changing the settings of the converter [21]. For this application, a dc/dc boost converter can be adopted, which allows to adjust the input impedance by varying the duty cycle of the pulse-width modulation (PWM) as , where is the charging voltage, is the charging current, and [22].

The efficiency of the charging system must be considered in the output power calculation. To obtain a charging power W, an output power of W is required by considering a charging circuit efficiency . The planar circular coils of the WPT system are assumed to be stacked. The primary coil is assumed to be on-body, while the secondary coil is assumed to be in-body. For the considered frequency of 20 kHz, the best solution in terms of efficiency is found when the secondary coil is external to the case [5], but this solution requires a big modification of the traditional pacemaker structure. Since the field penetration at 20 kHz is quite large, we also studied the possibility to maintain the secondary coil inside the case. To evaluate the differences among different positions of the secondary coil the milling case is also considered and, therefore, three different test cases are investigated, as schematically shown in Fig. 1. • Test case #1: secondary coil placed inside the pacemaker case. • Test case #2: secondary coil placed outside the pacemaker case. • Test case #3: secondary coil integrated in the pacemaker case. In the calculations, the HBM simply consists of a multilayer cylinder of biological tissues (skin/fat/muscle) with external radius equal to mm and height equal to mm, as shown in Fig. 2. The thickness of the skin and fat layers are taken as mm and mm, respectively. The separation distance between the coaxial planar coils is fixed to mm. The pacemaker case is modeled by a hollow cylinder of radius mm and height mm with a thickness of the housing titanium wall equal to m. The electrical quantities and WPT performances are evaluated analyzing the equivalent circuit shown in Fig. 3(a) adopting the series-parallel (SP) capacitance compensation topology [6]. The circuital parameters of the coupled coils shown in Fig. 3(b) are numerically extracted when solving the magnetic field equations using a finite-element method (FEM) procedure [5]. In this circuit, , , , and , where and are the open circuit input resistance and inductance at port 1, and and are the same quantities at port 2. and are the mutual inductance and resistance, respectively. III. SIMULATION METHODS A. EMFs Analysis The EMFs inside a dispersive medium as the human body are described by the following equations: (1) (2) (3) where is the magnetic flux density, is the electric field, and are, respectively, the free space and relative permeability,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAMPI et al.: EMF SAFETY AND THERMAL ASPECTS IN PACEMAKER EQUIPPED WITH WPT SYSTEM WORKING AT LOW FREQUENCY

3

Fig. 2. 2-D axially symmetrical configuration of the pacemaker and transmitting and receiving coils with ferrite plates and biological tissues.

Fig. 3. WPT: (a) equivalent circuit with SP compensation topology and (b) equivalent circuit of the two coupled coils. TABLE I TISSUE PARAMETERS AT THE FREQUENCY OF 20 kHz AND BASAL THERMAL PROPERTIES

Fig. 1. Test case configurations: (a) #1: secondary coil inside the pacemaker case, (b) #2: secondary coil on the top surface of the pacemaker case, and (c) #3: secondary coil as part of the pacemaker case.

is the magnetic vector potential, sity, is the electric potential, and conductivity given by

is the source current denis the complex electrical (4)

where is the free-space permittivity, is the relative permittivity, and is the conductivity. The previous equations are numerically solved using the FEM in the frequency domain. Tissues dielectric properties at the frequency of 20 kHz are reported in Table I [23]. It should be noted that a conductivity value of 0.2 S/m is assigned to the skin, as proposed in [24].

The dosimetry analysis is performed evaluating the induced electric field by means of (3). The SAR distribution is then calculated at any point using the following expression: (5) is the norm of the peak value of the electric field and where is the mass density of the tissue. It should be noted that the SAR is not required by the ICNIRP Guidelines at 20 kHz, but it must be calculated to perform the thermal analysis, as described in Section III-B. The power loss due to Joule effect in conductive regions, such as coil wires and pacemaker case, is calculated as (6)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

B. Thermal Analysis The BHE is used to calculate the transient temperature distribution inside the human body tissues exposed to electromagnetic fields [25]. This equation is obtained by a generalized body heat balance equation taking into account several mechanisms through which the heat is produced or exchanged inside the tissues as (7) Fig. 4. 2-D axially symmetrical configuration of test case #3.

with being the unknown temperature, being the specific heat, and being the thermal conductivity. The heating sources in (7) are given by and . The latter is the metabolic rate generated in biological tissues, while is the blood perfusion that is assumed to start from the inner body-core at a fixed temperature C and to perfuse peripheral tissues through convective heat transfer. The thermal parameters for the biological tissues used in the simplified HBM are reported in Table I as well. Solution of (7) in a closed domain requires that the thermal boundary conditions on the boundary are satisfied. They are given by on

(8a)

on

(8b)

and denote, respectively, Dirichlet and Neumann where boundaries, is the known heat flow, is the heat transfer coefficient, while and are known temperatures on and , respectively. C. Multiphysics Analysis The electromagnetic and thermal simulations are solved using the COMSOL frequency-transient multiphysics solver combined to a circuital simulation. First, the time–harmonic magneto-quasi-static equations are solved analyzing the configuration of the coupled coils, pacemaker case, and human body. The equivalent lumped circuit parameters , , , , , and are then extracted by post-processing the field solution [12]. These parameters are used to calculate the compensation capacitors and when the resonance frequency has been fixed [6]. Once the lumped parameters are derived, it is possible to analyze the equivalent circuit of Fig. 3 obtaining all the voltages and currents when the terminal conditions are imposed [5]. It is also possible to evaluate the efficiency of the WPT system, and being the real power on the load and on input port, respectively. Finally, the thermal analysis is carried out in the time domain using as forcing terms in (6) the previously calculated values of and . Note that it is possible to use this kind of frequency-transient multiphysics analysis since the time constant of the thermal problem is much larger than , where is the operational resonance frequency used in the electromagnetic model. IV. NUMERICAL RESULTS Three different test case configurations are simulated, as explained in Section II. The maximum outer diameter of the

on-body primary coil has been fixed, for practical reasons, to mm since this coil must be positioned on the surface of the human body during the charging process. The number of turns and load resistance are optimized for each considered configuration in order to maximize the efficiency of the WPT system at the resonant frequency kHz. The optimum configuration using an AWG 18 wire with radius mm is found to have turns without wire spacing due to the negligible skin and proximity effects at 20 kHz. This primary coil configuration has been adopted for all three test cases. For test case #1 (i.e., secondary coil inside the pacemaker case), the outer diameter of the receiver coil is fixed to mm since it is constrained by the maximum size of the case. Using an AWG 24 wire with radius mm and negligible wire spacing, the optimal number of turns has been found to be . This wire is dimensioned to support a secondary coil current of about 1 A without detecting any perceptible heating. The configuration of the test case #2 has the secondary coil placed on the case top surface. The adopted primary and secondary coils have the same geometry of that used for the test case #1. In the third configuration, the secondary coil is constructed from the titanium structure of the case via a milling process (see Fig. 4). The optimum number of turns is and the wires have a square cross section with side mm. A ferrite planar shield of 0.1-mm thickness is placed just behind the secondary coil of all test case configurations to avoid possible interferences with the pacemaker circuitry by diverting the magnetic flux lines [13]. It also reduces the magnetic reluctance improving the WPT performances. The choice of the optimal value for the load resistance allows us to improve the performances, to reduce the losses, and consequently to limit the temperature rise inside the biological tissues, as shown in Fig. 5. For test cases #1 and #3, the optimum load resistances were found to be around , while for test case #2 the best performances are obtained around . The lumped parameters extracted by the FEM solution are reported in Table II for the considered test cases, while the electrical quantities obtained by the equivalent circuit analysis are reported in Table III. As expected, the best configuration in terms of electrical performances is obtained for test case #2 with an efficiency and low coil currents. In test case #1, due to the presence of the titanium case between the coils, the efficiency is much

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 5

CAMPI et al.: EMF SAFETY AND THERMAL ASPECTS IN PACEMAKER EQUIPPED WITH WPT SYSTEM WORKING AT LOW FREQUENCY

Fig. 5. Calculated: (a) efficiency and (b) maximum temperature increase versus for test cases #1, #2, and #3. load resistance TABLE II EXTRACTED LUMPED CIRCUIT PARAMETERS

TABLE III EFFICIENCY AND COIL CURRENTS ASSUMING SP COMPENSATION

Fig. 6. Maps of the internal electric field cases #1, (b) #2, and (c) #3.

lower and the transmitting coil currents are much higher. Finally, the fairly low currents and good efficiency of calculated in test case #3 are demonstrating that this configuration is very promising. The electric field distributions calculated in the biological tissues are reported in Fig. 6 for the considered test cases. The maximum electric field for the examined three test cases is equal to 1.55, 1.15, and 1.07 V/m, respectively. These values are lower than the ICNIRP limit fixed to 2.7 V/m for the general public exposure at the considered frequency of 20 kHz [14]. The temperature increase in biological tissues is also calculated for the three test cases. In test case #1, the maximum temperature increase is less than 0.57 C; in test case #2, it is around 0.16 C, and in test case #3, it is around 0.14 C. For the most significant heating (test case #1), the distribution map of temperature increase is reported in Fig. 7.

Fig. 7. Map of the temperature increase

(V/m) at

kHz for: (a) test

C for test case #1.

V. EXPERIMENTAL RESULTS Two WPT demonstrators of a transcutaneous pacemaker have been realized for the configurations of test cases #1 and #2.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Scheme of the inverter used to power the WPT system.

Fig. 8. 2-D axially symmetrical configuration of the secondary coil placement for: (a) test case #1 (coil inside the case) and (b) test case #2 (secondary coil outside the case). TABLE IV MEASURED CIRCUIT LUMPED PARAMETERS

Fig. 10. Scheme of the measurement setup. TABLE V CALCULATED AND MEASURED COIL CURRENTS AND EFFICIENCY

For the sake of clarity, the receiving coil configuration of both demonstrators is shown in Fig. 8. A. Electrical Performances The lumped circuit parameters of the coils are measured using a Wayne Kerr 4265 LCR meter. The coil self-inductances and resistances are directly measured by connecting one port to the instrument when the other port is in open ended condition. The mutual inductance and resistance are measured by connecting the two coils in phase and antiphase configurations. They are given by and , being the angular frequency, and being the reactance and the resistance in phase configuration, and and being the reactance and the resistance in antiphase configuration. The measured lumped parameters are reported in Table IV. It can be seen that the measured values are quite similar to the calculated ones just reported in Table II. The SP compensation capacitors and are obtained as , , and . In particular, the capacitors used in the experiments are as follows. • F and F for test case #1. • F and F for test case #2. The WPT system is driven by a dc/ac inverter whose scheme is reported in Fig. 9. The square wave input signal is generated by a function generator. It is then amplified by a MOSFET full bridge. The inverter controls the commutation of the two legs

of the bridge – and – , introducing a dead time to prevent short circuits and to improve the overall efficiency. The inverter allows us to adjust the frequency, duty cycle, and amplitude of the signal. The load consists of a precision resistor. The input real power is derived measuring the voltage, current, and phase difference by an oscilloscope and a current probe, while the output power is derived measuring the voltage drop on the load resistance for test case #1 and on for test case #2. The scheme of the measurement setup is shown in Fig. 10. The measured primary and secondary coil currents, and , and efficiency of the WPT system are also compared with numerical results exhibiting a good agreement, as shown in Table V. B. Thermal Measurements The first thermal measurement analysis performed on the WPT system is carried out in air. The temperature increase after an operating time of 30 min is measured using the precision electronic temperature sensor LM335 placed on the pacemaker case (see Fig. 11). The temperature increase distribution has also been measured by an FLIR infrared thermal

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAMPI et al.: EMF SAFETY AND THERMAL ASPECTS IN PACEMAKER EQUIPPED WITH WPT SYSTEM WORKING AT LOW FREQUENCY

7

TABLE VI CALCULATED AND MEASURED TEMPERATURE INCREASES

Fig. 11. Temperature sensor placement inside the case.

Fig. 13. Temperature measurement setup in a saline solution.

Fig. 14. Maximum temperature increase in the saline solution for test case #1.

Fig. 12. Measured temperature increase distribution in air. (a) Test case #1. (b) Test case #2.

imaging camera, as shown in Fig. 12. The measured and calculated values are reported in Table VI, where the temperature increase in test case #1 is higher than that of the test case #2 due to the lower efficiency can be seen and, consequently, to the higher primary coil current necessary to obtain the same output power . Under these conditions, a significant part of the power is dissipated on the metallic surface of the pacemaker. It should be noted that these values are measured on metallic region surfaces and therefore they are not directly referred to biological tissues. In the second thermal measurement setup the pacemaker is immersed in a saline solution to reproduce the dielectric properties of the human tissues, as shown in Fig. 13. This experiment is carried out only for test case #1 because, for test case #2, the measured temperature increase in air is lower than the 2 C admissible limit. The saline solution used for this experimental setup has the following characteristics: NaCl concentra-

tion equal to 1%, electrical conductivity S/m [26], and thermal conductivity W m C [27]. The temperature sensor has been protected from the liquid with a thin layer of insulating waterproof paint and it has been placed in contact with the surface of the pacemaker case. The initial temperature of the saline solution , as well as ambient temperature , have been settled to 25 C. After a recharging time of 30 min, a maximum temperature increase C has been measured on the pacemaker surface in contact with a saline solution. A numerical simulation using the same 2-D axial symmetric configuration described in Section IV has been performed replacing the biological tissues with the saline solution. The transient behavior of the simulated and measured temperature increase inside the saline solution during 60 min of battery recharge is reported in Fig. 14. As can be seen, the temperature stabilizes after about 40 min. Moreover, it can be observed as in the numerical simulation the temperature increase in the saline solution is slightly higher than that in biological tissues due to the absence of the body thermoregulation processes. However, the temperature increase is much lower than the 2 C limit specified for AIMD application [17]. VI. CONCLUSIONS WPT technology applied to recharge the battery of a pacemaker has been investigated using a considerable low opera-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

tional frequency (20 kHz). The usage of such a low frequency is an original aspect and permits a good magnetic field penetration through the pacemaker case reducing at the same time the EMF exposure and temperature increase risks. Different secondary coil configurations have been analyzed by numerical simulations and experiments revealing the feasibility of the proposed technology. The obtained results show that when the secondary coil is placed outside the pacemaker case the WPT performances are better than those obtained placing the secondary coil inside the pacemaker case. On the other hand, the solution with the secondary coil placed inside the pacemaker permits to adopt the traditional pacemaker design without any significant modification. The WPT performances using an internal coil configuration are not the best, but still good to recharge the battery of a pacemaker in a limited time (a few hours). The simulations and experiments have demonstrated that the proposed WPT solutions are also compliant with the EMF safety and thermal limits. Finally, the novel solution of integrating the secondary coil in the case top surface seems to be very promising, but it requires a future experimental validation.

ACKNOWLEDGMENT The authors are very grateful to Dr. X. L. Chen, St. Jude Medical, for his very useful suggestions and discussions.

REFERENCES [1] U.-M. Jow and M. Ghovanloo, “Design and optimization of printed spiral coils for efficient transcutaneous inductive power transmission,” IEEE Trans. Biomed. Circuits Syst., vol. 1, no. 3, pp. 193–202, Sep. 2007. [2] U.-M. Jow and M. Ghovanloo, “Modeling and optimization of printed spiral coils in air, saline, and muscle tissue environments,” IEEE Trans. Biomed. Circuits Syst., vol. 3, no. 5, pp. 339–347, Oct. 2009. [3] Y. Yu, H. Hao, W. Wang, and L. Li, “Simulative and experimental research on wireless power transmission technique in implantable medical device,” in IEEE Eng. Med. Biol. Soc., Minneapolis, MN, USA, Sep. 2–6, 2009, pp. 923–926. [4] T. Campi, S. Cruciani, M. Feliziani, and A. Hirata, “Wireless power transfer system applied to an active implantable medical device,” in IEEE Wireless Power Transfer Conf., Jeju Island, Korea, May 8–9, 2014, pp. 134–137. [5] T. Campi, S. Cruciani, F. Palandrani, V. De Santis, A. Hirata, and M. Feliziani, “Wireless power transfer charging system for AIMDs and pacemakers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 2, 2016, to be published. [6] C.-S. Wang, G. A. Covic, and O. H. Stielau, “Power transfer capability and bifurcation phenomena of loosely coupled inductive power transfer systems,” IEEE Trans. Ind. Electron., vol. 51, no. 1, pp. 148–157, Feb. 2004. [7] A. S. Y. Poon, S. O’Driscoll, and T. H. Meng, “Optimal frequency for wireless power transmission into dispersive tissue,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1739–1750, May 2010. [8] X. Fang, H. Liu, G. Li, Q. Shao, and H. Li, “Wireless power transfer system for capsule endoscopy based on strongly coupled magnetic resonance theory,” in Proc. IEEE Mechatron. Automat. Int. Conf., Beijing, China, Aug. 7-10, 2011, pp. 232–236. [9] M. Fu, T. Zhang, C. Ma, and X. Zhu, “Efficiency and optimal loads analysis for multiple-receiver wireless power transfer systems,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 801–812, Mar. 2015. [10] I. Laakso, T. Shimamoto, A. Hirata, and M. Feliziani, “Quasi-static approximation for exposure assessment of wireless power transfer,” IEICE Trans. Commun., vol. E98-B, no. 7, pp. 1156–1163, Jul. 2015.

[11] X. L. Chen, A. E. Umenei, N. Chavannes, V. De Santis, J. Mosig, and N. Kuster, “Human exposure to close-range wireless power transfer systems as a function of design parameters,” IEEE Trans. Electromagn. Compat., vol. 56, no. 5, pp. 1027–1034, Oct. 2014. [12] T. Campi, S. Cruciani, and M. Feliziani, “Magnetic shielding of wireless power transfer systems,” in Proc. Int. Electromagn. Compat. Symp., Tokyo, Japan, May 12–16, 2014, pp. 422–425. [13] S. Cruciani and M. Feliziani, “Mitigation of the magnetic field generated by a wireless power transfer (WPT) system without reducing the WPT efficiency,” in IEEE Int. Electromagn. Compat. Symp., Bruges, Belgium, Sep. 2–6, 2013, pp. 610–615. [14] ICNIRP, “Guidelines for limiting exposure to time-varying electric and magnetic fields for low frequencies (1 Hz–100 kHz),” Health Phys., vol. 99, pp. 818–836, 2010. [15] ICNIRP, “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, pp. 494–522, 1998. [16] Active Implantable Medical Device. Part 1: General Requirements for Safety; Marking and Information to be Provided by the Manufactures, CEN EN 45502–1, 1997. [17] Active Implantable Medical Device. Part 2–2: Particular Requirements for Active Implantable Medical Devices Intend to Treat Tachyarrhythmia (Includes Implantable Defibrillators), CEN EN 45502–2–2, 2008. [18] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Radio Equipment in the Frequency Range 9 kHz to 315 kHz for Ultra Low Power Active Medical Implants (ULP-AMI) and Accessories. Part 1: Technical Characteristics and Test Methods, ETSI EN 302 195–1, 2004. [19] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Radio Equipment in the Frequency Range 9 kHz to 315 kHz for Ultra Low Power Active Medical Implants (ULP-AMI) and Accessories. Part 2: Harmonized EN Covering Essential Requirements of Article 3.2 of the R&TTE Directive, ETSI EN 302 195–2, 2004. [20] T. Campi, S. Cruciani, G. P. Santilli, and M. Feliziani, “Numerical analysis of EMF safety and thermal aspects in a pacemaker with a wireless power transfer system,” in IEEE Wireless Power Transfer Conf., Boulder, CO, USA, May 13–15, 2015, pp. 1–4. [21] M. Fu, T. Zhang, X. Zhu, and C. Ma, “A 13.56 MHz wireless power transfer system without impedance matching networks,” in IEEE Wireless Power Transfer Conf., Perugia, Italy, May 15–16, 2013, pp. 222–225. [22] Y. Moriwaki, T. Imura, and Y. Hori, “Basic study on reduction of reflected power using DC/DC converters in wireless power transfer system via magnetic resonant coupling,” in 33rd Int. Telecommun. Energy Conf., Amsterdam, The Netherlands, Oct. 9–13, 2011, pp. 1–5. [23] P. A. Hasgall et al., “IT’IS database for thermal and electromagnetic parameters of biological tissues, version 2.6,” IT’IS, Zurich, Switzerland, Jan. 13, 2015 [Online]. Available: www.itis.ethz.ch/database [24] V. De Santis, X. L. Chen, I. Laakso, and A. Hirata, “An equivalent skin conductivity for LF magnetic field dosimetry,” Biomed. Phys. Eng. Exp., vol. 1, pp. 1–10, Jun. 2015. [25] H. H. Pennes, “Analysis of tissue and arterial blood temperature in resting forearm,” J. Appl. Phys., vol. 1, no. 2, pp. 93–122, Aug. 1948. [26] A. Stogryn, “Equations for calculating the dielectric constant of saline water,” IEEE Trans. Microw. Theory Techn., vol. MTT-19, no. 8, pp. 733–736, Aug. 1971. [27] H. Ozbek and S. L. Phillips, “Thermal conductivity of aqueous NaCl solutions from 20 C to 330 C,” OSTI, U.S. Dept. Energy, Oak Ridge, TN, USA, LBL-9086 [Online]. Available: http://www.osti.gov/scitech/ servlets/purl/6269880

Tommaso Campi, photograph and biography not available at the time of publication. Silvano Cruciani, photograph and biography not available at the time of publication. Valerio De Santis, photograph and biography not available at the time of publication. Mauro Feliziani, photograph and biography not available at the time of publication.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Coil Design and Measurements of Automotive Magnetic Resonant Wireless Charging System for High-Efficiency and Low Magnetic Field Leakage Hongseok Kim, Student Member, IEEE, Chiuk Song, Dong-Hyun Kim, Daniel H. Jung, In-Myoung Kim, Young-Il Kim, Jonghoon Kim, Senior Member, IEEE, Seungyoung Ahn, Senior Member, IEEE, and Joungho Kim, Fellow, IEEE

Abstract—For wireless charging of electric vehicle (EV) batteries, high-frequency magnetic fields are generated from magnetically coupled coils. The large air-gap between two coils may cause high leakage of magnetic fields and it may also lower the power transfer efficiency (PTE). For the first time, in this paper, we propose a new set of coil design formulas for high-efficiency and low harmonic currents and a new design procedure for low leakage of magnetic fields for high-power wireless power transfer (WPT) system. Based on the proposed design procedure, a pair of magnetically coupled coils with magnetic field shielding for a 1-kW-class golf-cart WPT system is optimized via finite-element simulation and the proposed design formulas. We built a 1-kW-class wireless EV charging system for practical measurements of the PTE, the magnetic field strength around the golf cart, and voltage/current spectrums. The fabricated system has achieved a PTE of 96% at the operating frequency of 20.15 kHz with a 156-mm air gap between the coils. At the same time, the highest magnetic field strength measured around the golf cart is 19.8 mG, which is far below the relevant electromagnetic field safety guidelines (ICNIRP 1998/2010). In addition, the third harmonic component of the measured magnetic field is 39 dB lower than the fundamental component. These practical measurement results prove the effectiveness of the proposed coil design formulas and procedure of a WPT system for high-efficiency and low magnetic field leakage. Index Terms—Electric vehicles (EVs), electromagnetic fields (EMFs), electromagnetic induction, magnetic shielding, wireless power transfer (WPT).

I. INTRODUCTION

W

IRELESS power transfer (WPT) technology has been researched for various applications, such as capsule endoscopy [1], RF identification (RFID) [2], factory automation

Manuscript received July 25, 2015; revised November 11, 2015; accepted December 11, 2015. This work was supported by the Korea Government (MSIP) under the National Research Foundation of Korea (NRF) Grant 2010-0028680 and Grant 2010-0029179. This paper is an expanded version from the IEEE MTT-S Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. H. Kim, C. Song, D.-H Kim, D. H. Jung, J. Kim, and J. Kim are with the School of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea (e-mail: [email protected]). I.-M. Kim and Y.-I. Kim are with the Enerconstech Company Ltd., Seoul 153-802, Korea (e-mail: [email protected]). S. Ahn is with the Cho Chun Sik Graduate School for Green Transportation, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305701, Korea.

Fig. 1. Schematic of a WPT system using series–series resonance topology for EV; the magnetic field leakage inevitably exists around transmitter (Tx) coil and receiver (Rx) coil due to relatively large air gap in between. Since the leakage magnetic fields may adversely affect the human body and interfere with sensitive electronic devices, it has to be minimized.

(FA) [3]–[5], and battery charger for mobile electronics [6]–[9] or electric vehicles (EVs) [10]–[13]. In particular, a large number of research on WPT using magnetic resonant coupling has recently been carried out to bring enhanced mobility to mobile electronics and EVs [14]–[22]. The fundamental principles of WPT using magnetic resonant coupling are Faraday’s law of induction and resonance between electric and magnetic energy. Utilizing WPT technology, the required power for a specific load can be transferred across relatively large air gap without any physical contact. This allows charging operation in wet and dusty environments without safety or reliability issues. It is the main advantage of WPT technology in addition to its convenience. However, as WPT technology becomes more widely used, human exposure to timevarying electromagnetic fields (EMFs) and electromagnetic interference (EMI) issues will increase due to the leakage of magnetic fields, as shown in Fig. 1. High-power WPT systems, such as an EV charger, generate relatively intense EMFs, which are subject to regulations based on concerns about human health and interference with electronic devices. Thus, the high-power WPT system should be carefully designed for efficient power transmission and electromagnetic safety. Most coil designs for the high-power WPT system found in the literature use ferrite shields to increase the power transfer efficiency (PTE) and to reduce the leakage of magnetic fields around the coils [21]–[26]. Previous investigations of the coil design method for high efficiency and low EMF of WPT system Digital Object Identifier 10.1109/TMTT.2015.2513394

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

have concentrated only on applying the magnetic and/or conductive materials or changing the shape of the shields [22], [25]–[27]. In [28] and [29], it is shown that the system characteristics, including PTE and transmitter (Tx)/receiver (Rx) currents, significantly vary by the alterations of shield structures, meaning that associated alterations of the coil winding design should be conducted to compensate the parameter variations. Nevertheless, a systematic way for optimizing the coil winding design considering efficiency, magnetic field leakage, and current harmonics related to EMF/EMI has not yet been presented. This paper aims to provide a framework for the coil winding design to achieve high-efficiency and low magnetic field leakage including harmonics for a high-power WPT system with any given shield design in a systematic manner. This paper, expanded from [30], has added contributions including the derivation of a new set of coil design formulas of the high-power WPT system for high efficiency and low magnetic field leakage, and the proposal of an associated coil winding design procedure. Based on the proposed design procedure the dimensions of the coil windings are designed to minimize the power losses and the magnetic field leakage; the number of turns of the coil windings are determined by the proposed design formulas for high efficiency, low harmonic currents, and the desired voltage transfer ratio (VTR). Due to the inherent complexity of the shielded coil design problems, caused by field shaping of shields, the hybrid design approach using 2-D finite-element method (FEM) simulation tool and the proposed design formulas are used. As a result from the proposed design procedure and formulas, a 1-kW-class wireless charging system for a golf cart is presented for practical measurements of the PTE, the magnetic field strength around the golf cart, and voltage/current spectrums. We demonstrate the measurement results to verify the validity of the simulation results and to analyze the spectral characteristics of voltages/currents of the actual high-power WPT system. The ideas presented in this paper are applicable to any high-power resonant WPT system operating at the frequency range below the several hundreds of kilohertz, where the magneto-quasi-static approximation can be applied well. II. EQUIVALENT CIRCUIT MODEL, ANALYSIS AND DESIGN CONSIDERATIONS FOR HIGH-POWER AUTOMOTIVE WPT SYSTEM A. High-Power Automotive WPT System Fig. 2 shows a simplified block diagram of a typical WPT system employing series resonance topology. At the inverter, the rectified or controlled dc input power is converted into ac power (e.g., 20 kHz in this work). In high-power WPT applications, full-bridge inverter consisting of insulated gate bipolar transistor (IGBT) or MOSFET switches is frequently used for its high-power capacity, ideally producing square wave voltage containing a large amount of harmonics, as shown in Fig. 2 [13], [24], [31]. Following the inverter are matching capacitors and magnetically coupled coils. The purpose of the matching capacitors is to make the resonance condition to increase PTE and power transfer capability by minimizing the reactance of the input impedance. A pair of magnetically coupled coils, which

Fig. 2. Block diagram of a typical high-power WPT system using only series resonance topology; for the high-power WPT system, an inverter is frequently used as the ac power source and its output voltage waveform contains a large amount of harmonics.

TABLE I DESIGN CONSTRAINTS OF 1-kW-CLASS AUTOMOTIVE WPT SYSTEM FOR GOLF CART

is of particular concern in this paper, has relatively large air gap in between, which may cause high leakage of the magnetic fields and low PTE. Due to the inevitably large air gap for the automotive WPT system, the magnetically coupled coils must be carefully designed considering the magnetic field leakage and PTE. Next to the matching capacitors and magnetically coupled coils, a rectifier is connected to convert the received ac power into dc power. For the dc load, any kind of the equipment using dc can be possible, but for an automotive WPT application, it is usually a voltage regulator followed by batteries. The design constraints of an automotive WPT system typically include operating frequency of inverter , output power needed for the charging of the battery, nominal input/output voltages, a maximum size for coils, and a vehicle ground clearance related to the air gap. An exemplary set of design constraints, which will be used for the design process presented in this paper, is given in Table I. In this paper, a 1-kW-class WPT system for a golf cart is designed and implemented. The WPT system is designed for an output voltage of 150 V, which is applied to the input terminals of the step-down dc–dc converter followed by the battery. The given output specifications, and , imply that the equivalent load resistance shown in Fig. 2 is 22.5 . For the size constraint, a maximum radius of 300 mm is assumed for both coils. This constraint is determined considering the estimated air gap of 150 mm and applying the design equation for the metal shield given in [29]. B. Linear Equivalent Circuit Model The linear equivalent circuit for analysis is shown in Fig. 3. is the ideal sinusoidal voltage source under sinusoidal approximation, whose amplitude is equal to the fundamental com-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

3

where and are the matching capacitances for the series resonances of the Tx and Rx coils. From (2), (3), and the given ac load resistance , the load voltage is given by

Fig. 3. Linear equivalent circuit for the frequency-domain analysis; is the is the ideal equivalent ac load resistance seen looking into the rectifier and sinusoidal voltage source under sinusoidal approximation, whose amplitude is equal to the fundamental component of the output voltage waveform of the inshown in Fig. 2. verter

ponent of the output voltage of the inverter shown in Fig. 2, and is the equivalent ac load resistance seen looking into the full-bridge rectifier under the assumption that the required average power is transferred by only the fundamental component of the input current of the bridge rectifier [32]. For a high voltage system, where the forward voltage of diodes can be neglected, is given as (1) The solutions of the mesh current matrix of the linear equivalent circuit are

(2) (3) where and are the ideal sinusoidal currents shown in Fig. 3, respectively, and are the self inductances of the Tx and Rx coils, is the mutual inductance between the coils, and represent the effective series resistances (ESRs) of the Tx and Rx coils, respectively, and is the operating frequency in radian. and are defined as (4) (5)

(6) For magnetic resonant coupling, the resonant frequencies of Tx and Rx networks are assumed to be equal to (7) is the resonance frequency in Hertz unit. The quality where factors at can then be defined as (8) (9) (10) (11) where and are the quality factors of the Tx and Rx coils, respectively, and are the external quality factor and the loaded quality factor of the Rx resonant circuit, respectively [33]. From (2)–(11), the general design equations can be derived. The PTE as a function of is given by (12)

where is the magnetic coupling coefficient defined in Fig. 3. The input impedance indicated in Fig. 3 and VTR can be expressed as shown in (13) and (14) at the bottom of this page. As can be seen in (13) and (14), and VTR curves can have two minima near . This characteristic is called “frequency bifurcation” or “frequency splitting,” which is discussed in Section II-C [34], [35].

(13)

(14)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

C. Coil Design Considerations and Equivalent Circuit Analysis 1) Resonance Topology: There are four basic resonance topologies: series–series (SS), series–parallel (SP), parallel–series (PS), parallel–parallel (PP) [13]. All of the four topologies have their own virtues and drawbacks, and thus the topology selection mainly depends on the type of the application. In [19] and [36], comparative analyses on aforementioned topologies are presented, concluding that the SS topology is advantageous for the EV battery-charging application. In addition to the merits of SS topology described in the previous works, the series resonance compensation of Rx-side enables us to utilize advantages of Class D current-driven rectifiers [32]. As opposed to Class D voltage-driven rectifiers having a second-order output filter, Class D current-driven rectifiers have only a capacitive first-order output filter, which results in reduced losses and volume of the Rx, especially for the high-power application. At the same time, diodes in Class D current-driven rectifiers are switched off at low , which reduces switching losses and high-current spikes by reverse recovery currents [37], [38]. Thus, as shown in Fig. 3, we adopted the SS topology. 2) PTE: The conventional approach to maximize the PTE is to find the optimal load maximizing the PTE by taking the derivative of (12) with respect to at , as described in [39]. and the maximum PTE are then given by (15) (16)

Equation (16) means that the maximum PTE is determined by the product of and the coil factors. Therefore, the quantity is commonly used for the figure-of-merit (FOM) of a WPT system using magnetic coupling, (17) The maximum PTE can only be achieved if the condition given by (15) is met. If we assume that the specific value of is given as one of the design constraints and is the variable to be determined, then with the approximation that , , and , (15) can be rewritten as (18) is the magnetic coupling coefficient at the nominal where position. The right-hand side of (18) is the same as the approximate critical coupling derived in [40], and it must be larger than in order to avoid the frequency bifurcation phenomenon responsible for the unstable power transmission and harmonic current generation [34], [41]. This means that (18) cannot be used to maximize the PTE in high-power applications employing the square wave voltage source. Nonetheless, it is important to maximize to achieve high PTE.

3) Current Harmonics: Although sinusoidal power source is desired for WPT, linear power amplifiers (PAs) like Class A, B, AB, and C are not suitable due to their inherently limited efficiency [42]. Hence, in high-power applications, it is crucial to employ one of the highly efficient switch-mode PA (i.e., resonant inverter) topologies, such as Class D, E, or DE [9], [42]–[44]. These inverters, however, are inherently nonlinear and produce nonsinusoidal voltage waveforms across the resonance tank with the load, which can cause significant harmonic distortion of currents. In addition, Class D rectifiers mentioned in Section II-C1 also have the nonlinearity. Since even a small amount of the harmonic current can interfere with the adjacent sensitive electronic devices or radio signal reception due to the large air gap, as shown in Fig. 1, the harmonic distortion of the currents has to be minimized. The basic assumption we applied in Section II-A is that the required power is transferred only by the fundamental component of the input current of the rectifier . In case of Class D bridge rectifiers used in this work, a requirement for this fundamental frequency approximation is represented as follows [45]: (19) By satisfying the above condition, the current harmonics by the nonlinearity of a bridge rectifier can markedly be reduced. Thus, in this paper, (19) is used as a design requirement for low harmonics of . In cases of Class D, E, and DE resonant inverters, on the other hand, the same requirement as (19) cannot simply be applied due to the frequency bifurcation/splitting phenomenon in [34], [40], [41]. The general design equation for was derived in Section II-A. From Fig. 4(a) and (b), graphing some typical cases using (13), it is found that the shapes of magnitude and phase curves of with respect to are dependent on . Also, there are two minima in the curve and three zero phase angles (ZPAs) in the curve, when is larger than . Note that there are also two minima in the curve, even when is equal to . When is much less than , there is only a single minimum. In this case, the difference between the magnitudes of at and its harmonic frequencies are maximized, which leads to the minimized harmonic currents in comparison with other cases. Thus, to reduce the harmonic distortion of , caused by the nonlinearity of inverters and the frequency splitting phenomenon in the curve, should be much less than , (20)

To have a definite upper bound to achieve low current harmonics of , we derived the approximate equation for the splitting coupling coefficient as (21) whose derivation and additional explanation are given in the Appendix.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

Fig. 4. (a) Magnitude curves of input impedance (b) phase angle curves of input impedance

depending on depending on .

and

From (20) and (21), it is found that is roughly 30% less than . In addition, from Fig. 4(a) and (b), it is found that the near are less sensitive to the variation of frequency than other cases. Thus, in this paper, is used as a design requirement for low harmonics of , (22) It should be noted that both (19) and (22) include , and again is a function of . Thus, by combining (19) and (22), the range of to meet (19) and (22) simultaneously can be found as (23) Also, the maximum value of for (23) to be valid can be found by equating two terms of the inequality in (23) as (24) limits the maximum horizontal size of the coil windings under a given vertical air gap. If is exceeded by the oversized coils for the given air gap, the amount of the current harmonics increases. Moreover, the magnetic field levels at the fixed measuring positions also increases, as shown in [28], since the distance between the field measuring positions and the coil windings through which the currents flow becomes closer. Thus, coil windings should be designed to have the magnetic coupling coefficient lower than . 4) Switching Losses: Turn-on and turn-off switching operations of power semiconductor such as IGBT or MOSFET

5

increase the power losses. There are various kinds of soft switching techniques that can be employed to achieve lower switching losses than those of hard switching: zero current switching (ZCS) and zero voltage switching (ZVS). The necessary condition for soft switching is that the power factor is either leading for ZCS or lagging for ZVS [46] (i.e., leads for ZCS and lags for ZVS). In terms of power capacity, IGBT is generally preferable to MOSFET, and the turn-on loss of IGBTs is usually higher than the turn-off loss [46]. Reference [46] shows that ZVS operation is the most effective in the reduction of IGBT switching losses. For ZVS operation, seen by the inverter must be a positive value (i.e., inductive load condition). Fig. 4(b) shows some typical cases of . It shows that the curve shapes of are also dependent on , and there can be three ZPAs when . In this case, the special variable frequency control strategy is needed to operate the inverter under ZVS condition [31]. On the other hand, there is only a single ZPA, and the phase angle above is always positive, as long as . Note that this condition will be automatically satisfied if (23) and (24) are satisfied. In this case, ZVS operation is always possible at any frequency slightly above without variable frequency controller. 5) VTR: All of the circuit components, including semiconductor switches such as IGBT and diode, have their own voltage and current ratings. Thus, the VTR defined in (14) must be considered to meet the ratings of the circuit components and operate a high-power WPT system safely. Substituting (22) for in (14) and applying the approximations and , the magnitude of VTR at is given by (25) and then, the design formula to determine

is given by (26)

is determined by (23). where 6) Magnetic Field Shielding: The magnetic field shielding is generally defined as the isolation from the external magnetic fields using the closed structure with very high magnetic permeability like metal alloys such as Permalloy and Mu-metal. In a WPT system, however, it is impossible to utilize any completely closed shielding structure to encapsulate the magnetically coupled coils because the Tx and Rx coils must be separated physically. Thus, as an alternative, the passive shielding such as the adjacent ferrite and/or conductive backing plates is frequently used [24], [25], [27]. A ferrite with high permeability and low magnetic loss tangent is generally useful in enhancement of the magnetic coupling and consequently leads to high PTE and low EMF/EMI. However, if a ferrite shield is not appropriately designed, magnetic loss and saturation can degrade the system performance. In addition, a metal shield should also be utilized with caution because the conductive shield near the coil can decrease the inductance and increase ohmic loss due to induced eddy current with additional inductance [47]. Aluminum, which is nonmagnetic and lighter than copper, is preferred as a metal shield

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

in automotive WPT applications. One can refer to [27]–[29] for designing the shields in automotive WPT application. III. PROPOSED COIL DESIGN PROCEDURE FOR HIGH EFFICIENCY AND LOW MAGNETIC FIELD LEAKAGE INCLUDING HARMONICS In the previous analysis, it is shown that the determines the maximum PTE of WPT systems. Hence, for high efficiency, the coil design should be optimized with respect to . In addition, this coil design should also satisfy all of the proposed design formulas: (23), (24), and (26). However, for the coil design that include a magnetic shielding structure, the analytical calculations of the circuital parameters are hardly possible. This means that a large number of simulations using an EMF solver will be required to find an optimal coil design because all of , , , and is dependent on the coil design. To resolve this issue, the explicit formulation of the coil impedances in terms of the number of turns can be utilized. Conventionally, the inductances can be expressed in terms of the number of turns straightforwardly as follows [19], [48]: (27) (28) (29) where and are the number of turns of the Tx coil and Rx coil, respectively, and , , and are the single-turn equivalent inductances determined irrespective of the number of turns, implying that is independent of the number of turns. For the coil quality factor , the constant- assumption can be used to express the coil ESRs in terms of the number of turns [32], (30) (31) and are the single-turn equivalent resistances where of the Tx and Rx coils, which are approximately independent of the number of coil turns under the assumption that there are negligible power dissipation from skin and proximity effects inside coil windings, and no displacement current between winding turns. A. Proposed Design Formulas for High-Efficiency and Low Magnetic Field Leakage Including Harmonics By using the single-turn equivalent impedances, , , and can be calculated only from the coil geometry and material properties. From (12), is given by (32) If we define the minimum value of to achieve the PTE over a specific desired value, (32) becomes a two-variable function with respect to and that can be handled graphically. Fig. 5 is the contours of PTE for and . The shaded regions in the contours mean that

Fig. 5. Contour maps of the PTE . (a) and (b) . The shaded rectangular regions represent the PTE over 95% with the minimum and . boundaries of

is greater than or equal to 95%, which is used as the target value of the PTE in this work. The higher extends the range of achieving the PTE over 95%. It should be noted that the range of remains almost the same. Thus, by these graphical investigation, the minimum bounds of the FOM, , and for the PTE over 95% can be defined as (33) (34) (35) where is subject to (24), and (35) includes (19). It should be reminded that related to (23) limits the current harmonics and the magnetic field levels at the fixed measuring position in the conditions where the air gap and shield design are given. Thus, satisfying the proposed design criteria (33), (34), and (24), we can easily find a coil geometry with the PTE over 95% and low magnetic field leakage including harmonics, irrespective of the number of turns of the coil windings. B. Proposed Design Formulas for the Number of Turns Substituting (28) into (23) and solving for formula for is given as

, the design

(36)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

7

Fig. 6. Proposed coil design procedure for estimating the optimal values of the coil winding design variables including outer and inner radii, thickness, and and ). number of turns (

It should be noted that (36) is independent of the number of turns of the Tx coil . Substituting (27) and (28) into (26) and solving for , the design equation for is given as (37)

C. Proposed Coil Design Procedure Fig. 6 depicts the proposed design procedure using both the FEM simulation tool (ANSYS Maxwell) and the proposed design formulas. To start the design procedure, the initial coil geometry and material properties are needed (Fig. 7). In this paper, the dimensions and material properties of the ferrite and metal shields are considered as the given constants. Additionally, the geometries of the Tx coil and Rx coil are assumed to be identical in this paper. Using the initial coil model with the single-turn coil windings, FEM simulations are conducted to estimate the optimal outer radius of the coil windings maximizing as the first step of design process. After finding the optimal , the inner radius of coil windings will be decreased from the optimal toward the center of the coils to estimate the optimal value meeting (24), (33), and (34). After that, the thickness of the coil windings is determined in the same way. With the optimal coil geometry and the associated electrical parameters from the FEM simulation result, the number of turns of the Rx coil is determined using (36) to minimize the current harmonics caused by the inverter and rectifier. The number of turns of the Tx coil for the desired VTR will then be determined using (37). The magnitudes and phases of the currents and are calculated to investigate the magnetic field distribution using FEM simulation.

Fig. 7. Top view and side view of the initial design, which is axisymmetric and circular. The dimensions and properties of the ferrite and metal shields are fixed throughout this work. Only the design parameters of the coil windings are optimized in this work. For the first step of the design procedure, the width and are set to be 10 mm, respectively. thickness of the coil windings and

D. FEM Model of Initial Design The drawing of the initial shield structure is shown in Fig. 7. The relative permeability and the magnetic loss tangent of the ferrite shield, which is 4 mm thick, were chosen as 3200 and 0.006, respectively, as given in the data sheet for PL-13 ferrite tile from SAMWHA Electronics[49]. The large relative permeability results in enhanced magnetic flux guiding, and the small magnetic loss tangent means low energy loss in the ferrite. Aluminum is used as an additional shielding layer since it is lighter than copper and has moderate electrical conductivity. In addition, it is categorized as paramagnetic material (i.e., its permeability is almost equal to the vacuum permeability ) [50]. The aluminum alloy 1050A used in this study is 99.5% pure aluminum with conductivity of 33.9 MS/m [51]. As a result, its skin depth at kHz is calculated as mm. Considering it, the thickness of the aluminum shield is chosen as 1 mm, which is approximately . The ferrite and the aluminum shields are arranged with vertical space of 5 mm in between to reduce the eddy-current loss in the aluminum shield. For the coil windings, the skin and proximity effects inside the copper conductors are neglected by using the stranded wire

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 8. Contour plot of for finding an optimal of the coil windings; clearly, there is the optimal region for the outer radii of Tx and Rx coil windings . and the radius of 155 mm is chosen as the optimal value of

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Contour plot of for finding the optimal of the coil windings; continuously increases as the inner radius decreases.

model representing ideal Litz wire [52]. The displacement currents inside and between the turns of the coil windings are also neglected. These assumptions are reasonable, because the operating frequency is relatively low. Thus, the loss quantities considered in FEM simulation are the hysteresis loss of the ferrite, Joule loss of the coil windings with the area efficiency of 0.3, which is defined as the ratio of the total conducting area over the cross section of the coil winding [53]. The area efficiency modeling the fill factor of wire prevents the ESRs of the coil windings and from being underestimated. E. Optimization of the Coil Winding Geometry Using FEM Simulation The first stage of the coil design procedure is to estimate an optimal value of maximizing defined as (33). At this stage, the width and the thickness of the coil windings are both 10 mm. The result obtained from the parametric sweep is presented in Fig. 8 as a contour plot. From Fig. 8, it is found that there is an optimal value of maximizing , and it is 155 mm. However, the design criterion for the PTE over 95% is not satisfied yet. The second stage of the design procedure is to find an optimal value of maximizing . The result from the parametric sweep of is presented in Fig. 9 as a contour plot. There is no optimal value, but the continuously increases as decreases. Thus, should be used to determine the optimal value of instead, as shown in Fig. 10. Using the optimal ratio of 0.5, is chosen as 77 mm. Thus, of the coil windings becomes 78 mm. The last stage is to find an optimal value of the thickness of the coil windings . is only increased in the upward direction from the initial thickness of 10 mm since the vehicle ground clearance is fixed. The simulation results are presented in Fig. 11 as a contour plot. continuously increases as increases. To maximize , is chosen as its maximum value, 18 mm. By determining , , and of the coil windings, the physical design processes of the coils are finished and the results

Fig. 10. Coupling coefficient as a function of with the optimal mm; clearly, there is an optimal value of maximizing , and the ratio to is about 0.5 below which gradually decreases. of

Fig. 11. Contour plot of continuously increases as

for finding the optimal coil winding thickness; increases.

are shown in Fig. 12. The important parameters from the coil winding geometry design are tabulated in Table II. It should be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

9

TABLE III CIRCUITAL PARAMETERS OF DESIGNED COILS

Fig. 12. Coil design results using the proposed design procedure and formulas; the air gap between the Tx and Rx coils is 156 mm.

TABLE II PHYSICAL DESIGN RESULTS OF THE COIL WINDINGS

noted that is less than defined in (24), and and meet the high PTE criteria defined as (33) and (34). Thus, the high PTE over 95% and low leakage magnetic field including harmonics can be achieved if is determined by (36).

Fig. 13. (a) Simulated curves of and of the designed coil. (b) Simulated magnetic flux density (rms values) along the line located midway between the Tx and Rx coils with the air gap of 156 mm in between.

F. Determination of the Number of Turns Using Proposed Design Formulas

PTE at

Using (36) and (37), and can be determined. Substituting the quantities presented in Table II, kHz and into (36), is calculated as 40 turns. However, is slightly modified as 39 turns since the 40-turn winding with the dimensions given in Fig. 12 cannot be implemented using the commercially available Litz wires. After is determined, (37) is used for the determination of . Considering as the desired VTR, is calculated as 39 turns. The designed values of the circuital parameters are tabulated in Table III. The capacitances for the magnetic field resonance are calculated using (7). Additionally, 20 m is assumed for the ESR of each capacitor, and added to the ESRs of the coils, 70 m . G. Coil Design Results The coil geometry designed using the proposed design procedure is presented in Fig. 12. Both and determined by the proposed design formulas are 39 turns. The calculated

is 98.4%. Fig. 13(a) shows the simulated curves of and . There is only a single minimum in the curve as intended, and the calculated is 8.3 . is calculated as 1.5. Additionally, the peak magnitudes of and to transfer 1-kW average power to the load are calculated as 15.69 and 10.47 A, respectively. These peak magnitudes of the coil currents are used to estimate the magnetic field distribution using FEM simulation, the result of which is shown in Fig. 13(b). The human exposure limits published by the International Commission on Non-Ionizing Radiation Protection (ICNIRP) are also indicated by dotted lines for a comparison [54], [55]. More strict human exposure limit, 62.5 mG, is observed at a distance of 585 mm from the center of the coils. H. Comparative Analysis on Effectiveness of the Proposed Design Formulas for Low Current Harmonics To verify the effectiveness of the proposed design formulas for low current harmonics presented in (23) and (24), some other

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE IV COMPARATIVE ANALYSIS ON EFFECTIVENESS OF THE PROPOSED DESIGN FORMULAS FOR LOW CURRENT HARMONICS

cases violating the design formulas are investigated using circuit simulation in this section. As shown in Table IV, three different cases are chosen to be compared with the optimum coil design determined by the proposed design formulas. Design A and Design B only violate the design guideline for presented in (23), while Design C fails to satisfy (23) by violating the design guideline for presented in (24). Specifically, Design A only violates the requirement for sinusoidal approximation of given in (19), and Design B and Design C violates the requirement for fundamental frequency approximation of given in (20). All four different cases including the optimum design use the coil geometry presented in Fig. 12, and have the same VTR as shown in Table IV. As a measure of the harmonic content of the coil currents and , the total harmonic distortion (THD) is very effective, whose definition is as follows [56]: (38) where and are the root mean square (rms) values of the fundamental component and the th harmonic component of a current waveform, respectively, and is the rms value of the current waveform. The circuit model shown in Fig. 2 is used for circuit simulation, with the inverter replaced by an ideal rectangular pulse voltage source kHz . In Fig. 14, the current waveforms of all four different cases are presented, and the corresponding THD values are tabulated in Table IV. In case of Design A only violating (19), THD of increases by 7.9% to 11.1% in comparison to THD of the optimum design, while THD of drops slightly. In case of Design B only violating (20), however, THD of increases by 3.4% to 5.2%, compared to THD of in the optimum design, while THD of decreases only by 1.4%. These results imply that the current harmonic distortion by the rectifier is more significant than that by the inverter, particularly in the loosely coupled resonant

Fig. 14. Comparison of the simulated waveforms of the currents and depending on the coil design; for clarity, the waveforms are arranged into two design and Design A. (b) Compargraphs. (a) Comparison between ison between Design B and Design C.

WPT system (i.e., ). It should be noted that Design B is the extreme case to investigate the effect of the nonlinearity of the inverter on the current harmonics. Design C is the case in which , and has the same value as the optimum design. In this case, as shown in Fig. 14(b) and the corresponding THD values in Table IV, it is unsuitable to operate the WPT system in the resonance mode due to the considerable distortion of by the frequency-splitting phenomenon and the square-wave harmonics from the inverter. Thus, to reduce the current harmonics and increase the transferable power, tightly coupled WPT systems like Design C should employ a harmonic filter, variable frequency control scheme [31], [34], and/or resonance frequency detuning technique [57]. In summary, the presented comparative analysis verifies the effectiveness of the proposed coil design formulas for low current harmonics. IV. MEASUREMENT RESULTS OF THE OPTIMIZED COIL DESIGN FOR HIGH-POWER AUTOMOTIVE CHARGING A. Measurements of the Coil Impedance and Resonance The coil design by the proposed design procedure was implemented and arranged with an air gap of 156 mm between the Tx and Rx coils, as shown in Fig. 15. Considering the skin depth at the operating frequency of 20 kHz, we chose the Litz wire with 1000 strands of the American-Wire-Gauge (AWG) 36 wire and 0.6-mm-thick Teflon insulation for the coil windings. Each ferrite shield (490 mmR 4 mmT) is composed of PL-13 ferrite tiles from SAMWHA Electronics. The aluminum

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

11

Fig. 15. Implementation of the optimized coils designed to transmit 1 kW across an air gap of 156 mm at 20 kHz; coil windings are made from the copper Litz wire with 1000 strands of 0.127-mm diameter, ferrite shields made from Mn–Zn ferrite (SAMWHA PL-13) [49], and aluminum shield made from aluminum alloy 1050A [51].

TABLE V COMPARISON OF MEASURED AND SIMULATED CIRCUITAL PARAMETERS

shield (590 mmR 1 mmT) is added with an air gap of 5 mm from the ferrite shield. For the magnetic field resonance at the frequency of 20 kHz, the metallized polypropylene film capacitors are used due to their useful electrical characteristics (e.g., low ESR, high current carrying capacity, and high breakdown voltage). Table V shows the measured circuital parameters and the simulated values obtained from the FEM simulation. For measurements, an Agilent 4294A impedance analyzer and an Agilent 16089D probe are used. The calculation of errors relative to the measured values are presented inside the parentheses in Table V. The calculated from the measured parameters is 15 322, which meets the condition for the PTE over 95%. Additionally, (19) is satisfied as long as is less than 21.6 . All in all, the measured parameters are well matched with the simulation results. One of the reasons for some discrepancy is the proximity effect in the coil windings neglected in the FEM simulation. Another reason is that the physical dimensions and material properties of the fabricated coils are not perfectly identical to those of the designed model. Fig. 16 shows the measurement and simulation results of the impedance magnitudes for each of the coils tuned with the series-resonant capacitor to the resonance frequency of 20 kHz. The measured impedance curves are in good agreement with the simulated curves up to the self resonance frequencies of

Fig. 16. Comparison between the simulation and measurement results of the impedance magnitudes; each of the coils is tuned with the series-resonant capacitors to the resonant frequency of 20 kHz. (a) Measurement-based model including the parasitic capacitances of the coils and the ESRs of the series-resonant capacitors and interconnection lines. (b) Magnitude of Tx impedance across A and B indicated in (a). (c) Magnitude of Rx impedance across C and D indicated in (a).

the coils. In Fig. 16(a), a measurement-based coil model is presented with the circuital parameter values extracted from the measured impedances. From the circuit simulation using this measurement-based coil model, and VTR curves of the implemented coils can be estimated as shown in Fig. 17. All in all, the simulated curves using the measurement-based coil model are well matched with the predicted curves using the circuital parameters presented in Table III. B. Magnetic Field Leakage Measurement and Comparison The leakage magnetic fields were measured using a Narda EHP-200A electric and magnetic field analyzer along the measurement line shown in Fig. 18(a), while transferring the average power of 1 kW to an NHR 4760-3 dc electric load in constant resistance mode . The discrepancy between the simulated and measured rms values mainly originates from the uncertainty regarding the measuring positions and from the surrounding materials, which are omitted in the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17. Simulated curves of and using the measurementbased coil model and the equivalent circuit having the parameter values presented in Fig. 3 and Table III.

Fig. 19. (a) Golf cart equipped with the implemented 1-kW-class wireless charging system; its rated charging power is 960 W (48-V/20A lead-acid battery). (b) Block diagram of the implemented 1-kW-class wireless charging system.

Fig. 18. Measurement of leakage magnetic field strength. (a) Measurement setup and (b) comparison of measurement results with the simulation results; the rms magnitudes of the fundamental component of magnetic flux density are measured along the measurement line.

simulation for simplicity. Nonetheless, the difference between the simulated and measured results shown in Fig. 18(b) is 10% at most, meaning that the coils designed by the proposed design procedure perform as expected in practice. C. Implementation of 1 kW-Class Automotive Wireless Charging System For the more practical testing, we built a 1-kW-class wireless charging system for a golf cart, as shown in Fig. 19. The golf cart is electrically powered by the 48 V/20A lead-acid battery. Thus, the rated charging power is 960 W. Fig. 19(b) shows the simplified block diagram of the implemented wireless charging system. The Tx includes a full-bridge rectifier, a dc–dc converter, and a full-bridge inverter. The shape of the generated

output voltage is almost square wave. The amplitude of can be controlled by adjusting the duty ratio of the dc–dc converter in the Tx [58]. The Rx is composed of a full-bridge rectifier and a dc–dc converter to implement the constant current and constant voltage (CCCV) charging algorithm. The voltage/current waveforms and power measurements were carried out using a Tektronix oscilloscope DPO7254, a differential probe P5210, and a current probe TCP303 with an amplifier TCPA300 in the condition that the state of charge of the battery is approximately 50%. The measured load voltage and the load current shown in Fig. 20(a) are 52.2 V and 20 A, respectively, and the calculated average power is 1.04 kW. There are small ripples on the voltage and current waveforms with the frequency of 20 kHz, which is the pulse-width modulation (PWM) control frequency of the dc–dc converter. Fig. 20(b) shows the voltage and current waveforms measured at the output terminals of the inverter and at the input terminals of the on-board Rx. The measured operating frequency is 20.15 kHz and it is in the inductive region of the input impedance since the current lags the voltage by 12.96 . For the Rx voltage and current, the current is almost in phase with the voltage since the rectifier is turned

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

13

Fig. 20. (a) Battery charging voltage and current and (b) output voltage/current waveforms of the inverter and input voltage/current of the on-board Rx; the PTE of 96% is measured while charging the golf-cart battery.

on by the current. The measured input and output average powers are 1.179 and 1.132 kW, respectively. Although the metallic parts of the vehicle body and the surrounding materials may affect the electrical characteristics of the coils, the PTE is measured to be 96% under a slightly higher load (the estimated ) than the rated load 18.24 . D. Leakage Magnetic Field Measurements Near the Golf Cart Fig. 21 shows the measured magnetic field strengths around the golf cart. Measurements were taken using a Narda EHP-200A electric and magnetic field analyzer, as shown in Fig. 21(a) and (b). The distance between the center of the field probe and the outermost side edge of the golf cart is 200 mm, and the field probe is elevated by 150 mm from the floor. Measurements were conducted by recording the highest rms values during the measuring time of 360 s for every measuring position while the average power of approximately 1.14 kW was being transferred to the on-board regulator over the 156-mm air gap. All of the measuring positions and the measured rms levels are indicated in Fig. 21(c). The highest magnetic field strength of 19.8 mG is observed near the driver side, and the spectrum measured at this position designated by the letter A is shown in Fig. 21(d) with the measured ambient noise spectrum. The rms magnitude of the magnetic field at third harmonic frequency measures 0.22 mG. Thus, its ratio to the rms value at the fundamental frequency in dB scale is calculated as approximately 39 dB despite a relatively large amount of harmonics of shown in Section IV-E. The reason is that the phase difference between and , shown in Fig. 2, is almost zero at the third harmonic frequency so that the magnetic fields from Tx and Rx coils are partially canceled. It should be noted that the dot convention used in Fig. 2 implies that the magnetic fields generated from and have different directions 180 .

Fig. 21. Measurement of the leakage magnetic fields around the golf cart. (a) Measurement at 200 mm away from the left front wheel. (b) 200 mm away from the left-side midpoint of the vehicle. (c) Measurement results of leakage magnetic field strengths around the golf cart. The highest rms value of the fundamental component measures 19.8 mG at the position A. (d) Measured magnetic field spectrum at position A.

E. Harmonic Analysis of Voltage and Current Waveforms Figs. 22 and 23 show the fast Fourier transform (FFT) results of the measured and simulated waveforms of Tx voltage/current and Rx voltage/current. Tx voltage spectrum shown in Fig. 22(a), which rolls off at the rate of 20 dB/decade, has some even harmonics and a valley near 10 MHz. As shown in Fig. 20(b), the inverter output voltage is close to a square wave, which ideally falls off at the rate of 20 dB/decade and contains only the odd harmonics of the fundamental component. The even harmonics mainly arise due to the imbalance of the inverter bridge, such as the different lengths of the current paths (e.g., busbar and semiconductor module) bearing the different magnitudes of the parasitic inductance. Mathematically, the waveform containing the even harmonics does not have the half-wave symmetry. The valley near the 10 MHz can be caused by the parasitic inductances of the metal traces within the IGBT module/printed circuit board (PCB) and the parasitic

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

Fig. 22. FFT results of the measured/simulated Tx voltage and current waveforms of the 1-kW-class automotive wireless charging system. (a) Tx voltage spectrum. (b) Tx current spectrum.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

that also contains some amount of harmonics that rolls off at the rate of 40 dB/decade. It should be noted that the magnitudes of the harmonics are nearly equal beyond several hundred kHz. The reason is that the parasitic capacitances of the Tx coil make the parallel self-resonance near 300 kHz, beyond which the magnitude of impedance seen by the Tx voltage decreases, and then the series resonance occurs, as shown in Fig. 22(b). Fig. 23(a) shows the FFT results of the measured and simulated waveforms of Rx voltage. Rx voltage spectrum has highly similar characteristics to the Tx voltage spectrum. The change of the decreasing rate to 40 dB/decade is related to the rising and falling times of the diodes. The Rx current spectrum shown in Fig. 23(a) contains a larger amount of odd harmonics than the spectrum of below 300 kHz. It should also be noted that the magnitudes of harmonics become nearly equal just after the parallel self-resonance peak of the Rx impedance, and suddenly increase around 6 MHz exactly corresponding to the series self-resonance peak of the Rx impedance. The direct correlation between the impedance and the current spectrum depicts the importance of the coil design by which the unintentional resonances are determined. The THD value calculated from the spectrum of the measured is roughly 1.5%, which is slightly lower than that from the simulated one shown in Table IV. This is because the estimated value of in this case is about 0.227, which is slightly lager than the measured value of shown in Table V. On the other hand, the THD value calculated from the spectrum of the measured is roughly 4.8%, which is 1.6% larger than that from the simulated one shown in Table IV. The low-order harmonics of the Rx current is closely related to defined in (10). To keep the amount of harmonics of as low as possible, high should be maintained as described in Section III-C. In this case, the estimated value of is about 3.2, which violate the condition for low current harmonics of defined in (19). From the presented harmonic analysis of the measured current waveforms, it is found that the implemented 1-kW-class automotive wireless charging system satisfies the inequality (20) for low current harmonics of , but violates the inequality (19) for low current harmonics of due to the slightly higher load than the rated load . This case is close to the optimum design condition, but similar to Design A presented in Table IV only violating the inequality (19). Nonetheless, the THD values calculated from the measured current waveforms are less than 5%, and these can be further reduced by lowering the load voltage and satisfying the design formulas (23) including both (19) and (22). F. Discussions

Fig. 23. FFT results of the measured/simulated Rx voltage and current waveforms of the 1 kW-class automotive wireless charging system. (a) Rx voltage spectrum. (b) Rx current spectrum.

capacitances existing between the IGBT module and the heat sink. The time-domain waveform of shown in Fig. 20(b) has the close-to-sinusoidal shape. However, as shown in Fig. 22(b), the FFT results of the measured and simulated waveforms show

In this paper, we proposed and demonstrated a coil winding design with high efficiency and low magnetic field leakage including harmonics for a high-power automotive WPT system using magnetic resonant coupling. However, the magnetic field leakage cannot be completely eliminated in a WPT system due to relatively large air gap between the coils. A WPT system transferring several tens of kW power may fail to meet the EMF/EMI regulations. Thus, possible ways to further reduce the magnetic field leakage must be explored.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

The source of the magnetic field is the currents flowing through the coil windings. For reduction of the magnetic field leakage, the currents must be reduced. The magnitude of the Tx current as a function of load power is given by (39) where and are the functions of the coil geometry and material properties. The above equation illustrates that for any given coil design and load power, the Tx current can be reduced by using a higher frequency. However, there may be a limit in increasing the operating frequency mainly due to the power rating of the semiconductor switching devices. In addition, the losses in the semiconductor switches and the shields are frequency dependent. The parasitic capacitances also limit the increase in the operating frequency by the self-resonance of the coils. Thus, an additional study is required to find the optimal operating frequency under given constraints including the required load power. It should be noted that if a coil design can be changed, a smaller design can be achieved using a higher frequency, which also reduces the magnetic field strength at the fixed observation points, as shown in [28]. Another possible way to avoid the incompliance with the EMF/EMI regulations is to use higher order harmonics generated by the inverter to transfer power, where each harmonic delivers a certain percentage of the power to the Rx [59]. This can be achieved by using multi-resonant tanks at the Tx and Rx to amplify and extract the power at multiple frequencies. With this multiplex transmission, EMF/EMI regulations may be easily met by spreading the transferred power over the spectrum of frequencies. However, as far as human exposure to magnetic fields is concerned, there may be simultaneous exposure to magnetic fields of different frequencies from the WPT system using multiple frequencies and these exposures may be additive in their effects. In this case, the following criterion regarding reference levels of magnetic field strengths should be applied [55]: (40) where is the magnetic field strength at frequency ; is the magnetic field strength reference level at frequency . Generally, the required level of the Tx current to transfer a specific power to a load is reduced at a higher frequency. However, the reference levels of magnetic fields are also frequency dependent in certain frequency range. Thus, the use of the multiplex WPT technique does not ensure the compliance with EMF regulations. The last measure for further reduction of the magnetic field leakage is the usage of separate shields, such as metal brush, metal wall, and active/passive loop shield [20], [60]. Among the separate shields, a resonant reactive loop shield using resonance is recently proposed and shows significant reduction of the leakage magnetic fields with negligible degradation of the PTE, when installed sufficiently far away from the magnetically coupled coils [60]. In [60], a single resonance is used to suppress the leakage magnetic field at the resonance frequency,

15

but multiple resonances can also be used to suppress the leakage magnetic fields at the harmonic frequencies as well as the fundamental frequency. Despite its effectiveness, the use of these separate shields should be minimized to avoid the additional cost. V. CONCLUSION In this paper, we proposed a new set of the coil design formulas with a new design procedure for increased PTE and reduced leakage magnetic field including harmonics for a highpower automotive WPT system, while keeping the given magnetic shielding structure. To demonstrate the effectiveness of the proposed design formulas and procedure, we optimized and designed the coil windings for 1-kW-class wireless EV charging system. For a design verification, we implemented the optimized coil design. The measured impedances and leakage magnetic fields of the implemented coils are in good agreement with the predicted values. We also built a 1-kW-class wireless charging system for a golf cart with the optimized design for practical measurements and analysis. Even with the close proximity of the vehicle metallic body, the PTE of 96% is achieved with the WPT system for transmission of 1 kW at 20.15 kHz. Also, the leakage magnetic fields around the golf cart are investigated via measurements, and the highest rms value of the fundamental component of the measured magnetic field is 19.8 mG, which is far below the relevant EMF guidelines (ICNIRP 1998/2010). The third harmonic component of the measured magnetic field is 39 dB lower than the fundamental component. Lastly, we first conducted the harmonic analysis of the voltage and current waveforms from the 1-kW-class wireless EV charging system, in which the characteristics of the voltage and current harmonics and the relation between the current harmonics and the coil impedances tuned for the resonance are highlighted. The THD values calculated from the measured current waveforms are less than 5%. These practical measurement results prove the effectiveness of the proposed coil design formulas and procedure for high-efficiency and low leakage magnetic field of a high-power automotive WPT system. The EMF/EMI regulatory limits will be the key limiting factors on the power level of future automotive WPT systems. EMF/EMI shielding/filtering methods and dynamic control strategies for the power and frequency are the future challenges in WPT to meet the EMF/EMI regulatory limits. APPENDIX DERIVATION OF SPLITTING COUPLING COEFFICIENT It is common to introduce the fractional deviation for locating the minima, which is defined by (41) When is near , the absolute value of is very small and it can be assumed that is very small for wireless EV charging application (e.g., ). Additionally, the following assumption can be applied for simplicity: (42)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Substituting (41) into (13) and using (42), imated as

is approx-

(43) Taking the derivative with respect to tive positions of the minima,

and finding the rela-

(44) Equating the two roots and solving for

yields (45)

If is smaller than , then there is only a single minimum and a single ZPA. Otherwise, there are two minima, as shown in Fig. 4(a). Applying the approximations and to (45) leads to (46)

ACKNOWLEDGMENT The authors would like to acknowledge the technical support of ANSYS Korea (ANSYS Inc.). REFERENCES [1] N. Donaldson and T. Perkins, “Analysis of resonant coupled coils in the design of radio frequency transcutaneous links,” Med. Biol. Eng. Comput. vol. 21, no. 5, pp. 612–627, 1983 [Online]. Available: http:// dx.doi.org/10.1007/BF02442388 [2] C. Roberts, “Radio frequency identification (RFID),” Comput. Security vol. 25, no. 1, pp. 18–26, 2006 [Online]. Available: http://www.sciencedirect.com/science/article/pii/S016740480500204X [3] Y. Hiraga, J. Hirai, Y. Kaku, Y. Nitta, A. Kawamura, and K. Ishioka, “Decentralized control of machines with the use of inductive transmission of power and signal,” in IEEE IA-S Annu. Meeting Conf. Rec., Oct. 1994, vol. 2, pp. 875–881. [4] K. Klontz, D. Divan, D. Novotny, and R. Lorenz, “Contactless power delivery system for mining applications,” IEEE Trans. Ind. Appl., vol. 31, no. 1, pp. 27–35, Jan. 1995. [5] D. Kacprzak, G. Covic, and J. T. Boys, “An improved magnetic design for inductively coupled power transfer system pickups,” in IEEE Power Eng. Conf., 2005, vol. 2, pp. 1133–1136. [6] H. Sakamoto and K. Harada, “A novel circuit for non-contact charging through electro-magnetic coupling,” in 23rd Annu. IEEE Power Electron. Specialists Conf. Rec., Jun. 1992, vol. 1, pp. 168–174. [7] C.-G. Kim, D.-H. Seo, J.-S. You, J.-H. Park, and B. Cho, “Design of a contactless battery charger for cellular phone,” IEEE Trans. Ind. Electron., vol. 48, no. 6, pp. 1238–1247, Dec. 2001. [8] X. Liu and S. Hui, “Equivalent circuit modeling of a multilayer planar winding array structure for use in a universal contactless battery charging platform,” IEEE Trans. Power Electron., vol. 22, no. 1, pp. 21–29, Jan. 2007. [9] Z. N. Low, R. Chinga, R. Tseng, and J. Lin, “Design and test of a highpower high-efficiency loosely coupled planar wireless power transfer system,” IEEE Trans. Ind. Electron., vol. 56, no. 5, pp. 1801–1812, May 2009. [10] S. E. Shladover, “Highway electrification and automation,” California Partners Adv. Transit and Highways (PATH), Berkeley, CA, USA, 1992.

[11] A. Esser, “Contactless charging and communication system for electric vehicles,” in IEEE IA-S Annu. Meeting Conf. Rec., Oct. 1993, vol. 2, pp. 1021–1028. [12] K. Klontz, A. Esser, R. Bacon, D. Divan, D. Novotny, and R. Lorenz, “An electric vehicle charging system with ‘universal’ inductive interface,” in Power Conversion Conf. Conf. Rec., Yokohama, Japan, Apr. 1993, pp. 227–232. [13] C.-S. Wang, O. Stielau, and G. Covic, “Design considerations for a contactless electric vehicle battery charger,” IEEE Trans. Ind. Electron., vol. 52, no. 5, pp. 1308–1314, Oct. 2005. [14] P. Riehl, A. Satyamoorthy, H. Akram, Y.-C. Yen, J.-C. Yang, B. Juan, C.-M. Lee, F.-C. Lin, V. Muratov, W. Plumb, and P. Tustin, “Wireless power systems for mobile devices supporting inductive and resonant operating modes,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 780–790, Mar. 2015. [15] M.-L. Kung and K.-H. Lin, “Enhanced analysis and design method of dual-band coil module for near-field wireless power transfer systems,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 821–832, Mar. 2015. [16] M. Fu, T. Zhang, C. Ma, and X. Zhu, “Efficiency and optimal loads analysis for multiple-receiver wireless power transfer systems,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 801–812, Mar. 2015. [17] S. B. Lee, S. Ahn, and I. G. Jang, “Development of the optimization framework for low-power wireless power transfer systems,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 813–820, Mar. 2015. [18] S. Ahn et al., “Reduction of electromagnetic field (EMF) of wireless power transfer system using quadruple coil for laptop applications,” in IEEE MTT-S Int. Microw. Workshop Series Innovative Wireless Power Transmission: Technol., Syst., Appl., May 2012, pp. 65–68. [19] J. Sallan, J. Villa, A. Llombart, and J. Sanz, “Optimal design of ICPT systems applied to electric vehicle battery charge,” IEEE Trans. Ind. Electron., vol. 56, no. 6, pp. 2140–2149, Jun. 2009. [20] S. Ahn et al., “Low frequency electromagnetic field reduction techniques for the on-line electric vehicle (OLEV),” in IEEE Int. Electromagn. Compat. Symp., Jul. 2010, pp. 625–630. [21] Y. Nagatsuka, N. Ehara, Y. Kaneko, S. Abe, and T. Yasuda, “Compact contactless power transfer system for electric vehicles,” in Int. Power Electron. Conf., Jun. 2010, pp. 807–813. [22] J. Shin et al., “Design and implementation of shaped magnetic-resonance-based wireless power transfer system for roadway-powered moving electric vehicles,” IEEE Trans. Ind. Electron., vol. 61, no. 3, pp. 1179–1192, Mar. 2014. [23] S. Choi, B. Gu, S. Lee, W. Lee, J. Huh, and C. Rim, “Generalized active EMF cancel methods for wireless electric vehicles,” IEEE Trans. Power Electron., vol. 29, no. 11, pp. 5770–5783, Nov. 2014. [24] H. Wu, A. Gilchrist, K. Sealy, and D. Bronson, “A high efficiency 5 kW inductive charger for EVs using dual side control,” IEEE Trans. Ind. Informat., vol. 8, no. 3, pp. 585–595, Aug. 2012. [25] M. Budhia, G. A. Covic, and J. T. Boys, “Design and optimization of circular magnetic structures for lumped inductive power transfer systems,” IEEE Trans. Power Electron., vol. 26, no. 11, pp. 3096–3108, Nov. 2011. [26] H. Kim, J. Cho, S. Ahn, J. Kim, and J. Kim, “Suppression of leakage magnetic field from a wireless power transfer system using ferrimagnetic material and metallic shielding,” in IEEE Int. Electromagn. Compat. Symp., Aug. 2012, pp. 640–645. [27] J. Kim et al., “Coil design and shielding methods for a magnetic resonant wireless power transfer system,” Proc. IEEE, vol. 101, no. 6, pp. 1332–1342, Jun. 2013. [28] H. Kim et al., “Design of magnetic shielding for reduction of magnetic near field from wireless power transfer system for electric vehicle,” in Eur. Electromagn. Compat. Int. Symp., Sep. 2014, pp. 53–58. [29] H. Kim, C. Song, D.-H. Kim, and J. Kim, “Design of conductive shield for wireless power transfer system for electric vehicle considering automotive body,” in Joint IEEE Int. Electromagn. Compat. Symp./EMC Eur., Aug. 2015, pp. 1369–1374. [30] H. Kim, C. Song, and J. Kim, “Coil design for high efficiency and low magnetic field leakage of wireless charging system for electric vehicle,” in IEEE Wireless Power Transfer Conf., May 2015, pp. 1–3. [31] C. Zheng et al., “High-efficiency contactless power transfer system for electric vehicle battery charging application,” IEEE J. Emerg. Sel. Topics Power Electron., vol. 3, no. 1, pp. 65–74, Mar. 2015. [32] B. Lenaerts and R. Puers, Omnidirectional Inductive Powering for Biomedical Implants, 1st ed. Delft, The Netherlands: Springer, 2009, pp. 83–91. [33] D. M. Pozar, Microwave Engineering, 3rd ed. New York, NY, USA: Wiley, 2005, p. 271.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: COIL DESIGN AND MEASUREMENTS OF AUTOMOTIVE MAGNETIC RESONANT WIRELESS CHARGING SYSTEM

[34] C.-S. Wang, G. Covic, and O. Stielau, “Power transfer capability and bifurcation phenomena of loosely coupled inductive power transfer systems,” IEEE Trans. Ind. Electron., vol. 51, no. 1, pp. 148–157, Feb. 2004. [35] A. Sample, D. Meyer, and J. Smith, “Analysis, experimental results, range adaptation of magnetically coupled resonators for wireless power transfer,” IEEE Trans. Ind. Electron., vol. 58, no. 2, pp. 544–554, Feb. 2011. [36] S. Chopra and P. Bauer, “Analysis and design considerations for a contactless power transfer system,” in 33rd IEEE Int. Telecommun. Energy Conf., Oct. 2011, pp. 1–6. [37] M. K. Kazimierczuk, “Class D current-driven rectifiers for resonant DC/DC converter applications,” IEEE Trans. Ind. Electron., vol. 38, no. 5, pp. 344–354, May 1991. [38] M. K. Kazimierczuk, W. Szaraniec, and S. Wang, “Analysis and de,” IEEE Trans. Aerosp. sign of parallel resonant convertor at high Electron. Syst., vol. 28, no. 1, pp. 35–50, Jan. 1992. [39] C.-J. Chen, T.-H. Chu, C.-L. Lin, and Z.-C. Jou, “A study of loosely coupled coils for wireless power transfer,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 7, pp. 536–540, Jul. 2010. [40] R. Huang and B. Zhang, “Frequency, impedance characteristics and HF converters of two-coil and four-coil wireless power transfer,” IEEE J. Emerg. Sel. Topics Power Electron., vol. 3, no. 1, pp. 177–183, Mar. 2015. [41] C. Song et al., “Electromagnetic interference reduction method from handheld resonant magnetic field charger (HH-RMFC) for electric vehicle,” in IEEE Wireless Power Transfer Conf., May 2014, pp. 5–8. [42] I. de Vries, J. van Nierop, and J. Greene, “Solid state class de rf power source,” in Proc. IEEE Int. Ind. Electron. Symp., Jul. 1998, vol. 2, pp. 524–529. [43] R. Calder, S.-H. Lee, and R. Lorenz, “Efficient, MHz frequency, resonant converter for sub-meter (30 cm) distance wireless power transfer,” in IEEE Energy Conversion Congr. Expo., Sep. 2013, pp. 1917–1924. [44] N. Sokal, “Class E high-efficiency power amplifiers, from HF to microwave,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 2, pp. 1109–1112. [45] M. K. Kazimierczuk and D. Czarkowski, Resonant Power Converters, 2nd ed. New York, NY, USA: Wiley, 2011, pp. 9, 42, 146, 195, 336. [46] K. Wang, F. Lee, G. Hua, and D. Borojevic, “A comparative study of switching losses of IGBTs under hard-switching, zero-voltage-switching and zero-current-switching,” in 25th Annu. IEEE Power Electron. Specialists Conf. Rec., Jun. 1994, vol. 2, pp. 1196–1204. [47] M. Feliziani and S. Cruciani, “Mitigation of the magnetic field generated by a wireless power transfer (WPT) system without reducing the WPT efficiency,” in Electromagn. Compat. Int. Symp., Sep. 2013, pp. 610–615. [48] F. W. Glover, Inductance Calculations: Working Formulas and Tables. New York, NY, USA: Dover, 1973, pp. 88–113. [49] “Product Selection Guide” SAMWHA Electron., Seoul, Korea, 2014 [Online]. Available: http://www.samwha.co.kr/SW_Catalogue/ecatalog.asp?Dir=24 [50] C. A. Balanis, Advanced Engineering Electromagnetics, 1st ed. New York, NY, USA: Wiley, 1989, p. 55. [51] “Aluminium 1050A datasheet,” Smithmetal Centres Ltd., London, U.K., 2014 [Online]. Available: http://www.smithmetal.com/pdf/aluminium/1xxx/1050a.pdf [52] “Maxwell 2D technical notes (Maxwell online help)—Solid, stranded, parallel current sources,” ANSYS, Canonsburg, PA, USA, 2014, Electromagn. Suite 15.0.2. [53] Z. Yang, W. Liu, and E. Basham, “Inductor modeling in wireless links for implantable electronics,” IEEE Trans. Magn., vol. 43, no. 10, pp. 3851–3860, Oct. 2007. [54] ICNIRP, “Guidelines for limiting exposure to time-varying electric, magnetic, electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, no. 4, pp. 494–522, 1998. [55] ICNIRP, “Guidelines for limiting exposure to time-varying electric and magnetic fields (1 Hz to 100 kHz),” Health Phys., vol. 99, no. 6, pp. 818–836, 2010. [56] M. H. Rashid, Power Electronics Handbook, 3rd ed. New York, NY, USA: Elsevier Sci., 2011.

17

[57] R. Narayanan, “Wireless power charging coil changing considerations,” Würth Elektron., Niedernhall, Germany, Appl. Note, 2015 [Online]. Available: http://www.we-online.com/web/en/electronic_components/produkte_pb/application_notes/ueberlegungenzumtauschevonladespulen.php [58] M. Petersen and F. Fuchs, “Load dependent power control in series–series compensated electric vehicle inductive power transfer systems,” in 16th Eur. Power Electron. Appl. Conf., Aug. 2014, pp. 1–10. [59] Z. Pantic, K. Lee, and S. Lukic, “Inductive power transfer by means of multiple frequencies in the magnetic link,” in IEEE Energy Conversion Congr. Expo., Sep. 2013, pp. 2912–2919. [60] S. Kim, H.-H. Park, J. Kim, J. Kim, and S. Ahn, “Design and analysis of a resonant reactive shield for a wireless power electric vehicle,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 1057–1066, Apr. 2014. Hongseok Kim (S’14) received the B.S. degree in electronic and electrical engineering from Sungkyunkwan University, Suwon, Korea, in 2011, the M.S. degree in division of future vehicle from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2013, and is currently working toward the Ph.D. degree in electrical engineering (division of future vehicle) at KAIST. His current research interests include the modeling and low electromagnetic interference (EMI) design of the automotive wireless power transfer systems for electric vehicle/mobile phone, and the motor drive system for electric vehicle.

Chiuk Song received the B.S. degree in electrical and electronic engineering from Chungnam National University, Daejeon, Korea, in 2012, the M.S. degree in the division of future vehicle from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2014, and is currently working toward the Ph.D. degree in electrical engineering (division of future vehicle) at KAIST. His current research interests include the electromagnetic interference modeling of wireless power transfer systems for electric vehicles and drones.

Dong-Hyun Kim received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2012 and 2014, respectively, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His current research interest includes through-silicon-via (TSV) hysteresis modeling and analysis in TSV-based 3-D integrated circuits.

Daniel H. Jung received the B.S. degree in electrical engineering from Case Western Reserve University, Cleveland, OH, USA, in 2010, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2013, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His current research interests include electrical characterization of through-silicon-via (TSV), failure analysis, and test method for TSV-based 3-D integrated circuits.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 18

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

In-Myoung Kim received the B.S. and M.S. degrees in electronics engineering from Han-Yang University, Seoul, Korea. In 1995, he was with the Research Center of the KC Cottrell Company Ltd., Seoul, Korea, where he was engaged in plasma environmental technology research. In 2007, he was with the Chief Technical Officer (CTO) with the Innovation & Ventures Company Ltd., Seoul, Korea, where he was engaged in poly silicon CVD design. He is currently the CEO of the Enerconstech Company Ltd., Seoul, Korea.

Young-Il Kim received the B.S. degree in electronics engineering from Dongseo University, Busan, Korea, in 2001. He is currently an Executive Research Engineer with the Enerconstech Company Ltd., Seoul, Korea. He is responsible for firmware and circuit design for power electronics in plasma source and wireless power transfer systems.

Jonghoon Kim (M’02–SM’15) received the Ph.D. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2003. His doctoral thesis concerned the reduction of electromagnetic interference (EMI) from high-speed digital systems. He is currently a Research Professor with KAIST. His current research interests include the analysis and design of wireless power transfer systems for electric vehicles, as well as signal integrity, power integrity, and electromagnetic interference (EMI) of high-speed digital systems.

Seungyoung Ahn (M’06–SM’15) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1998, 2000, and 2005, respectively. He is currently an Assistant Professor with the Cho Chun Shik Graduate School for Green Transportation, KAIST. His current research interests include wireless power transfer system design and electromagnetic compatibility design for electric vehicle and digital systems.

Joungho Kim (SM’14–F’16) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1984 and 1986, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1993. In 1994, he joined the Memory Division, Samsung Electronics, Suwon, Korea, where he was involved in gigabit-scale DRAM design. In 1996, he joined the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. He is currently a Professor with the Department of Electrical Engineering, KAIST. He is also the Director of the 3-D Integrated Circuit (IC) Research Center, supported by SK Hynix Inc., and the Smart Automotive Electronics Research Center, supported by KET Inc. He has authored or coauthored over 404 technical papers in refereed journals and conference proceedings. He authored Electrical Design of Through-Silicon-Via (Springer, 2014). He has given more than 219 invited talks and tutorials in academia and related industries. In particular, his major research interests include chip-package-printed circuit board (PCB) co-design and co-simulation for signal integrity, power integrity, ground integrity, timing integrity, and radiated emission in 3-D integrated circuits (ICs), through-siliconvias (TSVs), and interposer. His current research interests include electromagnetic compatibility (EMC) modeling, design, and measurement methodologies of 3-D IC, TSV, interposer, system-in-package, multilayer printed circuit board (PCB), and wireless power transfer (WPT) technology for 3-D ICs, electric vehicles, and mobile phones. Dr. Kim was the Symposium Chair of the 2015 IEEE EDAPS, Seoul, Korea, and Joint Conference Chair of the Japan-Korea Microwave Society in 2015. He was also the Conference Chair of the 2014 IEEE Wireless Power Transfer Conference (WPTC), Jeju, Korea, and the Symposium Chair of the 2008 IEEE EDAPS and the Technical Program Committee (TPC) Chair of the 2011 APEMC. He was appointed an IEEE Electromagnetic Compatibility (EMC) Society Distinguished Lecturer from 2009 to 2011. He is a TPC Member of Electrical Performance of Electronic Packaging and System. He is an Associate Editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY. Hewas a Guest Editor of a Special Issue of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY on PCB level signal integrity, power integrity, and electromagnetic interference/compatibility in 2010, a Special Issue of the IEEE TRANSACTIONS ON ADVANCED PACKAGING on TSV in 2011, and a Mini-Special Issue on the 2014 IEEE WPTC of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES in 2014. He was a recipient of the Outstanding Academic Achievement Faculty Award of KAIST in 2006, the KAIST Grand Research Award in 2008, the National 100 Best Project Award in 2009, the KAIST International Collaboration Award in 2010, the KAIST Grand Research Award in 2014, and the Technology Achievement Award of the IEEE Electromagnetic Society in 2010.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

High Data-Rate Communication in Near-Field RFID and Wireless Power Using Higher Order Modulation Jordan Besnoff, Member, IEEE, Morteza Abbasi, Member, IEEE, and David S. Ricketts, Senior Member, IEEE

Abstract—We present the theory of high-order modulation for near-field RF identification (RFID) and wireless power transfer (WPT) systems. We show that while related, the design of RFID and WPT systems differ. The theory and calculation of load modulated quadrature amplitude modulation (QAM) and phase shift keying (PSK) is presented. We then present two experimental prototypes. The first demonstrates a 16-QAM RFID link achieving 480 kb/s at a 2.38-MHz carrier ( 19.8 fractional bandwidth), significantly higher than the 1 fractional bandwidth of traditional RFID systems. The second experimental prototype demonstrates 4-PSK for WPT applications achieving a data rate 256 kb/s at a 2.38-MHz carrier (a 10.7% fractional bandwidth) with an average efficiency reduction of only 4%. Index Terms—Communication, power transmission, RF communications, RF identification (RFID), wireless communication systems, wireless RF components and systems.

I. INTRODUCTION

N

EAR-FIELD systems, such as RF identification (RFID) and near-field wireless power transfer (NF-WPT), have become important technologies owing to their ability to eliminate direct contact between objects [1], [2]. RFID systems have become ubiquitous in enabling keyless entry, identification, and asset tracking, as well as innovative uses in biological applications [3]. NF-WPT has become mainstream in cellular phone chargers, as well as in medical devices [4]. These near-field technologies operate in the magnetoquasi-static regime, where the magnetic field plays the dominant role, and are therefore compatible with environments containing small metallic objects as well as humans—making such technologies ideal for a multitude of applications. While the basic function of RFID and NF-WPT systems has been well established, integrating high-speed communication links has been challenging. Simple communication schemes, such as on–off keying (OOK) using amplitude or frequency modulation have been used in near-field systems. These have typically been very slow and thus have found limited adoption. Current RFID near-field communication (NFC) standards

Manuscript received July 27, 2015; revised November 23, 2015 and December 28, 2015; accepted December 29, 2015. This paper is an expanded version from the IEEE MTT-S Wireless Power Transfer Conference, Boulder, CO, USA, May 13–15, 2015. The authors are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27513 USA(e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2016.2515586

Fig. 1. Complex load-modulated near-field RFID and WPT. QAM and phase shift keying (PSK) reflection constellation.

support transmit rates up to 424 kb/s and reply rates of 106 kb/s at a carrier of 13.56 MHz using different forms of binary amplitude shift keying (ASK) and binary phase shift keying (BPSK) [5]. Increasing the communication rate could enable high-fidelity signals for biomedical applications [6], [7], as well as power management between the transmitter and load in NF-WPT systems. In addition, a higher communication rate will enable larger networks of wireless devices using NFC. Recently several works have proposed complex load modulation for both RFID and wireless power transfer (WPT) systems. A passive far-field UHF RFID transmitter was demonstrated using vector modulation such as quadrature amplitude modulation (QAM), whereby multiple discrete loads are used to scatter incoming waves at varying levels of power and phase back to the reader for an M-ary constellation [8]–[10]. In near-field applications, [11] shows how low-frequency near-field coupling can be used as a means for low-power communication that is compatible with existing RFID protocol, and in [12], NFC is demonstrated sharing the same link as WPT in a biomedical application. However, these works do not employ complex load modulation, whereas preliminary demonstrations using QAM as a form of complex load modulation have been shown recently with 4-QAM RFID [13] and 4-QAM WPT systems [14]. In this work we extend these preliminary works to higher order modulation, namely, 16-QAM, for near-field RFID and also higher efficiency 4 QAM or quadrature phase-shift keying (QPSK) for NF-WPT through two new experimental prototypes (Fig. 1). We also present a theory of design and operation, which simplifies previous works on near-field complex load modulation. Section II provides an overview of technologies and requirements between RFID and NF-WPT and far-field versus near-field. Section III introduces the theory of complex-load modulation and the design methodology. Section IV presents an experimental 16-QAM RFID system and Section V presents an experimental QPSK for NF-WPT. A discussion of bandwidth limitations on communication rate is discussed in Section VI and final conclusions and a discussion are presented in Section VII.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

II. ARCHITECTURE OF ASYMMETRIC RADIOS In typical far-field radio systems, communication occurs between two transceivers that contain both an active transmitter and receiver. Local power at each transceiver is used to source energy for the transmitted signal. Such radios rely on the availability of power at both ends of the communication link [15]. We refer to these as symmetric radio systems. RFID systems, on the other hand, re-allocate the power requirements between the two ends of a communication network. One node, the active node, e.g., an RFID reader, has a power source sufficient to actively transmit and receive communication signals. The other node, the passive node, e.g., an RFID tag, is powered by the signal from the active node—identical to the way a WPT node operates—or through energy harvesting [16], [17] or stored local energy. We refer to these systems as asymmetric radio systems. Communication from the active to the passive node can be done using traditional methods, such as ASK, frequency shift keying (FSK), or phase shift keying (PSK). Communication from the passive node to the active node, however, is very different from symmetric systems as the passive node does not have sufficient energy to actively transmit. The solution is to have the passive node reflect the incoming signal from the active node as an alternative to actively transmitting a signal. Reflecting an incoming signal requires significantly less power than an active transmission. An active transmitter requires an oscillator and phase-locked loop (PLL), which, in turn, require large bias currents and consume large amounts of power, often in the mW range. Additionally, an active transmitter requires generation of a high-frequency carrier signal. On the other hand, a reflection can be accomplished simply by connecting a load to the receiver antenna. By modulating the load impedance, different reflected signals occur and the passive node can communicate with the active node. This switching of antenna loads simply requires control of a field-effect transistor (FET) at the data rate, not the carrier frequency, which requires very little power. Even with dc supply circuitry and tag control through a low-power microprocessor, these asymmetric radio systems often consume power in the W range [18]–[21]. In RFID systems, a simple resistive load modulation is used on the tag to send an OOK signal to the Reader. The tag only needs enough power to switch loads—no active power beyond the switching is required. Thomas et al. has recently demonstrated the power of asymmetric radios and the impact of high-speed communication links in [10]. In these far-field UHF RFID systems, complex loads modulated the scattering of waves from an RFID antenna, enabling a very low-power RFID system that could be placed on the back of an insect, such as a dragonfly. Fig. 2 shows a diagram of the different forms of asymmetric radios. They are categorized by near versus far-field and RFID versus NF-WPT systems. While many RFID systems also transfer power, communication is the main emphasis whereas in NF-WPT, power delivery is the main emphasis. In quadrant I, load modulation for far-field RFID is shown and is known as “backscattering” [8]. The term scattering comes from the reflection of the incoming wave in the far-field. Quadrant II

Fig. 2. Diagram of the relationship between far-field communication and NFC and wireless power systems.

shows a near-field RFID system, where an inductive link is used to sense a modulated load. Higher order modulation (4 QAM) was proposed for near-field RFID systems [13]. Quadrant III is far-field WPT, which has been active for over 50 years [22] using rectennas. While integration of back-scattering communication is possible, the authors are not aware of recent work in this area. Quadrant IV is near-field wireless power where high efficiency and high power is achieved with resonant impedance-matching networks [23]. Preliminary results of high-order modulation (4 QAM) in resonant WPT was recently demonstrated in [14]. III. HIGHER ORDER MODULATION AND COMMUNICATION Almost all modern wireless communication systems use digital modulation techniques. This means data is encoded into a set of discrete symbols so that the receiver has higher probability of recovering them when noise and other forms of distortion are added during transmission. Data is reconstructed after the receiver estimates the symbol, and therefore in digital communication systems noise and distortion do not degrade the quality of the signal as long as the symbols are still distinguishable to the receiver. The wireless channel, however, has limited bandwidth, which basically determines the rate symbols can be transmitted. Theoretically, to transmit symbols in a second, a bandwidth of is needed around the carrier frequency, but in practice wider bandwidths, typically , are needed depending on the pulse-shaping technique [24]. One solution to increasing the data rate, measured in bits per second, over a limited bandwidth is to increase the number of symbols. As an example there are 16 symbols in a 16-QAM scheme compared to two symbols in binary communication of 0 and 1. Each symbol contains bits so while both binary and 16-QAM signals occupy the same bandwidth (bandwidth is dependent on symbol rate, not bit rate), the 16-QAM has four times higher spectral efficiency. Increasing the number of symbols and, therefore, spectral efficiency comes, however, at the expense of high error rate at the receiver.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BESNOFF et al.: HIGH DATA-RATE COMMUNICATION IN NF RFID AND WIRELESS POWER USING HIGHER ORDER MODULATION

3

Fig. 3. Equivalent -parameter circuit model for two coupled coils, where the , on the secondary coil creates a voltage variation in the load impedance, . Parasitic fluctuation at the primary, represented as a reflected impedance, and . resistance of the coils is

In this work we apply the concept of increased spectral efficiency for asymmetric near field systems, in particular RFID and WPT systems. This extends the theory and techniques already demonstrated for far-field radios, but only recently shown in the near field [13], [14]. IV. THEORY: NEAR-FIELD COMPLEX LOAD MODULATION A. Simplified Model The proposed QAM NFC system is based on complex load modulation of a near-field magnetoquasi-static link. To illustrate, we consider a simple two-coil system operating a frequency that ensures magnetoquasi-static conditions (inductive coupling). We use RFID as an example, without loss of generality. The load of the RFID tag is reflected back into the transmitter as

Fig. 4. Constellation design for RFID versus WPT. RFID maximizes SNR, and therefore uses large . WPT maximizes power transfer efficiency, and therefore uses the smallest possible to meet minimum SNR requirements.

capacity. NF-WPT systems focus on efficient power transfer, which drives the communication design to low-insertion loss for minimal impact on power loss. Fig. 4 shows a example 4-QAM constellation for RFID and WPT system. The RFID system maximizes the size of the constellation for maximum SNR. The NF-WPT minimizes the constellation size since high efficiency requires minimizing the reflected power. In addition, NF-WPT often desires a constant power transfer. By using PSK instead of QAM, a constant transmitted power is achieved. Thus the differing objectives of nearfield RFID and WPT systems results in a different design goal for the communication system. RFID uses QAM with a large constellation. WPT uses PSK with a minimal constellation size. C. General Model

(1) where is the operating frequency, is the mutual inductance, and are as shown in Fig. 3. We have ignored parasitic loss in this simple example. It is clear that there is a nonlinear impedance transformation of to and a one-to-one correspondence. By choosing various values of , will vary and can be sensed at the transmit tag. Measurement of the complex impedance can be easily done through its deviation from a reference impedance, such as 50 , and measured from the reflection coefficient, . B. RFID and WPT While both RFID and WPT systems can operate in the nearfield, the goals of each and the resulting communication design is very different. RFID systems are predominantly communication links, albeit with some WPT. The focus on communication drives the communication design to a high signalto-noise ratio (SNR) and higher order modulation for increased

In many systems, the two coils are separated by some distance. This distance is often a fraction of a coil diameter, but emerging applications warrant extending that distance as far as possible. In these near- and mid-range systems, it is necessary to impedance match the coils to the reference impedance (50 in this example). In addition, it may be necessary to impedance match the complex loads available to the necessary complex values, . A more general model of near-field systems should include an impedance-matching network at both the transmitter and tag, as shown in Fig. 5. These impedancematching networks can be achieved with any number of wellknown methods, including a simple -match or -match, as well as a mini-loop match [25]. The mini-loop impedance match has been more recently re-named “strongly coupled magnetic resonance” in the wireless power community, although it has been in existence for many decades [26]. In either case, the function is the same: impedance transformation. The general system can be modeled by its or, more conveniently, its -parameters. Thus, any given link can be viewed

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(6) For a two-port -parameter network, the input reflection coefficient, , can also be defined in terms of the two-port -parameters as follows: (7) We can then solve for in terms of the system -parameters and the input reflection coefficient , Fig. 5. Proposed near- and mid-range NF-WPT and communication link with necessary impedance matching capabilities for practical implementation over various distances (upper image). After [14, Fig. 1].

(8) Thus, for a given coil setup, once the system - or -parameters are known, the necessary loads, , for a desired constellation can be found using (8) or (6). V. 16-QAM COMMUNICATION

Fig. 6. RFID link can be modeled by a single set of -parameters (can be determined from -parameters) that includes the effects of the wireless link and can be found by using the desired constellation any impedance transformers. to determine the necessary reflected input impedance, inverting the measured parameters of the communication link. After [13, Fig. 3].

as an impedance transformation system of a modulated complex load, , to another complex load, , at the transmitter (Fig. 6). The input reflected impedance of the entire system, , is a function of the transmit coil reactance, , its series resistance, , the reflected impedance, , as shown in Fig. 3, and the impedance matching transformation, labeled “Z-xfmr” in Fig. 6. Equation (2) shows this relationship explicitly. For a given frequency, the series resistance and reactance of the coil are constant, and the only varying aspect of the reflected input impedance is the reflected impedance, , which is influenced through the modulated load impedance , (2) The reflection coefficient of a system with source impedance is calculated using the well-known relationship (3) or (4) The input impedance for a two-port network can be defined using its -parameters and load impedance, [27], (5) Combining (5) with (4), we can solve for the required load , for a desired constellation point represented by impedance, the input reflection coefficient, , as shown in (6),

In this section, we present a 16-QAM communication scheme for near and mid-range RFID systems, which is capable of even greater communication rates, as previously reported [13], with significantly higher fractional bandwidths than traditional RFID systems [28]. Our system operates at 2.428 MHz, which is not an industrial–scientific–medical (ISM) band. We used this frequency as it is midway between 130-kHz high-power WPT systems [29] and 13.56-MHz RFID and WPT systems. Since the link is linear, the conclusions of this work scale to either frequency range (or any other frequency if operated in the nearfield). A. 16-QAM Constellation Design In order to determine the constellation and required loads for a 16-QAM near and mid-range RFID communication scheme operating at a carrier of 2.428 MHz (frequency of best match for the coils used), the system -parameters were first measured using a vector network analyzer (VNA). With the given -parameters, the desired can be calculated as discussed in Section IV. This analytical calculation, however, does not take into account realizable component values. An alternative method for determining loads using realizable values is to import the system -parameters into Agilent’s Advanced Design System (ADS). This then allows one to sweep the load at the secondary coil to determine the areas of the Smith chart that could be reached for reasonable load values, giving insight into the maximum possible reflections through simulation. A maximum reflection gives rise to a greater SNR, as there is more reflected signal present at the receiver,1 a common technique in many RFID systems. The load impedance, represented by , was swept over values of from 0.1 to 5000 , while was swept from 2000 to 1000, which is equivalent to sweeping from 32.8 pF to 65.5 H at 2.428 MHz. Based on the 1In a reflection system, the transmitter is also the receiving loop. We use the word “receiver” to indicate the portion of the transmitter that measures and demodulates the reflected energy.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BESNOFF et al.: HIGH DATA-RATE COMMUNICATION IN NF RFID AND WIRELESS POWER USING HIGHER ORDER MODULATION

5

TABLE I IMPEDANCES FOR 16-QAM CONSTELLATION ON 2.428-MHzRF CARRIER

largest possible reflections, a square constellation with vertices at was designed. For a 16-QAM constellation, 16 points were equally spaced within the square area for the constellation, resulting in the 16 complex states, as shown in Table I. The required capacitance or inductance is shown as well based on a carrier of 2.428 MHz. The required load impedances, both resistive and reactive elements, are well within practical reason, as the largest required resistance is 238.5 , the largest capacitance is 4.31 nF, and the largest required inductance is 7.23 H. All these component values are easily obtained at 2.428 MHz.

Fig. 7. Schematic of the load impedances necessary for a given constellation connected to the secondary coil through an RF switch. The RF switch is controlled by the data to uplink and will select the appropriate load among loads to provide the required reflection at the transmitter, which represents the uplinked data through a symbol in the constellation.

B. 16-QAM Modulator Board In order to further examine the use of a 16-QAM communication scheme as a means of high data-rate transfer for mid and near-range RFID systems, a modulator board was designed that would switch among the required loads for the 16-QAM constellation in time with the data to be uplinked. The main communication element that performs the necessary switching is an RF switch (Analog Devices ADG904BRUZ). This particular RF switch is a single-pole four-throw (SP4T) switch that uses two control bits to determine which of the four switches to route to its common port. The control bits contain the binary data to uplink, and select the appropriate load impedances to connect to the secondary coil, reflecting a signal of varying phase and amplitude back to the receiver depending on the particular load connected at a given time instant. Fig. 7 shows the method of switching the load impedances connected to the secondary coil. Each load is connected to the secondary coil through an RF switch, where each of the switches is controlled by the data to be uplinked. For the 16-QAM communication scheme, since there are 16 discrete loads representing 16 symbols and each RF switch is an SP4T switch, there is a master switch that is controlled by the two most significant bits. This master switch will then choose one

Fig. 8. Fabricated 16-QAM modulator board showing all modulating loads. The master RF switch chooses a set of four states, while the slave switches choose the single state to reflect amongst them.

of four slave switches that are all controlled by the two least significant bits. Each of the four ports on the slave switches are connected to one of the 16 discrete loads necessary for the designed 16-QAM constellation. This results in each binary combination of bits from 0000 to 1111 being mapped to one of the 16 loads, and thus one of the 16 symbols in the constellation. The fabricated 16-QAM modulator board is shown in Fig. 8. The master and slave RF switches can be seen as well as each group of four load impedances connected to the slave switches, which provide the required reflections for each symbol in the constellation. Additionally, the control bits are shown on the four right-most header pins. The first two header pins are for for the switches and ground, respectively. The switches require approximately 2.7 V to operate properly. C. 16-QAM Static Constellation Measurement To verify the functionality of the 16-QAM modulator board as a means for high data-rate communication, a static test was performed to verify the constellation at the transmitter through

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Each symbol in the measured constellation appears at approximately the correct location per the designed constellation. The constellation appears slightly rotated, which is due to slight misalignment of the coils. The slight misalignment of the coils will produce different -parameters than those that were used to design the 16-QAM constellation loads. This coil misalignment coupled with the discrete available component values for the required load impedances contribute to the deviation of the measured constellation from the ideal designed constellation. However, the measured constellation is approximately square and the symbols are separated enough to provide a means for high data-rate communication in the near field, as shown in Section V-D. D. 16-QAM Dynamic Modulation and Achievable Data Rates Fig. 9. Measured 16-QAM static constellation. Each point was determined by applying the appropriate switching voltage to hold the state constant while the reflected load was measured using a VNA. TABLE II MEASURED 16-QAM CONSTELLATION POINTS

each of the 16 loads, ensuring that the appropriate reflected signal is achieved for each symbol. To perform this test, the 16 QAM modulator board shown in Fig. 8 was connected to the mini-loop of the secondary coil. A VNA was connected to the mini-loop of the primary coil, allowing observation of the reflected signal at the transmitter. Power was provided to the RF switches on the modulator board, and each state from 0000 to 1111 was sequentially stepped through via manual connections of and ground to the appropriate control pins. For each symbol, the reflected signal at 2.428 MHz, the designed carrier frequency, was recorded. The results of the static test of the 16-QAM constellation are shown in Fig. 9 on a Smith chart, and a quantitative comparison between the designed constellation and the measured constellation is shown in Table II.

The performance of the 16-QAM modulator in terms of achievable data rate and demodulated constellation at the receiver was examined through a dynamic test, where the control bits for the switches were controlled by uplinked data. In order to observe all the transitions between the states in the constellation, a pseudo-random binary sequence consisting of 256 bits was loaded into a function generator. Since we only had one pseudo-random source and need to control four bits for 16 QAM, we used a divider-by-2 chain to create four binary signals that are simply a divide-by-2, divide-by-4, and divide-by-8 of the pseudo-random sequence. The result is a portion of the signal is deterministic and a portion is pseudo-random. Since all states are visited, the principle demonstration of functionality and speed can still be evaluated. As with the static test, the modulator board was connected to the mini-loop of the secondary coil. The mini-loop of the primary coil, transmitter side, was connected to a Rohde & Schwarz FSW43 Signal & Spectrum Analyzer, which was used as a software-defined radio (SDR) to perform the necessary in-phase/quadrature (I/Q) demodulation. The data rate was increased using the frequency of the pseudo-random binary sequence loaded into the function generator, and the demodulated constellations as well as the I and Q channels were recorded. The results of the dynamic data rate test are shown in Fig. 10. The red points represent the point on the I/Q trajectory where the decision is made, i.e., where the symbol is determined. In the constellation, gain and phase mismatch were adjusted as is common for QAM systems, however nonidealities of the channel, such as a finite bandwidth and inter-symbol-interference (ISI) were not equalized. Thus the performance of the system is lower than could be achieved if the channel was equalized, which is standard practice for many digital radios. Fig. 10(a) and (b) shows the demodulated constellation for the I and Q channels for a data rate of 160 and 320 kb/s. For a carrier frequency of 2.428 MHz, a data rate of 320 kb/s represents a fractional bandwidth of 13.2%. The demodulated constellation shows clear decision points (red) where the receiver would evaluate each symbol. The light grey connections between the symbols represents the transitions. Fig. 10(c) shows the received demodulated constellation and eye diagrams for the I and Q channels for a data rate of 480 kb/s. This represents a fractional bandwidth of 19.8%. The demodulated constellation for this data rate is noisier than that for

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BESNOFF et al.: HIGH DATA-RATE COMMUNICATION IN NF RFID AND WIRELESS POWER USING HIGHER ORDER MODULATION

7

Fig. 10. Received demodulated constellations for varying data rates using a 16-QAM communication scheme over an RFID link, whereby each symbol is achieved . (b) 320 kb/s, estimated . through controlled reflections of the carrier by an impedance mismatch at the receive coil. (a) 160 kb/s, estimated . (c) 640 kb/s, no estimated . (c) 480 kb/s, estimated

a data rate of 320 kb/s, but the 16 states are still clearly visible. There is a greater deviation of the symbols around the designed point for each state. Based on the demodulated constellation and eye diagrams, a decision region around each of the states could be designed such that reliable communication could occur for a data rate of 480 kb/s (19.8% fractional bandwidth). In Fig. 10(d), a data rate of 640 kb/s was tested. This clearly shows the limitations of the current system at a fractional bandwidth of 26.4%. The demodulated constellation shows a significant amount of ISI, as the states in the middle two rows of the constellation appear to blend together entirely, and neither state can be clearly identified. The cause for the degradation in system performance in terms of data rate is due to the speed of the changing loads and the ISI, and not the bandwidth of the system. While the mini-loop match provides for a high at the input and output and, thus, a low bandwidth, the loaded system exhibits a low , which is conducive to greater bandwidth [30]. Additionally, the deviation of the symbols in the received constellation, as well as the ISI, appears to occur more strongly in the vertical direction than the horizontal. E. Estimated Error Rate In a real communication system, impairments of the channel are either known or estimated and their effect is removed from the received signal. These impairments are, for example, amplitude and delay variation of the channel response over frequency or I/Q imbalance of the transmitter or receiver circuits. The process of removing these effects is called equalization and is often implemented by digital signal processing (DSP) algorithms. It is noted that the presented results in Fig. 10 and elsewhere in this paper are directly after the receiver and are not equalized or corrected in other ways. Due to the limited memory length of our transmitter, only 256 symbols were transmitted and, thus, a full analysis of the bit error rate (BER) is not possible. An estimate of the BER can be made from the quality factor ( ) of the eye diagrams [31]. is defined as the ratio of the ideal eye height (i.e., vertical opening) to the standard deviation of signal variations and is measured at the time point where the receiver makes a decision on the received signal.2 A higher value for the -factor means less 2Due to the limited length of the symbol sequence and the multi-level constellation, measurement of was done manually by assuming the distribution of points at the decision point was equal to the standard deviation, and the eye height equal to the mid-point of the separation between symbols.

signal variation at the sampling points and higher probability for correct detection. -factors are extracted for the data in Fig. 10 and are: (a) , which is equivalent to a BER of 1E-15, (b) , which is approximately a BER of 1E-6, and (c) , which is approximately a BER of 1E-2. These numbers are estimates from unequalized data and the link performance is believed to be better once it is followed by a complete DSP. F. 16-QAM Power Consumption Implementing the 16-QAM modulator on an RFID tag is similar to the far-field radio designed in [9], where a far-field 16-QAM modulator is designed and implemented at a UHF frequency of 915 MHz. While the 16-QAM modulator in [9] is designed for a much greater carrier frequency and operation in the far-field, it is implemented utilizing the same RF switches. In Thomas et al., it was noted that the dc power consumption of the 16-QAM modulator is directly dependent on the data . rate, as CMOS logic consumes power according to In the context of this work, implementing our system with the same microprocessor and switches, it would have a comparable power consumption model and, based on [9], our system would consume approximately 15.4 W, resulting in 24 pJ/bit. VI. 4-PSK WPT COMMUNICATION In this section we present a high-efficiency WPT 4-PSK communication system. For a passive mode of communication, a portion of the emitted electromagnetic field used for WPT is reflected back to the transmitter at varying phases for a PSK communication link. This reflected power used for communication is not absorbed by the load, and thus will degrade the overall efficiency of the WPT link. However, by keeping the amount of reflected power, which can be represented through the complex reflection coefficient at the primary coil, , to the minimum amount that the receiver and demodulator can receive, the overall efficiency of the WPT link will only be slightly degraded. Fig. 11 shows the system architecture. A. 4-PSK Constellation Design The required loads for a 4-QAM constellation were determined experimentally by connecting an MFJ-901B -match tuner box between a 50- load and the NF-WPT system. The tuner box was adjusted to produce the desired constellation of at the primary coil. The values of the and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. constellation at the input to the primary coil is achieved through modulating the loads connected to the impedance transformer at the secondary coil. Adjusting the loads will move the reflected impedance. Power transferred via NF-WPT is to the 50- load.

Fig. 13. Fabricated modulator board showing all modulating loads. Tunable capacitors are used on the board to allow for precise tuning of the constellation, as well as adjusting the constellation to varying sizes. The RF switch is seen on the board as well. Fig. 12. Circuit schematic of loads used for modulating the incoming NF-WPT signal, as well as allowing a portion through to the load, represented by a 50resistor. A T-network topology is shown in (a) and pi-network topology is shown in (b). TABLE III COMPONENT VALUES FOR T-NETWORK CARRIER FREQUENCY OF 2.428 MHz

FOR A

of the tuner box were recorded and synthesized with a -network shown in Fig. 12(a). Alteratively, the theory described in Section IV can be used if a tunable load is not available. The choice of represents 98% of the transmitted power being delivered to the 50- load, when neglecting all insertion losses. The choice of is determined by the SNR required by the demodulator used to receive the reflected signal. In order to increase the power transfer efficiency, can be further reduced. In this case either a more sensitive demodulator has to be used to receive the weak reflected signal or higher transmitter power will be needed so that reflected power remains sufficient. Table III shows the component values needed for each constellation point, at a carrier frequency of 2.428 MHz. Using these component values, a modulator board was designed and fabricated, as described in Section VI-B. B. 4-PSK WPT Modulator Board Design To test the NF-WPT and QAM communication link, a modulator board was designed and fabricated, shown in Fig. 13. Variable capacitors were used to allow fine tuning of the constellation and a fixed inductor used to complete the -match. To switch between the various constellation loads, an Analog Devices ADG904BRUZ 4:1 SP4T RF switch was used. C. NF-WPT Link Efficiency The efficiency of the NF-WPT link alone (without communication) was measured through two-port -parameters. The max-

Fig. 14. NF-WPT link efficiency for coil system. In (a), the maximum available of the main resonant coils is shown. This represents the maximum gain possible efficiency of the NF-WPT system ignoring the losses incurred by the of the fourmini-loop impedance transformation. In (b), the insertion loss coil system, incorporating mini-loop impedance transformers, is shown. At the designed resonant frequency of 2.428 MHz, the four-coil system efficiency is approximately 66.6%.

imum achievable efficiency was measured by computing maximum available power gain [32], , between the eight-turn coils used as the resonant coils (no -xfmr) at a separation of 29 cm (one-coil diameter) [see Fig. 14(a)]. For this measurement the resonating capacitors are removed and the -parameters of the two coil link is measured and calculated. represents the maximum achievable NF-WPT efficiency assuming

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BESNOFF et al.: HIGH DATA-RATE COMMUNICATION IN NF RFID AND WIRELESS POWER USING HIGHER ORDER MODULATION

9

Fig. 15. I/Q demodulator used to observe the I and Q channels of the demodulated data.

Fig. 16. Received 4-QAM constellation for a center frequency of 2.428 MHz, and a bit rate of 51.2 kb/s. A low-noise amplifier (LNA) was used in the receive path to increase the received signal strength.

an ideally lossless -transformation networks on both primary and secondary sides, i.e., if the resonating capacitors were ideal and the mini-loop -xfmr lossless. The maximum efficiency is approximately 71% at 2.38 MHz. Losses associated with resonating capacitors and the miniloop coils (together making the impedance transformer) can be taken into account by looking at the of the link, which is shown in Fig. 14(b). Here it can be seen that magnitude of at the design frequency is 1.76 dB indicating an NF-WPT efficiency of 66.6%. It is therefore concluded that efficiency is reduced by 5.4% through the use of mini loop impedance transformers. D. Demodulation and Achievable Data Rates The maximum rate of communication on the NF-WPT link was investigated by using the custom I/Q demodulator shown in Fig. 15 to view the received constellation and eye diagrams of the I and Q signals at multiple transmission rates. To demonstrate the achievable data rates, two pseudo-random bit sequences were applied to the control pins on the RF switch, creating a stream of reflected impedances or symbols. The transmitted power for the primary coil was set to 100 mW 20 dBm . While the system is transmitting data, the root mean square (rms) power reflected at the primary coil is approximately 2.3 mW, which is 2.3% of the transmitted power. This corresponds to the designed power reflection coefficient of 2%. Furthermore, this indicates that the appropriate amount of power is being reflected based on the constellation design, and further proves that the reduction in efficiency during communication is due to board mismatch and loss.

Fig. 17. Eye diagrams of received demodulated data for varying data rates while simultaneously transmitting power via WPT over the same link. (a) . (b) . (c) . (d) .

The received constellation is shown in Fig. 16 for a bit rate of 51.2 kb/s. The constellation points lie in the appropriate quadrants, and each point is clearly visible. The constellation is not

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE IV NF-WPT LINK EFFICIENCY DURING QAM COMMUNICATION FOR A 2.428-MHz CARRIER

TABLE V EXPERIMENTALLY MEASURED QAM BOARD INPUT IMPEDANCE FOR A CARRIER FREQUENCY OF 2.428 MHz

E. Estimated Error Rate As with the 16-QAM, the limited data length did not enable a full measurement of error rates. In addition, since the channel was not equalized, significant ISI is observed. It is nevertheless still possible to estimate the Q-factor for the eye and approximate the BER. Fig. 17 shows (a) , which is equivalent to a BER of , (b) , which is approximately a BER of , and (c) , which is approximately a BER of . F. NF-WPT Link Efficiency During Data Uplink

Fig. 18. NF-WPT link efficiency during QAM communication. In (a), the efficiency of the NF-WPT link for each constellation point experimentally measured using the fabricated QAM board is shown. The efficiency of the NF-WPT link for each constellation point after de-embedding the QAM board losses in simulation is shown in (b).

perfectly square due to phase offsets in the I/Q demodulator, as well as drifting of the variable capacitors and other component tolerances. The received eye diagrams for varying bit rates are shown in Fig. 17. For the bit rate of 153.6 kb/s, a fractional bandwidth of 6.3%, the eye is clearly open, and the received signals are clean. At a bit rate of 307.2 kb/s, the received signals appear slightly noisy, and the eye is beginning to close. This is around the edge of the achievable communication rate, at a fractional bandwidth of 12.7%. This limitation of the NF-WPT system becomes clear as the eye diagrams for a data rate of 358.4 kb/s are closed and very noisy.

To verify that the QAM communication link does not significantly degrade the NF-WPT link efficiency, the modulator board was connected to the secondary coil and maximum WPT efficiencies for each of the four load states were obtained by measuring the -parameters. The VNA port 2 serves as the 50load shown on the secondary coil. The results at the carrier frequency of 2.428 MHz are summarized in Table IV. For the designed constellation, with a power reflection coefficient of , in an ideal lossless scenario, 98% of the transmitted power would be delivered to the load. From the previous subsection, power transfer efficiency of the link with mini-loop impedance matching networks is 66.6%, which means the overall efficiency of the communication link is expected to be as high as . The measured maximum efficiencies with the fabricated QAM board are significantly lower than the 65.3% theoretical maximum. The efficiencies obtained with the experimental QAM board range from a minimum of 10.2% to a maximum of 33.6%, meaning the the efficiency of the NF-WPT link has dropped by approximately 33%–56% when communication is being employed on the link. The reason for this significant drop in efficiency is due to the resistive losses in the fabricated QAM board, rather than to the architecture itself. These losses are the result of a nonoptimal layout and design of the QAM board. To show that the excess measured loss is due to the design of the QAM board, we de-embedded the losses of the QAM

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BESNOFF et al.: HIGH DATA-RATE COMMUNICATION IN NF RFID AND WIRELESS POWER USING HIGHER ORDER MODULATION

11

TABLE VI COMPARISON TO LITERATURE

Fig. 19. Fabricated board for examining a pi network as the modulating load for reflecting the desired signal back to the receiver for the 4-PSK constellation, as well allowing a portion of the signal to pass through to a load for WPT. Highvariable capacitors are included to tune to the desired constellation points, and a 50- load is connected to the opposite side.

board in simulation, and showed that the resulting link efficiencies fall within a few percent of the expected 65.3%. The de-embedded results are shown in Fig. 18(b) and in Table IV. For this de-embedding, -parameters of the QAM board and the fourcoil WPT link were measured separately and imported in Agilent’s ADS. The different impedances that the QAM board generates were extracted as shown in Table V. of the four-coil NF-WPT link was then resimulated with these impedances used as port impedances instead of 50 . It is seen that efficiency of the NF-WPT link is only degraded by 1%–6% and the extra loss is associated with the insertion loss of the QAM board. With the losses of the fabricated QAM board de-embedded, the efficiency of the NF-WPT link is only degraded by approximately 1%–6% when a communication signal is simultaneously incorporated. To prove that the simulated efficiencies with the QAM board loss de-embedded are practically achievable, we constructed a separate QAM board that incorporates only a single constellation point and employs short low-loss traces. This separate single-state board utilizes a pi-network instead of a T-network, as shown in Fig. 12(b). A pi-network is generally more efficient than a -network when tunable capacitors are used [33]. This is because the tunable capacitor, which generally has a lower than a discrete component, is not in the high-current series path. The single-state pi network board is shown in Fig. 19. The measured system and efficiency are shown in Table IV. With a QAM board design focusing on low loss, and by using a pi-network, we are able to recover the efficiency lost with the original T-network board design. The pi-network board is able to achieve a maximum NF-WPT efficiency of 63.9% for the lower right constellation point while simultaneously communicating on the same link. All WPT efficiencies achieved with this board are above 61%, with the exception of the upper left constellation point at 57.9%. The NF-WPT link is only degraded by approximately 2.7%–8.7% while simultaneously communicating on the same link. The system efficiency falls within a few percent of the theoretical maximum NF-WPT efficiency of 65.3%,

Fig. 20. Comparison of the measured constellation using the fabricated modulator board shown in Fig. 13, which uses a T-network with the constellation obtained using the board shown in Fig. 19, which uses a pi-network. The measured constellations are very similar, although the WPT efficiency using the pi-network is significantly greater.

and shows that a QAM board designed for low-loss can achieve high NF-WPT efficiency while simultaneously communicating in a near and mid-range system. In a system implementation utilizing an RF switch for switching among the pi network loads, the inclusion of the RF switch will add some loss to the WPT link efficiency. This loss will be directly related to the insertion loss of the RF switch. According to [34], the insertion loss of the ADG904BRUZ RF switch is 0.4 dB. This loss will need to be taken into account when considering the full system performance. Furthermore, to show that the use of the pi-network for highefficiency WPT does not significantly affect the constellation when compared to the T-network, each constellation is shown in Fig. 20. The constellations are essentially identical, proving the worth of utilizing a pi-network in an NF-WPT system employing a communication link. VII. CONCLUSION We have presented the theory of high-order modulation for near-field RFID and WPT systems. While both rely on magnetoquasi-statics, the design of higher order modulation for RFID and WPT differ. RFID requires large constellations and can leverage QAM for higher data rates. WPT, on the other hand, requires a small constellation and provides constant power to the load using PSK modulation. We derived the constellation

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

loads analytically, as well as presented several heuristic methods using simulation and experiment. We then presented two experimental prototypes. The first demonstrated a 16-QAM RFID link achieving 480 kb/s at 2.38-MHz carrier ( 19.8 fractional bandwidth), significantly higher than the 1 fractional bandwidth of traditional RFID systems [5]. To put this work in context, Table VI shows a comparison between this work and other communication techniques both in the near and far field. The fractional bandwidth achieved in this work is comparable to that for far-field UHF systems, while also having a low estimated power consumption. The second experimental prototype demonstrated 4-PSK for WPT applications achieving a data rate 256 kb/s at a 2.38-MHz carrier (a 10.7% fractional bandwidth). The efficiency degradation was on average 4%, which includes the 2% reflected power loss. The use of high-order modulation for near-field systems may significantly enhance both the performance as well as application of such systems.

REFERENCES [1] P. Nikitin, K. Rao, and S. Lazar, “An overview of near field UHF RFID,” in IEEE Int. RFID Conf., Mar. 2007, pp. 167–174. [2] J. Zhang and C. Cheng, “Investigation of near-field wireless power transfer between two efficient electrically small planar antennas,” in 3rd Asia–Pacific Antennas Propag. Conf., Jul. 2014, pp. 720–723. [3] L. Catarinucci et al., “An innovative animals tracking system based on passive UHF RFID technology,” in 20th Int. Softw., Telecommun., Comput. Networks Conf., Sep. 2012, pp. 1–7. [4] E. Gamez, A. Rajagopalan, and G. Lazzi, “Increasing wireless power transfer efficiency on implantable biomedical devices using ferrite based negative permeability metamaterial design,” in Joint USNC–URSI Radio Sci. Meeting/AP-S Symp., Jul. 2014, p. 6. [5] Information Technology—Radio Frequency Identification for Item Management, ISO18000, 2009. [6] J. S. Besnoff and M. S. Reynolds, “Near field modulated backscatter for in vivo biotelemetry,” in IEEE Int. RFID Conf., Apr. 2012, pp. 135–140. [7] J. Besnoff, T. Deyle, R. Harrison, and M. Reynolds, “Battery-free multichannel digital ECG biotelemetry using UHF RFID techniques,” in IEEE Int. RFID Conf., Apr. 2013, pp. 16–22. [8] S. Thomas and M. Reynolds, “QAM backscatter for passive UHF RFID tags,” in IEEE Int. RFID Conf., Apr. 2010, pp. 210–214. [9] S. Thomas and M. Reynolds, “A 96 Mbit/sec, 15.5 pJ/bit 16-QAM modulator for UHF backscatter communication,” in IEEE Int. RFID Conf., Apr. 2012, pp. 185–190. [10] S. Thomas, E. Wheeler, J. Teizer, and M. Reynolds, “Quadrature amplitude modulated backscatter in passive and semipassive UHF RFID systems,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 1175–1182, Apr. 2012. [11] Y. Zhao, J. Smith, and A. Sample, “NFC-WISP: A sensing and computationally enhanced near-field RFID platform,” in IEEE Int. RFID Conf., Apr. 2015, pp. 174–181. [12] G. Yilmaz and C. Dehollain, “Wireless communication and power transfer system for intracranial neural recording applications,” in IEEE 12th Int. New Circuits Syst. Conf., Jun. 2014, pp. 460–463. [13] J. Besnoff and D. Ricketts, “Quadrature amplitude modulated (QAM) communication link for near and mid-range RFID systems,” in IEEE Int. RFID Conf., Apr. 2015, pp. 151–157. [14] J. Besnoff and D. S. Ricketts, “Near field wireless power transfer and quadrature amplitude modulated (QAM) communication link,” in IEEE Wireless Power Transfer Conf., May 2015, pp. 1–4. [15] R. Yousefi and R. Mason, “An energy-efficient transceiver architecture for short range wireless sensor applications,” in IEEE Radio Wireless Symp., Jan. 2009, pp. 458–461. [16] M. Stojcev, M. Kosanovic, and L. Golubovic, “Power management and energy harvesting techniques for wireless sensor nodes,” in 9th Int. Telecommun. Modern Satellite, Cable, Broadcast. Services Conf., Oct. 2009, pp. 65–72.

[17] P.-Y. Fan, O.-Y. Wong, M.-J. Chung, T.-Y. Su, X. Zhang, and P.-H. Chen, “Energy harvesting techniques: Energy sources, power management and conversion,” in Eur. Circuit Theory Design Conf., Aug. 2015, pp. 1–4. [18] U. Karthaus and M. Fischer, “Fully integrated passive UHF RFID transponder IC with 16.7- W minimum RF input power,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1602–1608, Oct. 2003. [19] H. Dagan et al., “A low-power low-cost 24 GHz RFID tag with AC-flash based embedded memory,” IEEE J. Solid-State Circuits, vol. 49, no. 9, pp. 1942–1957, Sep. 2014. [20] Y.-H. Kim, T. Ki, C. Chung, Y.-K. Moon, Y. Lim, and S.-O. Lim, “Implementation of a low-cost and low-power batteryless transceiver SoC for UHF RFID and wireless power transfer system,” in 42nd Eur. Microw. Conf., Oct. 2012, pp. 514–517. [21] A. Beriain, R. Berenguer, A. Jimenez-Irastorza, J. Montiel-Nelson, J. Sosa, and R. Pulido, “Full passive RFID pressure sensor with a low power and low voltage time to digital interface,” in Design Circuits Integr. Circuits Conf., Nov. 2014, pp. 1–6. [22] W. C. Brown, “The history of power transmission by radio waves,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 9, pp. 1230–1242, Sep. 1984. [23] M. Chabalko, J. Besnoff, and D. Ricketts, “Magnetic field enhancement in wireless power using metamaterials magnetic resonant couplers,” IEEE Antennas Wireless Propag. Lett., to be published. [24] M. Salehi and J. Proakis, Digital Communications. New York, NY, USA: McGraw-Hill, 2007. [25] D. S. Ricketts, M. J. Chabalko, and A. Hillenius, “Experimental demonstration of the equivalence of inductive and strongly coupled magnetic resonance wireless power transfer,” Appl. Phys. Lett., vol. 102, no. 5, 2013. [26] K. Struckman, “Design of tuned coaxial parasitic loop antennas,” in Antennas Propag. Soc. Int. Symp., Jun. 1979, vol. 17, pp. 400–403. [27] D. M. Pozar, Microwave Engineering, 4th ed. New York, NY, USA: Wiley, 2011. [28] “ISO/IEC 18000-3:2010 information technology—Radio frequency identification for item management—Part 3: Parameters for air interface communications at 13.56 MHz,” ISO/IEC, Geneva, Switzerland, Tech. Rep, 2010. [29] “ERC recommendation 70–03: Relating to the use of short range devices (SRD) CEPT, Copenhagen, Denmark, Tech. Rep., 1997. [30] J. Besnoff and D. S. Ricketts, “Wide bandwidth for high-speed communication in mid-range, resonant WPT and RFID systems,” in IEEE Eur. Microw. Conf., Sep. 2015, pp. 6–11. [31] W. Freude et al., “Quality metrics for optical signals: Eye diagram, Q-factor, OSNR, EVM AND BER,” in 14th Int. Transparent Opt. Networks Conf., Jul. 2012, pp. 1–4. [32] S. J. Orfanidis, Electromagnetic Waves and Antennas. New Brunswick, NJ, USA: Rutgers Univ. Press, 2014 [Online]. Available: http://www.ece.rutgers.edu/orfanidi/ewa/ [33] B. H. Waters, A. P. Sample, and J. Smith, “Adaptive impedance matching for magnetically coupled resonators,” in Proc. PIERS, Moscow, Russia, Aug. 19–23, 2012, pp. 694–701. [34] “Wideband 2.5 GHz, 37 dB isolation at 1 GHz, CMOS 1.65 v to 2.75 v, 4:1 MUX/SP4T Analog Devices, Norwood, MA, USA, Tech. Rep., 2013. [35] S. Thomas, R. Harrison, A. Leonardo, and M. Reynolds, “A batteryfree multi-channel digital neural/EMG telemetry system for flying insects,” in IEEE Biomed. Circuits Syst. Conf., Nov. 2011, pp. 229–232. Jordan Besnoff (S’08–M’16) was born in Bridgeport, CT, USA. He received the Bachelor of Science in Electrical Engineering (B.S.E.E.) degree (with a minor in mathematics) from Tufts University, Medford, MA, USA, in 2009, and the M.S. and Ph.D. degrees from Duke University, Durham, NC, USA, in 2012 and 2014, respectively. His Ph.D thesis focused on leveraging RF identification (RFID) techniques and electromagnetics for providing high datarate low-power communication for implantable devices and is entitled “Exploiting Near Field and Surface Wave Propagation for Implantable Devices.” From 2014 to2015, upon receiving the Ph.D, degree, he was a Postdoctoral Researcher with North Carolina State University. He currently works at a startup with his postdoctoral advisor, where he is focused on high-efficiency wireless power transfer coupled with high data-rate near-field communication. His research interests include wireless power transfer, high data-rate low-power nearfield RFID communication, and low-power sensing.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BESNOFF et al.: HIGH DATA-RATE COMMUNICATION IN NF RFID AND WIRELESS POWER USING HIGHER ORDER MODULATION

Dr. Besnoff was the recipient of the 2012 Best Paper Award of the IEEE RFID Conference for his work on using UHF RFID for high data-rate low-power communication for in vivo biotelemetry.

Morteza Abbasi (S’07–GSM’08–M’12) is currently a Postdoctoral Researcher with North Carolina State University, Raleigh, NC, USA.

13

David S. Ricketts (S’95–M’06–SM’15) received the B.S. and M.S. degrees in electrical engineering from the Worcester Polytechnic Institute, Worcester, MA, USA, and the Ph.D. degree from Harvard University, Cambridge, MA, USA. Prior to joining academia, he spent eight years in industry, where he developed integrated circuits in mixed-signal, RF, and power management applications. His research crosses the fields of physics, materials science, and circuit design, investigating the ultimate capabilities of microelectronic devices and how these devices are harnessed by differing circuit topologies to produce the highest performing systems. He authored The Deisgner’s Guide to Jitter in Ring Oscillator and Soliton Electronics (Springer, 2009), as well as over 100 archival publications. Prof. Ricketts is the Vice-Chair of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committee on Microwave and Millimeter-Wave Solid-State Devices. He was the recipient of the National Science Foundation (NSF) CAREER Award and the Defense Advanced Research Projects Agency (DARPA) Young Faculty Award.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design And Measurement of Tensor Impedance Transmitarrays For Chiral Polarization Control Michael Selvanayagam, Student Member, IEEE, and George V. Eleftheriades, Fellow, IEEE

Abstract—Tensor impedance transmitarrays consist of tensor impedance surfaces, separated by dielectric spacers. In this paper, we present a design method for realizing tensor impedance transmitarrays that are capable of controlling the reflection and transmission of circularly polarized waves, referred to as chiral polarization control. To achieve this, we implement a multi-conductor transmission-line (MTL) model to characterize how vertically and horizontally polarized waves are transmitted and reflected through the surface and to synthesize the desired tensor impedances, which comprise the array. Using this MTL model, we show how to synthesize two different chiral transmitarrays. This includes a polarization rotator, which rotates any linear polarization by 90 and a circular polarization selective surface (CPSS), which transmits one hand of circular polarization while reflecting the other. This is verified with full-wave simulation showing that our proposed model works. We also fabricate and measure the CPSS at X-band based on our design procedure. To measure the CPSS, we use a novel four-port quasi-optical system to characterize both the reflection and transmission of vertically and horizontally polarized fields off of the surface. We achieve a good agreement with our simulated results though we have 1.7 dB more loss than expected due to the use of FR-4 as our substrate. Index Terms—Chirality, circular polarization selective surface (CPSS), equivalent circuits, multi-conductor transmission line (MTL), tensor impedance, transmitarrray.

I. INTRODUCTION

T

RANSMITARRAYS are a class of antenna arrays capable of providing high-gain apertures to generate directive radiation for communication links and imaging applications [1], [2]. These arrays work to alter the incident wavefront by providing a desired phase shift across the aperture of the array itself. Transmitarrays can implement this phase-shifting behavior using different architectures. One common architecture is to use back-to-back configurations of antennas to receive and retransmit the incident field [2], [3]. Here, phase-shifting circuitry is included between the antennas to control the phase shift imparted by the array [4]. These designs can also be made reconfigurable [5]–[7]. Another common configuration are stacks of passive scatterers, which is the focus of this work [8]–[11]. Each unit cell in

Manuscript received June 30, 2015; revised September 15, 2015 and November 09, 2015; accepted November 19, 2015. The authors are with the Edward S. Rogers Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada M5S 2E4 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2505718

the stack is individually tuned to provide a desired phase shift across the aperture with unity transmission and no reflection. Many variations of this idea have been discussed in the literature including designs using metasurfaces [12]–[14] and Huygens’ surfaces [15], [16]. These designs have also been scaled to terahertz and optical frequencies [17]–[20]. While wavefront control has been successfully demonstrated using various transmitarray architectures, controlling other aspects of the electromagnetic field using a transmitarray is an active area of research. One of the main areas of investigation is the polarization of the electromagnetic field and how it can be controlled using transmitarrays. At both microwave and optical frequencies, there exist various examples of transmitarrays that alter the polarization. One example using back-to-back antennas involves rotating the antennas to alter the polarization of the field [21]–[23]. Transmitarrays that use passive scatterers can also alter the polarization state, including meander-line polarizers [24], [25], impedance surfaces [26]–[33], and Huygens’ surfaces [34]. While these surfaces can take an incident field in a specific polarization state and convert it to a desired polarization state, another way of altering the polarization involves chirality. Functionally what chiral behavior implies is control of the transmission/reflection of both left-handed circularly polarized (LHCP) and right-handed circularly polarized (RHCP) waves. The term “chiral” is used as a short-hand here because the eigenmodes of a chiral medium are circularly polarized [35]. Here we refer to devices that control the reflection and transmission of circularly polarized fields as chiral even if the underlying device being discussed is not explicitly chiral. At microwave frequencies, two chiral effects that are of interest are circular polarization selective surfaces (CPSSs) [36] and polarization rotators [37]. CPSSs transmit one hand of circular polarization (CP) while reflecting the other hand. Polarization rotators transmit LHCP and RHCP waves with different transmission phases, resulting in the plane of polarization being rotated. This kind of control of CP waves is important for communication links, which rely on CP such as satellite communications. Examples of surfaces that exhibit some kind of chirality have been extensively proposed using metamaterials and metasurfaces [37]–[46]. However, there are many well-known microwave designs that also demonstrate chiral behvaior for their ability to control circular polarized fields such as meander-line polarizers and specially designed dipole antennas (the Pierrot cell) [47]–[50] even if the device itself is not explicitly chiral.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) Schematic of an -layer chiral tensor impedance transmitarray. Each sheet of the transmitarray consists of a tensor admittance. The 2 2 tensor admittance is described by two eigenvalues and a rotation angle , which maps to a crossed dipole geometry. (b) Fields in the transmitarray as decomposed into vertically polarized and horizontally polarized fields in our coordinate system. The definition of different polarization states using , the relative amplitude between the vertical and horizontal components and , the relative phase.

is defined to have a electric field polarized along the -axis, as shown in Fig. 1(b). The relative amplitude and phase between the horizontal and vertical polarizations defines the polarization state of the wave in the transmitarray. This is given by , where defines the relative amplitude and defines the relative phase (the reader may note that these variables are also the same variables that define the Poincaré sphere [52]). Each surface of the transmitarray is described by a tensor admittance. This tensor admittance relates the continuous electric field at the boundary to the discontinuity in the magnetic field as given by (1) (2)

In this work we focus on designs that can be described by layers of tensor surface impedances, which can be used to implement chiral effects [45], [46]. No comprehensive model has been described in the literature on how these tensor impedance transmitarrays can be designed to achieve a desired functionality. Likewise, measuring the transmission and reflection of RHCP/LHCP polarized waves off of these transmitarrays can be difficult. The transmitted fields often require multiple measurements and the reflected fields can be difficult to measure accurately. Here, we tackle these problem by building off of the model proposed in our IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) paper [51], a multi-conductor transmission-line (MTL) model for a tensor impedance transmitarray. This model is discussed in Section II and helps us to design transmitarrays that control the reflection and transmission of circularly polarized waves. This is used in Section III to design a polarization rotator and a CPSS. To measure the transmitarray we use a novel four-port quasi-optical system disucssed in Section IV, which allows us to characterize the transmitted and reflected fields of RHCP and LHCP waves with one measurement. II. THEORY A tensor impedance transmitarray consists of multiple tensor impedance surfaces separated by a dielectric spacer. This is depicted in Fig. 1(a). Our coordinate system is also defined in Fig. 1(a). Here, each impedance surface sits on a plane parallel to the -plane separated by dielectric spacers with spacing and dielectric constant . We will assume, without loss of generality, that the spacers are identical between each surface. To simplify the problem we look at plane-wave propagation through this stack of impedance sheets with the propagation direction confined to the -axis (i.e., normal incidence only). Since polarization is the focus of this paper, we define a basis for the polarization states of the propagating plane waves. Despite our focus being on controlling the reflection and transmission of RHCP and LHCP modes (chirality), the basis states we use are vertical and horizontal polarization states. A vertical and horizontal polarization basis is the natural basis for describing the tensor impedances used in the discussion below. The vertical polarization state is defined to have an electric field polarized along the -axis while the horizontal polarization state

where it can be seen that this tensor admittance relates the vertically and horizontally polarized plane waves at the impedance surface. Note that this admittance quantity can also be treated as an impedance tensor and both quantities would describe the same surface. The admittance/impedance tensor is a homogenzied quantity, which describes how an incident field is scattered by the surface and relates the ratio of the incident and scattered electric field to the induced surface current density. The induced surface current density is given by the difference in the magnetic fields at the surface. By using a tensor admittance, as opposed to a scalar, we are simply stating that an incident field that is vertically polarized induces both a vertically polarized and a horizontally polarized surface current density and vice versa. This tensor admittance is different from traditional transmitarray architectures where each surface is described by a scalar (or isotropic) admittance, which we will refer to as scalar impedance transmitarrays. This admittance tensor is imaginary and anti-Hermitian to satisfy energy conservation and reciprocity [53]. The tensor admittance is most simply implemented using crossed rotated subwavelength dipoles. This will be discussed more in Section III-C. To realize a tensor impedance transmitarray that has chiral behavior, the impedance surfaces must be rotated with respect to each other, implying that they have nonzero off-diagonal components [39], [45]. This is different than meander-line polarizers and other transmitarrays, which are essentially described by diagonal admittance tensors that allows for the vertically and horizontally polarized fields to be treated separately [25], [27], [31]. Here, with each surface rotated with respect to the other, the waves propagating through each layer of the transmitarray cannot be separated into separate vertically and horizontally polarized fields. Instead the waves propagating in the transmitarray must include the transmitted/reflected vertical waves, transmitted/reflected horizontal waves, and transmitted/reflected vertical-to-horizontal waves and vice versa. This is what allows for chiral polarization control to be realized. Note that the geometric interpretation of this rotation is discussed in Section III-C. The key modeling and design contribution in this work is developing an MTL framework, which is used to semi-analyti-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

cally design such tensor impedance transmitarrays. Compared to previous works in [45] and [46], this transmission-line model allows for a way to design each tensor impedance in the transmitarray to achieve a desired set of reflection and transmission coefficients for the incident CP modes. A. MTL Model Transmission-line models are a commonly used method to understand and design transmitarrays. For scalar impedance transmitarrays, a two-wire transmission-line model is used to model free space, where each layer of the transmitarray is a shunt impedance along the transmission line. By using some form of transmission-line analysis such as filter theory [9], -matrix analysis [18], [27], or Smith chart design [6], [25], a suitable value for the scalar impedance of each layer can be found that minimizes reflections while varying the phase of the transmitted wave. This kind of modeling of scalar transmitarrays can be extended to tensor transmitarrays by using an MTL model instead since we now have two propagating modes supported by our structure, vertically polarized plane waves and horizontally polarized plane waves. For free space surrounding the transmitarray, we can construct a simple MTL model with one segment supporting a vertically-polarized wave and the other supporting a horizontally polarized wave. This is depicted in Fig. 2(a). Note the numbering of the ports associated with the vertical and horizontal waves on the MTL. Since the vertical and horizontal modes are orthogonal in free space, the MTL model is described by diagonal propagation constant and characteristic impedance matrices, given to be (3) (4) where is the identity matrix and is the impedance of free space. For the dielectric spacers between each surface admittance, a similar MTL can also be used, with a dielectric constant . The length of the except with (3) and (4) multiplied by spacer, , would also correspond to the length of the MTL. The last part of our model is the admittance surface described by (2). This surface is modeled in our MTL network as a shunt admittance loading the MTL itself as shown in Fig. 2(b) [34], [45]. This model is similar to the scalar transmitarray counterpart, hence our description of them as admittances. By cascading these different elements together, we form an MTL model of a tensor impedance transmitarray made up of layers. With this model, we can define a few basic quantities to characterize the waves within the transmitarray. At any point on the MTL line we can define the reflection coefficient matrix, and input admittance matrix . These are related to each other by (5) (6)

3

As we will discuss below, one way to design a transmitarray is to choose the tensor admittances of each layer in such a way as to force the reflection coefficient to take on a desired value. To guarantee that the reflection coefficient has the desired value at the input of the transmitarray we use the basic MTL elements defined above to engineer these quantities. Using this MTL model we need to understand how the shunt admittance tensor and MTL spacer influence the reflection coefficient as well as the input admittance. These scenarios are also illustrated in Fig. 2(a) and (b). For a shunt tensor admittance element with an admittance of , the input admittance matrix looking on either side of , as shown in Fig. 2(b), are related to each other by simple subtraction, (7) This is analogous to a shunt load on a two-wire transmission line. The reflection coefficient matrix can then be found by using (6). Since is assumed to be imaginary, only the imaginary part of the input admittance is altered. For an MTL spacer, the reflection coefficient matrix on either side of the MTL is related via the phase shift of the MTL spacer [strictly speaking, the reflection coefficient is given by where the matrix exponential operation is defined to be

, and

is

. However, since the vertically the matrix that diagonalizes polarized and horizontally polarized modes in free space and the dielectric spacer are orthogonal, this reduces to simply a scalar multiplication of the reflection coefficient matrix by a complex exponential. A similar statement applies to the term in (9)], (8) . Correspondingly the input admittance matrix where on either side of the MTL spacer is given by (9) Note that the MTL spacer affects both the real and imaginary parts of the input admittance matrix. Once we understand how the input admittance and reflection coefficient matrices can be controlled using these basic MTL elements, we can look at more complex MTL networks to control the value of the reflection coefficient matrix. For our purposes, we consider the MTL network shown in Fig. 2(c), which shows two shunt tensor admittance elements and separated by an MTL spacer of length . For this MTL network, we assume that the input admittance matrices and at points and in Fig. 2(c) are known quantities (implying that this circuit is part of a larger circuit). The question then is how do we find the values of and , assuming that the properties of the MTL spacer are fixed, so that the input admittance at can be transformed to at . It can easily be seen that this problem is the generalization of a two-element shunt matching network from two-wire transmission-line theory. We are solving this network because it forms a key step in finding solutions for our transmitarray, as we will see in Section III.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Basic elements for an MTL model of tensor impedance transmitarray. (a) MTL model of free space. The MTL supports a vertically polarized mode and a horizontally polarized mode as defined previously and as annotated above. The two modes are orthogonal in the MTL. Note that we repeat the ground wire for clarity. (b) Shunt tensor admittance loading the MTL. This models the surface admittance of each layer of the transmitarray. (c) Two-element tensor admittance and are given. We can then solve for and . matching network separated by an MTL spacer.

To find the desired tensor admittances, and , the known input admittances at points and can be used to find the admittance at points and of the MTL network as a function of and , respectively, using (7). From there, we use the fact that the MTL segment between and is of a known and fixed length and we then substitute and into (9). Applying some linear algebra results in an equation for , given to be

(10) and . To solve this where equation, we recognize that it is of the form of (11) where the coefficients , , and can be seen from (10). This equation is known to be the algebraic Riccati equation, which has applications in control theory [54], [55]. Much of the discussion about solutions to this equation are in the context of controllable systems, and thus much of the literature is focused on finding “stable” solutions to the algebraic Riccati equation [55]. However, in the context of the MTL network, a physically relevant solution is simply a real symmetric matrix as we are trying to solve for the susceptance at point in the MTL network in Fig. 2(c). From the existing literature on algebraic Riccati equations, it is clear that the coefficient matrices , , and in a passive and reciprocal MTL network satisfy the conditions required that the solutions to the algebraic Riccati equations are also real symmetric matrices [55]. To find these solutions, they can be constructed explicitly from the eigenvectors of a block matrix of the coefficients [54]. Numerical tools can also be used. For example, in MATLAB this equation can be solved using the command. This method results in multiple solutions to the algebraic Riccati equation as different eigenvectors can be used to construct different solutions. However, each of these solutions is a valid solution to the algebraic Riccati equation and we can use one or all of these solutions if desired. With a solution for , this allows us to solve for using (7),

(12) Using (9), again we then find ,

, which can be used to find (13)

Equations (10)–(12) provide the closed-form expressions for the shunt tensor admittances in the MTL network in Fig. 2(c). As we will see below, this solution forms a key step in designing tensor impedance transmitarrays by allowing us to enforce a desired reflection coefficient. It is important to note that this kind of MTL model has also been applied in both the FSS and metamaterial literature [56]–[59]. In these contexts, however, the MTL model is used to analyze the transmission and reflection of various Floquet modes through the periodic structure. However, in these previous works, the idea of using a tensor admittance matching network in an MTL formulation has not been proposed before. Furthermore, the idea of achieving a desired reflection coefficient by using tensor admittances as a matching network is introduced via the MTL operations given above. Thus the problem shifts from an analysis problem, as discussed in [56]–[59] to a synthesis problem, which is quite a different application of the MTL model. We also note the need to analyze the transmission parameters through a network of tensor admittance layers separated by MTL spacers. This is done by finding the S-parameters of the MTL spacer and the shunt admittance tensors and converting them to four-port transfer matrices. By multiplying the transfer matrices and converting back to S-parameters, we can determine the transmission and reflection through the entire network. This is described in more detail in Appendix A. We note here for completeness that we can also cascade the S-parameters directly if desired [60], [61]. For larger dimensional MTL networks this may be more efficient than converting to transfer matrices. III. TENSOR IMPEDANCE TRANSMITARRAYS FOR CHIRAL POLARIZATION CONTROL With the MTL theory developed above to model a stack of tensor admittance sheets, we now use these concepts to create

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

where

is a 2

5

2 matrix, as defined in Section II-A and

. What this states is that, in the vertical/horizontal polarization basis that we are working in, we must keep track of the reflection and transmission of vertically to vertically polarized waves , horizontally to horizontally polarized waves , and vertically to horizontally polarized waves . For a polarization rotator, the desired S-parameters in the linearly polarized basis are given by

(15)

where defines the relative amplitude between the transmitted vertical and transmitted horizontally polarized fields, as discussed in Section II. It can be seen that the transmission matrix in this case is essentially a 2 2 rotation matrix, demonstrating how the plane of polarization is rotated. Likewise for the CPSS in a linearly polarized basis, the S-parameters are described by [48]

Fig. 3. (a) Equivalent circuit model for an asymmetric tensor admittance transmitarray. (b) Input admittance and reflection coefficient tensor defined at eight different points within the asymmetric tensor admittance transmitarray circuit model.

a transmitarray capable of implementing chiral polarization effects. As discussed in Section I, the chiral polarization effects that are of interest are CP selectivity and polarization rotation. To design transmitarrays capable of doing this we use the MTL model to implement the S-parameters of a tensor impedance transmitarray. In this section we discuss the following four relevant aspects: 1) S-parameter matrix and the corresponding number of admittance surfaces; 2) design procedure using the MTL model; 3) implementation of the tensor impedance sheets; 4) examples of chiral tensor impedance transmitarrays. A. S-Parameter Matrix and the Number of Admittance Surfaces To properly implement a transmitarray, we must have an S-parameter matrix, which describes the reflection and transmission of waves off of the transmitarray. For the usual scalar impedance transmitarray, the S-parameters are typically descried by a 2 2 S-parameter matrix with and , where is some linear phase shift across the aperture of the transmitarray. For a polarization controlling transmitarray, the S-parameter model is now a 4 4 matrix. This can be seen in Fig. 3, where the MTL model for an -layer tensor impedance transmitarray is shown. It is clear from this model that the S-parameters for this circuit are given by (14)

(16)

In this specific example, an RHCP wave is reflected into an RHCP wave, while an LHCP wave is transmitted as an LHCP wave. In the first case we are designing a reflectionless transmitarray, while in the second case both the reflection and transmission are nonzero. The S-parameters given above are chosen to control the polarization of the reflected and transmitted fields. However, one degree of freedom that is ignored is the absolute phase of the reflected and transmitted waves. From traditional transmitarray designs, it is well known that a phase gradient on the transmitted wave is used to bend and collimate incident waves on the screen. This phase gradient is usually expressed as a delay (or advance) of the normally incident component of the incident field [6], [9]. In the designs presented here, we are ignoring any spatially varying phase gradient across the aperture of the transmitarray in the desired S-parameters. However, we do note that such a constraint can be introduced into the desired S-parameters and is left to future work. Such a design would allow for the realization of a chiral transmitarray for different kinds of incident fields besides a plane wave as assumed here (i.e., a spherical wavefront). The question then arises as to how many tensor impedance surfaces are needed to implement the desired S-parameters given above. Ultimately, this is answered by the fact that the relationship between the impedance tensors and the S-parameters are given by some algebraic relationship. Thus, the number of unknowns in the S-parameter matrix can be related to the number of variables provided by each impedance surface. For the S-parameter matrix in (14), which is assumed to be lossless and reciprocal, it can easily be seen that the reflection coefficient matrix has three variables ,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

which are complex for a total of six variables. From the constraints of the S-parameter matrix being lossless and reciprocal the transmission matrix has three remaining variables [34], [62], an overall phase shift of the transmitted field, and the polarization state of the transmitted field, which is described by and . Thus, in general, a transmitarray that alters the polarization has nine variables to satisfy. A single tensor admittance surface that is imaginary and reciprocal has three unknowns, , , and . Thus, it can easily be seen that at least three admittance surfaces will be needed. As we will show below, we can implement the S-parameters for a CPSS using three cascaded tensor admittance surfaces separated by dielectric spacers. However, for the polarization rotator, we will need to use four tensor admittance surfaces. This is because the polarization rotator is reflectionless. A more detailed explanation for why a three-layer tensor impedance transmitarray cannot be made reflectionless is given in Appendix B. In general, any realistic design would have some loss due to dielectric and conductor losses. If loss were to be taken into account directly in the design of these kinds of transmitarrays, the specified S-parameters would not be precise values, but bounded values. Here, the reflection and transmission coefficient matrices would be given by a range of values (i.e., dB) and the synthesis of the transmitarray would only aim to reach these ranges. This would be akin to the kinds of specifications given in filter design. For now we focus on precise S-parameter values, but for future work we note that loss can directly be taken into account in the design procedure. B. Design Procedure Using the MTL Model As per the discussion above, it is now clear that for a reflectionless design we need at least layers. This includes designs such as a polariztion rotator. Here the design procedure for an tensor admittance transmitarray is given. It will be shown below that for a case when reflections are desired, as for a CPSS, the design procedure can easily be modified for an case. The MTL circuit model for a tensor admittance transmitarray is shown in Fig. 3. For a given set of S-parameters such as those given in (15) and (16), the question is how to choose , , , and . To give some further motivation for why we are using the MTL model let us briefly look at how transmitarrays are designed. For a scalar impedance transmitarray, one common design method is to use /transfer matrices. For a three-layer design, the transfer matrices for each layer are multiplied together and converted to S-parameters, which sets up a system of equations to solve. Usually because there are only two unknowns total, the system of equation can be easily solved. If we try and take this approach with our MTL model in Fig. 3 by trying to only use transfer matrices to synthesize the tensor admittances, we run into some challenges. The transfer matrices are now 4 4 and multiplying them together and converting them to S-parameters leads to very complicated expressions, as well as a system of nine or more equations for which no closed-form solution can be found.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

It is clear that transfer matrices alone are not helpful. Our approach is to use the MTL network and the associated operations defined in Section II-A. This leads to a semi-analytical method that allows us to solve for – . This approach is based on enforcing the desired reflection coefficient at the input, . We do this by tracking the value of at different points in the transmitarray, as shown in Fig. 3(b), for different values of and . For the case, this is done by using the following procedure. 1) We assume a value for and . 2) Given the desired S-parameters in either (15) or (16), we can calculate the reflection coefficient looking into the transmitarray at and the reflection coefficient looking into ports 3 and 4 at . From there, using (7) and (9), we can calculate the input admittance at and and subsequently and using our basic MTL operations. 3) With the input admittance known at points and , we can solve of the susceptance at point using (10). 4) Finally with the admittance at known, we can use (13) to find . We can then use the admittance at to determine the admittance at so that (12) can be used to find . This gives us the admittance for all four layers. 5) We can then evaluate the full S-parameters of the transmitarray with the values found for , as described in Appendix A. If the desired transmission values are not achieved we then repeat 1)–4) until they are. As stated in Section II-A, we can use the multiple solutions to (10) in 4) and evaluate the transmission through the resulting transmitarray for each of these solutions to fully investigate all of the possible solutions. The semi-analytical nature of this approach can be seen where we solve for and while choosing and . This approach reduces the solution space of the problem dramatically and only looks for solutions with the desired reflection coefficient, . Conceptually, this approach is similar to graphical techniques for scalar transmitarrays where solutions can be found by treating the transmitarray as a matching problem [6], [25]. For a transmitarray with layers, this procedure can easily be adapted to solve for the tensor admittances, where we only have to choose a value for while solving for and . Given that this procedure is iterative, it is worth asking if it can be proven that a solution can be found. However, the nature of this matching network approach proposed here is to intelligently search the solution space by trying different possible solutions, which enforce the desired reflection coefficient as stated. Thus, each choice for a possible solution is independent of each other. Thus, the only way to guarantee a solution is to make sure that the transmitarray unit cell has enough variables to satisfy all the degrees of freedom in the reflection and transmission coefficients. This is discussed in more detail in Appendix B where it is shown that to design a reflectionless transmitarray we need at least layers (However, if we can live with reflections, layers are sufficient.). By making sure that there are enough tensor admittance surfaces to provide the necessary degrees of freedom we can make sure that the solution space that we are searching in will have a potential solution.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

7

C. Implementation With the design procedure described above, the final question to answer is what does the physical realization of each tensor admittance surface look like? There are many geometrical patterns that can be used to implement a tensor admittance surface, including many geometrical patterns taken from the FSS literature [63]. An intuitive design for a tensor admittance surface that maps one-to-one to the properties of the admittance tensor is a rotated crossed-dipole shown in Fig. 4(a) [34], [45]. To briefly review this, we can take the admittance tensor given in (2) and diagonalize it, (17) where the diagonalization matrix can be interpreted as a rotation matrix, characterized by an angle , (18) This results in the admittance tensor being decomposed into and , and a rotation matrix characterized two eigenvalues, by an angle . This means the three degrees of freedom of the tensor can be reinterpreted as , , and . These variables can be mapped to a rotated crossed dipole, where the rotation angle corresponds to a physical rotation of the crossed dipole and the admittance of each arm of the crossed dipole is designed to be and , respectively, as illustrated in Fig. 4(a). This can be demonstrated by examining the computational model of the crossed dipole. This is shown in Fig. 4(b) where an orthogonal crossed-dipole is placed in a periodic waveguide consisting of periodic boundaries, which supports normally propagating vertically and horizontally polarized plane waves. We can see that each arm of the crossed dipole is loaded by a printed reactance (in Fig. 4(b), a printed capacitor is shown on both arms). The geometry of this printed reactance, whether it is a printed capacitor or a printed inductor, can be varied to control the impedance of each arm of the dipole along with the geometry of the dipole itself. The S-parameters for this unit cell are given by a 4 4 matrix, , which can be converted to a -parameter matrix from which the surface impedance can be extracted. For an orthogonal crossed dipole shown in Fig. 4(b), the -parameters are given to be (19)

is the impedance tensor and and . This is the generalization of the -parameters for a shunt load on a two-wire transmission line to the MTL case, as given in Appendix A. Thus, when simulating the crossed dipole in HFSS, the eigenvalues of the crossed dipole can be found as the map to each arm of the dipole directly. The rotation angle, , which corresponds to a physical rotation, can be added in post-processing where the S-parameters can be physically rotated. This is given by where

(20)

Fig. 4. (a) Unit cell for a tensor admittance surface. A rotated crossed-dipole. It is not just the crossed-dipole itself that is rotated, but the entire lattice. The and . dipoles are loaded with reactances to change their admittance, Printed gaps for capacitances as shown here and meander lines for inductances. Note that crossed dipoles themselves are orthogonal to each other. (b). HFSS model for a crossed dipole.

where

is the rotation matrix given by

(21)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

These rotated S-parameters can be converted to which are given as

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

-parameters,

(22) (23) Combined with (19), the extracted impedance tensor is given by (24) which corresponds with (17) showing that the physical rotation by corresponds to the angle of the diagonalization matrix in (18). A design procedure using HFSS and this post-processing rotation can be described as follows. 1) Given an admittance tensor find the eigenvalues and the diagonalization angle using (17). 2) From the eigenvalues, the geometry of the crossed dipole can be finalized. Depending on the sign of the susceptance of the eigenvalues, a printed inductive or capacitive loading can be used to load either arm of the crossed dipole. The geometry of both the dipole and printed element can be designed using the simulation setup in Fig. 4(b) in HFSS. From the simulated S-parameters in the vertical and horizontal polarization basis, it can be verified that the extracted -parameters correspond to the desired values using (19). 3) Finally, the angle simply takes the crossed-dipole design and applies a physical rotation to it as given in (22), which effects the layout of the crossed dipoles. Of course because the design in HFSS takes into account the presence of the substrate that the dipoles are printed on, loss in the conductor and substrate and any parasitic effects from the printed inductors and capacitors, an iterative approach is required to finalize the design by mitigating their effects by fine tuning the design parameters. Thus, each of the admittance tensors found using the design procedure given above can be implemented using this rotated crossed-dipole geometry. It is also important to note that the unit cell size for each tensor admittance surface is chosen such that only the dominant propagating Floquet modes are supported. In this case, assuming normal incidence, this is the vertically and horizontally polarized plane waves that are under discussion here. It is also worth noting that the spacing between tensor admittance surfaces is chosen to be larger than the unit cell size to prevent coupling between higher order evanescent modes as well. The key point to make here, however, is how these crossed dipoles can be used to form a chiral transmitarray. As we discussed in Section II, we said that the chiral transmitarray can be designed because one or more of the admittance surfaces are rotated with respect to each other. Here we see from (17) how the rotation angle is directly tied to the admittance tensor. When multiple surfaces are cascaded, each with a different value of , there is no coordinate system that can be chosen that aligns with all the surfaces. Thus the reflected and transmitted fields are always a sum of vertically and horizontally polarized waves. (If each surface has the same value of , the underlying coordinate system can be chosen so that the vertically polarized and

horizontally polarized waves do not couple to each other.) By carefully choosing each admittance tensor, the resulting sum of vertically and horizontally polarized waves results in LHCP and RHCP modes propagating with different transmission/reflection coefficients as explored in [39] and [45]. What is elegant about this is that we do not have to explicitly evoke chiral surface parameters as in [37] and [46] and instead focus on simpler-to-design admittance tensors. Finally, what we have established here is a design procedure that allows us to precisely specify admittance tensors for a finite number of layers to achieve a desired S-parameter response. D. Examples To tie this all together we now look at designing two tensor impedance transmitarrays, which implement the polarization rotator given in (15) and the CPSS given in (16). 1) Polarization Rotator: Our design for a polarization rotator is done at 10 GHz and we implement a polarization rotator, which rotates any linear polarization by 90 . Note again that is the relative amplitude of the vertical and horizontal polarization, as defined in Section II. The spacing between the tensor admittance surface is set to be at this frequency. Since a polarization rotator is reflectionless, it is clear that we need to use at least layers based on the discussion in Section III-A. Here, we use the design procedure given in Section III-B to find the admittance of – . One possible solution is given by mS mS mS mS We note that the rotation angle of each surface is given by , , , and , showing the progressive twist along each admittance tensor, allowing LHCP and RHCP waves to propagate differently. To implement these admittance surfaces we design crossed dipoles on four 0.75-mm Rogers 3203 substrates that are patterned with a single layer of copper. Note that no vias are required. Each arm of the crossed dipole consists of a printed inductor or capacitor to implement the desired reactance of the eigenvalues of the admittance tensor with a unit cell size of 4 mm 4 mm. The crossed dipole is then rotated to achieve the desired admittance tensor. Each surface is simulated individually in HFSS using periodic boundaries with metal and dielectric losses included. The tensor admittance of each layer is verified using the equivalent -parameter model given in Appendix A. For the admittance tensors and of the polarization rotator in Section III, the corresponding printed dipoles of each layer are shown in Fig. 5 along with their stacked configuration. We can calculate the overall S-parameters through the fourlayer structure by numerically cascading the S-parameters of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

9

Fig. 5. Layout and S-parameters of a polarization rotator designed using printed and rotated crossed-dipoles. Each layer sits on a 0.75-mm Rogers 3203 substrate. , each arm of the crossed All dimensions shown are in millimeters. Note also that all the unit cells are 4 mm 4 mm. Depending on the eigenvalues of . (b) Layer 2, which implements . (c) Layer 3, which implements . dipole is loaded with a printed inductor or capacitor. (a) Layer 1, which implements . (e) Cascaded layers showing the relative rotation between layers. The substrate is not shown. Each layer is separated by . (d) Layer 4, which implements (f) Reflected S-parameters. (g) Transmitted S-parameters. (h) Phase shift between the cross-polarized transmission.

each simulated layer with those of the air spacer, as given in Appendix A. We calculate the S-parameters of the full stack of tensor admittances like this because each layer has a different rotation angle, and thus there is no global period for the full four-layer structure in the transverse direction ( -plane). The S-parameters are shown in Fig. 5 and we can observe the large transmission for the cross-polarized transmission terms as well as a 180 phase shift between and , which is what allows for the 90 polarization rotation. The individual entries of the reflection coefficient matrix are also below 10 dB around the design frequency. This realization of a polarization rotator shows that LHCP and RHCP modes are both transmitted with high large transmission, but different phases.

2) CPSS: Unlike the polarization rotator, a CPSS is not reflectionless, but is, in fact, designed to reflect one hand of CP while passing the other [37],[45],[48]. The S-parameters for a CPSS, which rejects RHCP and transmits LHCP, are given in (16). Here we use layers with an air spacing of 4.8 mm between each layer with a design frequency of 10 GHz again. Using the design procedure given in Section III-B, the admittance tensors for all three layers are found to be mS mS

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Layout and S-parameters of a CPSS designed using printed and rotated crossed-dipoles. Each layer sits on a 0.8-mm FR-4 substrate. (a) Layer 1, which . (b) Layer 2, which implements . (c) Layer 3, which implements . (d) Cascaded layers showing the relative rotation between layers. The implements substrate is not shown. Each layer is separated by 4.8 mm. (e) Transmitted and reflected LHCP-to-LHCP fields and RHCP-to-RHCP fields. (f) Transmitted and reflected LHCP-to-RHPC and RCHP-to-LHCP fields.

mS Again the value for the rotation angle of each surface is , , and , showing the progressive twist in the rotation angle through the transmitarray. To implement the CPSS we use three 0.8-mm FR-4 substrates that are patterned on a single layer with copper. The choice of FR-4 here is not ideal for 10 GHz in terms of its high loss, but is used because of its mechanical stability, as discussed in Section IV-A when fabricating this design. The rotated dipoles are designed again in HFSS using periodic boundaries and the pattern for each rotated dipole is shown in Fig. 6. Note that for each layer, one arm of the crossed-dipole is “missing” because the capacitance between adjacent dipoles is sufficient that an orthogonal dipole is not needed. Again, the overall S-parameters of the CPSS are found by numerically cascading the simulated S-parameters for each layer and the air spacer. To plot simulated S-parameters, they are first converted to a CP basis as that is the simplest way to see how the RHCP and LHCP modes are reflected and transmitted [23]. In Fig. 6(e), the transmission and reflection of RHCP-to-RHCP and LHCPto-LHCP are plotted where we can see that the transmission of LHCP waves and the reflection of RHCP waves is large at the design frequency of 10 GHz. Fig. 6(f) shows the transmission and reflection of RHCP-to-LHCP and LHCP-to-RHCP, which are all less than 15 dB. Compared to meander-line polarizers,

which are used to implement CPSSs [47], this design is much thinner, requiring only three printed circuit board (PCB) layers. When compared to Pierrot-type cells [49], [50], it is clear that this approach does not require vias or transmission-lines along the direction of propagation either. From these two examples we can see that our design procedure is successful in synthesizing cascaded tensor impedance surfaces, allowing us to realize chiral polarization effects with these kinds of transmitarrays. IV. MEASUREMENT The other important aspect when designing a tensor impedance transmitarray is being able to measure the reflected and transmitted fields. For the tensor impedance transmitarrays under discussion here the goal is to be able to measure the full 4 4 S-parameter matrix of the transmitarray. In general, there are two main ways to characterize the fields of a transmitarray: near-field scanning and quasi-optical measurements. Planar near-field scanning allows for the transmitted fields to be measured using a probe that is raster scanned over the aperture of the transmitarray. This allows for the far-field radiation to be calculated from these measurements. Along with the far-field radiation, the 2 2 transmission matrix through the structure can also be calculated from the measured near-field, however, the reflections from the system are not characterized, leaving them unknown [46].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

Free-space quasi-optical systems allow for measurement of transmitted and reflected fields [64]. However, these systems are generally singly polarized (vertical or horizontal) and can only measure 2 2 S-parameter matrices. The full 2 2 transmission matrix can be characterized if one of the horns is rotated, requiring multiple measurements. However, the reflection matrix can only be partially characterized with the most difficult measurement being the cross-polarized reflection (vertical-to-horizontal and vice versa). One way of attempting to do this is to measure the reflection coefficient matrix with respect to a known quantity such as a linear-polarizer, as in [65]. However, such a measurement is difficult to calibrate as a linear polarizer is not an ideal calibration reference for a vector network analyzer (VNA) (where shorts/opens/lines are preferred). Thus, it can be seen that trying to measure the full 4 4 S-parameter matrix of a free-space polarization controlling device is still a challenging problem. In this work, our goal is to be able to measure the full S-parameter matrix in a single measurement. To do this we will discuss the setup of a dual-polarized quasi-optical system. The transmitarray that we will be measuring will be the CPSS discussed above in Section III-D. We focus on the CPSS because both the reflected and transmitted fields are important to characterize for determining how well the design works. Note that in our IEEE MTT-S IMS paper [51] we discussed the measurement of a polarization rotator in a near-field chamber, albeit one designed using tensor Huygens’ surfaces instead. A. Measurement Setup and Results To measure S-parameters in free space that characterize the reflection and transmission of both vertically and horizontally polarized fields, the hardware required includes dual-polarized sources and a four-port VNA. The dual-polarized sources are required so as to be able to transmit and receive both vertical and horizontal polarizations. The four-port VNA is needed to enable the measurement of the S-parameter matrix with a single measurement. A schematic of the free-space four-port quasi-optical setup is shown in Fig. 7(a). The dual-polarized source consists of X-band orthomode transducers (OMTs) connected to X-band conical horns (Both manufactured by Cernex). The OMTs allow for the conical horn to transmit and receive both vertical and horizontal polarizations. The horns and OMT are connected to an Agilent four-port VNA where each port of the VNA transmits and receives a vertical or horizontal polarization. The rest of the measurement setup consists of a standard quasi-optical design. The dielectric lenses have a hyperbolic curvature and both the conical horn and device-under-test (DUT) are placed at the focal length of the lens, collimating the beam from the horn to the DUT [66]. A photograph of the quasi-optical system is shown in Fig. 7(b). To calibrate this system, a standard four-port thru-reflect-line (TRL) calibration is used. Here, a metal plate is used as the reflect standard, a quarter-wavelength line is used as the line standard, while the thru is defined as the distance between the reference planes of the DUT. To make sure that the quarter-wavelength line is measured accurately, the horn, OMT, and lens are placed on micrometer translation stages. The overall calibration

11

Fig. 7. (a) Schematic of the four-port quasi-optical setup. (b) Photograph of the measurement setup.

procedure then is done using the built-in four-port TRL calibration routine of the VNA. First the reflect standard is measured at all four ports. Next, line and thru measurements are taken between co-polarized ports (ports 1–3 and ports 2–4). One of the horns is then rotated 90 and a thru and line measurement is taken between either ports 1–4 or ports 2–3. Once the horn is rotated back, the system is in its calibrated state. The CPSS was fabricated based on the design given in Section III-D using standard PCB fabrication on three 0.8-mm FR4 boards, 254 mm 254 mm in size and was held together using 4.8-mm plastic spacers and screws around the edge of the board, as shown in Fig. 8. The total thickness is 12 mm or at the design frequency of 10 GHz. The choice of FR-4 was motivated by the fact that it was mechanically stiff enough to stack each PCB in this manner while keeping each board parallel to the other. With the calibrated quasi-optical system and the fabricated CPSS, the measurement simply involves placing the CPSS at the reference plane of the measurement system. To minimize the reflections between the VNA, horn, lenses, and OMT, the measured S-parameters are time gated. The measured S-parameters in the linear polarization basis are converted to a CP basis as well and plotted in Figs. 8(c) and (d). Again we can see that the LHCP wave is transmitted, while the RHCP wave is reflected. The cross-polarized transmission and reflection in Fig. 8(d) is also small, and below 15 dB. Compared to Fig. 6(e) and (f) , a general agreement can be found between the simulated and measured results. However, note that the transmission of the LHCP wave peaks at 3.7 dB, compared to 2.0 dB in simulation, which is lower than desired. This can be attributed to the loss of the FR4 at X-band. We know this because the cross-polarized transmission and reflection between LHCP and RHCP is small, as shown in Fig. 8(d), and the reflection of the LHCP-to-LHCP wave is also below 10 dB. In our modeling of these kinds of tensor impedance transmitarrays, if we used a lower loss substrate the transmission of the LHCP wave would be much

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

V. CONCLUSION We have demonstrated the analysis, design, and measurement of a transmitarray capable of chiral polarization control. The transmitarray is made up of a stack of tensor admittance surfaces. The use of these tensor admittance sheets allows for control of transmitted and reflected circularly polarized waves. In the examples presented here, this includes effects such as polarization rotation and CP selectivity. Fundamentally, this chiral behavior is due to the different rotation angles of each surface admittance tensor in the transmitarray. By using the MTL model proposed here, we can carefully choose the admittance tensors to achieve a desired transmitted and reflected field. This MTL model may also be useful for other structures such as frequency-selective surfaces. For the measurement of these transmitarrays, we have introduced a novel four-port quasi-optical system, which can completely characterize the reflection and transmission of vertical and horizontal polarizations off of the device. This allowed us to successfully characterize the fabricated CPSS in a single measurement. Further work on these kinds of designs could involve extending the bandwidth of these designing by either adding more layers or by designing more broadband tensor admittance unit cells as sub-wavelength dipoles can be narrowband. Given the matching network approach used to design the tensor admittance surfaces, it would be interesting to combine this method with other optimization techniques to more efficiently search the solution space. Another interesting aspect would be working on controlling phase fronts in conjunction with chiral polarization effects to achieve compact microwave lenses that control CP waves. This would be achieved by having each unit cell on the surface have a different absolute phase shift allowing for CP waves to refract. APPENDIX A S-PARAMETERS OF A TENSOR IMPEDANCE TRANSMITARRAY

Fig. 8. (a) Photograph of the three layers of the fabricated CPSS. (b) Side view of the CPSS. (c) Measured transmission and reflection of LHCP-to-LHCP and RHCP-to-RHCP. The corresponding simulated parameters are shown in grey. (d) Measured transmission and reflection of LHCP-to-RHCP and RHCP-toLHCP. The corresponding simulated parameters are shown in grey.

higher. This is an area of further investigation in manufacturing these transmitarrays. This measurement verifies the synthesis method discussed above in Section III-B and shows that this MTL model is successful in designing transmitarrays, which can control CP polarization. Likewise this measurement method is capable of fully characterizing the reflection and transmission of both horizontally and vertically polarized waves as demonstrated and can be useful in measuring other polarization controlling devices in the microwave and millimeter-wave frequency range.

For a tensor impedance transmitarray, we use the MTL model given in Section II-A to find a solution for synthesizing chiral transmitarrays. Part of this method is to evaluate the transmission through the transmitarray, i.e., 5). To do this we use a 4 4 transfer matrix to define the relationship between the ports numbered in Fig. 2(a). Each component of the transmitarray can be represented by a 4 4 matrix. For a shunt admittance sheet shown in Fig. 2(b), a 4 4 -matrix representation was defined in [34] and is given by (25)

are found from . where the elements of Intuitively, this -matrix is anlagous to the 2 2 -matrix of a shunt load on a two-wire transmission line. This impedance matrix can be converted to an S-parameter matrix using , where is a diagonal matrix of the port impedance of each port [62]. In our case the port impedance at each port is simply , the free-space wave impedance.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

13

For the interconnecting transmission lines, the vertical and horizontal modes are decoupled as stated earlier. Thus, the S-parameter matrix is simply a block-diagonal matrix, with each block being the S-parameters of a two-wire transmission line. This can easily be constructed from [62]. To determine the S-matrix of the overall transmitarray we can convert all the S-matrices into 4 4 transfer matrices. The transfer matrix is define to be (26)

where and are the incoming and outgoing waves at ports 1–4, with the numbering of the ports given in Fig. 2. These transfer matrices can be multiplied together to model the whole stack of admittance sheets and dielectric layers as given by (27) This overall transfer matrix can be converted back to an S-parameter matrix to find the reflected and transmitted fields off of the transmitarray. APPENDIX B DETERMINING THE NUMBER OF LAYERS IN IMPEDANCE TRANSMITARRAY

A

TENSOR

In Section III, we stated that we needed layers to construct a chiral tensor impedance transmitarray that is reflectionless. Here we give further details as to how this can be determined. To help make this case we will use analogies to scalar impedance transmitarrays as necessary. When designing a transmitarray, our variables or degrees of freedom are the entries of the admittance tensors of each layer, which we use to control the reflection and transmission coefficients matrices. Each tensor admittance surface has three degrees of freedom. Meanwhile, the complex reflection coefficient matrix now has six degrees of freedom while the transmission parameters have another three (the relative phase and amplitude, as well as the absolute phase). Thus, intuitively, it would seem as if three layers would be sufficient. However, for a tensor impedance transmitarray that implements chirality, we are implicitly enforcing another assumption, that the admittance tensor of each layer is different because , the rotation angle of the admittance tensor, varies from layer to layer. We then have to figure out how many layers we need to create a reflectionless transmitarray for this structure. It is clear that having either or layers is insufficient as they do not have enough variables. For an transmitarray, shown in Fig. 9, we can show why this design cannot be reflectionless if changes from layer to layer. From Fig. 9, let us assume values for and with the assumption that implying that . We also assume that . Using (7) and (9), we can find the complex input admittance at points and in the transmitarray shown in Fig. 9. These are given to be (28)

Fig. 9. tensor impedance transmitarray. As we show below, this network cannot be reflectionless if is different from layer to layer.

(29) where and are the rotation matrices corresponding to and , as defined in (18). We can see that the tensor admittance for the second layer is found to be . However, since , the real part for is nonzero implying that a lossless admittance tensor cannot be found for . Thus, layers is insufficient. When we have layers we have enough degrees of freedom to enforce a reflectionless transmitarray and to manipulate the transmission coefficients as desired while allowing to change from layer to layer. From a matching point of view we can see that with we can use two layers to take the admittance matrix, which is at the output [point in Fig. 3(b)], to a complex value inside the transmitarray, at point in Fig. 3(b), as shown using (10). We then use the other two layers to bring this complex admittance matrix back to at point using (10) again. This is the basis for our semi-analytical procedure. By imposing (10), we are able to always converge on reflectionless solutions. Of course, is simply the minimum number of layers needed to construct a reflectionless chiral transmitarray, more layers can be used as they add extra degrees of freedom, which may help other parameters such as the bandwidth. REFERENCES [1] D. McGrath, “Planar three-dimensional constrained lenses,” IEEE Trans. Antennas Propag., vol. AP-34, no. 1, pp. 46–50, Jan. 1986. [2] D. M. Pozar, “Flat lens antenna concept using aperture coupled microstrip patches,” Electron. Lett., vol. 32, no. 23, pp. 2109–2111, 1996. [3] Z. Popović and A. Mortazawi, “Quasi-optical transmit/receive front ends,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 11, pp. 1964–1975, Nov. 1998. [4] A. Munoz-Acevedo, P. Padilla, and M. Sierra-Castaner, “Ku band active transmitarray based on microwave phase shifters,” in 3rd Eur. Antennas Propag. Conf., 2009, pp. 1201–1205. [5] J. Y. Lau and S. V. Hum, “Analysis and characterization of a multipole reconfigurable transmitarray element,” IEEE Trans. Antennas Propag., vol. 59, no. 1, pp. 70–79, Jan. 2011. [6] J. Lau and S. Hum, “Reconfigurable transmitarray design approaches for beamforming applications,” IEEE Trans. Antennas Propag., vol. 60, no. 12, pp. 5679–5689, Dec. 2012. [7] S. Hum and J. Perruisseau-Carrier, “Reconfigurable reflectarrays and array lenses for dynamic antenna beam control: A review,” IEEE Trans. Antennas Propag., vol. 62, no. 1, pp. 183–198, Jan. 2014. [8] C. G. M. Ryan, M. Chaharmir, J. Shaker, J. Bray, Y. M. M. Antar, and A. Ittipiboon, “A wideband transmitarray using dual-resonant double square rings,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1486–1493, May 2010. [9] M. Al-Joumayly and N. Behdad, “Wideband planar microwave lenses using sub-wavelength spatial phase shifters,” IEEE Trans. Antennas Propag., vol. 59, no. 12, pp. 4542–4552, Dec. 2011.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[10] M. Li, M. Al-Joumayly, and N. Behdad, “Broadband true-time delay microwave lenses based on miniaturized-element frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 61, no. 3, pp. 1166–1179, Mar. 2013. [11] N. Gagnon, A. Petosa, and D. McNamara, “Research and development on phase-shifting surfaces (PSSs),” IEEE Antennas Propag. Mag., vol. 55, no. 2, pp. 29–48, Apr. 2013. [12] C. L. Holloway, M. A. Mohamed, E. F. Kuester, and A. Dienstfrey, “Reflection and transmission properties of a metafilm: With an application to a controllable surface composed of resonant particles,” IEEE Trans. Electromagn. Compat., vol. 47, no. 4, pp. 853–865, Nov. 2005. [13] C. Holloway, E. F. Kuester, J. Gordon, J. O’Hara, J. Booth, and D. Smith, “An overview of the theory and applications of metasurfaces: The two-dimensional equivalents of metamaterials,” IEEE Antennas Propag. Mag., vol. 54, no. 2, pp. 10–35, Apr. 2012. [14] J. Vehmas, Y. Ra’di, A. O. Karilainen, and S. A. Tretyakov, “Eliminating electromagnetic scattering from small particles,” IEEE Trans. Antennas Propag., vol. 61, no. 7, pp. 3747–3756, Jul. 2013. [15] C. Pfeiffer and A. Grbic, “Metamaterial Huygens’ surfaces: Tailoring wave fronts with reflectionless sheets,” Phys. Rev. Lett., vol. 110, no. 19, 2013, Art. ID 197401. [16] M. Selvanayagam and G. V. Eleftheriades, “Discontinuous electromagnetic fields using orthogonal electric and magnetic currents for wavefront manipulation,” Opt. Exp., vol. 21, pp. 14409–14429, 2013. [17] B. Memarzadeh and H. Mosallaei, “Array of planar plasmonic scatterers functioning as light concentrator,” Opt. Lett., vol. 36, no. 13, pp. 2569–2571, Jul. 2011. [18] F. Monticone, N. M. Estakhri, and A. Alù, “Full control of nanoscale optical transmission with a composite metascreen,” Phys. Rev. Lett., vol. 110, no. 20, 2013, Art. ID 203903. [19] N. Yu, P. Genevet, M. A. Kats, F. Aieta, J.-P. Tetienne, F. Capasso, and Z. Gaburro, “Light propagation with phase discontinuities: Generalized laws of reflection and refraction,” Science, vol. 334, no. 6054, pp. 333–337, 2011. [20] A. V. Kildishev, A. Boltasseva, and V. M. Shalaev, “Planar photonics with metasurfaces,” Science, vol. 339, no. 6125, Mar. 2013. [21] D. Nakatani and J. Ajioka, “Lens designs using rotatable phasing elements,” in Int. Antennas Propag. Symp., 1977, vol. 15, pp. 357–360. [22] H. Kaouach, L. Dussopt, J. Lantéri, T. Koleck, and R. Sauleau, “Wideband low-loss linear and circular polarization transmit-arrays in V-band,” IEEE Trans. Antennas Propag., vol. 59, no. 7, pp. 2513–2523, Jul. 2011. [23] R. Phillion and M. Okoniewski, “Lenses for circular polarization using planar arrays of rotated passive elements,” IEEE Trans. Antennas Propag., vol. 59, no. 4, pp. 1217–1227, Apr. 2011. [24] L. Young, L. Robinson, and C. Hacking, “Meander-line polarizer,” IEEE Trans. Antennas Propag., vol. AP-21, no. 3, pp. 376–378, May 1973. [25] M. Joyal and J. Laurin, “Analysis and design of thin circular polarizers based on meander lines,” IEEE Trans. Antennas Propag., vol. 60, no. 6, pp. 3007–3011, Jun. 2012. [26] H. Zhu, S. W. Cheung, K. L. Chung, and T. Yuk, “Linear-to-circular polarization conversion using metasurface,” IEEE Trans. Antennas Propag., vol. 61, no. 9, pp. 4615–4623, Sep. 2013. [27] C. Pfeiffer and A. Grbic, “Millimeter-wave transmitarrays for wavefront and polarization control,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4407–4417, Dec. 2013. [28] M. Farmahini-Farahani and H. Mosallaei, “Birefringent reflectarray metasurface for beam engineering in infrared,” Opt. Lett., vol. 38, no. 4, pp. 462–464, Feb. 2013. [29] N. Yu, F. Aieta, P. Genevet, M. A. Kats, Z. Gaburro, and F. Capasso, “A broadband, background-free quater-wave plate based on plasmonic metasurfaces,” Nano Lett., vol. 12, pp. 6328–6333, 2012. [30] Y. He and G. V. Eleftheriades, “Design of thin infrared quarter-wave and half-wave plates using antenna-array sheets,” Opt. Exp., vol. 21, pp. 24468–24474, 2013. [31] C. Pfeiffer and A. Grbic, “Cascaded metasurfaces for complete phase and polarization control,” Appl. Phys. Lett., vol. 102, 2013, Art. ID 231116. [32] N. K. Grady et al., “Terahertz metamaterials for linear polarization conversion and anomalous refraction,” Science, vol. 340, no. 6138, pp. 1304–1307, 2013. [33] Y. Yang, W. Wang, P. Moitra, I. I. Kravchenko, D. P. Briggs, and J. Valentine, “Dielectric meta-reflectarray for broadband linear polarization conversion and optical vortex generation,” Nano Lett., vol. 14, no. 3, pp. 1394–1399, 2014.

[34] M. Selvanayagam and G. V. Eleftheriades, “Polarization control using tensor Huygens surfaces,” IEEE Trans. Antennas Propag., vol. 62, no. 12, pp. 6155–6168, Dec. 2014. [35] A. Ishimaru, Electromagnetic Wave Propagation, Radiation, and Scattering. Englewood Cliffs, NJ, USA: Prentice-Hall, 1990. [36] J. Sanz-Fernandez, E. Saenz, P. de Maagt, and C. Mangenot, “Circular polarization selective surface for dual-optics CP offset reflector antennas in Ku-band,” in 6th Eur. Antennas Propag. Conf., Mar. 2012, pp. 2683–2687. [37] T. Niemi, A. Karilainen, and S. Tretyakov, “Synthesis of polarization transformers,” IEEE Trans. Antennas Propag., vol. 61, no. 6, pp. 3102–3111, Jun. 2013. [38] A. Papakostas, A. Potts, D. M. Bagnall, S. L. Prosvirnin, H. J. Coles, and N. I. Zheludev, “Optical manifestations of planar chirality,” Phys. Rev. Lett., vol. 90, Mar. 2003, Art. ID 107404. [39] A. V. Rogacheva, V. A. Fedotov, A. S. Schwanecke, and N. I. Zheludev, “Giant gyrotropy due to electromagnetic-field coupling in a bilayered chiral structure,” Phys. Rev. Lett., vol. 97, Oct. 2006, Art. ID 177401. [40] M. Decker, M. W. Klein, M. Wegener, and S. Linden, “Circular dichroism of planar chiral magnetic metamaterials,” Opt. Lett., vol. 32, no. 7, pp. 856–858, Apr. 2007. [41] Y. Ye and S. He, “90 polarization rotator using a bilayered chiral metamaterial with giant optical activity,” Appl. Phys. Lett., vol. 96, no. 20, 2010, Art. ID 203501. [42] E. Plum, V. A. Fedotov, A. S. Schwanecke, N. I. Zheludev, and Y. Chen, “Giant optical gyrotropy due to electromagnetic coupling,” Appl. Phys. Lett., vol. 90, no. 22, 2007, Art. ID 223113. [43] E. Plum, X.-X. Liu, V. A. Fedotov, Y. Chen, D. P. Tsai, and N. I. Zheludev, “Metamaterials: Optical activity without chirality,” Phys. Rev. Lett., vol. 102, Mar. 2009, Art. ID 113902. [44] C. M. Soukoulis and M. Wegener, “Past achievements and future challenges in the development of three-dimensional photonic metamaterials,” Nature Photon., vol. 5, pp. 523–530, 2011. [45] Y. Zhao, M. Belkin, and A. Alù, “Twisted optical metamaterials for planarized ultrathin broadband circular polarizers,” Nature Commun., vol. 3, p. 870, 2012. [46] C. Pfeiffer and A. Grbic, “Bianisotropic metasurfaces for optimal polarization control: Analysis and synthesis,” Phys. Rev. Appl., vol. 2, Oct. 2014, Art. ID 044011. [47] M. Joyal and J. Laurin, “Design and analysis of a cascade circular polarization selective surface at K-band,” IEEE Trans. Antennas Propag., vol. 62, no. 6, pp. 3043–3053, Jun. 2014. [48] J. Roy, L. Shafai, and L. Shafai, “Reciprocal circular-polarization-selective surface,” IEEE Antennas Propag. Mag., vol. 38, no. 6, pp. 18–33, Dec. 1996. [49] W. Tilston, T. Tralman, and S. Khanna, “A polarization selective surface for circular polarization,” in IEEE Antennas Propag. Soc. Int. Symp., Jun. 1988, vol. 2, pp. 762–765. [50] G. Morin, “A simple circular polarization selective surface (CPSS),” in IEEE Antennas Propag. Soc. Int. Symp., May 1990, vol. 1, pp. 100–103. [51] M. Selvanayagam and G. V. Eleftheriades, “Chiral polarization control using cascaded tensor impedance surfaces,” in IEEE MTT-S Int. Microw. Symp. Dig., 2015, pp. 1–4. [52] M. Born and E. Wolf, Principle of Optics, 7th ed. Cambridge, U.K.: Cambridge Univ. Press, 1999. [53] B. Fong, J. Colburn, J. Ottusch, J. Visher, and D. Sievenpiper, “Scalar and tensor holographic artificial impedance surfaces,” IEEE Trans. Antennas Propag., vol. 58, no. 10, pp. 3212–3221, Oct. 2010. [54] J. E. Potter, “Matrix quadratic solutions,” SIAM J. Appl. Math, vol. 14, pp. 496–501, 1966. [55] P. Lancaster and L. Rodman, Algebriac Riccati Equations. Oxford, U.K.: Oxford Univ. Press, 1995. [56] A. Fallahi, M. Mishrikey, C. Hafner, and R. Vahldieck, “Analysis of multilayer frequency selective surfaces on periodic and anisotropic substrates,” Metamaterials, vol. 3, no. 2, pp. 63–74, 2009. [57] A. Yahaghi, A. Fallahi, H. Abiri, M. Shahabadi, C. Hafner, and R. Vahldieck, “Analysis of frequency selective surfaces on periodic substrates using entire domain basis functions,” IEEE Trans. Antennas Propag., vol. 58, no. 3, pp. 876–886, Mar. 2010. [58] S. Monni, G. Gerini, A. Neto, and A. Tijhuis, “Multimode equivalent networks for the design and analysis of frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 55, no. 10, pp. 2824–2835, Oct. 2007.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SELVANAYAGAM AND ELEFTHERIADES: DESIGN AND MEASUREMENT OF TENSOR IMPEDANCE TRANSMITARRAYS

[59] E. Martini, G. Sardi, and S. Maci, “Homogenization processes and retrieval of equivalent constitutive parameters for multisurface-metamaterials,” IEEE Trans. Antennas Propag., vol. 62, no. 4, pp. 2081–2092, Apr. 2014. [60] A. Abdelrahman, A. Elsherbeni, and F. Yang, “Transmission phase limit of multilayer frequency-selective surfaces for transmitarray designs,” IEEE Trans. Antennas Propag., vol. 62, no. 2, pp. 690–697, Feb. 2014. [61] A. Abdelrahman, A. Elsherbeni, and F. Yang, “Transmitarray antenna design using cross-slot elements with no dielectric substrate,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 177–180, 2014. [62] D. Pozar, Microwave Engineering. New York, NY, USA: Wiley, 2005. [63] B. Munk, Frequency Selective Surfaces: Theory and Design. New York, NY, USA: Wiley, 2000. [64] P. Goldsmith, “Quasi-optical techniques,” Proc. IEEE, vol. 80, no. 11, pp. 1729–1747, Nov. 1992. [65] I. Lopez and J.-J. Laurin, “A circular polarization selective surface implemented on a flexible substrate,” IEEE Trans. Antennas Propag., vol. 62, no. 7, pp. 3847–3852, Jul. 2014. [66] A. Iyer and G. Eleftheriades, “A multilayer negative-refractive-index transmission-line (NRI-TL) metamaterial free-space lens at X-band,” IEEE Trans. Antennas Propag., vol. 55, no. 10, pp. 2746–2753, Oct. 2007. Michael Selvanayagam (GSM’09–M’09) received the B.A.Sc degree and M.A.Sc degree in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 2007 and 2010, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of Toronto. His research interests include periodic structures, metamaterials, antenna design, and electromagnetic theory. Mr. Selvanayagam was the recipient of a Canada Graduate Scholarship of the National Sciences and Engineering Research Council (NSERC) of Canada in 2011.

George V. Eleftheriades (S’86–M’88–SM’02– F’06) received the M.S.E.E. and Ph.D. degrees in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 1989 and 1993, respectively. While with the University of Michigan, he was involved in the development of submillimeter-wave and terahertz technology sponsored by NASA. From 1994 to 1997, he was with the Swiss Federal Institute of Technology, Lausanne, Switzerland, where he was engaged in the design of millimeter-wave and

15

sub-millimeter-wave receivers for the European Space Agency. He is currently a Professor with the Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada, where he holds the Canada Research Chair in Nano- and Micro-Structured Electromagnetic Materials and Applications and the Velma M. Rogers Graham Chair in Engineering. He is a recognized international authority and pioneer in the area of negative-refractive-index metamaterials, which are manmade materials that have electromagnetic properties not found in nature. He introduced a method for synthesizing metamaterials using loaded transmission lines. Together with his graduate students, he provided the first experimental evidence of imaging beyond the diffraction limit and pioneered several novel microwave components and antennas using these transmission-line-based metamaterials. His research has impacted the field by demonstrating the unique electromagnetic properties of metamaterials; used in lenses, antennas, and other microwave components to drive innovation in fields such as defence, medical imaging, microscopy, automotive radar, and wireless telecommunications. He currently leads a group of graduate students and researchers in the areas of electromagnetic negative-refraction metamaterials, transformation optics, metasurfaces, small antennas and components for broadband wireless communications, novel antenna beam-steering techniques, plasmonic and nanoscale optical components, and fundamental electromagnetic theory. His work has been cited more than 10 000 times, and his h-index is 47. Prof. Eleftheriades has been a Fellow of the Royal Society of Canada since 2009. He served as an Associate Editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He also served as a member of the the IEEE Antennas and Propagation Society (IEEE AP-S) Administrative Committee (AdCom) from 2007 to 2012. He was an IEEE AP-S Distinguished Lecturer from 2004 to 2009. He served as the General Chair of the 2010 IEEE International Symposium on Antennas and Propagation, Toronto, ON, Canada. He has been a corecipient of numerous awards such as the 2009 Best Paper Award from IEEE MICROWAVE AND WIRELESS PROPAGATION LETTERS, twice the R. W. P. King Best Paper Award from the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION (2008 and 2012), and the 2014 Best Paper Award of IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS. He was also the recipient of the 2001 Ontario Premiers Research Excellence Award and the University of Toronto’s 2001 Gordon Slemon Award, an E. W. R. Steacie Fellowship from the Natural Sciences and Engineering Research Council of Canada, and the 2008 IEEE Kiyo Tomiyasu Technical Field Award for pioneering contributions to the science and technological applications of negative-refraction electromagnetic materials.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Polarization Plane Rotation by Arbitrary Angle Using Symmetrical Structures Nataliya Kolmakova, Sergey Prikolotin, Andrey Perov, Vadim Derkach, and Anatoliy A. Kirilenko, Senior Member, IEEE

Abstract—A compact waveguide polarization rotator, able to turn the polarization plane by an arbitrary angle, is proposed. Its operational principle is based on a strong electromagnetic coupling between two conjugated quadruple-slot planar-chiral irises in a square waveguide by the below cutoff modes. The physical interpretation for the observed phenomenon is provided based on the analysis of the eigenoscillations of such a symmetrical object considered as an open waveguide resonator. It is demonstrated that there exist two perfect matching points controlled by the iris geometry and width of the narrow gap between two planar chiral components. The reported unit has a bandwidth of several percent with return loss better than 20–30 dB. The conclusions of this work are fully applicable to double-periodical two-layer screens or metasurfaces having such square cells. Index Terms—Below cutoff modes, eigenoscillations, optical activity, polarization rotator, quadruple-slot irises.

I. INTRODUCTION

T

RADITIONALLY, rotation of the polarization plane of an electromagnetic wave propagating in free space or in square or circular waveguides is implemented by introducing a phase shift between cross-polarized propagating waves or modes within a polarization converter. A desired phase shift is achieved mainly in three ways: 1) by different phase velocities of cross-polarized modes excited in a waveguide of a complex cross-section [1]; 2) by a sequence of discontinuities that do not affect one of orthogonal polarizations and “accelerate” another one [2]; or 3) by means of a forced additional phase shift for one of the waves in components of reflection type [3]. In any case, the desired phase difference is achieved only with a substantial longitudinal dimension of the device, which affects insertion ohmic loss as well. The introduction of metamaterials has generated a new wave of interest to objects capable of rotating the polarization plane. It

Manuscript received June 07, 2015; revised December 05, 2015; accepted December 12, 2015. N. Kolmakova is with the Institute of Physics, Nanotechnology, and Telecommunications, Saint Petersburg Polytechnical University, Saint Petersburg 195251, Russia (e-mail: [email protected]). S. Prikolotin, A. Perov, and A. A. Kirilenko are with the Department of Computational Electromagnetics, Institute of Radiophysics and Electronics, National Academy of Sciences of Ukraine, Kharkiv 03680, Ukraine (e-mail: [email protected]). V. Derkach is with the Department of Radiospectroscopy, Institute of Radiophysics and Electronics, National Academy of Sciences of Ukraine, Kharkiv 03680, Ukraine. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2509966

Fig. 1. Composite planar chiral rotator of polarization based on a pair of quadruple-slot irises in a square waveguide.

turned out that generation of the six-component electromagnetic fields in periodically spaced resonating conductors of a complicated shape can lead to the design of polarization plane rotators or convertors of a linear polarization into an elliptical one (e.g., [4] and the references therein). Various multilayered metasurfaces based on mutually rotated crosses, split rings, and different kinds of gammadions, have been considered (e.g., [4] and [5]). In this paper, we consider a waveguide analog of a metasurface object capable of rotating the polarization plane whose operational principle is based on the below cutoff modes interaction within a pair of planar objects. In our approach, this phenomenon is treated as excitation of certain eigenoscillations with different polarization states on both sides of the object. The topology of the reported polarization rotator is illustrated in Fig. 1. Such a polarization rotator in a square waveguide, based on a pair of quadruple-slot planar chiral irises (PChIs), was firstly proposed in [6] and [7]. Each of the two irises has rotational symmetry, but does not have mirror symmetry. Their geometries are conjugated, i.e., this pair has rotational symmetry along the axis orthogonal to the axis. As a result, such a 3-D object has dihedral symmetry [8]. The S-matrices of the irises are the same if they are defined in the coordinate systems and , respectively (Fig. 1). We have to point out that a linearly polarized mode transmitted through such a structure remains linearly polarized, i.e., the mode excites a sum of the cophased or antiphased and modes.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

This symmetry provides rotation of the polarization plane together with the existence of two perfect matching points (PMPs) on the frequency response. These PMPs are the consequence of resonances caused by the excitation of the symmetrical eigenoscillations. They are doubly degenerate with two orthogonal polarization states and, what is important, they have characteristic eigenfields with different polarization of the radiated modes on both sides of the composite chiral iris (CChI). Thus, it may be considered as a new principle to design compact polarization plane rotators. Two or more resonating symmetrical objects have to be closely spaced in a conjugated manner providing symmetry of the composite object to obtain polarization plane rotation and perfect matching. In our earlier study [9], a similar symmetrical unit was presented based on double-slot irises and capable of rotating the polarization plane by a fixed angle of 90 within a 5%–10% frequency band at longitudinal dimensions. The aim of this paper is to describe in detail the operational principles and capabilities of the polarization rotators based on the symmetrical quadruple-slot CChIs and capable of rotating the polarization by an arbitrary angle. This paper is based on the exact mode-matching models, which allow us to study three aspects of the problem: 1) to reveal peculiarities of the mode spectra in the scattered fields and the eigenfields; 2) to get an idea of converting three mirror symmetrical eigenoscillations into dihedral ones; and finally; 3) to study parameters when the CChIs rotate the polarization plane and are perfectly matched. The latter gives a comprehensive presentation of the CChIs as a new microwave unit. Experimental data that validate our considerations are presented at the end of Section III. II. MATHEMATICAL MODEL AND GENERAL PROPERTIES SYMMETRICAL OBJECTS OF A. Mathematical Model The inherent features of -matrices produced in numerical modeling by the mode-matching technique provide the most accurate analysis of both scattering characteristics and eigenoscillation spectrum. The eigenoscillations origin can be traced for all components used at the CChI calculation by the -matrix method. Finally, the generalized -matrices have to be used in the calculations because the below cutoff modes play a constitutive role. The main features of the CChI frequency response (polarization plane rotation and two points of the perfect matching) are completely revealed even at the minimal projective waveguide bases. In this case, at least the first higher mode is taken into account in the slots and the modes exist in the square waveguide bases. More detailed information about using mode-matching algorithms including number of modes, accuracy, etc. is provided in [9]. B. Mode Spectrum of the Scattered Field Symmetry of a scattering object constrains a coupling between the modes of separate symmetry groups and rarifies the corresponding -matrix. The square waveguide modes are usually divided into groups with symmetrical or asymmetrical field distributions, defined as the groups that have perfectly electric

walls (PEWs) or perfectly magnetic walls (PMWs) in planes of waveguide symmetry. If the scattering object and loaded waveguides have common mirror symmetry planes, the modes of the scattered field are divided into uncoupled groups with the corresponding walls. In our case, the waveguide unit has symmetry and does not have any mirror symmetry planes. The -matrix is divided into uncoupled cells of the mode groups with identical or different pairs of walls. In terms of the mode names, these are the modes with coinciding index parities or with different ones. In particular, the dominant modes do not excite the modes and . The single-mode band of the CChI is extended up to . It is the cutoff frequency of the modes referred to as the quadruple below. Moreover, it is possible to conclude, similarly to [10], that the modes with the indices of different parities do not excite the modes in the reflected field; this also applies to the modes and . The most important case is the modes reflection from the symmetrical object in the square waveguide. The reflected cross-polarized modes are completely absent. As for the transmitted field, an a priori conclusion concerns the objects that have an additional mirror plane orthogonal to the axis. It is not difficult to understand that the cross-polarized mode is absent in the transmitted field as well. However, if longitudinal symmetry is absent, then appearance of the cross-polarization in the transmitted field is possible. Its real level depends primarily on the nature of longitudinal asymmetry. The influence of minimal longitudinal asymmetry was described in [11]. The authors revealed the appearance of the cross-polarized component in the transmitted field by consideration of re-reflection of the fields between the PChI and a dielectric interface. It was proven that the transmitted cross-polarized mode is initially generated by higher modes (first of all by the quadruple) reflected from the dielectric interface. The dominant role of the below cutoff modes in such a phenomenon was first noted in general considerations in [12]. By now it is clear that the conjugated pair of the PChIs forming the CChI with symmetry provides the maximal angles of polarization plane rotation. Below we demonstrate that polarization plane rotation is accompanied by a pair of the PMPs in the frequency response. C. Eigenoscillations of the CChI The PMPs are provided by symmetry of the CChI and the eigenoscillations inherent to such composite objects. These eigenoscillations have complex eigenfrequencies and particular eigenfields of the different polarizations on the both sides of the CChI. They are the solutions of a homogeneous matrix equation, whose operator arises in the CChI -matrix calculation (1) Here, is the reflection matrix of the PChI; is the unitary matrix operator that converts the vectors of mode amplitudes from coordinates to (Fig. 1); is the diag-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KOLMAKOVA et al.: POLARIZATION PLANE ROTATION BY ARBITRARY ANGLE USING

onal matrix describing a phase shift or attenuation of the square waveguide modes within the gap between the PChIs; is one of the mode amplitude vectors within the gap. The dispersion (1) can be divided into a pair of equations, (2) Their solutions are sets of symmetrical and asymmetrical oscillations similarly to the case of a conventional waveguide unit with longitudinal symmetry. The upper sign in (2) corresponds to the eigenoscillations of the opened waveguide unit that radiates the cophased fields into the outer waveguides on the both sides of the CChI at the complex-valued eigenfrequencies The low sign corresponds to another group of the oscillations with the antiphased fields on the both sides . of the CChI at D. CChI as a Two-Port Unit There are several preliminary consequences that follow from symmetry. First of all, the eigenoscillations are doubly degenerate by polarization owing to CChI symmetry. Thus, any linear combination of them as a new polarization basis can be chosen. Therefore, the incident linearly polarized field (any sum of the and modes) excites the eigenoscillations of same polarization and does not excite the cross-polarized ones. In other words, the CChI is similar to a load that may be considered as a conventional resonator with a single set of eigenoscillations. It is possible to use the spectral approximation of the reflection coefficient similar to [13]. Taking into account the first low-frequency pair of the eigenfrequencies only, we have (3)

means the complex conjugation. As where the overline of it turned out, the rest of the CChI eigenfrequencies of the singlemode band are localized near the quadruple cutoff and have too high -factors to play a noticeable role. This analytical expression makes it possible to draw the following two important conclusions. • The pair of the PMPs can exist at frequencies defined by

(4) • If the two eigenfrequencies approach each other so closely that (5)

SYMMETRICAL STRUCTURES

3

the PMPs can merge into a single point of the total transmission or the perfect matching disappears altogether. These conclusions correspond to the conventional conception of weak or strong couplings between a pair of resonators or eigenoscillations. As the coupling between the conjugated PChIs is realized mainly by the evanescent fields of the quadruple [11], the convergence of the PMPs has to be observed at increasing the CChI gap. The cases of strong coupling can be revealed either for small gaps or even for wide gaps when the eigenfrequencies are located near the cutoff, and the fields of higher modes decay very weakly. The numerical data confirm these qualitative conclusions completely. In general, the frequency response is characterized by a PMP pair depending on PChI-to-PChI coupling or eventually on a degree of closeness between the eigenfrequencies determined by (2). The transmitted field has linear polarization owing to symmetry. Therefore, the total magnitude of the and modes can be found from , where is the reflection coefficient. The phases of the reflected and transmitted modes are coupled by a standard relation . The most interesting information about the actual angle of polarization plane rotation can be found only numerically as it requires taking into account a number of the evanescent modes within the CChI gap. III. GENERAL PROPERTIES OF THE COMPOSITE CChI A. Appearance of Cross-Polarization Let us consider as the initial object a double quadruple-slot iris, which has three mirror symmetry planes (DI) when . The frequency response of such a twin iris in 23 mm 23 mm square waveguide is shown in Fig. 2 (the solid curve) and is characterized by the pair of the PMPs. The first one is located near 9.04 GHz and is associated with the well-known half-lambda resonance of the horizontal slots. The corresponding symmetrical eigenoscillation has the field antinode in the middle plane of the DI gap. The second resonance has a higher -factor and is located near 9.56 GHz. It is caused by the oscillation with a PEW in the middle plane of the gap. A resonance of perfect reflection is observed immediately after this resonance. Such a characteristic response is inherent to the pair of closely spaced ordinary irises or other resonating pairs having longitudinal symmetry [14]. The stronger the coupling between them, the higher the -factor of the oscillation with the PEW is. The high level of the interacting below cutoff modes is precisely the fact, which causes cross-polarization at transition from mirror symmetry to one. Even a small “coherent” initial shift of all slots relative to the centers of the neighboring waveguide walls (keeping symmetry at the transition to dihedral symmetry) immediately leads to the excitation of the specific set of space modes within the CChI and on the both its sides (see above Section II-B). The set of former eigenoscillations is converted into the set of new symmetrical eigenoscillations. The dashed curves in Fig. 2 correspond

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 3. Amplitude of the cross-polarized mode as function of CChI gap width and frequency.

Fig. 2. Appearance of cross-polarization at a small shift of the quadruples of mm, mm, slots from the geometry with mirror symmetry. mm, mm, mm.

to the small 0.2-mm shifts of the slots along the neighboring walls. The reflection frequency response of obtained the CChI is very similar to the DI case. However, the transmitted field changes dramatically as it obtains both the and components. The cross-component is relatively small at the first low- resonance, but it exceeds 0.62 in its magnitude near the second high- one. As it turned out, the real amplitude of the cross-polarized component is determined by the imaginary parts of corresponding eigenfrequencies (or -factors), which are GHz and GHz in this case. In other words, it depends on the degree of PChI-to-PChI electromagnetic interaction by the below cutoff modes. First of all, this interaction is mostly controlled by the CChI gap width. For example, when the CChI gap further increases, the maximum of the magnitude decreases to 0.28 at mm and slightly shifts to the higher frequencies. If the gap decreases, then the maximum of spike grows up to unity at GHz when mm, i.e., at . In this case, the dominant mode is totally transmitted through the CChI with polarization plane rotation by 90 . It was established by other numerical experiments that polarization plane rotation by large angles is possible at very small distances between the interacting irises. The smaller the deviation of the CChI geometry from the DI is (i.e., from the mirror symmetry case), the smaller the CChI gap is, which can provide such a phenomenon in the vicinity of high-quality resonances of the initial DI. B. Typical Behavior of the Cross-Polarized Component at the Gap Change To interpret the CChI response formation, we consider typical characteristics shown in Fig. 3 against the background of

TABLE I DEPENDENCE OF PAIRS OF EIGENFREQUENCIES ON THE GAP WIDTH FOR CChI OF FIG. 3

the eigenfrequencies movement presented in Table I. The dimensions of the CChI are mm, mm, mm, and mm, and the gap width is used as a parameter that changes from curve to curve dramatically effecting their forms. When the gap is small mm , the CChI is characterized by the high-quality spike of the total to conversion at 10.25 GHz and by the weak maximum of cross-polarization near 13.6 GHz. Both the resonant frequency and -factor correspond to the eigenfrequencies obtained from (2) (Table I). With the increase of the gap size, the eigenfrequencies in Table I approach each other, the first maximum of the crosscomponent remains close to unity and the second maximum gradually becomes comparable with the first one. It makes it possible to provide either a two-humped response similar to a two-pole Chebyshev filter (at 4.5 mm) or a one-hump conversion to cross-polarization with a single maximum near the passband center (at 5.05 mm). The most interesting case is 4.5 mm when the conversion to the cross-polarized mode has the maximum equal to unity at 10.77 GHz. Here the bandwidth reaches 11% at the level of insertion loss better than 0.5 dB. Further gap increase leads to gradual decrease of the cross-component in the transmitted field and to passband narrowing. In principle, new more narrowband pairs of the spikes of the cross-polarized mode arise again near the cutoff mm. The attenuation of the quadruple is at very weak here and the eigenoscillations having symmetry become apparent again.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KOLMAKOVA et al.: POLARIZATION PLANE ROTATION BY ARBITRARY ANGLE USING

Fig. 4. Perfect matching lines for CChs with: (a) wide and (b) narrow slots depending on CChI gap. The resonance frequencies (solid line) and the angles of the polarization plane rotation (dashed line) are shown on the left and right axes correspondingly. The labels on curves mark the low-frequency (L) and high-frequency (H) branches. Triangles are the measurement points.

It is worth paying attention to the general property of the CChI to reflect the incident dominant mode at the cutoff frequency of the quadruple (14.573 GHz, see Fig. 3). C. CChI Perfect Matching and the Corresponding Angles of Polarization Plane Rotation The most general idea of CChI properties can be obtained by tracing the movement of the PMPs simultaneously with the angles of polarization plane rotation. The data presented in Fig. 4(a) and (b) for the cases of wide and narrow slots, respectively, in the thin PChIs provide a good general insight into the properties of the PChIs as the polarization rotators. The sets of solid curves for different slot lengths show the pairs of PMP lines in coordinates . The labels on the curves mark low-frequency (L) or high-frequency (H) branches of the resonances. The numbers mark the slot lengths in millimeters. The dashed curves in Fig. 4 show the angles of polarization plane rotation of the transmitted field referred to

SYMMETRICAL STRUCTURES

5

the right-side axis. Thus, it is possible to find the resonant frequency for the fixed gap width by the solid curve, and to obtain the rotation angle by the dashed curve with the same label. At very small gaps all of the shown L-branches of the PMP lines with different slot lengths begin from close frequency points. In this gap region, the frequency location of the PMPs depends weakly on the slot lengths and the PMPs are close to each other. It is difficult to plot in Fig. 4 that further gap decreasing from very small values to infinitesimal ones leads to rapid movement of the PMPs upward to the frequencies near the cutoff. They are the points of enhanced transmission through the CChI with quadruples of small below cutoff square holes 4 mm 4 mm or 1 mm 1 mm. It may be considered as enhanced transmission resonances associated with polarization plane rotation. However, the angles of polarization plane rotation for the L-branches start from different points. Moreover, in the case of the CChIs with the wide slots, the gap increase does not provide a noticeable influence on the angles of rotation up to the gaps of several millimeters. The H-branches of the PMPs start at small gaps near the cutoff of the quadruple and move down in the frequency at increasing gap. The corresponding angles of rotation vary most strongly with the gap: from zero at up to 100 –150 at gaps of several millimeters. The case of long narrow slots [curve L18 in Fig. 4(b)] has attracted attention by possible frequency-independent behavior of the low-frequency resonance at the gap change. The angle of polarization plane rotation increases linearly by 30 –35 while the gap changes from 2 to 7 mm at almost fixed resonance frequency. The L and H PMP lines merge at some gap widths, where the CChI frequency response has the single point of zero reflection. The branches on the related pairs of the solid curves following the merging correspond only to the nonzero minimums of the reflection coefficient. The dashed curves of the rotation angles merge, naturally, at the same gap widths. The location of the merging points substantially depends on the dimensions of the slots. The longer the slot is, the smaller the gap is, when L- and H-branches of the PMPs are joined. The importance of these points lies in the possibility to obtain more wideband effect of polarization rotation by choosing the corresponding width of the CChI gap. If we choose the CChI gap width to be slightly smaller than the one corresponding to the merging points, we will obtain the two-humped frequency response similar to a two-section filter. The angles of polarization plane rotation of the transmitted field will be close to those at the merging points and will vary between 80 –160 with weak variation within corresponding passbands. Choosing the gap of 6.2 mm for the CChI with long narrow slots 18 mm 1 mm, we can obtain voltage standing wave ratio (VSWR) within 4% frequency band in the low part of the waveguide operating band with the polarization plane rotated by 144 –148 . If we restrict the frequency band by 2%, for the gap of 6.2 mm we obtain and the rotation angles of 148 –150 within the band. For wide slots of 15 mm 4 mm, the gap of 4.2 mm between the PChIs provides with 7% bandwidth at

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

The PChIs were divided by 23 mm 23 mm square waveguide aluminum sections. A set of such sections has provided step-by-step increasing of the gap by 0.5 mm. VSWR did not exceed 1.05 and insertion loss decreased from 0.16 dB at a 2-mm gap to 0.07 dB at a 7-mm gap when the rotator was the most wideband. The difference between the calculated and measured angles of rotation is caused by the imperfection of the equipment in our experiment. IV. CONCLUSIONS

Fig. 5. (a) Photograph and (b) scheme of experimental setup consisting of linear tapers between rectangular 23 mm 10 mm, square 23 mm 23 mm, and circular 32-mm waveguides and CChI between rectangular to square and square to circular WG transitions.

The principal possibility of polarization plane rotation by an arbitrary angle using a composite PChIs has been explained by the excitation of symmetrical eigenoscillations. The following scheme to design polarization rotators in square, circular, or coaxial waveguides is proposed as follows. • Choose symmetrical object that has mirror symmetry and the transmission resonance at the single mode band. • Form a twin of such objects with a gap that provides a strong electromagnetic interaction between them. • Use a full-wave model to get correct numerical results. • Find new points of the total matching by frequency scanning. • Change the geometry destroying mirror symmetry, but providing the one and the appearance of the cross-components at the slightly shifted points of total matching. • Tune the resonant frequency and angle of rotation by manipulating the gap and geometry of the twin components. This procedure enables one to obtain either notch-type or two-humped frequency responses. REFERENCES

the middle of the waveguide operating band, angles of rotation changing in the range from 86 to 96 . VSWR less than 1.05 can be achieved with the gap of 5.05 mm with 4% bandwidth and the rotation angles from 80 to 88 . The experimental setup developed to validate the numerical data is shown in Fig. 5(a). It consists of a VSWR meter, ADC, PC, and a measurement unit described in Fig. 5(b). The CChIs were placed between a rectangular to square waveguide transition and square to circular one. The main part of experiments was performed in two stages with different waveguide terminations (A and B). The absorbing load in a circular waveguide (the termination A) was used at frequency sweeping to study the frequency response and to find the pair of the PMPs. The termination B includes a circular to rectangular waveguide transition and the corresponding absorbing load. It was used to estimate angles of rotation at fixed frequency points. Tuning the rotary joint at the found frequency of the PMP to reach a minimum of VSWR, we measured the angles of polarization plane rotation by a circular protractor on the flange. Another assembling of the measurement unit provided the data about insertion loss at fixed angles of rotation. Some part of the experiments was aimed at confirming the possibility to tune the rotation angle by changing of the CChI gap with fixed PChI geometries [see triangles in Fig. 4(b)]. These data were obtained at the frequency of 8.25 GHz for pair of copper PChIs with mm and 18 mm 1 mm slots.

[1] G. C. Southworth, “Waveguide units,” in Principles and Applicant. of Waveguide Transmission. New York, NY, USA: Van Nostrand, 1950. [2] , G. L. Ragan, Ed., “Transition units,” in Microwave Transmission Circuits. New York, NY, USA: Dover, 1965. [3] S. Cornbleet, “Polarization,” in Microwave Optics: The Optics of Microwave Antenna Design. London, U.K.: Academic, 1980. [4] T. Niemi, A. Karilainen, and S. Tretyakov, “Synthesis of polarization transformers,” IEEE Trans. Antennas Propag., vol. 61, no. 6, pp. 3102–3111, Jun. 2013. [5] D. Zarifi, M. Soleimani, V. Nayyeri, and J. Rashed-Mohassel, “On the miniaturization of semiplanar chiral metamaterial structures,” IEEE Trans. Antennas Propag., vol. 60, no. 12, pp. 5768–5776, Dec. 2012. [6] A. A. Kirilenko, N. G. Kolmakova, and S. A. Prikolotin, “Ultra-compact 90 twist based on a pair of two closely placed flat chiral irises,” Radioelectron. Commun. Syst., vol. 55, no. 4, pp. 175–177, Apr. 2012. [7] N. Kolmakova, S. Prikolotin, A. Kirilenko, and A. Perov, “Simple example of polarization plane rotation by the fringing fields interaction,” in Proc. Eur. Microw. Conf., Nuremberg, Germany, 2013, pp. 936–938. [8] J. F. Cornwell, “Appendix C: Character tables for the crystallographic point groups,” in Group Theory in Physics: An Introduction. New York, NY, USA: Academic, 1997. [9] A. A. Kirilenko, N. G. Kolmakova, A. O. Perov, S. A. Prikolotin, and V. N. Derkach, “Natural oscillations providing 90 polarization plane rotation by planar chiral double-slot irises,” Radioelectron. Commun. Syst., vol. 57, no. 12, pp. 521–530, Dec. 2014. [10] A. Mackay, “Proof of polarization independence and nonexistence of crosspolar terms for targets presenting with special reference to rotational symmetry frequency-selective surfaces,” Electron. Lett., vol. 25, no. 24, pp. 1624–1625, Nov. 1989. [11] N. G. Kolmakova, A. A. Kyrylenko, and S. L. Prosvirnin, “Flat chiral irises in a square waveguide and displays of optical activity,” Radio Phys. Radio Astron., vol. 16, no. 1, pp. 70–81, 2011. [12] S. I. Maslovski, D. K. Morits, and S. A. Tretyakov, “Symmetry and reciprocity constraints on diffraction by gratings of quasi-planar particles,” J. Opt. A, Pure Appl. Opt., vol. 11, no. 7, pp. 074004–7, 2009.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KOLMAKOVA et al.: POLARIZATION PLANE ROTATION BY ARBITRARY ANGLE USING

[13] A. A. Kirilenko and B. G. Tysik, “Connection of S-matrix of waveguide and periodical structures with complex frequency spectrum,” Electromagnetics, vol. 13, no. 3, pp. 301–318, 1993. [14] A. A. Kirilenko, S. L. Senkevich, and B. G. Tysik, “The regularities of resonance phenomena in an open structure of the waveguide type,” (in Russian) Radiotech. Electron., vol. 35, no. 4, pp. 687–694, Apr. 1990.

Nataliya Kolmakova was born in Stakhanov, Ukraine, in 1980. She received the Researcher degree in applied mathematics from the Kharkiv National University, Kharkiv, Ukraine, in 2002, and the Ph.D. degree in radiophysics from the Institute of Radiophysics and Electronics, National Academy of Sciences of Ukraine (IRE NASU), Kharkiv, Ukraine, in 2008. From 2002 to 2013, she was a Researcher with the Department of Computational Electromagnetics, IRE NASU. Since 2013 she has been a Researcher with the Institute of Physics, Nanotechnology and Telecommunications, Saint Petersburg Polytechnical University, Saint Petersburg, Russia. Her research interests are microwave computer-aided design and resonance phenomena in waveguides and gratings.

Sergey Prikolotin was born in Kharkiv, Ukraine, in 1984. He received the Master degree in mathematics from Kharkiv National University, Kharkiv, Ukraine, in 2007, and the Ph.D. degree in radiophysics from the National Academy of Sciences of Ukraine (IRE NASU), Kharkiv, Ukraine, in 2013. In 2007 he joined the Department of Computational Electromagnetics, IRE NASU, where he is currently a Researcher. His current research interests focus on numerical techniques in electromagnetics.

SYMMETRICAL STRUCTURES

7

Andrey Perov received the Researcher degree in applied mathematics from Kharkiv National University, Kharkiv, Ukraine, in 1994, and the Ph.D. degree in radiophysics from the National Academy of Sciences of Ukraine (IRE NASU), Kharkiv, Ukraine, in 2000. Since 1994, he has been with the Department of Computational Electromagnetics, IRE NASU, where he is currently a Senior Researcher. His research interests are analytical and numerical techniques for wave motion process simulation and resonance phenomena.

Vadim Derkach was born in Orsk, Russia, on April 30, 1948. He received the Researcher degree in physical optics and radiospectroscopy and Ph.D. degree in physics and mathematics from Kharkiv National University (KhNU), Kharkiv, Ukraine, in 1974 and 1984, respectively. In 1975 he joined the National Academy of Sciences of Ukraine (IRE NASU), Kharkiv, Ukraine, where, since 2004, he has been a Senior Researcher. His current research interests are open resonance structures, nondestructive testing by using millimeter waves, low-temperature spectroscopy, and metamaterial research.

Anatoliy A. Kirilenko (M’96–SM’99) received the Researcher, Ph.D., and D.Sc. degrees in radiophysics from Kharkiv National University (KhNU), Kharkiv, Ukraine, in 1965, 1970, and 1980, respectively. Since 1965 he has been with the National Academy of Sciences of Ukraine (IRE NASU), Kharkiv, Ukraine, where he is currently Head of the Department of Computational Electromagnetics. His research interests are in analytical and numerical methods in electromagnetics, resonance phenomena in waveguides and gratings, and microwave computer-aided design. Prof. Kirilenko was a recipient of the 1989 State Prize of the Ukraine in Science and Technology.

436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

A Simple and Effective Method for 1.9–3.4-GHz Tunable Diplexer With Compact Size and Constant Fractional Bandwidth Tao Yang, Senior Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—In this paper, a simple and effective method is proposed for designing tunable diplexers with constant fractional bandwidth using dual-mode resonators. The proposed method directly connects two independently designed dual-mode bandpass filters and forms a tunable diplexer without any additional matching network at the common port, thus bringing significant design flexibility to the diplexer design process. Also, an alternative design process is proposed to characterize the coupling in the dual-mode resonator and external quality factors at the input/output, making it much easier for constant bandwidth designs. A second- and fourth-order diplexer consisting of dual-mode stepped-impedance microstrip resonators are designed and fabricated to demonstrate this method. The second-order diplexer is tuned using silicon varactor diodes, and covers 1.9–3.4 GHz with an insertion loss of 3.0–5.0 dB. Constant fractional bandwidths of 6% and 4.5% are obtained for the two frequency channels, respectively. In addition, due to the employment of the stepped-impedance dual-mode resonator, the second-order tunable diplexer occupies a compact area of , where is the free-space wavelength at the lowest frequency that the diplexer can be tuned. Simulations and measurements are presented with excellent agreement. Application areas are in multi-standard communication systems. Index Terms—Compact size, constant bandwidth tuning, dualmode filter, stepped-impedance resonators (SIR), tunable diplexer.

I. INTRODUCTION

M

ULTI-BAND operations are becoming more and more important in modern communication systems so as to increase the system capacity and reduce its size and cost. To accommodate multi-band operation, tunable filters with wide tuning range are needed to dynamically select the desired signal band, and numerous designs have been proposed [1]–[7] in the past few years. In addition to these tunable filters, it is sometimes also essential to use multiplexers to dynamically and simultaneously pre-select multiple desired bands from a Manuscript received April 15, 2015; revised October 23, 2015; accepted November 24, 2015. Date of publication December 17, 2015; date of current version February 03, 2016. This work was supported by the Defense Advanced Research Projects Agency (DARPA) under Contract HR0011-12-C-0094. T. Yang was with the Electrical and Computer Engineering Department, University of California at San Diego, La Jolla, CA 92093 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA (e-mail: [email protected]). G. M. Rebeiz is with the Electrical and Computer Engineering Department, University of California at San Diego, La Jolla, CA 92093 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504937

Fig. 1. Multi-band frequency-division duplexing transceiver (a) Standard design with ASM and (b) with tunable diplexer. (c) Tunable diplexer employed as a very wide tunable filter using an SP2T switch.

crowed spectrum environment. Different designs for compact diplexers [8], [9], triplexers [10], and quadruplexers [11] have been demonstrated; however, these designs are fixed at certain frequencies and cannot be used in reconfigurable systems for dynamic frequency selection. Fig. 1(a) presents the architecture of a typical multi-band transceiver. In this architecture, the receive (Rx) and transmit (Tx) channels employ a set of fixed duplexers and an antenna switching matrix (ASM) to select the pre-determined (discrete) bands. Due to the large number of duplexers and large size of switch matrices, the architecture usually requires a large system size and a high cost. A possible solution to reduce both the size and cost is to employ tunable diplexers or multiplexers, as shown in Fig. 1(b). In this case, the Rx and Tx frequencies can

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

be dynamically adjusted to any band, up to the cross-over limit given by the filter order and . Meanwhile, a tunable diplexer or triplexer can also be used as a very wideband tunable filter with the addition of a single-pole double-throw (SP2T) or single-pole triple-throw (SP3T), as shown in Fig. 1(c) [12]. One major task in diplexer design is to find an effective way to make the common input port simultaneously matched at both frequency channels and maintain a low loading effect between the different channels. This can be fulfilled by using the T-junction method [13]–[18], common-resonator method [9], [10], [19], and so on, when the multiplexer is a fixed-band design. However, this becomes quite challenging for tunable designs and with two tunable channels covering a wide tuning range. This is because the input impedance of each channel presented to the common input port dramatically changes during channel tuning, and it is very difficult to design a matching network or a common resonator that works over a wide tuning range for all the channels. Due to this reason, while numerous fixed-band diplexer designs and single-band tunable filters were proposed in the past decades, only very few reconfigurable multiplexers have been reported and demonstrated for practical use in the literature so far. Among these designs, [20] and [21] combine two tunable bandpass filters with a T-junctions at the input port to realize tunable diplexers, but these diplexers are limited to a very narrow frequency tuning range due to the microstrip T-junctions. In [22], a tunable diplexer was demonstrated using a common resonator topology. This design exhibited wide tuning range and flexible properties; however, it has intrinsic limitations, which constrain the design flexibility and its potential application areas. First, the bandwidths for its two diplexer channels are not independently designable due to fact that the input coupling at the common port for both channels are concurrently determined by the input tapped line position, limiting the design flexibility. Second, the tunable frequency ratio of the even and odd mode is theoretically limited to a small range due to the even/odd-mode nature. For instance, in a uniform common resonator, the range of theoretical is between 0.5 and 2, indicating that the maximum achievable frequency ratio of the diplexer channels is 2 and limiting the diplexer frequency tuning range. Third, due to the small number of usable resonant modes in the common resonator, it is difficult to extend this design to a triplexer or quadruplexer design. In [23], a tunable triplexer was demonstrated using evanescent-mode resonators with very high , and three tunable bandpass filters are integrated in a single substrate using an input feed-line network to achieve multi-band operations over a wide frequency range. The design for the common matching is, however, not clear and the filter is based on high- evanescent-mode resonators, which are bulky. In [24], a diplexer design was proposed based on the dual-mode resonator, and achieved a wide tuning range. However, the design details were also not clear and constant bandwidth tuning is not available. To reduce the filter size, numerous techniques have been demonstrated. Two effective methods are stepped-impedance resonators (SIRs) [25]–[27] and dual-mode resonators [28], [29]. The resonator size is reduced by manipulating the impedance ratio in a SIR, whereas the dual-mode resonator can

437

produce two resonances by using one resonator, and reduces the filter size by nearly half as compared to conventional designs. In the popular method for dual-mode bandpass filter designs [28], the dual-mode resonances are modeled as two parallel nodes with no direct coupling between them, and the signal from input to output is modeled in two parallel paths connected to the even and odd modes. Since no coupling is modeled between the dual resonances, it is difficult to characterize the constant coupling between resonances and provide guidance for a constant bandwidth tunable filter. In [29], a method for bandwidth control was proposed by adjusting the frequency distance between the even- and odd-mode resonances. This method could effectively realize a constant bandwidth during frequency tuning, however, extra varactors must be used for adjusting the frequency distance between the dual-mode resonances in addition to the varactors for frequency tuning, which increases the system complexity and cost. In this paper, a simple and effective method is proposed to design high-performance tunable diplexers with constant fractional bandwidth using dual-mode resonators. The proposed diplexer directly combines two frequency channels at the common port without any additional matching network, significantly simplifying the design procedure. Meanwhile, an alternative method is also proposed to characterize the inter-stage coupling between the dual-mode resonators and to extract the external coupling between the dual-mode resonator and the source/load, thus providing a simple and effective way to design tunable dual-mode filters with constant bandwidth as compared to the methods given in [28] and [29]. A second- and fourth-order diplexer is used to demonstrate these methods. The second-order diplexer tunes from 1.9 to 3.4 GHz with an insertion loss of 3.0–5.0 dB. A constant fractional bandwidth of 6% and 4.5% are obtained for the two frequency channels, respectively. In addition, due to the use of dual-mode SIR resonators, the proposed diplexer has a very compact size. As compared to the previous work in [22], this work presents the following advantages: 1) both filter channels in the diplexer are entirely independently designable and no additional matching networks are required at the common port; 2) the frequency ratio of the two diplexer channels has no theoretical limitation, and thus, the center frequencies of the two channels in the diplexer can be arbitrary chosen without any limitation from the common resonator; 3) exhibiting constant fractional bandwidth during frequency tuning; 4) the diplexer can be easily extended to a tunable triplexer design and even tunable quradruplexer or quintuplexer designs; and 5) much smaller size. II. DESIGN OF THE TUNABLE DIPLEXER Fig. 2 presents the proposed tunable diplexer with two frequency channels (Channel 1 and Channel 2) consisting of two dual-mode second-order bandpass filters (Filter A and Filter B) and a common input coupling line at port 1. Two bandpass channels are directly connected to port 1 using weakly capacitive gaps. For size reduction, the bandpass filters in each channel consist of a dual-mode SIR, which is shorted to ground using a transmission-line stub at the center and loaded with two varactors. The equivalent circuit for the proposed diplexer is given

438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 4. Input: (a) admittance and (b) impedance of the dual-mode band-pass filter with center frequency of 1.8 GHz.

Fig. 2. (a) Schematic and (b) physical diplexer structure using the dual-mode resonators.

and are the input admittance of the standalone dual-mode filters that compose channel 1 and channel 2, respectively. Fig. 4 presents the typical input impedance and admittance characteristic of the standalone filters with a passband at 1.8 GHz. It is found that the input impedance/admittance at the passband frequencies (grey region in Fig. 4) is dominated by the real part while the input impedance/admittance at the stopband frequencies is dominated by the imaginary part. Thus, for analysis convenience, it is approximately assumed here that the real part of the filter input impedance/admittance at the stopband frequencies is zero while the imaginary part of the input impedance/admittance at the passband frequencies is zero. Note that the passband is defined as the frequency region with equal ripple level for a Chebyshev filter (grey region in Fig. 4), and the stopband is the frequency region outside of the passband. Thus, the admittance of the standalone filters can be simplified as

(1)

Fig. 3. (a) Equivalent circuit for the tunable diplexer. (b) Simplified impedance model for the diplexer.

in Fig. 3, where -type capacitive J-inverters are used to model the input/output (I/O) coupling. A. Input Impedance Requirement for Each Standalone Filter to Obtain Required Matching at the Diplexer Common Port As shown in Fig. 3(a), since the individual channel filters are directly connected to the common-port transmission line through weak coupling and no additional matching network are used at the junction, each filter channel introduces a load effect to the common port at the passband of the other channel, thus deteriorating passband matching of the resulting diplexer. To analysis this loading effect, the diplexer is simplified as shown in Fig. 3(b), where is the source admittance, and

and are the passband frequencies of the diplexer where Channel 1 and 2, respectively. It is also assumed that the passbands of the two channels do not cross over each other, i.e., will be in stopwhen is in the passband for Channel 1, band of Channel 1, and vice-versa. From (1), the reflection coefficient of the common port at the two passband frequencies in Fig. 3(b) is

(2)

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

independently designed to obtain the required diplexer, and by directly connecting them to the common port.

TABLE I REQUIRED , , AND

AND AT THE

439

FOR DIFFERENT PASSBANDS

B. Effects on Insertion Loss and Diplexer Isolation by Directly Connecting Two Bandpass Filters

Note:

.

where is the maximum passband reflection coefficient defined by the diplexer requirement. Assuming and are the passband reflection coefficients of the standalone dual-mode filters (Filter A and Filter B, respectively), (3)

In addition to the above discussion, which results in the impedance requirement for each standalone filter to form a diplexer with good matching at the common port, it is also important to know the effects on channel passband insertion loss and isolation when directly connecting two bandpass filters to form a diplexer. Let be the scattering matrix of the resulted diplexer and be the scattering matrix of the standalone filter in Channel 1 as (6) one obtains (7)

Combining (1)–(3), one obtains

(8) (9)

(4) From (7) and (9), (5) where (4) and (5) result in the input impedance requirements for the individual standalone bandpass filters to form a diplexer by directly connecting them to the common port and still maintain a reflection level that is less than in the two channel passbands; The minimum value of and is determined by the required diplexer passband reflection level and maximum passband reflection level and of the individual standalone filters. To have a meaningful value for and , and must be smaller than , which implies that the pre-designed standalone filter reflection coefficient must be better than the required diplexer reflection coefficient for a realizable implementation. Table I gives and values for some combinations of , , and , where is chosen for easy implementation. For example, to obtain a diplexer with at the common port corresponding to a diplexer channel passband return loss of 10 dB, and must be 86.96 if the standalone filters are chosen to have a passband reflection coefficient of ( 20 dB). The and can be adjusted by choosing and values. Lower and result in lower and , which loosens the impedance requirement at the common port of the diplexer. Thus, and in the standalone bandpass filters can be used as parameters to effectively control the matching level at the common port of the diplexer. As is well known, and can be determined by choosing different ripple levels of the low-pass filter prototype. Based on the requirements in (4) and (5), the prototype of the standalone bandpass filter can be appropriately chosen and

(10) where is the passband frequency of Channel 1 and is the insertion loss ratio between the standalone filter and the resulting diplexer channel 1 after directly connecting two standalone filters. The second term in (10) represents the difference of the passband reflection coefficient between the standalone filter and the diplexer channel normalized by , while the third term in (10) represents the stopband suppression at from the other channel. Since is usually larger than due to the loading effect from the other channel, the insertion loss of the diplexer channel will always be worse than the insertion loss of the respective standalone filter (as expected). Combining (2), (3), and (10), one obtains

(11) where is the insertion-loss degradation in the diplexer passband Channel 1 in decibel scale as compared to the passband insertion loss of the standalone filter. Equation (11) indicates that the insertion-loss degradation in the passband between the standalone filter and the respective diplexer channel is determined by the deterioration of the passband reflection coefficient between the standalone filter and the diplexer channel, and the stopband suppression from the other channel. The value of can be coarsely evaluated once the standalone filters are designed. For example, if the standalone filter has passband insertion loss of dB,

440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

and are the even- and odd-mode input admittance, where respectively, and can be expressed as

(14) (15)

Fig. 5. (a) Dual-mode SIR resonator. (b) Even-mode operation. (c) Odd-mode operation.

is the varactor capaciand is the propagation constant, tance, , and are given in Fig. 5, and are the even and odd characteristic admittances of the low-impedance section in the SIR, respectively, and is the characteristic admittance of the short stub. The center frequency of the dual-mode filter is obtained as

reflection coefficient of , the diplexer required reflection coefficient , and the filter in Channel 2 has a suppression of 30 dB at , then the insertion-loss degradation in the diplexer Channel 1 will be less than 0.87 dB. Since the proposed network is reciprocal network, . Subtracting (7) from (9), the isolation in the passband frequencies of Channel 1 is

is different from the resonant frequencies of the Note that even and odd resonances. It is defined as the frequency where maximum transmission occurs in the two-port network, and is the passband center frequency. The coupling coefficient between the even and odd modes is [29]

(12)

(17)

and indicates that the isolation at the passband of diplexer Channel 1 is mainly determined by the stopband suppression of from the other channel and the reflection difference between the input at Port 1 and output at Port 2. The term is decided by the diplexer structural property, such as symmetry, while represents the stopband suppression of the other channel, which can be improved by increasing the filter order and introducing transmission zeroes at . Similarly, the insertion loss degradation and isolation value at Channel 2 can be evaluated using the same method and are not repeated here. C. Constant Coupling in Dual-Mode Resonator The standalone filter design starts with a dual-model SIR resonator design. Since the SIR resonator is symmetric with respect to its middle plane, it operates in even and odd modes (Fig. 5). A coupling model where the even and odd resonances are represented by parallel coupling nodes to the source and load has been proposed in [28] and [29]. However, since there is no modeled coupling between the even and odd resonances, it is difficult to use this method to characterize the constant coupling between resonances in tunable filter designs. Thus, an alternative admittance matrix method ( -matrix) is proposed here to predict the even- and odd-mode coupling in the dual-mode resonator versus frequency tuning. The resonance frequency and coupling coefficient between the two modes are evaluated by analyzing the admittance matrix of the two-port network in Fig. 5(a) as (13)

(16)

where is the fractional bandwidth of the desired filter, and are the element values for the chosen low-pass filter prototype, and is the reactance slope parameter. From (14), (15), and (17), it is observed that coupling between the even/ odd-modes in the dual-mode resonator is dominated by the short stub and the small capacitive gap between the lowimpedance sections of the SIRs in Filters A and B, keeping in mind that and are determined by .The coupling between the high-impedance sections is ignored here due to the relatively large distance between them. Given the physical dimensions of the resonator, the value in (17) can be numerically solved by assistance of commercial computer programs such as MATLAB [30] or Agilent Technologies’ Advanced Design System (ADS) [31]. A parametric study based on (13)–(17) is employed to investigate the resonant frequency and the coupling coefficients versus the design parameters for an SIR with dimensions given in Table II. Fig. 6(a) presents the center frequency tuning versus the loaded capacitance and the admittance ratio . As expected, decreases with increasing , indicating a size reduction. Fig. 6(b) presents versus and , and it is seen that increases as and increase. This is because the even-mode resonant frequency decreases as increases while the odd-mode resonant frequency is constant with variation of as per (14) and (15); thus, the split frequency difference between the even and odd modes increases as increases, and the coupling increases as a result according to the asynchronously coupled resonator theory in [29]. In contrast, only affects the odd-mode resonance. Also, when increases, the odd-mode resonant frequency increases because of the lower capacitance loading at the virtual ground plane. As a result, the frequency difference between the even and odd modes increases, resulting

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

TABLE II PHYSICAL DIMENSIONS FOR THE NUMERIC EXAMPLE. UNIT: mil

Note: Rogers 6010 substrate with

and

mil is used.

441

To extend the proposed diplexer to a design with constant absolute bandwidth tuning, an additional varactor is used in the section in the symmetry plane. This varactor controls the electric length of under even-mode operation so that the frequency distance between the even and odd modes of the dual-mode resonator can be chosen to be constant as the frequency is tuned. This results in absolute bandwidth tuning. D. External Quality Factor for Dual-Mode Resonators The I/O coupling is determined by the input and output gaps ( shown in Fig. 2). The gap coupling is equivalent to -type capacitive -inverters shown in Fig. 3(a). The required -inverter and capacitance value are calculated as [29] (18) is the where is resonator slope parameter given in (17), source/load admittance, and are the element values in the chosen low-pass filter prototype, and is the capacitance value in the -inverters. To extract the respective physical gap size for the I/O -inverters, the external quality factor is evaluated first. for the proposed dual mode resonator given in Fig. 7(a) at the I/O can be defined as [29] (19) where is the slope parameter of the dual-mode resonator given in (17). Since the dual-mode resonator has two resonances inside it, it is desired to evaluate the external quality factor of the individual even- and odd-mode resonance. Substituting (13) and (17) into (19), one obtains

Fig. 6. (a) Center frequency versus loaded capacitance values and versus admittance ratio pF . (b) versus and for pF. (c) versus the resonant frequency when is changed from 1 to mil. (d) versus the resonant frequency 7 pF for different with is changed from 1 to 7 pF for different with mil. when

in a larger coupling coefficient. Since the variation of due to is much smaller than the variation due to , the parameter can be used to coarsely tune while is used to fine tune . Fig. 6(c) and (d) presents versus the resonant frequency when is tuned from 1 to 7 pF for different and values. As can be seen, is almost constant with frequency when is 30 mil and is 15 mil. Thus, by using the appropriate and values, the coupling coefficients can be controlled to achieve a constant fractional filter bandwidth over the tuning range. The physical principle of the constant-fractional-bandwidth tuning is given as follows. The absolute bandwidth of the proposed dual-mode filter channel is determined by the frequency distance between the even and odd modes of the dual-mode resonators, and this frequency is in turn mainly determined by the electric length of the section in Fig. 5(a), which is a proportional to the working frequency. As a result, the absolute bandwidth will also be proportional to the working frequency. Therefore, a constant fractional bandwidth can be achieved during frequency tuning.

(20) with (21) and are the external quality factors of the evenwhere and odd-mode resonances, respectively, and are defined by applying an open and short plane at the symmetrical plane, respectively as shown in Fig. 7(b) and (c). Equation (20) clearly shows that the of the dual-mode resonator can be obtained by the arithmetic average of and . The and can be easily extracted using the method given in [29] as (22) and are the angular resonant frequencies of the where even- and odd-mode resonances, respectively, and and are the group delay of for the even and odd modes, respectively. Under the condition that the two channel filters can be independently designed to obtain the diplexer, the external of each channel should have minor dependency on the external from the other channel. To further verify the independency between the external quality factors of the two channels, the external of each channel is extracted here with the presence of

442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 9. (a) Extracted and versus

and with

versus with mil (

mil. (b) Extracted pF).

Fig. 7. (a) External coupling for the dual-mode resonator. (b) External coupling for the even-mode resonance. (c) External coupling for the odd-mode resonance.

Fig. 8. Physical structure for extracting the external quality of the even-mode resonance.

the other channel using (22). Fig. 8 presents the physical structure for extracting the external quality factor of the even-mode resonance at the common port, where an open boundary condition is applied at the middle symmetrical plane of the dual-mode resonator. Similarly, the external quality factor of the odd-mode resonance can be extracted by adding a short boundary condition at the symmetrical plane (not shown here for brevity). Applying arithmetic average to the extracted external quality factors of the even- and odd-mode resonances according to (20), the external quality factor for the dual-mode resonator can be obtained. Assuming that and are the external quality factors at the common port for the dual-mode resonator in Channel 1 and Channel 2, respectively, Fig. 9 shows the extracted and with respect to different values of and . As and increases, and monotonically increase, respectively; meanwhile, note that is constant versus while is constant versus . This indicates that and are independently controlled by and , respectively, without affecting each other. In addition to the variation versus the gap sizes, the external quality factors at the common port also changes with the resonator loading capacitors ( and ). Fig. 10 presents and with respect to and . As changes from 2 to 5 pF corresponding to a frequency tuning from 2 to 2.5 GHz for Channel 1, varies from 20 to 26. Similarly, as changes from 2 to 5 pF corresponding to a frequency tuning from 2.8 to 3.4 GHz for Channel 2, varies from 21 to 31. This external quality factor variation with respect to the variation of loading capacitance will introduce a variation in the matching level in the passband of the respective channel during

Fig. 10. (a) versus

at the common port versus mil .

. (b)

at the common port

Fig. 11. (a) at the output ports versus the respective gap sizes with pF . (b) at the output ports versus and with mil .

frequency tuning and limits the achievable frequency tuning range with constant fractional bandwidth. On the other hand, is almost constant between 21 and 23 as changes, while is almost constant between 21 and 23 as changes. This indicates that tuning the frequency of one channel will barely affect the matching at the other channel. Similarly, the external quality factor at the output ports (port 2 and port 3 in Fig. 2) can be extracted using the same method. Fig. 11 shows the extracted external quality factor at the output ports of the channel filters versus the gap sizes and loading capacitance. As can be observed, at the output ports can be controlled by the respective gap sizes and is almost constant while the resonator loading capacitance changes.

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

443

TABLE III PHYSICAL DIMENSIONS OF THE DESIGNED DIPLEXER. UNIT: mil

Note: Rogers 6010 substrate with pF, pF,

and pF, and

mil is used, pF.

Fig. 12. Design flowchart for the tunable diplexer.

E. Design Steps for the Proposed Diplexer The design flow of the proposed diplexer is summarized in Fig. 12. First, initial and for the standalone filters are chosen according to the diplexer requirement, the impedance requirement of and are calculated and the individual filter channels are independently designed following (13)–(22) using a dual-mode resonator. The input impedance of the each individual standalone channel filters is then evaluated and compared to and . If (4) and (5) are satisfied, the two standalone filters are connected directly to the common port. If (4) and (5) are not satisfied, an iteration is needed to adjust and in order to meet (4) and (5). As a demonstration, a diplexer with channel frequencies of 2.55 and 3.1 GHz is designed. The diplexer is required to have a passband maximum input return loss of less than dB . Chebyshev filter prototypes with ripple levels of 0.1 dB, which correspond to and of 0.146, are chosen for the two channel filters. The required and to directly form the diplexer is 96.95 . In addition, fractional bandwidths of 4% and 3% (corresponding to 1-dB fractional bandwidths of 6% and 4.5%, respectively) are used to for the lower and higher frequency channels, respectively. The required inter-stage coupling and external for the two filters is calculated as

Fig. 13. (a) Input impedance of the individual bandpass channels with GHz and GHz. (b) of the synthesized diplexer and the , , and of the synthesized diplexer respective standalone filters. (c) and the respective standalone filters.

for the lower channel for the higher channel The two channels filters are then independently designed according to the methods discussed above. The initial physical dimension for the required coupling coefficient and can be approximately read from Figs. 6, 9, 10, and 11. Table III presents the designed parameters. After the individual filters are designed, the input impedance for each filter channel is investigated. Fig. 13(a) presents

the input impedance of each individual standalone filter, and Fig. 13(b) shows the of the synthesized diplexer and the respective standalone filters. The input impedance of each standalone filter is maintained between 36.98 and 67.59 at its respective passband of level 16 dB, and quickly increases to a high impedance when frequency is away from the passband. This impedance feature indicates that as long as the two diplexer channels are 300 MHz away from each other, the impedance requirements in (4) and (5) are satisfied, and the two

444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 15. (a) Photograph of the tunable diplexer and (b) measured and simulated GHz and GHz. response with

Fig. 14. (a) Input impedance of the standalone bandpass filter in Channel 2 when tuning the center frequency. (b) Input impedance of the individual bandGHz and GHz. (c) Simulated response pass channels with of the synthesized diplexer.

filter channels can be directly connected to the common port. Fig. 13(c) presents , , and of the simulated diplexer and the transmission coefficients of the standalone filters. The diplexer transmission coefficients are almost the same as its respective standalone filters, and the insertion-loss degradation in both diplexer channels is less than 0.2 dB as compared to the standalone filters. There is one transmission zero at the lower stopband of each channel filter, and it is due to the cancellation between the electric and magnetic coupling in the dual-mode resonator. Due to the stopband transmission zero, the diplexer isolation is 50 dB at the passband frequency of the lower channel, which can be anticipated by (12). However, due to relatively low suppression from the lower channel at the passband of the higher channel, the diplexer isolation at the passband of the higher channel is around 20 dB. Fig. 14(a) presents the input impedance of the standalone filter in Channel 2 versus frequency tuning, and the shape of the

input impedance curve keeps almost the same. This impedance feature also holds for the standalone filter in Channel 1. Thus, as the passband frequencies of the two diplexer channels are tuned far away from each other, the loading effect between two channels becomes less. When the two channels become close to each other, the input impedance at the stopband of one channel becomes close to 50 in the passband of the other channel, and deteriorates the matching to the other channel. For example, when channel 2 is tuned to 2.75 GHz, is 50 at and the two passbands ( and ) overlap at the 3-dB point, thus deteriorating [see Fig. 14(b) and (c)]. It should be noted that as the center frequencies of two channels become even closer to each other and two passbands overlap at less than the 3-dB point, (4) and (5) become difficult to satisfy, and as a result, the two channel filters may not be independently designed so as to obtain a diplexer with acceptable matching level. To enable a closer frequency distance between the two channels, the filter order and resonator need to be increased so as to create a sharper impedance curve for each individual filter. F. Simulation and Measurements of the Proposed Tunable Diplexer The tunable diplexer is fabricated on Rogers 6010 with , , and thickness mil. Silicon varactors SMV1234 from Skyworks Solutions are used for the tuning

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

Fig. 16. Measured response when channel 1 is tuned and channel 2 is fixed at 3.0 GHz.

elements ( , – pF at V). The microstrip line resonator has a simulated unloaded of 150 at 2 GHz, but this drops to a measured tunable of 36–80 at 1.2–1.8 GHz when loaded with varactors, which shows that the resonator is limited by the silicon varactors. Fig. 15(a) presents the fabricated tunable diplexer with an area of 6 25 mm without the I/O lines. The final filter is optimized and simulated in Ansoft’s HFSS [32]. The measured diplexer performance is shown in Fig. 15(b), when the two channels are set at 2.35 and 2.95 GHz, respectively. Excellent agreement with simulations is observed. When compared to the synthesized performance in Fig. 13, additional transmission zeroes are observed in the stopband of Channel 2, both in electromagnetic (EM) simulations and in measurements. These are due to the source-to-load coupling between the I/O transmission lines. Fig. 16 presents the measured diplexer response when Channel 1 is tuned at 1.9–2.7 GHz while Channel 2 is kept fixed at 3 GHz. The passband performance in Channel 2 is not affected by Channel 1 tuning and remains at 3.0 GHz with a 1-dB fractional bandwidth of 4.5% and an insertion loss of 4 dB. The 1-dB fractional bandwidth for Channel 1 is 6 0.3% over the tuning range and the insertion loss is 5.4–3.0 dB due

445

Fig. 17. Measured performance when channel 2 is tuned and channel 1 is fixed at 2.1 GHz.

to the variation of the tunable unloaded resonator . The isolation between the two channels decrease when their channel frequencies becomes close. The isolation is 25 dB over all tuning states. The isolation level can be further improved by increasing the stopband suppression of each channel filter, such as increasing the filter order, introducing tunable transmission zeros, and so on. Fig. 17 presents the measured diplexer performance when Channel 1 is fixed at 2.1 GHz and Channel 2 is tuned at 2.5–3.4 GHz. The 1-dB fractional bandwidth for channel 2 remains at 4.5 0.3% with an insertion loss of 3.5–6.3 dB. The isolation is 30 dB over all tuning states. Again, the passband performance in Channel 1 is not affected by the tuning of channel 2 and remains fixed at 2.1 GHz with a 1-dB fractional bandwidth of 5.7% and an insertion loss of 4.5 dB. Fig. 18 presents the measured diplexer performance when both channels are simultaneously tuned with a constant separation of 600 MHz. Channel 1 tunes over 1.9–2.6 GHz while Channel 2 covers 2.5–3.2 GHz. Channel 1 and 2 bandwidths remain at 6 0.3% and 4.5 0.3%, respectively, and the insertion loss varies from 3.0 to 4.9 dB and from 3.6 to 5.9 dB, respectively. is 10 dB for both channels and the isolation is

446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

TABLE IV COMPARISON WITH PREVIOUS WORKS

Note:

is the guided wavelength in free space at the lowest frequency that each diplexer can tune to.

Fig. 19. (a) Structure of the fourth-order diplexer. (b) Photograph. Fig. 18. (a) Measured diplexer performance when both channels are simultaneously tuned with a constant separation of 600 MHz. (b) Corresponding 1-dB bandwidth and insertion loss.

25 dB for all tuning states. The measured input third-order intercept point IIP with MHz (frequency difference of two-tone test signals) is 18–23 dBm for both channels, depending on the tuning state. This IIP level is common in Schottky-diode varactor-tuned resonators, as shown in [1] and [22]. The measured closest frequency distance between the two diplexer channels is 300 MHz when (centered at 2.7 GHz)

TABLE V PHYSICAL DIMENSIONS OF THE FOURTH-ORDER DIPLEXER. UNIT: mil

,

,

,

,

, and

(unit:

mils).

and (centered at 3 GHz) have a 10-dB overlap point, as shown Fig. 16. This minimum frequency distance is a bit higher

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

447

Fig. 20. Measured performance when Channel 1 is tuned and Channel 2 is fixed at 2.4 GHz.

Fig. 21. Measured performance when Channel 2 is tuned and Channel 1 is fixed at 1.55 GHz.

than the simulations shown in Fig. 14(b). This is due to the filter design and varactor capacitance chosen for maximum tuning range, and when the two channels are tuned close to each other, both of them are almost out of their tuning range, resulting in nonoptimal input impedance for each filter. Table IV presents a comparison with previous work. As can be seen, this work provides the following advantages: 1) the widest frequency tuning range in both lower and higher channels; 2) constant fractional bandwidths during frequency tuning; 3) the most compact size; and 4) fewest varactors required per frequency channel. The diplexer has a slightly higher insertion loss than some previous work, and this is due to: 1) relatively smaller fractional bandwidth used and 2) varactors may have a lower than others. The insertion loss can be improved by using the RF microelectromechanical systems (RF MEMS) varactors [1].

A higher order diplexer is shown in Fig. 19(a) to demonstrate the flexibility of the design method. In this design, each channel consists of two dual-mode resonators, which form a fourth-order bandpass filter, and two channels are coupled to the common port (port 1) using weakly capacitive gaps. The same method as in Section II is used to design the resonators, and the method in [29] is followed to design the fourth-order bandpass filters. Chebyshev filter prototypes with ripple levels of 0.1 dB and fractional bandwidths of 3.5% and 4% (corresponding to 1-dB fractional bandwidths of 4.5% and 6%, respectively) are used to build the low- and high-frequency channels, respectively. The tunable diplexer is fabricated on Rogers 6010 with , , and mil. Silicon varactors SMV1234 from Skyworks Solutions are used for the tuning elements. The fabricated four-pole diplexer is shown in Fig. 19(b) with an area of 26 mm 43 mm. Table V presents the dimension of the fabricated diplexer. Fig. 20 presents the measured diplexer performance when Channel 1 is tuned and Channel 2 is fixed at 2.4 GHz, respectively. Channel 1 covers 1.2–1.8 GHz, with an insertion loss of 5.2–8.2 dB and a constant fractional bandwidth of 4.5%. Channel 2 is unaffected by the tuning of Channel 1.

III. EXTENSION TO HIGHER ORDER DIPLEXER DESIGNS To increase the stopband suppression and the isolation between channels, tunable diplexers with higher order bandpass filters can be implemented using the same method.

448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 22. Simulated and measured diplexer performance when the two channels are tuned simultaneously (dashed lines: simulation; solid lines: measurement).

dB in the passbands of both channels for all tuning states. The isolation between two channels is 35 dB due to the fourpole response. The high insertion loss is due to the low- varactors used. Fig. 21 presents the measured filter performance when Channel 2 is tuned and Channel 1 is fixed at 1.55 GHz, respectively. Channel 2 covers 2.0–2.6 GHz with an insertion loss of 5.3–7.3 dB and a constant fractional bandwidth of 5.5%. Again, Channel 1 is unaffected by the tuning of Channel 2. The insertion loss and 1-dB fractional bandwidths are 3.5 dB and 5%, respectively, for Channel 2. dB in the passbands of both channels during all tuning states, and the isolation between the two channels is again 35 dB over all tuning states. Fig. 22 presents the simulated and measured diplexer performance when both channels are simultaneously tuned with a constant separation of 810 MHz. Good agreement is observed between simulation and measurement. Channel 1 covers 1.2–1.8 GHz while Channel 2 can cover 2.0–2.6 GHz. Channel 1 and 2 bandwidths remain at 4.5 0.5% and 5.5 0.5% respectively, and the insertion loss varies from 5.2 to 8.5 dB and from 6.2 to 7.8 dB, respectively. is 10 dB for both channels and the isolation is 35 dB for all tuning states. IV. CONCLUSION A simple, but effective method has been proposed for tunable diplexers. The bandpass channels can be independently designed, controlled, and tuned, exhibiting excellent design flexibility, great tuning capabilities, constant fractional bandwidth, and very compact size. A 1.9–3.4-GHz tunable diplexer with excellent performance was used to demonstrate the method. These designs are expected to find application in multi-frequency standards such as carrier aggregation or spread-spectrum techniques over a wide frequency range. REFERENCES [1] G. M. Rebeiz et al., “Tuning in to RF MEMS,” IEEE Microw. Mag., vol. 10, no. 5, pp. 55–71, Oct. 2009. [2] Y.-C. Chiou and G. M. Rebeiz, “Tunable 1.55–2.1 GHz 4-pole elliptic bandpass filter with bandwidth control and 50 dB rejection for wireless systems,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 117–124, Jan. 2013.

[3] M. A. El-Tanani and G. M. Rebeiz, “High-performance 1.5–2.5-GHz RF-MEMs tunable filters for wireless applications,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 6, pp. 1629–1637, Jun. 2010. [4] X. Luo, S. Sun, and R. B. Staszewski, “Tunable bandpass filter with two adjustable transmission poles and compensable coupling,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2003–2013, Sep. 2014. [5] H. Joshi, H. H. Sigmarsson, S. Moon, D. Peroulis, and W. J. Chappell, fully reconfigurable tunable bandpass filter,” IEEE Trans. “HighMicrow. Theory Techn., vol. 57, no. 12, pp. 3525–3533, Dec. 2009. [6] C. Rauscher, “Reconfigurable bandpass filter with a three-to-one switchable passband width,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 573–577, Feb. 2003. [7] A. Anand, J. Small, D. Peroulis, and X. Liu, “Theory and design of octave tunable filter with lumped tuning elements,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4353–4364, Dec. 2013. [8] T. Yang, P.-L. Chi, and T. Itoh, “High isolation and compact diplexer using the hybrid resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 551–552, Oct. 2010. [9] C.-F. Chen, T.-Y. Huang, C.-P. Chou, and R.-B. Wu, “Microstrip diplexers design with common resonator sections for compact size, but high isolation,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1945–1952, May 2006. [10] T. Yang, P.-L. Chi, and T. Itoh, “Compact quarter-wave resonator and its application to miniaturized diplexer and triplexer,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 260–269, Feb. 2011. [11] C.-F. Chen, T.-M. Shen, T.-Y. Huang, and R.-B. Wu, “Design of compact quadruplexer based on tri-mode net-type resonators,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 10, pp. 534–536, Oct. 2011. [12] J. S. Sun, N. Kaneda, Y. Baeyens, T. Itoh, and Y.-K. Chen, “Multilayer planar tunable filter with very wide tuning bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2864–2871, Nov. 2011. [13] S. Srisathit, S. Patisang, R. Phromloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 101–103, Feb. 2005. [14] K.-L. Wu and W. Meng, “A direct synthesis approach for microwave filters with a complex load and its application to direct diplexer design,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 5, pp. 1010–1017, May 2007. [15] H.-W. Yao, A. E. Abdelmonem, J.-F. Liang, X.-P. Liang, and K. A. Zaki, “Wide-band waveguide and ridge waveguide T-junctions for diplexer applications,” IEEE Trans. Microw. Theory Techn., vol. 41, no. 12, pp. 2166–2173, Dec. 1993. [16] W.-Q. Xu, M.-H. Ho, and C. G. Hsu, “UMTS diplexer design using dual-mode stripline ring resonators,” Electron. Lett., vol. 43, no. 13, pp. 721–722, Jun. 2007. [17] M.-H. Weng, C.-Y. Hung, and Y.-K. Su, “A hairpin line diplexer for direct sequence ultra-wideband wireless communications,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 519–521, Jul. 2007. [18] X. Guan, F. Yang, H. Liu, and L. Zhu, “Comapct and high-isolation diplexer using dual-mode stub-loaded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 386–387, Jun. 2014. [19] M.-L. Chuang and M.-T. Wu, “Microstrip diplexer design using common T-shaped resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 11, pp. 583–585, Nov. 2011. [20] F. A. Miranda, G. Subramancam, K. Van, and F. W. Romanofsky, “A K-band (HTS gold)/ferroelectric thin film/dielectric diplexer for a discriminator locked tunable oscillator,” IEEE Trans. Appl. Supercond., vol. 9, no. 2, pp. 3581–3584, Jun. 1999. [21] E. E. Djoumessi and K. Wu, “Electronically tunable diplexer for frequency-agile transceiver front-end,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 1472–1775. [22] T. Yang and G. M. Rebeiz, “Three-pole 1.3–2.4 GHz diplexer and 1.1–2.45 GHz dual-band filter with common resonator topology and flexible tuning capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3612–3624, Oct. 2013. [23] H. Joshi, H. H. Sigmarsson, S. Moon, D. Peroulis, and W. J. Chappel, “Tunable high Q narrow-band triplexer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1477–1480. [24] C.-F. Chen, C.-Y. Lin, B.-H. Tseng, and S.-F. Chang, “A compact tunable microstrip diplexer using varactor-tuned dual-mode stub-loaded resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3. [25] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 5, pp. 1554–1559, May 2003.

YANG AND REBEIZ: SIMPLE AND EFFECTIVE METHOD FOR 1.9–3.4-GHz TUNABLE DIPLEXER

[26] M. Makimoto and S. Yamashita, Microwave Resonator and Filters for Wireless Communication: Theory, Design and Application. New York, NY, USA: Springer, 2001. [27] J.-S. Hong and S. Li, “Theory and experiment of dual-mode microstrip triangular patch resonators and filters,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 4, pp. 1237–1243, Apr. 2003. [28] J.-S. Hong, H. Shaman, and Y.-H. Chun, “Dual-mode microstrip openloop resonator filters,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 8, pp. 1764–1770, Aug. 2007. [29] J. S. Hong and M. J. Lancaster, Microstrip Filter For RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [30] MATLAB 7.0. The MathWorks Inc., Natick, MA, USA, 2014. [31] Advanced Design System (ADS) 2015. Agilent Technol., Santa Clara, CA, USA, 2015. [32] Ansys HFSS. ver. 14, Ansys Inc., Canonsburg, PA, USA, 2014.

Tao Yang (S’09–M’11–SM’15) received the B.Eng. and Ph.D. degrees from the University of Electronic Science and Technology of China (UESTC), Chengdu, Sichuan, China, in 2005 and 2011, respectively. He is currently a Senior Engineer with Qualcomm Inc., San Diego, CA, USA. From October 2012 to April 2014, he was with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD). From August 2011 to September 2012, he was with the Institut d’Electronique et de Telecommunications de Rennes (IETR) and the Université de Rennes 1, Rennes, France. From September 2008 to September 2010, he was a Visiting Scholar with the Electrical Engineering Department, University of California at Los Angeles (UCLA). His research includes Ka-band circuit designs such as Ka-band frequency synthesizers and transceivers; miniaturized passive microwave and millimeter-wave components such as filters, diplexers, triplexers, and baluns; broadband microstrip antennas and leaky-wave antennas; metamaterial-based microwave circuits; and the design and development of RF passive components for highly integrated RF integrated circuits in deep sub-micrometer CMOS and silicon-on-insulator (SOI) technologies.

449

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena, CA, USA. He is a Distinguished Professor and the Wireless Communications Industry Chair Professor of electrical and computer engineering with the University of California at San Diego (UCSD), La Jolla, CA USA. From 1988 to 2004, he was with the University of Michigan. From 1988 to 1996, he contributed to planar millimeter-wave and terahertz antennas and imaging arrays. His group has optimized the dielectric-lens antenna, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed several 8- and 16-element phased arrays covering 6–110 GHz on a single silicon chip, the first silicon phased-array chip with built-in-self-test capabilities, the first wafers-scale silicon phased array, and the first millimeter-wave silicon passive imager chip at 85–105 GHz. His group also demonstrated high- RF microelectrome, RF MEMS chanical systems (MEMS) tunable filters at 1–6 GHz phase shifters at 1–100 GHz, and the new angular-based RF MEMS capacitive and high-power high-reliability RF MEMS metal-contact switches. As a consultant, he helped develop 24- and 77-GHz single-chip SiGe automotive radars, phased arrays operating at X- to W-band for defense and commercial applications (SATCOM, automotive, point-to-point communications, weather radars), digital beamforming systems, the RFMD RF MEMS switch, and the Agilent Technologies RF MEMS switch. He currently leads a group of 15 Ph.D. students and Post-Doctoral Fellows in the area of millimeter-wave RF integrated circuits (RFICs), tunable microwaves circuits, RF MEMS, planar millimeter-wave antennas and terahertz systems. He is the Director of the UCSD/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He has authored or coauthored more than 550 IEEE publications. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). He has graduated 55 Ph.D. students and 20 Post-Doctoral Fellows. Prof. Rebeiz has been an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has been a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), IEEE IEEE Antennas and Propagation Society (AP-S), and IEEE Solid-State Circuits Societies. He is a National Science Foundation (NSF) Presidential Young Investigator. He was the recipient of the URSI Koga Gold Medal, the 2014 IEEE Daniel E. Noble Award for his work on RF MEMS, the 2014 IEEE MTT-S Microwave Prize for his work on phased arrays, the 2012 Intel Semiconductor Technology Council Outstanding Researcher in Microsystems Award, the 2011 IEEE AP-S John D. Kraus Antenna Award, the IEEE MTT-S 2010 Distinguished Educator Award, the 2003 IEEE MTT-S Distinguished Young Engineer Award, and the 2000 IEEE MTT-S Microwave Prize for his work on RF MEMS phase shifters. He was also the recipient of the 1997–1998 Eta Kappa Nu Professor of the Year Award, the 1998 College of Engineering Teaching Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at the University of Michigan, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have been recipients of a total of 21 Best Paper Awards of IEEE MTT-S, RFIC, and AP-S conferences.

450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Strip-Loaded Slotline Resonators for Differential Wideband Bandpass Filters With Intrinsic Common-Mode Rejection Xin Guo, Graduate Student Member, IEEE, Lei Zhu, Fellow, IEEE, and Wen Wu, Senior Member, IEEE

Abstract—In this paper, novel strip-loaded slotline resonators are proposed for design and exploration of differential wideband bandpass filters (BPFs) with intrinsic common-mode (CM) rejection. When the loaded stubs on the slotline resonator are in the format of microstrip lines, there are two types of lines in the resonator and the resonator can be fed or tightly coupled from the loaded strip stubs. In this way, the troublesome orthogonal transitions for feeding the slotline resonator can be avoided. With the use of this hybrid microstrip/slotline structure, the proposed stubloaded slotline resonators have their CM rejection themselves as an inherent property. Only the differential-mode (DM) transmission performances need to be focused on. In this context, the constructed resonators operate under triple- and quadruple-mode operation and they are tightly coupled with feeding lines via parallel coupled lines. After the multimode resonance properties of these proposed hybrid resonators are investigated, two differential BPFs with five and six in-band transmission poles are designed, fabricated, and measured. All the simulated frequency responses of these two designed filters have demonstrated their excellent DM wide passband performance with high CM rejection, as validated in experiment. Index Terms—Differential wideband bandpass filter (BPF), equivalent circuit, intrinsic common-mode (CM) rejection, multimode resonator, strip-loaded slotline resonator.

I. INTRODUCTION

W

IDEBAND filters based on multimode resonators have been widely studied and developed in modern microwave systems in virtue of many attractive features, for instance, a straightforward design procedure. In categories of multimode resonators, the stub-loaded resonator is among simple and widespread ones. In [1] and [2], a stub-loaded resonator was presented and analyzed to design

Manuscript received July 29, 2015; revised November 22, 2015; accepted November 28, 2015. Date of publication January 01, 2016; date of current version February 03, 2016. This work was supported by the Macao Science and Technology Development Fund under FDCT Research Grant 051/2014/A1 and by the University of Macau, Macau SAR, China, under Multi-Year Research Grant MYRG2015-00010-FST and Grant MYRG2014-00079-FST. X. Guo and W. Wu are with the Ministerial Key Laboratory, JGMT, Nanjing University of Science and Technology, Nanjing, China (e-mail: [email protected]). L. Zhu is with the Faculty of Science and Technology, Department of Electrical and Computer Engineering, University of Macau, Macau SAR, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2509065

compact or wideband bandpass filters (BPFs) using its dual resonant modes, i.e., the odd and even modes. In [3]–[6], a half-wavelength resonator was centrally tapped with two dissimilar stubs for development of very compact triple-mode BPFs. In [7]–[9], more resonant modes were excited in these stub-loaded resonators by centrally loading stepped-impedance stubs or successively loading three stubs. As these modified stub-loaded resonators were utilized, the designed filters would have improved the in-band flatness and out-of-band steepness in rejection skirt. Moreover, research works in [7] and [10] demonstrated how extra transmission zeroes were produced by lengthening the length of these stubs to gain an ultra-wide passband with steep out-of-band rejection. To our best knowledge, these stub-loaded resonators with multimode operation have rarely been applied in design of differential wideband filters with high common-mode (CM) rejection. In [11], a differential BPF on the T-shaped structure was proposed, but its differential-mode (DM) and CM circuit models shared the centrally loaded stub so that its CM signals could not be intrinsically and perfectly rejected in the desired DM passband. Although signal transmission of DM and rejection of CM were reasonably achieved under the two different boundary conditions on the symmetrical plane, there was a tradeoff in the desired band of operation between the DM transmission and CM attenuation. In short, the CM attenuation in the DM passband could only achieve 14.5 dB. In [12], a cross-shaped resonator was used in the differential wideband BPF, but its fractional bandwidth (FBW) of the DM was about 40% at maximum as reported and the CM rejection band was quite limited. Recently, there has been a novel kind of differential filter that has the intrinsic CM rejections. For instance, the differential filters were designed with magnetic coupling in [13] for narrowband applications. Alternatively, a differential wideband BPF with intrinsic CM rejection on the multimode slotline resonator was reported in [14] and it was realized by orthogonally feeding this slotline resonator through directly tapping microstrip lines. A pair of differential ports was located at two sides of each microstrip line. As an attractive feature of this filter on the hybrid microstrip/slotline structure, its CM transmission could be intrinsically rejected due to its high attenuation along the slotline. Unfortunately, the work in [14] not only failed to provide a systematic design procedure on this differential BPF with a slotline resonator, but also demonstrated poorly the in-band flatness and out-of-band attenuation. In [15]–[17], improved differential filters were presented on the hybrid microstrip/slotline

0018-9480 © 2016 British Crown Copyright

GUO et al.: STRIP-LOADED SLOTLINE RESONATORS FOR DIFFERENTIAL WIDEBAND BPFs WITH INTRINSIC CM REJECTION

451

structure. However, these filters had unexpectedly complicated geometries and inquired tedious optimization procedures based on full-wave simulation. In the latest [18], the authors systematically analyzed and synthesized this kind of differential filters. Generally speaking, these filters in [14]–[18] are almost the same in their working mechanism through the use of the multimode stepped-impedance resonator (SIR) in the format of slotline. Field conversion is complemented via input/output microstrip–slotline transitions for both tight coupling in DM and signal rejection in CM. However, restricted by the inevitable orthogonal transitions, differential filters on multimode slotline resonators have hardly been found to be implemented in the format of stub-loaded resonators. In this paper, a novel kind of hybrid stub-loaded resonator is presented and it is fed by parallel coupled microstrip lines to construct differential filters. Since all the CM signals are intrinsically attenuated along the slotline section, only its wide passband performance under the DM operation needs to be investigated for realization of a wide DM passband. After the working principle of the proposed filters is described, the two wideband differential BPFs with five and six transmission poles in the desired DM passband are designed, fabricated, and measured. II. PROPOSED STRIP-LOADED SLOTLINE RESONATOR

Fig. 1. Two scenarios of stub-loaded resonators. (a) Traditional microstrip stub-loaded resonator and (b) proposed strip-loaded slotline resonator.

Fig. 1(a) and (b) depicts two scenarios of the traditional stub-loaded resonator and the proposed strip-loaded slotline resonator, respectively. The traditional stub-loaded resonator is commonly formed on the same interface of a dielectric substrate in terms of the format of either microstrip-line or slotline [3]–[12]. However, in this work, a novel stub-loaded resonator is proposed by perpendicularly and symmetrically loading a pair of identical strip conductors above the slot portion as illustrated in Fig. 1(b). By virtue of the location and length of these paired strips, three and four resonant modes in the proposed slotline resonator can be properly excited and employed for realization of a wide differential BPF with good CM rejection. Fig. 2(a) and (b) indicates the top view of the two types of differential BPFs based on the slotline resonator [14] and proposed strip-loaded slotline resonator. As shown in Fig. 2(a), the tradition differential filter [14] utilizes two microstrip/slotline transitions to feed the slotline resonator so that a wideband DM passband with good CM suppression could be reasonably achieved. However, restricted by the inevitable orthogonal transitions, differential filters on multimode slotline resonators cannot be implemented well in the format of stub-loaded slotline resonators. In our proposed filter, as shown in Fig. 2(b), by loading the microstrip lines on the slotline resonator, there are two types of lines in the resonator and the resonator can be fed or tightly coupled from the loaded strip stubs using the coupled lines [20]. By virtue of the widely used frequency dispersive property of parallel coupled lines, two additional transmission poles can be produced within this DM passband for realization of good in-band flatness, as discussed in [8] and [20]. In this aspect, the design procedure for such a wide DM passband can effectively follow our previous work in design of a wideband multimode filter using the stub-loaded resonator in [8]. To further investigate the working principle of the proposed wideband differential filter in Fig. 2(b), the cross-sectional view

Fig. 2. Top view of two types of differential BPFs on different slotline resonators. (a) Traditional type. (b) Proposed type.

452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 5. Equivalent model of the proposed triple-mode resonator under DM operation.

III. TWO DESIGNED DIFFERENTIAL BPFs

Fig. 3. Cross-sectional view of electrical fields in the two layered structure with: (a) DM feeding and (b) CM feeding.

Fig. 4. Coupling diagram of the proposed filter on the strip-loaded slotline resonator.

of electrical field distribution in the two-layered structure are depicted in Fig. 3(a) and (b) under the DM and CM excitation, respectively. Under the DM shown in Fig. 3(a), the symmetrical plane becomes a perfectly electric wall so that the electric field in the DM feeding line is in analog to that in the slotline. Thus, it can be highly expected that a passband under the DM operation can be achieved based on the work in [8], whereas under the CM shown in Fig. 3(b), the symmetrical plane is converted to a perfectly magnetic wall. In this case, the odd-symmetrical field in the DM feeding lines is orthogonal with the even-symmetrical field in the slotline, thereby intrinsically rejecting the transmission of all the CM signals along the slotline. From the other perspective, the proposed differential filter can be interpreted by a coupling diagram, as displayed in Fig. 4. In Fig. 4, each node represents either strip resonator or slotline resonator while each solid line segment indicates the coupling between two adjacent resonators. Under the DM operation, all the coupling paths are excited with a strong degree so as to achieve good signal transmission over a wide frequency range. From the coupling diagram in Fig. 4, it can be understood that the proposed initial resonator operates under the triple-mode resonance. Deductively, if the slotline resonator itself has a dualmode property, the entire strip-loaded resonator can be operated under a quadruple-mode operation. This gives us a guideline to design the quadruple-mode resonator in Section III-B.

In the section, the resonant performances of the tripleand quadruple-mode resonator are analyzed qualitatively and verified by formulas. Two wideband differential BPFs in Fig. 2(b) are designed using the approach developed in [20]. The whole design procedure can in general be classified into two distinctive steps, which are: 1) first few resonant modes in the strip-loaded slotline multimode resonator are properly excited and allocated in the desired wide passband and 2) coupling strength in the parallel coupled lines part is properly enhanced and its frequency dispersion needs to be regulated by placing its coupling peak near the center of the desired DM passband. A. Differential Filter on Triple-Mode Slotline Resonator The strip-loaded slotline resonator is depicted in Fig. 2(b), and its strips are centrally placed above the slotline resonator. Fig. 5 shows the equivalent model under the DM operation. In Fig. 5, all the involved transmission lines are represented by their characteristic impedances and electrical lengths. For clear demonstration, each portion uses the same line style or format as shown in Fig. 2(b). The portion with at the first resonant frequency (normalized to 1) represents the slotline resonator. The phase stands for the electrical length at the unity normalized frequency. Initially, all the impedance values are set as 50 . Under the DM operation, this proposed resonator can be referred as to a typical stub-loaded resonator. When the strip stubs are loaded on slotline and the strip-loaded slotline resonator is excited from the strips, all the slotline resonator’s even-order modes are not excited at all. With proper extension of loaded stubs, the odd-order modes in the slotline resonator can be gradually moved down with a new strip-inspired resonance accompanied. By properly locating these multiple resonant modes, a wide or ultra-wide DM passband can be formed up as well studied in [8] and [20]. Fig. 6(a) depicts the variation of resonances in the proposed resonator with , , and . As for the unloaded slotline resonator, it has a virtual-short-circuit condition at the middle position in even-order modes according to the electric field distribution in the slotline [18], [19]. When stubs are loaded centrally to feed the resonator by the stubs, these even-order modes are not yet excited at all. However, the odd-order modes under virtual-open-circuit condition at the middle position tend

GUO et al.: STRIP-LOADED SLOTLINE RESONATORS FOR DIFFERENTIAL WIDEBAND BPFs WITH INTRINSIC CM REJECTION

453

center frequency, these stubs can be tightly coupled with the external microstrip feeding lines through the parallel coupled lines. Fig. 6(b) shows the resonances of the proposed resonator with , which proves the above analysis. To further verify our theory, the resonant frequencies are calculated from the circuit model in Fig. 5 under . Herein, all the electrical lengths, i.e., and , are set to be the same, so they are both labelled as . As shown in Fig. 5, the input resistance of the resonator, , is calculated,

(1)

When moves to 90 , gradually approaches 0, thus producing a resonance at the center frequency with . Under , two more resonant frequencies can be generated if the electrical length satisfies (2a) (2b)

Fig. 6. Resonances of the triple-mode resonator with different electrical and . (b) . lengths of loaded stubs. (a)

to be certainly affected if these stubs are introduced. The resonator under the resonance of odd-order modes can be viewed as two individual resonators. As the initial virtual-open-circuited end is moved from the middle position to the open-circuited end of the loaded stubs, a frequency shift emerges in final. Meanwhile, the loaded stubs themselves may bring out an additional resonance due to their function of a half-wavelength resonator. In Fig. 6, its first resonant frequency is labeled as . It needs to be emphasized herein that the short-ended slotline, labeled as and in Fig. 5, will definitely bring out a few transmission zeros at the frequencies where the electrical length from the short-circuited ends to the strips is equal to . At these frequencies, the short-circuited ends cause the emergence of virtual-short-circuit condition at the center, and thus generate transmission zeros. In Fig. 6, these zeros appear at the normalized frequencies of 2, 4, 6, . When is lengthened to 90 , three resonant modes, i.e., two frequency-shifted odd-order modes and one strip-inspired mode, symmetrically appear in the desired passband at the unity normalized center frequency. Based on the coupling diagram in Fig. 4, a triple-mode resonator can be constituted when the loaded strips become a resonator and operate with the two slotline resonators. With the electrical length of 90 at the

In practical design, the circuit model is not strictly accurate because of the existing nonideal voltage transformers at the microstrip/slotline coupling position [18], [19]. Moreover, the design of a multimode filter is usually carried out based on the procedure of mode allocation and coupling enhancement followed. Based on above, Fig. 7 is plotted to indicate the variation of resonant frequencies with respect to when mm is fixed in full-wave simulation. Herein, and are the physical widths of the slotline and microstrip-line stubs corresponding with the portions labeled by and in the circuit model in Fig. 5, respectively. mm is readily chosen to realize the tight coupling under the restriction of accuracy in etching fabrication process. It can be seen that the modes are more widely separated as becomes larger. When mm, the modes distribution is consistent with what we desired as marked in the figure for the design of a quasi-Chebyshev filter with the FBW of 70.0% at 5.6 GHz. Herein, the theoretical values for these modes are calculated following [21]. For a th-order Chebyshev BPF with an FBW at center frequency , the pole frequency can be calculated as (3a) (3b) In our design, the normalized theoretical pole frequencies , , ; and the are calculated: designed resonator achieve three normalized resonant peaks at , , . Afterwards, the parallel coupled lines are introduced at two sides with proper frequency-dispersive and tightened coupling strength. Fig. 7(b) displays the

454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 7. (a) Variation of resonant frequencies in the triple-mode resonator with when mm. (b) Improved in-band insertion loss of respect to the triple-mode filter with decreased coupling gaps.

improved in-band insertion loss of the filter with decreased coupling gaps. The in-band insertion loss of the filter is gradually flattened as the gaps are decreased. When the gap between the two coupled lines is 0.13 mm, the required in-band flatness is satisfactorily obtained. The above-designed filter is then fabricated on a two-layered substrate, i.e., Roger’s RT/Duriod 6010LM with a permittivity of 10.7 and thickness of 0.635 mm. Fig. 8(a) shows its top-view layout with all the critical dimensions labeled, whereas Fig. 8(b) gives the top-/bottom-view photographs of the fabricated filter with four SMA connectors installed. The simulated and measured frequency responses are both plotted in Fig. 8(c) for comparison. The simulated results are derived by a commercial full-wave simulator, Ansoft HFSS, and the measured ones are obtained by using the four-port vector network analyzer (VNA), Agilent N5224A. The two sets of results are found in good agreement with each other over a wide frequency range up to 15 GHz. On the one hand, the measured 3-dB DM passband covers a range from 3.58 to 7.90 GHz. Over this passband, the measured

Fig. 8. Physical layout and frequency responses of the proposed triple-mode filter. (a) Top view with all the dimensions labeled. (b) Top-/bottom-view photographs of the assembled filter. (c) Simulated and measured results.

insert loss is lower than 0.77 dB and the return loss is higher than 12.4 dB. Accordingly, they are reasonably close to 0.2 and 16.9 dB in simulation. Moreover, this DM BPF has a widened upper stopband with the attenuation higher 20 dB until 14.5 GHz. On the other hand, the measured CM attenuation is better than 38.5 dB in the entire DM passband, and better than 20-dB CM over the plotted frequency range of 0–14.9 GHz. It has confirmed us that the CM signals in the proposed filter have been highly suppressed. The bandwidth of the realizable triple-mode filter is in a range of about 67%–87%.

GUO et al.: STRIP-LOADED SLOTLINE RESONATORS FOR DIFFERENTIAL WIDEBAND BPFs WITH INTRINSIC CM REJECTION

455

Fig. 10. Equivalent model of the quadruple-mode resonator under DM operation.

the loaded strip stubs are deduced to have at the center frequency to act as a resonator at the center frequency in the coupling diagram. The emergence of four modes cannot be straightforwardly explained, but the calculated the resonant frequencies through the use of circuit model in Fig. 10 can verify our deduction. In Fig. 10, is valid at the center frequency in the quadruple-mode resonator. The input resistance in Fig. 10 of the odd/even modes can be calculated as (4a)

(4b) Fig. 9. (a) Structure of the quadruple-mode strip-loaded slotline resonator. (b) Top view of differential wideband BPF on the resonator in (a).

B. Differential Filter on Quadruple-Mode Slotline Resonator In order to excite and use more resonant modes in the realization of a differential wideband filter with widened bandwidth and enhanced roll-off rate, an improved strip-loaded slotline multimode resonator is presented and its geometrical structure is depicted in Fig. 9(a). A pair of identical strip stubs is symmetrically placed along the slotline resonator with an offset distance from the middle position. By introducing the parallel coupled lines at its two sides, the second differential BPF can be formulated and its geometry is illustrated in Fig. 9(b). The equivalent model of the improved resonator is presented in Fig. 10 with all the transmission lines represented by their characteristic impedances and electrical lengths. Each portion uses the same line style or format as shown in Fig. 9(b). The second improved resonator is derived from our deduction with resorting to the coupling diagram in Fig. 4. According to our deduction, a quadruple-mode resonator can be formed if the slotline resonator is under dual-mode operation and the two loaded strip lines act as two resonators at the center frequency. Following the earlier papers [18], [19], a dual-mode slotline resonator can be constructed when these coupling/exciting strips are located at a distance, about ( is the slotline length), from its respective slotline ends. For the slotline resonator itself, the first- and second-order modes are excited to resonate in the desired DM passband, whereas its third mode is not excited at all since the electric field in the slotline is null at the coupling point. The three slotline sections are set as the same electrical length, i.e., , at the center frequency as demonstrated in [18]. To form a quadruple-mode resonator,

At the resonant frequencies, the input resistance of each mode is definitely equal to zero, i.e., for even mode and for odd mode. Four resonances with the identical electrical length are calculated from (5a) and (5b). It is obvious that the roots satisfying (5a) and (5b) are symmetric with respect to 90 , which shows that the four resonances symmetrically occur with respect to the center frequency,

(5a)

(5b) In practical design, the procedure based on the widely used mode allocation and coupling enhancement is implemented with virtue to full-wave simulation. Fig. 11 shows the variation of resonant modes with varied when mm or varied when mm. Herein, and are the physical widths of the slotline portions labeled by and in the circuit model in Fig. 10, respectively. It is found that has little influence on and so the mode allocation can be implemented as: 1) set the width of loaded strips as 0.10 mm to obtain the tight coupling; 2) choose the proper value of to match the theoretical value of and ; and 3) determine the proper value of to match the theoretical value of and with the determined . The theoretical positions of these resonant modes can be also calculated from (3a) and (3b). In this situation, mm and mm are finally decided for a quadruple-mode (fourth-order Chebyshev) filter

456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 11. Variation of resonant frequencies in the quadruple-mode resonator when mm and (b) varied when with: (a) varied mm.

with FBW of 80.0% at the center frequency of 5.8 GHz. The calculated theoretical values of normalized pole frequencies are , , , and ; while the simulated normalized resonant peaks of the designed resonators are , , , and . In final, the designed resonator is coupled in parallel with two external lines for achieving the dispersive coupling strength with the peak at central frequency. Herein, the wideband differential BPF on the quadruple-mode stub-loaded resonator can be realized with a gap of 0.10 mm. Fig. 12(a) and (b) shows the physical layout of the designed quadruple-mode filter with all the dimensions labeled and the top-/bottom-view photographs of the fabricated filter. Fig. 12(c) indicated the simulated and measures frequency responses. The simulated results achieve a 3-dB passband of 3.42–8.30 GHz for the DM, within which the CM rejection is better than 27.4 dB. Meanwhile, the measured results reach to a DM 3-dB passband of 3.45–8.45 GHz with the in-band CM

Fig. 12. Physical layout and frequency responses of the proposed quadruplemode filter. (a) Top view with all the dimensions labeled. (b) Top-/bottom-view photographs of the assembled filter. (c) Simulated and measured results.

rejection higher than 24.5 dB. Thus, we can clearly see that both of them agree well with each other. Both of results exhibit good out-of-band performance with harmonic suppression until 16.0 GHz. The bandwidth of the realizable quadruple-mode filter is in a range of about 82%–94%. In final, we tabulate the key parameters of our proposed filters compared to other reported ones in Table I. IV. CONCLUSION In this paper, novel wideband differential filters with intrinsic CM attenuation have been proposed based on the strip-loaded

GUO et al.: STRIP-LOADED SLOTLINE RESONATORS FOR DIFFERENTIAL WIDEBAND BPFs WITH INTRINSIC CM REJECTION

457

TABLE I COMPARISON WITH OTHER SIMILAR OR LATEST DIFFERENTIAL WIDEBAND FILTERS

multimode slotline resonator. With the proposed hybrid resonators, it is now practicable to implement this kind of filter on the stub-loaded resonators. The proposed resonators have largely increased the diversity of the differential filters with intrinsic CM rejection. In addition to the good CM rejection as expected, the two designed filters on triple- and quadruplemode hybrid resonators have been exhibited to achieve the wide DM bandwidth, good out-of-band performances, and compact overall size. In final, both of the predicted DM and CM frequency responses have been well verified in experiment. REFERENCES [1] J.-R. Lee, J.-H. Cho, and S.-W. Yun, “New compact bandpass filter using microstrip resonators with open stub inverter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [2] K. Ma, K. C. B. Liang, R. M. Jayasuriya, and K. S. Yeo, “A wideband and high rejection multimode bandpass filter using stub perturbation,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 24–26, Jun. 2009. [3] L. Zhu and W. Menzel, “Compact microstrip bandpass filter with two transmission zeros using a stub-tapped half-wavelength line resonator,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 16–18, Jan. 2003. [4] H. Ishii, T. Kimura, N. Kobayashi, A. Saito, Z. Ma, and S. Ohshima, “Development of UWB HTS bandpass filters with microstrip stubsloaded three-mode resonator,” IEEE Trans. Appl. Supercond., vol. 23, no. 3, Jun. 2013, Art. ID 1500204. [5] L. Han, K. Wu, and X. Zhang, “Development of packaged ultra-wideband bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 220–228, Jan. 2010.

[6] K. Song and Q. Xue, “Inductance-loaded Y-shaped resonators and their applications to filters,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 978–984, Apr. 2010. [7] W.-H. Tu, “Broadband microstrip bandpass filters using triple-mode resonator,” IET Microw. Antennas Propag., vol. 4, no. 9, pp. 1275–1282, Sep. 2010. [8] R. Li and L. Zhu, “Compact UWB bandpass filter using stub-loaded multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 40–42, Jan. 2007. [9] Z. Shang et al., “Design of a superconducting ultra-wideband (UWB) bandpass filter with sharp rejection skirts and miniaturized size,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 72–74, Feb. 2013. [10] Q.-X. Chu and X.-K. Tian, “Design of UWB bandpass filter using stepped-impedance stub-loaded resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 644–646, Dec. 2013. [11] W. Feng and W. Che, “Novel wideband differential bandpass filters based on T-shaped structure,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1560–1568, Jun. 2012. [12] H. Wang, L.-M. Gao, K.-W. Tam, W. Kang, and W. Wu, “A wideband differential BPF with multiple differential- and common-mode transmission zeros using cross-shaped resonator,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 12, pp. 854–856, Dec. 2014. [13] A. Fernández-Prieto, A. Lujambio, J. Martel, F. Medina, F. Mesa, and R. R. Boix, “Simple and compact balanced bandpass filters based on magnetically coupled resonators,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 6, pp. 1843–1853, Jun. 2015. [14] Y.-J. Lu, S.-Y. Chen, and P. Hsu, “A differential-mode wideband bandpass filter with enhanced common-mode suppression using slotline resonator,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 10, pp. 503–505, Oct. 2012. [15] J. Shi, C. Shao, Q.-Y. Lu, Y. Peng, and Z.-H. Bao, “Compact low-loss wideband differential bandpass filter with high common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 480–482, Sep. 2013.

458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

[16] C.-H. Lee, C.-I. G. Hsu, and C.-J. Chen, “Band-notched balanced UWB BPF with stepped-impedance slotline multi-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 182–184, Apr. 2012. [17] D. Chen, H. Bu, L. Zhu, and C. Cheng, “A differential-mode wideband bandpass filter on slotline multi-mode resonator with controllable bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 1, pp. 28–30, Jan. 2015. [18] X. Guo, L. Zhu, K.-W. Tam, and W. Wen, “Wideband differential bandpass filters on multimode slotline resonator with intrinsic commonmode rejection,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1587–1594, May 2015. [19] X. Guo, L. Zhu, J. Wang, and W. Wu, “Wideband microstrip-to-microstrip vertical transitions via multiresonant modes in a slotline resonator,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 6, pp. 1902–1909, Jun. 2015. [20] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [21] Y.-C. Chiou, J.-T. Kuo, and E. Cheng, “Broadband quasi-Chebyshev bandpass filters with multimode stepped-impedance resonators (SIRs),” IEEE Trans. Microw. Theory Techn., vol. 54, no. 8, pp. 3352–3358, Aug. 2015. [22] P. Vélez et al., “Ultra-compact (80 mm ) differential-mode ultra-wideband (UWB) bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1272–1280, Apr. 2015. [23] J. J. Sánchez-Mart´ınezand and E. Márquez-Segura, “Analytical design of wire-bonded multiconductor transmission-line-based ultra-wideband differential bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2308–2315, Oct. 2014. [24] L. Li, J. Bao, J.-J. Du, and Y.-M. Wang, “Differential wideband bandpass filters with enhanced common-mode suppression using internal coupling technique,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 5, pp. 300–302, May 2014. [25] L. Li, J. Bao, J.-J. Du, and Y.-M. Wang, “Compact differential wideband bandpass filters with wide common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 3, pp. 164–166, Mar. 2014. Xin Guo (GSM’15) received the B.Eng. degree in information countermeasure technology from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 2011, and is currently working toward the Ph.D. degree in microwave engineering at NJUST. From December 2012 to September 2013, she was an Exchange Student with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. From July 2014 to December 2014 and April 2015 to January 2016, she was a Research Assistant with the University of Macau, Macau, China, where she was involved in the research of differential filters. Her research interests include synthesis design and practical implementation of multilayered microwave passive devices.

Lei Zhu (S’91–M’93–SM’00–F’12) received the B.Eng. and M.Eng. degrees in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita-Kotobuki Electronics Industries Ltd., Tokyo, Japan. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montréal, Montréal, QC, Canada. From 2000 to 2013, he was an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. Since August 2013, he has been a Full Professor with the Faculty of Science and Technology, University of Macau, Macau, China. Since September 2014, he has been the Head of the Department of Electrical and Computer Engineering, University of Macau. He has authored or coauthored 285 papers in peer-reviewed journals and conference proceedings. His papers have been cited more than 3480 times with an H-index of 32 (source: ISI Web of Science). His research interests include microwave circuits, guided-wave periodic structures, antennas, and computational electromagnetic techniques. Dr. Zhu was an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2010–2013) and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS (2006–2012). He served as a General Chair of the 2008 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Workshop Series on the Art of Miniaturizing RF and Microwave Passive Components, Chengdu, China, and as a Technical Program Committee Co-Chair of the 2009 Asia–Pacific Microwave Conference, Singapore. He has served as a member of the IEEE MTT-S Fellow Evaluation Committee and IEEE AP-S Fellows Committee since 2013 and 2015, respectively. He was the recipient of the 1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention from Matsushita–Kotobuki Electronics Industries Ltd., and the 1993 First-Order Achievement Award in Science and Technology from the National Education Committee, China.

Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nangjing, China, in 1997. He is currently a Professor with the School of Electronic Engineering and Optoelectronic Technology, and an Associate Director of Ministerial Key Laboratory, JGMT, Nanjing University of Science and Technology, Nanjing, China. He has authored or coauthored over 240 journal and conference papers. He holds 14 patents. His current research interests include microwave and millimeter-wave theories and technologies, microwave and millimeter-wave detection, and multi-mode compound detection. Dr. Wu was the six-time recipient of the Ministerial and Provincial-Level Science and Technology Award.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Short-Through-Line Bandstop Filters Using Dual-Coupled Resonators Andrew C. Guyette, Senior Member, IEEE, and Eric J. Naglich, Member, IEEE

Abstract—A new approach using dual-coupled-resonator bandstop sections to realize microwave bandstop filters with arbitrarily short through-line length is proposed. In contrast to our recent work in 2015, this approach does not require the resonator-to-through-line couplings to be comprised of both electric and magnetic coupling, i.e., mixed coupling. An exact transformation from a conventional inline bandstop filter topology to a dual-coupled-resonator bandstop filter topology is presented. A design procedure is given for both all-dual-coupled-resonator designs and mixed (single-coupled and dual-coupled-resonator) designs. A fifth-order elliptic dual-coupled-resonator microstrip prototype is presented with a center frequency of 500 MHz and a through-line length of 6.35 cm, 17% the length of a conventional design. A fifth-order elliptic mixed-resonator microstrip prototype is presented with a center frequency of 500 MHz and a through-line length of 5.01 cm, 13.7% the length of a conventional design. Index Terms—Filtering theory, microwave filters, passive filters, resonator filters.

I. INTRODUCTION

M

ICROWAVE bandstop filters are used in systems to block unwanted signals. At microwave frequencies, bandstop filters are typically implemented using resonators electromagnetically coupled to a transmission line, with spacing between couplings close to a quarter-wavelength for symmetric responses [1]–[5]. The required transmission-line lengths between resonator couplings may be fully or partially absorbed into the coupling structures used [6]. However, for technologies where strong coupling is readily available (e.g., suspended stripline), the transmission-line length associated with the coupling structures can be made quite short and, thus, extra lengths of transmission line not associated with resonator coupling must be added to realize the required phase shift between resonator sections. This extra transmission-line length adds size and insertion loss. In [1], it was shown that extra transmission-line length can be eliminated with the use of mixed coupling (both electric

Manuscript received May 14, 2015; revised October 21, 2015 and November 23, 2015; accepted November 28, 2015. This work was supported by the Defense Advanced Research Projects Agency (DARPA) and by the Office of Naval Research (ONR). The authors are with Code 6851, U.S. Naval Research Laboratory (NRL), Washington, DC 20375 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2506631

and magnetic). In many resonator technologies (e.g., evanescent-mode cavity [7], ceramic coaxial, etc.) mixed coupling cannot always be practically realized. This paper addresses this issue with a more general approach, based on dual-coupled bandstop resonator sections, that does not require mixed coupling. Dual-coupled bandstop resonators were used previously in [8] for use in bandstop filters with broad upper passbands, and later in [9] for realizing self-switching tunable bandstop filters. In this paper it is shown that dual-coupled bandstop resonators are unique in that they allow for an arbitrary phase shift between adjacent cascaded sections without the need for additional lengths of transmission line. In Section II, an exact circuit transformation from a conventional single-coupled-resonator topology to a dual-coupled-resonator topology is presented. In Section III, a complete design procedure for dual-coupled-resonator bandstop filters is described, and a fifth-order elliptic dual-coupled-resonator microstrip prototype is shown with a measured center frequency of 500 MHz and a through-line length of 6.35 cm, which is 17% the length of a conventional design. Section IV presents a design procedure for mixed (single- and dual-coupled) resonator bandstop filters, which allows for even shorter through-line lengths. A fifth-order elliptic mixed-resonator microstrip prototype is presented that has a 5.01-cm through-line length, which is only 13.7% the length of a conventional design. Section V gives a comparison of the passband insertion-loss performance between dual-coupled-resonator, mixed-resonator, and conventional single-coupled-resonator bandstop filters. II. SINGLE-COUPLED RESONATOR TO DUAL-COUPLED RESONATOR CIRCUIT TRANSFORMATION Shown in Fig. 1(a) is a high-pass prototype of a conventional single-coupled-resonator bandstop section. It consists of a resonator, modeled as a 1-F capacitor in parallel with a susceptance , coupled to a transmission line with an admittance inverter . The point at which the resonator is coupled to the transmission line is referred to here as the coupling reference plane, defined by the electrical lengths and . Shown in Fig. 1(b) is a high-pass prototype of a dual-coupled-resonator bandstop section. It consists of a resonator, modeled as a 1-F capacitor in parallel with a susceptance , coupled twice with admittance inverters and across a transmission line of electrical length . Following the analysis in [9], the S-parameters for the single-coupled-resonator section are

U.S. Government work not protected by U.S. copyright.

(1)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) Normalized high-pass prototype of a conventional first-order bandstop section—the coupling reference plane is defined as the point at which the inconnects to the through line. (b) Dual-coupled bandstop section—for verter to a given , the coupling reference plane is determined by the ratio of and can be set to any value.

(2) (3) where is the frequency variable . From (1), the single-coupled-resonator section has a transmission zero at

Fig. 2. (a) Conventional in-line high-pass prototype of a bandstop filter. can be any value. (b) Dual-coupled-resonator high-pass prototype, where

Simultaneously solving (12) and (13) for

and

gives (15)

(4)

(16)

The S-parameters of the dual-coupled-resonator section are (5) (6) (7) The conventional and dual-coupled sections have the same transmission-zero frequency when (8) Replacing

from (8) into (5)–(7) gives (9) (10) (11)

The single-coupled-resonator and dual-coupled-resonator sections are equivalent when (12) and (13) (14)

Equations (8), (15), and (16) can be used to transform a singlecoupled-resonator section into an equivalent dual-coupled-resonator section. These equations are used in the dual-coupledresonator and mixed-resonator design procedures discussed in Sections III-A and IV-A. III. DUAL-COUPLED RESONATOR BANDSTOP FILTERS Shown in Fig. 2(a) is a conventional high-pass prototype comprised of single-coupled-resonator sections. Shown in Fig. 2(b) is the equivalent dual-coupled-resonator prototype. A design procedure for dual-coupled-resonator filters is described in Section III-A, and a microstrip prototype designed using the procedure is presented in Section III-B. A. Design Procedure Step 1) Synthesize a high-pass prototype of the form shown in Fig. 3(a) that has the desired transfer function. Step 2) Set to a desirable value. Small values of may require relatively strong coupling coefficients and from electrically short coupling structures, which may not be possible will all circuit technologies. Finding the shortest possible value of for a given response specification may require an iterative approach that is outlined in Step 3). Step 3) Determine the input phase shift for each dualcoupled-resonator section in the dual-coupled-resonator prototype [see Fig. 2(b)] and other required parameters by performing the iterative procedure outlined in a)–h) below. The procedure is shown as a flowchart in Fig. 3.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUYETTE AND NAGLICH: SHORT-THROUGH-LINE BANDSTOP FILTERS USING DUAL-COUPLED RESONATORS

Fig. 3. Flowchart of the process in Step 3) of the filter design procedure. Blue numbers in parenthesis refer to equation numbers. Red letters with a single parenthesis refer to a)–h) of Step 3).

a) Set , the input phase shift of the first dualcoupled resonator section as defined in (13), equal to zero. b) For : calculate , the phase shift of the th resonator, using (14). Then calculate , where is the phase shift after the th resonator in the high-pass prototype synthesized in Step 1) and shown in Fig. 2(a). c) Given , , and from the conventional high-pass prototype synthesized in Step 1), calculate the dual-coupled high-pass prototype values of , , and for the desired using (15), (16), and (8), respectively. Save the values for each . d) Check to see if has been incremented to 180 . If not, increment with an acceptable resolution increment and return to b). If yes, proceed to e). e) For each , for , calculate and save the sum of the absolute values of and . f) From the pairs of and for each , find the maximum sum of the absolute values of and for each . g) Choose the value that gives the smallest maximum sum of the absolute values of and . h) Simulate coupling structures in the chosen resonator manufacturing technology to determine the electrical lengths required to obtain the and values for the chosen in g). If the sum of the required coupling lengths for each pair of and values for each resonator is shorter than , recall the values calculated

3

in b) and c) for the chosen value and proceed to Step 4). If they are not shorter than , increase and restart Step 3 at a). Note that if lumped-element coupling is used for and , large coupling values can be obtained over short phase lengths, and this makes the outcome of Step 3) easier to obtain. Also note that the way Step 3) is written assumes that all values will be the same in the filter design. For the minimum total through-line length, can be different for each resonator. For this approach, f) and g) should be performed looking for the smallest average sum of the absolute values of and for all instead of the smallest maximum sum. Step 4) Perform a bandpass transformation to the desired center frequency and bandwidth to realize a bandstop prototype. Step 5) Design the final filter using a desired circuit technology from the bandstop prototype. It may not be convenient to design the filter directly from the bandstop prototype, in which case each dual-coupled section can be designed using the center frequency, 3-dB bandwidth, and input phase shift using the optimization or parameterization capabilities of a circuit simulator such as AWR Microwave Office. can be determined from simulation using the equation (17)

B. Microstrip Prototype As a demonstration of the proposed dual-coupled-resonator design procedure, a fifth-order elliptic-function microstrip prototype was designed, built, and tested. Following the design procedure outlined in Section III-A, first a fifth-order elliptic high-pass prototype is synthesized using the technique described in [10]. Element values are [in reference to Fig. 2(a)] , , , , , , , , , . , , , . The total through-line electrical length is 360 . Next the conventional high-pass prototype is transformed into a dual-coupled-resonator prototype. An electrical length of 12.5 is chosen for the dual-coupled-resonator through-line electrical length , giving a total through-line length of 62.5 . Following the design procedure (Section III-A), the values of are 42.50° (an arbitrarily chosen value due to the planned use of lumped-element coupling), 102.13°, 174.48°, 80.14°, and 175.51°. The resulting element values are [with reference to Fig. 2(b)] , , , , , , , , , , , , , , .

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Fabricated fifth-order elliptic bandstop filter prototype using dual-coupled resonators. Input is at the top of the photograph and the output is at the bottom. Dimensions correspond to the schematic design shown in Fig. 4(a). Total through-line length is 6.35 cm.

Fig. 4. (a) Schematic of the fifth-order elliptic prototype (center frequency MHz, 3-dB bandwidth MHz, 30-dB bandwidth MHz 30-dB mm, ripple) using dual-coupled resonators. Element values are mm, mm, mm, mm, mm, mm, mm, mm, mm, pF, pF, pF, pF, pF, pF, pF, pF, pF, and pF. All through line sections are 12.7 mm long. mm. Substrate for the transmission lines is Rogers 4003, thickness

The next step is to perform a standard bandpass transformation on the dual-coupled-resonator high-pass prototype, which gives a bandstop prototype, and then implement the bandstop prototype using microstrip resonators. The resonators chosen for this prototype are transmission lines capacitively coupled at opposite ends to the through-line with an electrical length of between the couplings. Coupling at opposite ends of the resonator provides the required sign difference between the two couplings and in the dual-coupled-resonator sections for this design. At this point it is possible to synthesize the microstrip filter directly from the dual-coupled-resonator bandstop prototype, however, the authors have found that an approach using optimization in a circuit simulator to be much more time efficient and easily applicable to any type of resonator. This optimization is done on a section-by-section basis, where the primary optimization goals are transmission-zero frequency, 3-dB bandwidth, and input reflection-coefficient phase [related to

by (17)] at the transmission-zero frequency. These parameters are given by the bandstop prototype. A secondary optimization goal is the magnitude of the reflection coefficient in the passband frequencies, which should be small. This is important to ensure a well-matched passband. In the present case, as capacitive couplings are used, the impedance of the through line must be increased above 50 to absorb the negative capacitance required to realize the admittance inverters. Shown in Fig. 4(a) is the resulting schematic-level design in AWR Microwave Office, and shown in Fig. 4(b) are simulated results using lossless components. The substrate is Rogers 4003 ( thickness mm). Shown in Fig. 5 is the fabricated circuit. The capacitors are Johanson 9702 trimmer capacitors. The total through-line length is 6.35 cm. Shown in Fig. 6(a) are measured narrowband results compared to an AWR Microwave Office/SONNET co-simulation. The center frequency is 500 MHz, the 3-dB bandwidth is 42.5 MHz, the 30-dB bandwidth is 23.6 MHz, and the passband insertion loss is 0.22 dB (including connector losses) at 600 MHz. Shown in Fig. 6(b) are the measured broadband results. The upper passband begins to degrade above 800 MHz due to strong coupling to the second-order modes of the resonators. IV. MIXED-RESONATOR BANDSTOP FILTERS When the input phase shift for a given dual-coupled resonator section falls within the electrical length of the throughline phase length , i.e., , the dual-coupled-resonator section can be realized with two couplings of the same sign, or preferably with a conventional bandstop resonator section [see Fig. 1(a)] with and . This further decreases the total through-line length. Section IV-A outlines a design procedure. A. Design Procedure Step 1) Synthesize a high-pass prototype of the form shown in Fig. 2(a) giving the desired transfer function.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUYETTE AND NAGLICH: SHORT-THROUGH-LINE BANDSTOP FILTERS USING DUAL-COUPLED RESONATORS

5

Fig. 7. Implementation of the fifth-order elliptic bandstop filter using first-order dual-coupled-resonator bandstop sections with 14.32 through-lines and single-coupled-resonator sections (total through-line length is 57.3 ). are 57.29°, Following the design procedure (Section IV-A), the values of 64.90°, 2.63°, 81.84°, and 11.70°. The resulting element values are ,

onator bandstop sections .

Fig. 6. (a) Measured S-parameters of the short-through-line microstrip prototype shown in Fig. 5 versus simulation (SONNET/AWR Microwave Office). (b) Broadband measured S-parameters of the short-through-line prototype.

Step 2) Calculate the input phase shift for each first-order section by following a)–e) below. This is an iterative procedure that maximizes the number of conventional bandstop sections. a) Choose a convenient value of . b) Assign a value to . c) For : . For every section, if , a conventional bandstop section is used [see Fig. 1(a)] with and . d) Assess and record the total through-line length. e) Return to b) and choose a different . Repeat until has been swept from 0° to 180° with acceptable resolution. Choose the value of that results in the minimum total through-line length.

. For the single-coupled-resand

Fig. 8. Schematic of the fifth-order elliptic prototype (center frequency MHz, 3-dB bandwidth MHz, 30-dB bandwidth MHz 30-dB ripple) using dual-coupled resonators and single-coupled resmm, mm, onators. Element values are mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, pF, pF, pF, pF, pF, pF, pF, pF. mm, mm, and mm. Substrate mm, , for the transmission lines is Rogers 4003, thickness .

Step 3) Given , , and , calculate the dual-coupled low-pass prototype values of , , and for a desired . For the conventional bandstop sections, and . Step 4) Perform a bandpass transformation to the desired center frequency and bandwidth. Step 5) Realize final filter from bandstop prototype (see Step 5) in Section III-A).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 9. Fabricated fifth-order elliptic bandstop filter prototype using both dualcoupled and conventional resonators. (a) Unpopulated circuit and (b) populated circuit. Input is at the top of the photograph and the output is at the bottom. Dimensions correspond to the schematic design shown in Fig. 8. Total throughline length (not counting 50- sections at input and output) is 5.01 cm.

B. Microstrip Prototype Shown in Fig. 7 is a fifth-order mixed-resonator high-pass prototype synthesized using the approach presented in Section IV-A. The total through-line length is 57.3 . Shown in Fig. 8 is the schematic-level design in AWR Microwave Office. This circuit was designed using the sectionby-section optimization approach described in Section III-B. The resonators for this prototype have been modified from the prototype presented in Section III-B in that the location of the couplings have been offset from the ends of the resonators in order to suppress coupling to the second-order harmonic resonance and improve the upper passband. Due to the very short through-line lengths the resonators are spaced very close together and, thus, RF shields are added in the fabricated design in order to prevent distortion of the response caused by unwanted inter-resonator coupling.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. (a) Measured S-parameters of the short-through-line microstrip prototype shown in Fig. 9 versus simulation (SONNET/AWR Microwave Office). (b) Broadband measured S-parameters of the short-through-line prototype.

Shown in Fig. 9(a) is the fabricated filter before the Johanson 9702 trimmer capacitors, connectors, and RF shields are attached. Slots are routed through the substrate to accommodate the RF shields. Shown in Fig. 9(b) is the complete filter populated with components. The RF shields are 10-mil-thick copper sheets cut to size. The total through-line length is 5.01 cm. Shown in Fig. 10(a) are measured narrowband results compared to AWR Microwave Office/SONNET co-simulation. The measured center frequency is 500 MHz, the 3-dB bandwidth is 47.8 MHz, the 30-dB bandwidth is 29.8 MHz, and the passband insertion loss is 0.19 dB (including connector losses) at 600 MHz. Shown in Fig. 10(b) are the measured broadband results. The upper passband extends to over 1 GHz, a significant improvement over the all-dual-coupled-resonator design presented in Section III-A. Another advantage over the all-dualcoupled-resonator design is ease of post-fabrication tuning due to the fixed coupling-reference-plane offsets of the single-coupled resonators.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GUYETTE AND NAGLICH: SHORT-THROUGH-LINE BANDSTOP FILTERS USING DUAL-COUPLED RESONATORS

7

larger number of couplings, requiring the average through-line impedance to be higher than that of a single-coupled-resonator filter. The through-line impedance of the single-coupled-resonator filter is 57.6 (2.8 mm wide), while the average through-line impedance of the mixed-coupled-resonator filter is 139 (0.34 mm wide). It is important to note that passband insertion-loss improvement is technology specific. For example, a technology with higher impedance resonators will exhibit less of a coupling-loading effect for a given 3-dB stopband bandwidth (i.e., weaker coupling required for a given coupling coefficient), and therefore a greater passband insertion loss improvement when dual-coupled resonators are used. VI. CONCLUSION

Fig. 11. Simulated insertion loss for fifth-order elliptic-function dual-coupled-resonator, mixed-resonator, and single-coupled-resonator filters. All simulations circuits are comprised of the same components as the prototypes presented in Sections III and IV.

V. PASSBAND INSERTION-LOSS COMPARISON The use of dual-coupled resonators allows for a significant decrease in the total through-line length of a bandstop filter compared to a conventional single-coupled-resonator topology, however, this does not necessarily come with a corresponding one-to-one decrease in passband insertion loss. In the case of very narrow bandstop bandwidths, the reactive loading effects of the couplings on the through-line can be neglected and, thus, the impedance of the through-line can remain approximately 50 . Larger bandstop bandwidths require stronger resonatorthrough-line couplings that reactively load the through line, and in order to maintain good passband return loss the characteristic impedance of the through-line is increased to compensate, which, in turn, can increase insertion loss. This loading effect occurs in both dual- and single-coupled resonator bandstop filters, but due to the differing coupling strength and number of couplings between the two topologies, the relationship between passband insertion loss improvement and decreased throughline length is not a simple one. Shown in Fig. 11(a) are simulations of the fifth-order dual-coupled-resonator prototype (Section III-B), the fifth-order mixed-resonator prototype (Section IV-B), and a conventional fifth-order single-coupled resonator filter (using the same components as the other two). The roll-off in passband insertion loss in the dual-coupled-resonator filter is due to poor passband match resulting from the first spurious mode of the resonators. All filters are well matched ( 20-dB return loss) in the passbands. Comparing the upper passband insertion loss at 650 MHz, the single-coupled-resonator filter gives 0.33 dB, the dual-coupled-resonator filter gives 0.192 dB, and the mixed-resonator filter gives 0.138 dB. The mixed-resonator-filter has 41% of the passband insertion loss of the single-coupled-resonator filter. While a significant improvement, this is larger than the 13.7% relative length of the through line. This can be explained by the larger overall reactive loading experienced by the through-line in the mixed-resonator filter due to the

This paper has presented a new general design approach for microwave bandstop filters, which allows for a considerable decrease in total through-line length compared to conventional designs. The minimum through-line length is determined only by practical considerations. Two design procedures have been presented, one for all-dual-coupled resonators and the other for mixed resonators, along with two fifth-order prototypes, which support the design procedures. The mixed-resonator prototype has a total through-line length of only 5.01 cm, 13.7% the length of a conventional design. It is expected that this work will find application whenever size and passband insertion loss is a consideration in bandstop filter design. ACKNOWLEDGMENT The views, opinions, and/or findings contained in this paper are those of the authors and should not be interpreted as representing the official views or policies of the Department of Defense or the U.S. Government. REFERENCES [1] E. J. Naglich and A. C. Guyette, “Microwave bandstop filters with minimum through-line length,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 17–22, 2015. [2] L. Young, G. Matthaei, and E. Jones, “Microwave bandstop filters with narrow stop bands,” in PGMTT Nat. Symp. Dig., May 1962, vol. 62, no. 1, pp. 46–51. [3] W.-H. Tu and K. Chang, “Compact second harmonic-suppressed bandstop and bandpass filters using open stubs,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2497–2502, Jun. 2006. [4] J.-Y. Shao and Y.-S. Lin, “Millimeter-wave bandstop filter with absorptive stopband,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [5] R. Levy, R. V. Snyder, and S. Shin, “Bandstop filters with extended upper passbands,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2503–2515, Jun. 2006. [6] H. Bell, “L-resonator bandstop filters,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 12, pp. 2669–2672, Dec. 1996. [7] J. Lee, E. J. Naglich, H. H. Sigmarsson, D. Peroulis, and W. J. Chappell, “Tunable inter-resonator coupling structure with positive and negative values and its application to the field-programmable filter array (FPFA),” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3389–3400, Dec. 2011. [8] A. C. Guyette, “Design of fixed- and varactor-tuned bandstop filters with spurious suppression,” in 40th Eur. Microw. Conf., Paris, France, Sep. 28–Sep. 30, 2010, pp. 288–291. [9] A. C. Guyette, “Intrinsically switched varactor-tuned filters and filter banks,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 1044–1056, Apr. 2012. [10] J. D. Rhodes, “Waveguide bandstop elliptic function filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 11, pp. 715–718, Nov. 1972.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Andrew C. Guyette (M’08–SM’15) was born in Grand Forks, ND, USA, in 1976. He received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, Honolulu, HI, USA, in 1999 and 2001, respectively, and the Ph.D. degree from The University of Leeds, Leeds, U.K., in 2006. Since 2007 he has been with the Naval Research Laboratory, Washington, DC, USA. His research interests include tunable filters, lossy filters, and network synthesis.

Eric J. Naglich (S’09–M’14) received the B.S. and Ph.D. degrees in electrical and computer engineering from Purdue University, West Lafayette, IN, USA, in 2007 and 2013, respectively. From 2007 to 2009, he was with GE Healthcare, where he was involved with the Edison Engineering Development Program. In February of 2014, he joined the U.S. Naval Research Laboratory (NRL), Washington, DC, USA, where his current research is focused on reconfigurable filter and passive circuit synthesis and fabrication techniques. Dr. Naglich is a 2013 Karle Fellow and was a National Defense Science and Engineering Graduate (NDSEG) Fellow.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

467

Bandstop Filter (BSF) Topology With Variable Attenuation Tae-Hak Lee, Member, IEEE, Kangho Lee, Gyu Churl Park, Young-Sik Kim, Member, IEEE, and Juseop Lee, Member, IEEE

Abstract—In this paper, we provide a new third-order bandstop filter (BSF) topology with attenuation control capability. The proposed BSF topology contains inter-resonator coupling structures and it can duplicate the frequency responses of the conventional BSF topology, which does not have inter-resonator couplings. In addition, the proposed topology can control the attenuation level by adjusting the resonant frequency of each resonator, and this characteristic cannot be obtained from the conventional one. Two BSFs utilizing the conventional and proposed topologies are designed, fabricated, and measured to support the presented theory. Two filters have been designed and fabricated employing frequency tunable substrate integrated cavity resonators. The measurement verifies that the BSF utilizing the presented topology is capable of adjusting the attenuation level as well as reproducing the conventional bandstop responses. Index Terms—Bandstop filter (BSF), filter synthesis, odd-order filter, reconfigurable filter.

I. INTRODUCTION

I

N MICROWAVE front ends, the filtering elements play an important role since it can have an effect on the sensitivity of communications systems. Fear-based RF design entails planning for the worst interference that may be experienced through the life of the system. The traditional way to determine the specification of an element leads the system to be over-designed in most instances of operation and loses the flexibility of the system. To avoid or reject the interference using bandpass filters (BPFs), the order of the filter or quality factor ( -factor) can be chosen to be unnecessarily high and it may result in bulky size system so utilizing the bandstop filters (BSFs) for a certain large interference is one effective way to overcome those issues [1]. Hence, many studies on microwave BSFs have been published. Frequency-tunable BSFs have especially been studied extensively for adaptation to the frequency spectrum environment [2]–[8]. In [2] and [3], BSFs with varactor diodes are investigated for center frequency and absolute bandwidth tuning. Manuscript received August 21, 2015; revised November 10, 2015; accepted November 24, 2015. Date of publication December 24, 2015; date of current version February 03, 2016. This work was supported by the Agency for Defense Development under Contract UD120046FD. T.-H. Lee is with the Research Institute of Computer Information and Communication, Korea University, Seoul 02841, Korea. K. Lee, Y.-S. Kim, and J. Lee are with the College of Informatics, Korea University, Seoul 02841, Korea (e-mail: [email protected]). G. C. Park is with the 3rd. R&D Institute-2, Agency for Defence Development (ADD), Daejeon 305-600, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2506621

A BSF based on a dual-band combline wideband BPF is also studied in [4]. A BSF with six cascaded resonators is presented in [5] for wide tuning range. In [6], a dual-stopband BSF utilizing frequency-tunable substrate integrated cavity (SIC) resonators is reported. In [7] and [8], BSFs with variable attenuation characteristic are presented. As stated in [7], the BSFs with variable attenuation are useful in receivers that must simultaneously handle widely different signal levels. To actively adapt to the spectrum environment, microwave filter with multiple responses including a bandstop response have been of great interest in the field of microwave filer design. For example, filters capable of producing both bandstop and bandpass responses are reported in [9]–[11], and a filter that can exhibit both bandstop and all-pass responses is also presented in [12]. The design of such filters became possible mainly due to invent of BSF topologies with inter-resonator couplings. This is because inter-resonator couplings are indispensable for implementing bandpass and all-pass responses while they are not needed in obtaining traditional bandstop responses. Some BSF topologies containing inter-resonator couplings can be found in [13] and [14], and they can only produce the same frequency responses as the conventional topologies with no inter-resonator coupling generate. In this paper, we demonstrate a new third-order BSF topology, which is capable of achieving various attenuation levels with frequency-agile characteristics, as well as duplicating the frequency responses of the conventional BSF topology. More specifically, the normalized coupling coefficients of the new filter topology are newly derived and given for both having attenuation control capability and producing conventional bandstop responses. For verifying the filter topology and synthesis result, we have designed and fabricated two filters using both the proposed and conventional topologies. II. THOERY A. Condition for Duplicating the Conventional Responses Fig. 1 shows the conventional and new BSF topologies. The proposed third-order BSF topology has two inter-resonator coupling structures, whereas the conventional BSF topology does not. The inter-resonator coupling structures are inevitable for realization of the variable frequency responses. In the coupling routing diagram shown in Fig. 1, the numbers represent the resonators and NRN stands for nonresonating nodes. The concept of NRN is introduced and well described in [15], and it is not repeated in this paper. In coupling routing diagrams, the solid

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 1. Third-order BSF topologies. (a) Conventional and (b) proposed topology.

lines represent the normalized inverter values and one can obviously be aware that the conventional BSF topology does not contain couplings between resonators 1 and 2 and between resonators 2 and 3. The coupling matrices for the two topologies are shown in (1). The assumption used in (1) is that external couplings for the resonators 1 and 3 have the same value, self coupling coefficients for the resonators 1 and 3 have same magnitude, but opposite sign, and the inter-resonator coupling coefficients between resonators 1 and 2 and between resonators 2 and 3 are the same,

(1)

The transmission coefficients for both BSF topologies can be expressed in terms of the coupling coefficients for each topology and those are shown in the Appendix for the reader’s convenience. By comparing two transmission coefficients, the coupling coefficients of the proposed BSF topology for duplicating the frequency responses of the conventional BSF topology can be obtained. The coupling coefficient relationships are shown in (2),

arbitrary values

(2)

The coupling coefficient relationships show that all coupling coefficients for the proposed topology can be derived from those for the conventional topology. It indicates that one can synthesize the proposed BSF topology based on the conventional one, which can be obtained by the well-known filter synthesis method with ease [16]. The proposed BSF topology satisfying

Fig. 2. Butterworth frequency responses. (a) Conventional topology and (b)proposed topology with various inter-resonator coupling coefficients.

(2) can exhibit the same frequency responses as the conventional BSF topology having an arbitrary value for the inter-resonator coupling. Fig. 2 shows the frequency responses from the two topologies synthesized to exhibit Butterworth frequency responses and the coupling coefficients for both topologies are listed in Table I. The arbitrarily chosen inter-resonator coupling coefficient values are used for the proposed BSF topology such as 0.3, 0.707, and 1.414, and the diagonal coefficients for resonators 1 and 3 are calculated using (2). It is worth noting that the frequency responses obtained from the two different topologies are indistinguishable even though the proposed topology utilizes arbitrary inter-resonator coupling coefficients. The conventional BSF topology can also exhibit equi-ripple frequency response if and have nonzero values. For example, 30-dB equi-ripple response shown in Fig. 3(a) can be obtained from the conventional topology with the coupling coefficients in Table II. This response can be reproduced by using the presented topology. For duplication, (2) can be used and the coefficients for the proposed topology are summarized in

LEE et al.: BSF TOPOLOGY WITH VARIABLE ATTENUATION

TABLE I COUPLING COEFFICIENTS FOR BOTH BSFs WITH BUTTERWORTH RESPONSE

469

TABLE II COUPLING COEFFICIENTS FOR BOTH BSFs WITH 30-dB EQUI-RIPPLE RESPONSE

Fig. 4. Relationship between arbitrary inter-resonator coupling coefficients and self-resonant coupling coefficients.

Fig. 4 summarizes the relationship between the inter-resonator and self coupling coefficients of the presented topology for achieving the same responses as the conventional topology. It shows that the proposed topology can have arbitrary inter-resonator coupling values regardless of types of the frequency responses to reproduce, and the relationship between two coefficients are given in Fig. 4 for three different frequency responses, Butterworth, 20-dB, and 30-dB equi-ripple, for instance. B. Condition for Achieving Variable Attenuation

Fig. 3. 30-dB equi-ripple frequency responses. (a) Conventional topology and (b) proposed topology with various inter-resonator coupling coefficients.

Table II. The frequency responses of the proposed BSF topology with various and are shown in Fig. 3(b), and they are indistinguishable from the response in Fig. 3(a). It is worth noting that the coupling coefficient relationships given in (2) can be generally applied for any equi-ripple levels, and the proposed topology can have arbitrary value of the inter-resonator coupling coefficient.

The conventional topology without the inter-resonator coupling structures has one signal path between the source and load, and the resonators are separated along the transmission line running from the source to load. The filter topology shown in Fig. 1(a) has a limited degree-of-freedom to change its frequency response characteristics. However, the proposed topology has two signal paths; one is a direct connection from the source to load, and the other one is a route through the resonators, as shown in Fig. 1(b). By equating the magnitude of the transmission coefficient of the proposed topology to unity, we can obtain the condition for no attenuation (0 dB), and it is given by arbitrary values

470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 5. Synthesized frequency responses of the proposed topology with various diagonal coefficients for resonators 1 and 3.

(3) In addition, the condition for achieving a finite attenuation level at the center frequency can be derived in a similar way, and it is identical to (3), except for self coupling coefficients, , , and . For example, , , and results in 30-dB attenuation at the center frequency when the arbitrarily chosen value for is 1. C. Filter Synthesis In the previous sections, we have derived the condition for duplicating the conventional responses and the one for achieving a variable attenuation level at the center frequency. By considering the two conditions at the same time, we can synthesize the presented filter topology that is capable of both having the conventional responses and varying the attenuation level at the center frequency. Since the external coupling coefficients for the conventional Butterworth response are well known and given by , as shown in Table I, the presented filter can be analytically synthesized by using both (2) and (3). The synthesis result is given by

(4) The third-order topology based on the coupling coefficients given in (4) satisfies both conditions, and it can achieve a variable attenuation level with different self coupling coefficient values. Fig. 5 shows the theoretical frequency responses of the filter topology with various and value under the assumptions that the -factor of the resonator is 500 and the fractional bandwidth (FBW) is 1.5%. It can be observed that various frequency responses can be obtained by simply adjusting the resonant frequency of resonators 1 and 3. Plots (a) and (b)

Fig. 6. (a) Conventional BSF, (b) proposed BSF, and (c) detailed view of the conductive post.

in Fig. 5 can be obtained from both presented and conventional filter topologies, while plots (c) and (d) can be produced only by the presented filter topology. In summary, the presented thirdorder BSF topology, which contains the inter-resonator coupling structures, can exhibit various frequency response characteristics by tuning the self coupling coefficients without changes of external or inter-resonator coupling structures when it satisfies (4). In the following section, two BSFs utilizing different topologies, conventional and proposed ones, are designed and fabricated using frequency-tunable SIC resonators to support the theory. III. FILTER DESIGN AND EXPERIMENTAL RESULTS In this section, we demonstrate the experimental results obtained from the fabricated BSFs, which adopt the conventional and the proposed topologies. It is worth noting that one can use any resonator types such as a lumped-element, microstrip line, and cavity resonator for verifying the theory about the presented topology shown in Fig. 1(b). In this work, both BSFs are designed using frequency tunable SIC resonators, which are well established for frequency-agile filter designs [11]–[13]. Fig. 6 shows the structures of two BSFs and the detailed view of the conductive post placed inside the frequency tunable SIC resonators. The conventional BSF has a similar structure with the proposed BSF, except that it does not contain the inter-resonator coupling structures. Both filters consist of two substrates, 0.508-mm-thick RO4350B and 3.175-mm-thick

LEE et al.: BSF TOPOLOGY WITH VARIABLE ATTENUATION

471

Fig. 7. Photographs of the fabricated filters. (a) Conventional, (b) proposed mm, BSFs, and (c) proposed BSF with tuning elements. mm, mm, mm, and mm.

Fig. 8. Measurement results of the conventional Butterworth BSF. (a) Butterworth and (b) 15-dB equi-ripple responses.

TMM3, for the microstrip line and frequency tunable SIC resonators, respectively. The coupling between the microstrip line and each resonator is achieved via the coupling slot etched on the ground plane and the microstrip line includes the coupling patches placed above the coupling slots for improving the passband matching performance [5]. The coupling coefficients listed in Table I are used for designing the conventional BSF and the coupling coefficients given in (4) are used for designing the presented BSF. The detailed design procedures for external coupling and inter-resonator coupling structures are well explained in [11] and both BSFs are designed to have 1.5% FBW. It is worth noting that the coupling slot dimensions for the proposed filter are identical to those for the conventional one since the proposed filter has the same external coupling coefficients as the conventional one. The electrical length between two coupling slots is set to be 270 at the center frequency, 3.3 GHz, and it includes the electrical length generated by the coupling slots. The detailed dimensions for the coupling slots and microstrip line are given in the caption of Fig. 7. The frequency-agile characteristic is

achieved with the conductive post placed inside the SIC and the detailed view is shown in Fig. 6(c). The dimensions for the post is determined to have a high resonator -factor and a large tuning range, simultaneously [17]. The copper sheet shown in Fig. 6(a) and (b) is laminated to the TMM3 substrate using 60- m-thick pre-preg for forming the air-gap between the conductive post and the copper sheet. Fig. 7 shows the photographs of the fabricated BSFs and the proposed filter with tuning elements. As mentioned above, both filters have frequency-agile characteristics by virtue of the air-gap formed inside the resonators and the operating frequency can be tuned with piezo linear actuators (Piezoelectric Technology Company, TULA series). Detailed operating principle of the actuator attached to the resonator type used in this work can be found in [18]. In short, one side of a shaft is attached to the copper sheet and the other side to the actuator, and it moves in vertical direction by means of the voltage pulses applied to the actuator. The thickness of the air-gap can be controlled by the amplitude and the number of the pulses of the voltage. As shown in Fig. 7(c), the tuning elements are

472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 9. Measurement results of the proposed BSF topology frequency responses. (a) Butterworth and (b) variable attenuation level (for the clarity of ’s are shown only for the cases in which the filter is tuned to have the plot, the maximum attenuation level at each center frequency).

attached to each resonator and independently adjust the air-gap thickness resulting in frequency tuning. The measurement results of the conventional BSF are given in Fig. 8. The fabricated BSF utilizing the conventional topology can exhibit a Butterworth frequency response over the frequency range from 3.0 to 3.6 GHz. The attenuation level has been measured to be larger than 40 dB over the frequency tuning range. The conventional BSF can also have equi-ripple stopband responses by adjusting the resonant frequency of each resonator. As an example, Fig. 8(b) shows measurement with 15-dB equi-ripple responses. The measured frequency responses of the fabricated BSF adopting the proposed topology are shown in Figs. 9 and 10. As mentioned above, the coupling coefficients in (4) are used for the proposed BSF so that it can reproduce the conventional responses and vary the stopband attenuation level. The proposed filter shows Butterworth and 15-dB equi-ripple responses, which are the same as the conventional one shown in

Fig. 10. Measurement results of the proposed BSF topology frequency responses: (a) 15-dB equi-ripple and (b) 5-, 7.5-, and 10-dB equi-ripple responses.

Fig. 8(a) and (b). One of the advantages of using the presented BSF topology is that it is capable of controlling the attenuation level since it cannot be carried out by using the conventional BSF. Fig. 9(b) shows Butterworth-like responses with different attenuation levels. The maximum and minimum attenuation levels are limited by the resonator -factor. Hence, it is preferred to use high- resonators in view of having a wider range for attenuation level control. Having such various responses can find its application to controlling or equalizing the signal amplitude from system design perspective. The attenuation level of the equi-ripple response can also be controlled, and Fig. 10(b) shows responses with ripples formed at 5, 7.5, and 10 dB. For the reader’s convenience, the information of 10-dB attenuation bandwidth is depicted in Figs. 9 and 10. IV. CONCLUSION We have proposed a new third-order BSF topology. Whereas the conventional topology has no inter-resonator coupling structures, the new one does. Existence of the inter-resonator cou-

LEE et al.: BSF TOPOLOGY WITH VARIABLE ATTENUATION

473

pling structures enables controlling the attenuation level as well as duplicating the frequency responses generated by the conventional topology. This indicates that the presented filter can replace existing BSFs providing more different responses. We have synthesized the presented topology, and verified the synthesis result by designing, fabricating, and measuring a BSF using frequency-tunable SIC resonators.

APPENDIX In this section, the transmission coefficients for both BSF topologies shown in Fig. 1 are given. Those are essential for derivation of the coupling coefficient conditions given in Section II. The S-parameters can be extracted from the coupling matrices and they are (5) where

(6) and (7) where

(8) As mentioned above, both transmission coefficients are obtained using simplified coupling matrices that represent the filter design.

REFERENCES [1] W. J. Chappell, E. J. Naglich, C. Maxey, and A. C. Guyette, “Putting the radio in “software-defined radio”: Hardware developments for adaptable RF systems,” Proc. IEEE, vol. 102, no. 3, pp. 307–320, Mar. 2014. [2] A. Guyette, “Varactor-tuned bandstop filters with tunable center frequency and bandwidth,” in Proc. IEEE Int. Wireless Inf. Technol. Syst. Conf., 2010, pp. 1–4. [3] Q. Xiang, Q. Feng, X. Huang, and D. Jia, “A 2.285–3.195 GHz electrical tunable bandstop filter with constant absolute bandwidth,” in IEEE Int. Wireless Symp., 2014, pp. 1–4. [4] A. I. Abunjaileh and I. C. Hunter, “Tunable combline bandstop filter with constant bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1349–1352. [5] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Extended passband bandstop filter cascade with continuous 0.85–6.6-GHz coverage,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 1, pp. 21–30, Jan. 2012. [6] K. Lee, T.-H. Lee, C.-S. Ahn, Y.-S. Kim, and J. Lee, “Reconfigurable dual-stopband filters with reduced number of couplings between a transmission line and resonators,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 2, pp. 106–108, Feb. 2015. [7] D. R. Jachowski, “Frequency-agile bandstop filter with tunable attenuation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 649–652. [8] S. Toyoda, “Notch filters with variable center frequency and attenuation,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 595–598. [9] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “A tunable bandpass-to-bandstop reconfigurable filter with independent bandwidths and tunable response shape,” IEEE. Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3770–3779, Dec. 2010. [10] Y.-H. Cho and G. M. Rebeiz, “0.7–1.0-GHz reconfigurable bandpass-to-bandstop filter with selectable 2- and 4-pole responses,” IEEE. Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2626–2632, Nov. 2014. [11] J. Lee, E. J. Naglich, H. H. Sigmarsson, D. Peroulis, and W. J. Chappell, “New bandstop filter circuit topology and its application to design of a bandstop-to-bandpass switchable filter,” IEEE. Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1114–1123, Mar. 2013. [12] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Switchless tunable bandstop-to-all-pass reconfigurable filter,” IEEE. Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1258–1265, May 2012. [13] J. Lee, E. J. Naglich, and W. J. Chappell, “Frequency response control in frequency-tunable bandstop filters,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 12, pp. 669–671, Dec. 2010. [14] T.-H. Lee, C.-S. Ahn, Y.-S. Kim, and J. Lee, “Extension of bandstop filter topology with inter-resonator coupling structures to higherorder filters,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 8, pp. 403–405, Aug. 2013. [15] S. Amari and U. Rosenberg, “New building blocks for modular design of elliptic and self-equalized filters,” IEEE. Trans. Microw. Theory Techn., vol. 52, no. 2, pp. 721–736, Feb. 2004. [16] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communications Systems: Fundamentals, Design, and Applications. Hoboken, NJ, USA: Wiley, 2007. [17] H. Joshi, H. H. Sigmarsson, and W. J. Chappell, “Analytical modeling of highly loaded evanescent-mode cavity resonators for widely tunable high-q filter applications,” in URSI France Inst. Telecom Conf., Aug. 2008, Art. ID D09.6. [18] B. Lee, S. Nam, B. Koh, C. Kwak, and J. Lee, “K-band frequency tunable substrate-integrated-waveguide resonator filter with enhanced stopband attenuation,” IEEE. Trans. Microw. Theory Techn., vol. 63, no. 11, pp. 3632–3640, Nov. 2015.

Tae-Hak Lee (GSM’12–M’13) received the B.E. degree in electrical engineering from Konkuk University, Seoul, Korea, in 2007, and the Ph.D. degree in radio communication engineering from Korea University, Seoul, Korea, in 2015. He then joined the Research Institute of Computer Information and Communication, Korea University, Seoul, Korea, as a Research Professor. He has been involved with the Basic Science Research Program through the National Research Foundation (NRF). His research interests include reconfigurable/tunable RF and microwave components.

474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Kangho Lee received the B.S. degree in computer and communications engineering and M.S. degree in radio communications engineering from Korea University, Seoul, Korea, in 2013 and 2015, respectively. He has been involved in research programs supported by the Agency for Defense Development, National Research Foundation, and Electronics and Telecommunications Research Institute. His current research is focused on microwave passive devices, especially substrate-integrated waveguide filters using tunable resonators.

Gyu Churl Park was born in Ik-San, Korea, in 1967. He received the B.S.E.E. and M.S.E.E. degrees from Dankook University, Seoul, Korea, in 1989 and 1991, respectively, and the Eng. Dr. degree from Chungnam National University, Daejeon, Korea, in 2010. Since 1991, he has been with the Agency for Defence Development (ADD), Daejeon, Korea, as a Principal Researcher, where, from 2004 to 2008, he was involved with the project concerning short-range missile defense radar system as a Head Researcher. His professional interests and research areas encompass the design of radar transceivers, tracking radar for the approaching targets, forward-looking radar, and AESA radar.

Young-Sik Kim (S’82–M’86) received the B.S. degree in electronics engineering from Korea University, Seoul, Korea, in 1973, and the M.S. and Ph.D. degrees in electrical engineering from the University of Massachusetts at Amherst, Amherst, MA, USA, in 1986 and 1988, respectively. From 1988 to 1989, he was a Postdoctoral Research Fellow with the University of Massachusetts at Amherst. From 1989 to 1993, he was with the Mobile Communications Division, Korea Electronics and Telecommunication Research Institute (ETRI), Daejeon, Korea. Since 1993, he has been with the Department of Radio Communication Engineering, Korea University, where he is currently a Professor. His main field of interest is millimeter-wave antennas and front-end systems and mobile telecommunication systems.

Juseop Lee (A’02–M’03) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, Korea, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan, Ann Arbor, MI, USA, in 2009. In 1999, he joined LG Information and Communications (now LG Electronics) in Korea, where his research concerned design and reliability analysis of RF components for code-division multiple-access (CDMA) cellular systems. In 2001, he joined the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, where he was involved with the design of passive microwave equipment for Ku- and Ka-band communications satellites. In 2005, he joined The University of Michigan, where he was a Research Assistant and Graduate Student Instructor with the Radiation Laboratory, and where his research activities focused on millimeter-wave radars and synthesis techniques for multiple-passband microwave filters. In 2009, he joined Purdue University, West Lafayette, IN, USA, where he was a Post-Doctoral Research Associate, and where his activities included the design of adaptable RF systems. In 2012, he joined Korea University, Seoul, Korea, where he is currently an Associate Professor. His research interests include RF and microwave components, satellite transponders, wireless power transfer, and electromagnetic theories. Prof. Lee was a recipient of the Graduate Fellowship presented by the Korea Science and Engineering Foundation (KOSEF) and the Rackham Predoctoral Fellowship presented by the Rackham Graduate School, The University of Michigan. He was also the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

475

Design of Hybrid Folded Rectangular Waveguide Filters With Transmission Zeros Below the Passband Carlos Carceller, Member, IEEE, Pablo Soto, Member, IEEE, Vicente E. Boria, Senior Member, IEEE, and Marco Guglielmi, Fellow, IEEE

Abstract—The design and physical implementation of hybrid folded rectangular waveguide filters providing multiple transmission zeros (TZs) below the passband are considered in this paper. These structures offer great flexibility to locate the TZs in a wide frequency range. Different implementations have been considered, each one offering certain advantages in terms of ease of manufacture, number of TZs, and their separation from the passband. A simple design procedure is also described. Measurements of a manufactured five-pole filter prototype and simulations fully validate the novel configurations proposed in this study. Index Terms—Quasi-elliptic filters, tuningless filters, waveguide filters.

I. INTRODUCTION

T

ODAY, a wide variety of communication systems must coexist within the limited electromagnetic (EM) spectrum allocated for many commercial applications at microwave frequencies. In this crowded environment, the interference of a system with neighboring frequency bands becomes a critical issue, especially as capacity requirements are increasingly demanding. In order to strengthen the isolation in a specific band, elliptic or quasi-elliptic filters are needed. These structures are able to provide steep out-of-band rejection with a minimum number of resonators, minimizing the signal interference in adjacent channels, and reducing the volume and mass of the component. Flexibility to define the number and location of the transmission zeros (TZs) is an important feature of these filters. Several techniques can be used to implement filters with TZs [1]. A method that allows great control over the location of the TZs is the extracted-pole technique [2]. With this technique, the TZs are realized using bandstop elements. Combined with the use of nonresonating nodes [3]–[5], this design methodology becomes highly modular, although it still involves an important amount of optimization to obtain the physical dimensions of the structure.

Manuscript received July 02, 2015; revised November 12, 2015; accepted December 11, 2015. Date of publication January 06, 2016; date of current version February 03, 2016. This work was supported by the Spanish Ministerio de Economia y Competitividad under Reseach and Development Project TEC2013-47037-C5-1-R. The authors are with the Departamento de Comunicaciones, Universitat Politecnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]. es). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2510644

For waveguide components, it is common to find solutions that implement cross-couplings between resonators [6]. Multiple paths are created, and the relative phase shift of the signal traveling through all of them produces a cancellation (destructive interference) at certain frequencies [7]. By properly adjusting the coupling elements in the different signal paths, the designer is able to control the location of the TZs. The frequency range (above and/or below the passband) where these TZs can be located is dependent upon the nature (electric of magnetic) of the different couplings involved in the structure. Certain coupling combinations are only able to place TZs below or above the passband. Others are flexible enough to allow the location of TZs both in the upper and lower stopbands. In most applications, simple coupling schemes, such as singlets [8], cascaded triplets, and quadruplets [9], are the preferred solution. They are amenable to a modular design procedure, tend to be more robust to manufacturing tolerances, and are easier to tune after fabrication. Amongst these modular schemes, the trisection is one of the most popular configurations to implement filters with asymmetric isolation requirements. A trisection is a third-order structure that realizes a single TZ either above or below its passband. Trisections can be cascaded to create higher order filters with a flexible control over the location of their corresponding TZs. Each TZ in the response can be directly associated with the cross-coupling in a particular trisection [1]. For that reason, the designer must carefully choose the physical structure (iris, window, probe, loop, ) that will implement the required crosscoupling. The frequency range where the TZ can be located will depend entirely on the range of coupling values that the physical cross-coupling structure is able to provide. In [10], the hybrid folded rectangular waveguide (HFRW) topology was presented as a flexible alternative to implement trisections in rectangular waveguide. This topology has the capability of realizing filters with TZs located in a wide frequency range. It also allows a very flexible arrangement of the resonators to achieve very compact topologies. In addition, due to the physical symmetry in width, the proposed structures can be manufactured in clam shell, thus reducing losses and increasing its robustness to manufacturing tolerances. Tuning screws can be avoided in many cases. The use of a clam-shell assembly combined with the absence of tuning screws minimizes the passive intermodulation (PIM) introduced by these filters, making them suitable for satellite applications [1] and experimental setups [10], [11]. However, the work in [10] only explored a particularly simple configuration providing TZs

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 1. Basic trisection in the HFRW configuration.

above the passband. This specific configuration was also used in the channel filters included in [11]. In this paper we extend the previous works by proposing several new configurations for the HFRW topology capable of realizing TZs below the passband. Different alternatives will be provided for the cases where TZs need to be placed either close to the passband or far from it. As it will be shown, due to the nature of the coupling elements within the trisection, some of these configurations are able to provide multiple TZs. Insight into how to control the additional TZs independently from the ones realized by the trisection will also be provided. The limitations in the location of these additional TZs will also be discussed. To validate our approach, a five-order filter with four TZs has been designed and manufactured. Measured results are successfully compared with EM simulations. II. ALTERNATIVE PHYSICAL IMPLEMENTATIONS OF HFRW TRISECTIONS The design of HFRW filters [12] is based on the interconnection of trisections similar to the one shown in Fig. 1. From a circuit point of view, each of the triplets included in the filter is responsible for the introduction of one TZ and three poles. For the sake of manufacturing simplicity, this type of filters generally make use of centered rectangular apertures in the top or bottom cavity walls to implement direct couplings. Depending on the dimensions and location of these apertures, they provide either electric or magnetic coupling in the frequency band of interest. The inline physical location of nonadjacent resonators simplifies the implementation of cross-couplings, which can be done in a variety of ways. The particular choice of cross and direct couplings determines whether the TZs are located above or below the passband. Fig. 2 summarizes the specific combination of couplings that provide a TZ on one side of the passband or the other. The structure presented in [10] included only a particular implementation of HFRW trisections. It contained full-width capacitive slots as direct couplings and a classic inductive window for the cross-coupling. This solution is only able to provide TZs above the passband (see Fig. 2). In this work, however, several new alternatives for implementing both the direct coupling and cross-coupling are proposed. Although this paper will be focused on the generation of finite TZs below the passband, the physical realization of coupling windows discussed here can

Fig. 2. Coupling combinations in a triplet that provide TZs above or below the passband.

also be used to implement triplets with TZs above the passband. With the content of this work, the designer will have a range of coupling elements to choose from. They only need to be properly combined in accordance with the schemes shown in Fig. 2 to implement TZs on either side of the band. In [13], a similar -plane triplet implementation in rectangular waveguide was proposed, capable of providing a TZ in either side of the passband. In that work, the direct-coupling apertures connect the front and bottom walls of adjacent resonators, instead of the top and bottom wall connection proposed in this paper. This results in a different cavity arrangement and a very compact filter layout. The front–bottom connection generates a significantly stronger coupling (compared with the top–bottom one for similar aperture dimensions), prompting the authors in [13] to utilize inductive irises below cutoff to control its level. Even though both structures exhibit very similar features and advantages, the one proposed in this paper provides high flexibility in terms of coupling structures (as described at length in this paper) or generation and control of additional TZ. For instance, the distance from the direct-coupling apertures to the short-circuited end of each resonator can also be easily employed to realize TZs on either side of the band, as demonstrated in [14] and [15]. The cross-coupled structures presented in this work can incorporate the previous technique to increase the number of TZs they realize. However, the resulting structure may be too large, especially when the TZs have to be located below the passband, thus requiring the use of or higher order resonators. Even though this is beneficial in terms of losses and manufacturing sensitivity, the resulting filter size and mass may not be acceptable for certain applications (especially for low-frequency bands). This paper is focused on the study of coupling mechanisms in HFRW filters. Several ways to implement triplets with TZs located below the passband are considered in this section. Some of these implementations are best suited to place the TZs close to the passband, whereas others are more robust options to implement TZs far from the passband. In addition, several implementations are capable of providing more TZs than classical triplets. In those cases, simple techniques will be given to adjust the location of these additional TZs.

CARCELLER et al.: DESIGN OF HFRW FILTERS WITH TZs BELOW THE PASSBAND

477

Fig. 4. S-parameter response of the trisection with inductive direct-coupling irises and capacitive cross-coupling window [see Fig. 3(b)]. This triplet introduces a TZ at 12.345 GHz for a filter response centered at 12.6 GHz with a 400-MHz bandwidth. The resonant behavior of the inductive direct-coupling iris generates the undesired resonance at 16 GHz. Results are obtained with the modal analysis tool FEST3D v.6.8.6, and compared with the finite-elementmethod solver Ansys HFSS v.15.

Fig. 3. Two alternatives to implement trisections that provide TZs below the passband using a classical capacitive window as cross-coupling. Sidewalls have been removed to allow visualization of the interior. (a) Capacitive iris used as direct coupling. (b) Inductive iris used as direct coupling.

A. Trisection With Classical Capacitive Cross-Coupling Iris The first structure considered is a trisection with a capacitive cross-coupling window (Fig. 3). For a trisection, the location of the TZ is dependent on the value of the cross-coupling element. As a TZ moves closer to the passband, the cross-coupling value in the triplet increases. Capacitive windows introduce strong couplings since the passband of the filter is above the cutoff frequency of the window and the fundamental mode at the iris propagates. Therefore, this is an ideal solution to place TZs close to the passband. This type of window guarantees that enough cross-coupling is provided, even if the physical distance between both resonators is large. In contrast, inductive apertures tend to provide lower coupling levels for similar window dimensions since the iris operates below cutoff in the passband. To implement the direct couplings, two options have been considered: capacitive irises [see Fig. 3(a)] and inductive irises [see Fig. 3(b)]. Generally, the trisection with all capacitive couplings in its core (i.e., direct and cross-coupling windows with the same width) is easier to manufacture and design. Given their 2-D nature, these geometries are simpler and faster to analyze. However, there are other factors that also influence the decision to implement the direct coupling by irises with a predominantly capacitive or inductive behavior. The first factor to consider is the position of the TZ. For filters with TZs nonadjacent to the passband, the location of the TZ barely affects the direct coupling level. However, for filters with TZs adjacent to the passband, the location of the TZ has a strong influence on the required direct coupling level. As a TZ moves

towards the passband, the direct coupling level decreases. If the required direct coupling level is moderate, the all-capacitive solution in Fig. 3(a) is preferred, as long as the implementation is feasible. However, if the required coupling level is small, the dimension of the capacitive iris implementing the direct coupling may be too small to be physically realizable. In addition, given that the electric field in these irises tends to be high, there is a considerable risk of high-power problems (such as corona and multipactor breakdown). In those situations where the required direct coupling level is too small, the best option is to use the solution depicted in Fig. 3(b). Compared to the all-capacitive solution, the direct couplings in this case are rectangular slots that behave as inductive irises in the passband. These irises are able to yield the same direct-coupling value as the all-capacitive case, but with a much bigger aperture. As a result, the inductive solution avoids the previously mentioned mechanical and power-handling issues for filters with TZs extremely close to the passband. Since this is, in nature, a resonant iris, it produces a resonance above the passband. Although it cannot be avoided, its position can be controlled by adjusting the cross section of the iris. As an example, Fig. 4 shows the response of the triplet depicted in Fig. 3(b). This triplet provides a TZ separated from the passband by 55 MHz. The passband is centered at 12.6 GHz with a 400-MHz bandwidth. In the final design, all dimensions are greater than 1 mm, which should guarantee a successful manufacture by milling. The second factor to consider is the amount of TZs that each option is able to generate in the lower stopband. According to the minimum path rule, a triplet implementing any of the schemes in Fig. 2 should realize one TZ [1]. However, such schemes do not take into account the resonant nature of direct and cross-coupling apertures. The inclusion of an additional resonating node for each coupling element (modeling its resonance

478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 5. Sextuplet coupling scheme representing an HFRW trisection. It includes three resonators (4–6) that reflect the resonant nature of the direct and crosscoupling windows.

away from the passband) leads to the sextuplet scheme depicted in Fig. 5. Although the resulting equivalent scheme of an HFRW trisection in not practical for filter design (among other reasons, due to its increased complexity and the limited accuracy of the coupling matrix for wide frequency ranges), it can be useful to provide insight into the structure behavior. In fact, according to the minimum-path rule, this coupling scheme predicts three TZs, in clear contrast with the one corresponding to a conventional triplet (see Fig. 2). The two additional TZs, not accounted for by the triplet, are associated with the direct coupling apertures. The resonance of these elements change the total phase shift of the signal that travels through one of the paths (the one that crosses resonator 2), which leads to a cancellation of the signal at two new frequencies. The specific frequencies where this cancellation occurs are highly dependent on the nature of the direct couplings, thus not all of the TZs can be prescribed at the lower stopband. For illustrative purposes, Fig. 6 compares the responses of two sextuplet schemes: one containing capacitive direct-coupling apertures and the other inductive ones. Both coupling matrices have been designed to yield the same passband response and same location of the triplet TZ. When inductive direct-coupling apertures are employed, the additional TZs are located above the passband and outside the spurious-free band (they cannot be simply identified in Fig. 6 since they form a pair of symmetrical zeros around the imaginary axis due to the required cross-coupling level). Consequently, only one TZ (associated with the triplet) can be placed in the lower stopband. In contrast, the use of capacitive direct coupling apertures enables the realization of one additional TZ in the lower stopband, as depicted in Fig. 6. Regarding the second additional TZ, it is located below the capacitive resonance (near cutoff), thus its use is not practical. The results obtained from the EM simulations of the HFRW trisections depicted in Fig. 3 confirms the qualitative conclusions extracted from the enhanced model in Fig. 5 both for the inductive direct-coupling window case (see Fig. 4, with one TZ in the lower stopband) and also for the capacitive direct-coupling case (see Fig. 7, with two TZs in the lower stopband placed above the waveguide cutoff frequency).

Fig. 6. Sextuplet coupling scheme of Fig. 5 is applied to model the two proposed trisections containing a capacitive cross-coupling (Fig. 3). In the capacitive direct-coupling case (solid line), three TZs can be easily identified in the frequency axis. For the inductive direct-coupling structure (dashed line), only one TZ is visible in the lower stopband, whereas the other two TZs form a paraconjugated pair in the complex plane located in the region between the resonances at 16 and 16.8 GHz.

Fig. 7. S-parameter response of the all capacitive trisection [see Fig. 3(a)]. Two TZs can be easily identified below the passband. The second additional TZ (predicted below the resonances of the capacitive windows) is masked by the cutoff of the waveguide. Two EM simulators, FEST3D and Ansys HFSS, are employed to validate results.

B. Triplet With Classical Inductive Cross-Coupling Iris In Section II-A, it was mentioned that the capacitive crosscoupling window is able to provide high coupling values with relatively small dimensions of the window. This is advantageous when a TZ is to be placed close to the passband, but becomes a burden when the TZ is to be located further away. In those cases, the size of the capacitive coupling may be too small to be manufactured. There are several ways to slightly increase this size. The first one is to increase the length of the cross-coupling window, which comes at the cost of increasing the total length of the filter. In addition, it is obvious that there is a strict geometrical limitation on how much this length can be increased.

CARCELLER et al.: DESIGN OF HFRW FILTERS WITH TZs BELOW THE PASSBAND

479

Fig. 8. Trisection with inductive cross-coupling. A capacitive window implements one direct coupling whereas an inductive aperture implements the other direct coupling.

Alternatively, the capacitive cross-coupling window can be vertically offset towards the central resonator in order to decrease the coupling (effectively allowing an increase in the size of the iris). The increase that can be achieved with this technique is not very large, typically 50% for very small windows. A more powerful solution is based on the scheme depicted in the bottom-left side of Fig. 2. The cross-coupling iris is implemented with a classical inductive window. Since this window is below cutoff in the band of operation, the coupling it provides, via evanescent modes, is weaker than its capacitive counterpart. To generate a TZ below the passband, one direct coupling is capacitive while the other one is replaced by a resonant aperture that behaves inductively in the passband. The resulting structure is shown in Fig. 8. This structure is able to provide low cross-coupling levels with moderate dimensions of the inductive cross-coupling iris. Therefore, it is much more robust to manufacturing errors than its capacitively cross-coupled counterpart for TZs located far from the passband. As in the all-capacitive case, this particular implementation of a trisection is also able to provide two TZs below the passband, consistent with the sextuplet coupling scheme of Fig. 5. Fig. 9 depicts the response of the trisection shown in Fig. 8, where the two TZs can be identified. The higher frequency TZ is the triplet TZ, whereas the lower frequency TZ is the additional TZ produced by the capacitive direct-coupling aperture. The third TZ (not shown in the plot), is above the resonance of the inductive direct-coupling aperture, thus beyond the spurious-free band. The main inconvenience of this configuration is the presence of this resonance in the upper stopband.

Fig. 9. Response of the trisection with inductive cross-coupling window shown in Fig. 8. FEST3D and Ansys HFSS are employed to validate results.

C. Triplet With Resonant Cross-Coupling Iris

Fig. 10. Trisection with resonant cross-coupling. (a) Cross-coupling implemented by a rectangular slot. (b) Cross-coupling implemented by the interconnection of an inductive and a capacitive window.

In order to fulfill specifications that demand TZs far from the passband, but do not allow resonances close to the upper stopband, a third implementation is proposed. This alternative implementation makes use of a resonant cross-coupling structure, where both the inductive and capacitive contributions can be independently adjusted. The idea is to provide additional degrees of freedom to generate a specific coupling. In addition to adjusting the iris main dimension (which could compromise the physical realization of the trisection), the specific cross-coupling level can also be controlled by the proper adjustment of the iris resonant frequency. The easiest way to implement such resonant cross-coupling is by means of a rectangular slot, as shown in Fig. 10(a). On the one hand, the horizontal dimension of the

slot controls the resonant frequency and influences the coupling level. The vertical dimension, on the other hand, mainly affects the cross-coupling level. In practical applications, however, the ability to reduce the horizontal dimension to compensate for an increase in the vertical dimension is limited. Note that the iris must be above cutoff to behave capacitively. As a result, the vertical size of the cross-coupling cannot be increased significantly (to improve power handling and feasibility), specially compared to the all-capacitive case described in Section II-A. A more effective approach involves the resonant cross-coupling structure shown in Fig. 10(b). It is composed of a classical

480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 12. Distributed equivalent circuit representation of a trisection.

of the filter trisections by making use of the classical triplet coupling scheme (considering only the corresponding triplet TZs). A simple methodology can then be used to adjust the location of the additional TZs to prescribed frequencies. A. Initial Design

Fig. 11. Response of the trisection with resonant cross-coupling shown in Fig. 10(b) obtained with FEST3D and Ansys HFSS.

inductive window connected to a capacitive window by a rectangular waveguide. The limiting case where both windows are adjacent to each other is equivalent to the case of Fig. 10(a). However, as both irises are physically placed further apart from each other, their mutual interaction becomes weaker. This allows the designer to considerably increase the size of the capacitive iris by moderately decreasing the size of the inductive window. Consequently, this structures becomes a more realistic alternative to the topology proposed in Section II-A to locate TZs far from the passband. Another advantage of this configuration is that, when used in combination with capacitive direct-coupling irises (such as the structures shown in Fig. 10), the trisection is able to provide up to three TZs. This is, once again, compatible with the coupling scheme of Fig. 5 where the resonant frequency of node 6 can be tuned. By properly adjusting this resonance, the phase shift between the cross-coupled path and the direct-coupled path is altered, and the third TZ can be located in the lower stopband. Fig. 11 depicts the response of the structure in Fig. 10(b), which generates three TZs. The higher frequency TZ corresponds to the triplet TZ, whereas the other two are the additional TZs. The simplest way to control the location of the lowest frequency TZ is to shift the resonance of the iris without altering the crosscoupling level. The distance between the two windows that form the cross-coupling can also be used to make slight adjustments to the location of this third TZ. III. DESIGN PROCEDURE As previously discussed, the modified coupling scheme of Fig. 5 is an interesting model to understand the behavior of HFRW trisections in the lower stopband. It can be employed to predict the presence of additional TZs in this band, but its application to the complete design of an HFRW filter is limited. The coupling matrix model does not take into account the dispersion of the waveguide elements, and is only a fundamental mode representation of the structure. Therefore, it does not provide accurate predictions for the position of the additional TZ far from the passband. As a result, it is simpler to perform an initial design

From the triplet coupling matrix, the distributed circuit shown in Fig. 12 can be constructed. It contains half-wavelength dispersive transmission lines and inverters. This model takes into account the specific dispersion introduced by the rectangular waveguides implementing the resonators. As shown in [14], the initial values for elements in the distributed model can be extracted from the synthesized coupling matrix as

(1) are the nondiagonal elements of the coupling matrix where and is the wavelength factional bandwidth of resonator . The diagonal components of the coupling matrix represent frequency-independent susceptances in the low-pass domain. Once these susceptances are transformed into the bandpass domain, the resonant frequency for each resonator in the filter can be determined according to the formula (2) where and are the filter center frequency and bandwidth, respectively. From these resonant frequencies, the line lengths are directly obtained. From the distributed model, the physical dimensions of the filter can be extracted by using a classical EM-based procedure [16]. Some dimensions in the filter must be set beforehand: the vertical distance between adjacent resonators and the length of the stubs [see Fig. 13(a)]. In order to maintain a compact structure with cavities, the stub lengths have been set to a small value. This particular choice avoids the generation of extra TZs in the response (see [14] and [15]), but allows us to focus on the effects under study on this paper. Next, we can proceed with the structure extraction. The first elements to extract are the input/output and direct couplings. In both cases, the dimension of the window that implements each coupling is adjusted until it behaves like the corresponding impedance inverter at the filter

CARCELLER et al.: DESIGN OF HFRW FILTERS WITH TZs BELOW THE PASSBAND

481

Fig. 14. Response of the example trisection after application of the initial design procedure. The triplet is centered at 12.6 GHz with 400-MHz bandwidth. The coupling matrix response (for a triplet coupling scheme) is compared with the response obtained after direct application of the design procedure and, also, the final response after optimization.

Fig. 13. -plane cut of a trisection. (a) Topology employed to tune the resonance of the central cavity. The hatched area below this cavity indicates the space that can be used to implement the cross-coupling. In advance of the resonance tuning, the shaded area has been adjusted until it has the same behavior as the corresponding direct coupling impedance inverter at the filter center frequency. (b) Topology employed to tune the resonance of a cavity with two cross-coupling irises and two direct-coupling irises.

center frequency. For the direct couplings, the shaded section of Fig. 13(a) is used to compare its behavior with the inverter. Having determined the dimensions of the direct couplings, the next step is to adjust the resonance of the cavities with crosscouplings above or below them. The reason for this choice is that once the dimension of these cavities is determined, the space left to implement the cross-coupling above or below them will be known. This is illustrated in Fig. 13(a), where the hatched area represents the space left to implement the cross-coupling after determination of . To adjust the resonance, the distance is shifted until the peak of the reflection coefficient is centered at the appropriate frequency defined by (2). After the adjustment of , the length of the cross-coupling window below such a resonator is known. The iris shape is then adjusted to provide the same response as the corresponding impedance inverter at the filter center frequency. Once all the cross-coupling windows are found, the remaining cavities can be adjusted. The structure employed to adjust the length of cavities having cross-coupling windows attached to them is shown in Fig. 13(b). All irises are attached to the cavity to compensate for their loading effect. To avoid reflections, cross-couplings are matched at their output ports. A response very close to the desired one is obtained after the application of this simple and systematic design procedure. A

final adjustment of the dimensions of the filter is then performed to compensate for the mutual interactions not previously considered. Finally, a response in strong agreement with the one predicted by the coupling matrix is obtained. As an example, this initial design procedure is applied to a simple all-capacitive triplet in WR-75 waveguide centered at 12.6 GHz with 400-MHz bandwidth and two TZs at 10.5 and 11.6 GHz. The synthesized coupling matrix, considering only the TZ at 11.6 GHz, is

(3) . By using (1) and (2), the inverter with values and resonant frequencies are

GHz GHz

(4)

The design procedure that transforms the circuit model into a physical structure provides a response similar to the one predicted by the coupling matrix. This response can be seen in Fig. 14 with the label “Direct Design.” Afterwards, the dimensions are slightly adjusted to fulfill the passband specifications. The resulting response (labeled “Adjusted Design” in Fig. 14) is equivalent to the one provided by the coupling matrix from the passband to the first TZ. Unfortunately, the location of the second TZ, at 8.9 GHz, is far from the prescribed frequency of 10.5 GHz. In Section III-B, a procedure is proposed to adjust the location of this additional TZ.

482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 15. Using as reference the adjusted design depicted in Fig. 14, the height of the capacitive cross-coupling window is reduced. As this parameter decreases, the TZ introduced by the triplet moves towards lower frequencies, whereas the additional TZ moves towards higher frequencies.

Fig. 16. Starting from the design example shown in Fig. 14, the length that separates vertically the adjacent cavities is reduced. As this length decreases, the additional TZ moves towards higher frequencies (after adjustment of the couplings).

B. Control Over the Location of the Additional TZ

In this situation, the designer can readjust the direct-coupling window to recover the original coupling level. It will be immediately apparent how the additional TZ is now located at a higher frequency than initially since the total phase shift has decreased. In some instances where there is a strong interaction between direct- and cross-coupling windows, it may also be necessary to slightly adjust the cross-coupling window to place the triplet TZ exactly at the original frequency. The resulting effect after application of this procedure is depicted in Fig. 16. Starting from the designed triplet (see Fig. 14), the length of the two direct-coupling windows is reduced. The coupling windows are then adjusted to recover the original location of the triplet TZ. As can be seen, the additional TZ effectively moves towards higher frequencies. Another consequence is that the spurious-free range is reduced. When the triplet TZ is not adjacent to the passband, this effect is limited, as shown in Fig. 16: the additional TZ can be shifted within a large frequency range while the spurious-free range is not considerably reduced. However, when the triplet TZ is very close to the passband, a shift of the additional TZ towards higher frequencies is accompanied by an equivalent reduction in the spurious-free band. For trisections with capacitive direct couplings, the change in length can be easily computed. If the additional TZ must be shifted between the original frequency and the objective frequency , the total length increase can be computed as

It is not simple to determine the exact location of the additional TZ before the physical structure is obtained. The location is highly dependent on the specific phase shifts introduced by the direct coupling and cross-coupling. Given an initial physical structure, this section proposes a procedure to tune the location of this TZ. To adjust the location of the additional TZ, the phase shift of one signal path must change with respect to the other path. The more direct way to do so is by modifying the cross-coupling window. This changes the location of the additional TZ, but unfortunately, it also alters the location of the TZ corresponding to the triplet. When the cross-coupling is reduced, the additional TZ moves towards higher frequencies, whereas the triplet TZ moves towards lower frequencies, effectively approaching each other. As with any pair of TZs, when they coincide at the same finite frequency (providing a double TZ), their mutual interaction moves them away from the imaginary axis to paraconjugated complex frequencies. This effect is shown in Fig. 15. Taking as an example the triplet whose response is depicted in Fig. 14, the height of the capacitive cross-coupling is changed between the original size and half this size (the other dimensions are kept unaltered). As can be seen, both TZs approach each other until they are no longer visible in the S-parameter response. Since this technique is not adequate to control the location of the additional TZ independently of the location of the TZ introduced by the triplet, an alternative is proposed. It focuses on adjusting the length of the path that crosses the middle resonator. In order to do so, the length of the direct coupling windows can be increased or decreased (length in Fig. 13). Unfortunately, a change in the length of such window also affects, to some extent, the direct coupling level. As decreases, the direct coupling level slightly increases, thus introducing a small shift on the triplet TZ towards lower frequencies. At the same time, the additional TZ moves definitely towards higher frequencies.

(5) where is the phase constant for the fundamental mode in the direct-coupling iris at frequency . Since the length of both couplings must be equal, the increment must be equally split between the two irises. In the case of triplets with one inductive and one capacitive direct-coupling window, this equation can be just used as a starting reference to adjust the length of the capacitive one. Additional fine tuning is required in those cases.

CARCELLER et al.: DESIGN OF HFRW FILTERS WITH TZs BELOW THE PASSBAND

483

Fig. 18. Geometry of the designed five-pole filter. Two trisections are cascaded: one with all-capacitive couplings and the other with two inductive and one capacitive coupling.

Fig. 17. Final adjustments to the design example in order to move the additional TZ from 8.9 to 10.5 GHz.

This simple method is applied to the designed filter of Section III-A to adjust the location of the TZ from 8.9 to 10.5 GHz. Equation (5) is used to estimate the length decrease of the direct coupling. The resonances and coupling windows are adjusted to recover the passband response and also the original location of the triplet TZ. The response obtained after this procedure is shown in Fig. 17 (labeled “Design ”). The additional TZ is not located exactly at 10.5 GHz, but very close to this value, at 10.57 GHz. In order to place it at exactly 10.5 GHz, the triplet is re-optimized, also adjusting the length . The final response, having both TZs located at their prescribed frequencies, can be also seen in Fig. 17, labeled as “Final Design.” IV. EXPERIMENTAL RESULTS This last section considers the design of a five-pole tuningless filter centered at 12.6 GHz with a bandwidth of 400 MHz and 25-dB return loss. Filter ports and cavities are implemented in standard WR75 waveguides. The in-band specifications are the same as the upper band Tx filter presented in [10]. In contrast, the filter presented here must introduce 35 dB of attenuation in an adjacent channel separated 130 MHz from the lower passband edge, and at least 100 dB between 8.7 and 11 GHz. These electrical specifications force the introduction of TZs both close to the passband and far from it. They can be satisfied by the cascade connection of two trisections providing two TZs each. To deal with the attenuation on the adjacent channel, an all-capacitive trisection is used. The cross-coupling window has been offset to guarantee a height greater than 500 m for manufacturing reasons. In addition, the extra TZ provided by this triplet (at 8.65 GHz) can be used as an aid in providing the out-of-band rejection. Cascaded with this first triplet is a second one that makes use of the configuration presented in Section II-B. The objective of this triplet, which provides TZs at 9.8 and 11 GHz, is to create the rejection band away from the passband. This

Fig. 19. Side and bottom views of the designed filter along with all dimensions (in millimeters).

configuration has been preferred to the one employing a resonant cross-coupling due of its simplicity and robustness. In addition there are no requirements associated with the upper stopband, which could be potentially affected by the resonance of the inductive coupling window. The physical structure of the designed filter can be seen in Fig. 18. Even though a coupling matrix representing a triplet is not able to predict the position of all the TZs, it is a good starting point to determine initial values for the cross-coupling and direct coupling, as well as the resonant frequency of the different resonators. In order to generate this coupling matrix, only the two TZs with higher frequency are considered. Once an initial dimensional synthesis of the filter is performed, the additional TZs can be adjusted as explained in Section III-B. Optimization of the overall structure is also required. Thanks to the symmetry properties of this structure, it can be analyzed rigorously and efficiently with modal methods, which, in turn, allow to speed up the design and optimization process. The commercial software FEST3D, based on these modal methods, has been used to perform the design and optimization. The final optimized dimensions of the prototype can be seen in Fig. 19. A prototype of this filter has been fabricated in aluminum using a tuningless clam-shell assembly (see Fig. 20). The prototype has been manufactured by CNC Machining, except for the narrow capacitive windows and the small radius rounded corners, which required spark erosion. The measured response of

484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 20. Photograph of the manufactured five-pole filter with four TZs.

has been presented. As a result of this work, a designer can choose the most suitable implementation, and carry out its design in a simple manner. In summary, it has been shown that implementations that include capacitive cross-coupling irises are adequate to realize filters with TZs close to the passband. Depending on the type of iris used to implement the direct coupling, these structures are able to provide one or two usable TZs. There is a certain degree of control for the additional TZ (not predicted by the triplet coupling matrix) by adjusting the length of the direct couplings. For applications that require TZs located far from the passband, it is recommended to use filters with inductive cross-couplings, which are also able to introduce two TZs in the lower stopband. However, they generate a resonance in the upper stopband that, in some applications, may be unacceptable. As an alternative, a resonant cross-coupling structure can be used. It has been shown how this solution may introduce up to three TZs per triplet in the lower stopband. However, the control of some of the TZs in this structure is limited. In order to illustrate the capabilities of the proposed implementations, a five-order filter composed by two trisections and providing four TZs has been designed and manufactured. Measured results fully validates the practical viability of this new family of filters. ACKNOWLEDGMENT The authors are indebted to D. Smacchia, High-Power RF Space Laboratory, ESA-VSC, for his assistance with the manufactured prototype.

Fig. 21. Response of the designed five-pole filter with four TZs. Results are successfully compared with measurements from the manufactured prototype.

this prototype, without any sort of tuning, is successfully compared, in Fig. 21, with simulations provided by FEST3D v.6.8.6. The measured return losses are better than 20.8 dB in the passband and the insertion losses are better than 0.4 dB. A reduced frequency shift, smaller than 10 MHz, exists between the simulated and measured responses in the passband and in three of the four TZs. The remaining TZ, located at 9.8 GHz in the original design, has just moved 90 MHz in the manufactured prototype. Finally, it is also worth pointing out that the inductive iris is expected to introduce an unwanted resonance at about 15.6 GHz, beyond the recommended operation range of the filter waveguides. V. CONCLUSION The design of HFRW filters with TZs below the passband has been considered in this work. Several novel implementations of these filters have been proposed, and their capabilities, advantages, and potential limitations have also been extensively discussed. All the resulting geometries are compact, easy to manufacture and simulate, and suitable for low-loss and low-PIM realizations due to their geometrical symmetry. Additionally, a simple design procedure, aided by hybrid EM-circuit models,

REFERENCES [1] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Hoboken, NJ, USA: Wiley, 2007. [2] J. Rhodes and R. Cameron, “General extracted pole synthesis technique mode filters,” IEEE Trans. Miwith applications to low-loss crow. Theory Techn., vol. MTT-28, no. 9, pp. 1018–1028, Sep. 1980. [3] S. Amari and G. Macchiarella, “Synthesis of inline filters with arbitrarily placed attenuation poles by using nonresonating nodes,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 10, pp. 3075–3081, Oct. 2005. [4] S. Cogollos, R. Cameron, R. Mansour, M. Yu, and V. Boria, “Synthesis and design procedure for high performance waveguide filters based on nonresonating nodes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1297–1300. [5] O. Glubokov and D. Budimir, “Extraction of generalized coupling coefficients for inline extracted pole filters with nonresonating nodes,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3023–3029, Dec. 2011. [6] A. Atia, A. Williams, and R. Newcomb, “Narrow-band multiple-coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CS-21, no. 5, pp. 649–655, Sep. 1974. [7] J. Thomas, “Cross-coupling in coaxial cavity filters—A tutorial overview,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1368–1376, Apr. 2003. [8] S. Amari, U. Rosenberg, and J. Bornemann, “Singlets, cascaded singlets, and the nonresonating node model for advanced modular design of elliptic filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 237–239, May 2004. [9] R. Levy and P. Petre, “Design of CT and CQ filters using approximation and optimization,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2350–2356, Dec. 2001. [10] C. Carceller, P. Soto, V. Boria, M. Guglielmi, and D. Raboso, “New folded configuration of rectangular waveguide filters with asymmetrical transmission zeros,” in Proc. Eur. Microw. Conf., Oct. 2014, pp. 183–186.

CARCELLER et al.: DESIGN OF HFRW FILTERS WITH TZs BELOW THE PASSBAND

[11] C. Carceller, P. Soto, V. E. Boria, M. Guglielmi, and J. Gil, “Design of compact wideband manifold-coupled multiplexers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3398–3407, Oct. 2015. [12] M. Guglielmi, “Hybrid folded rectangular waveguide filter,” ESA Patent WO 2 015 058 809 A1, Oct. 25, 2013. [13] U. Rosenberg, M. Knipp, and S. Amari, “Compact diplexer design using different E-plane triplets to serve contiguous passbands with high interband selectivity,” in Proc. Eur. Microw. Conf., Sep. 2006, pp. 133–136. [14] S. Cogollos, P. Soto, M. Brumos, V. Boria, and M. Guglielmi, “Novel rectangular waveguide structures for advanced filter characteristics,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [15] P. Soto, V. E. Boria, C. Carceller, S. Cogollos, M. Guglielmi, and D. Smacchia, “Practical design of rectangular waveguide filters with a capacitive building block providing an extra transmission zero,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–4. [16] S. Cogollos et al., “A systematic design procedure of classical dualmode circular waveguide filters using an equivalent distributed model,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 1006–1017, Apr. 2012. Carlos Carceller (S’06–M’15) was born in Villarreal, Spain, in 1986. He received the Ingeniero de Telecomunicacin degree and Master degree in telecommunications technology, systems, and networks from the Universidad Politecnica de Valencia (UPV), Valencia, Spain, in 2010 and 2012, respectively, and is currently working toward the Ph.D. degree in telecommunications at UPV. In 2010, he joined the Grupo de Aplicaciones de Microondas, UPV, where he currently develops software tools for the electromagnetic analysis of passive microwave components in waveguide technology. In 2009 and 2013, he was with the University of Maryland at College Park. Within the framework of his thesis, he collaborates actively with Aurora Software and Testing S.L. in the electromagnetic (EM) modeling of passive waveguide components. His current research interests include numerical methods in electromagnetics and its application to the development of computer-aided design (CAD) tools for passive microwave devices. Mr. Carceller was a recipient of the Fall 2009 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Undergraduate Scholarship.

Pablo Soto (S’01–M’06) was born in Cartagena, Spain, in 1975. He received the M.S. degree and Ph.D. degree (cum laude) in telecommunication engineering from the Universidad Politecnica de Valencia (UPV), Valencia, Spain, in 1999 and 2012, respectively. In 2000, he joined the Departamento de Comunicaciones, UPV, where he has been an Associate Professor since 2012. In 2000, he was a European Union (EU) Research Fellow with the European Space Research and Technology Centre (ESTEC-ESA), Noordwijk, The Netherlands. His research interests comprise numerical methods for the analysis, synthesis, and fully automated design of passive components in waveguide and planar technologies, as well as the development and design of novel hardware for satellite applications. Dr. Soto was the recipient of the 2000 and 2012 COIT/AEIT National Award to the Best Master Thesis and Best Ph.D. Thesis in basic information and communication technologies, respectively. He was also the recipient of the 2013 Gheorghe Cartianu Award of the Acadamia Romana.

485

Vicente E. Boria (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicacin degree (with first-class honors) and Doctor Ingeniero de Telecomunicacin degree from the Universidad Politecnica de Valencia (UPV), Valencia, Spain, in 1993 and 1997, respectively. In 1993 he joined the Departamento de Comunicaciones, UPV, where he has been a Full Professor since 2003. In 1995 and 1996, he was a Spanish Trainee with the European Space Research and Technology Centre, European Space Agency (ESTEC-ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored 7 chapters in technical textbooks, 75 papers in refereed international technical journals, and over 150 papers in international conference proceedings. His current research interests are focused on the analysis and automated design of passive components, left-handed and periodic structures, as well as on the simulation and measurement of power effects in passive waveguide systems. Dr. Boria has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He is a member of the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Proceeding of the IET (Microwaves, Antennas and Propagation), IET Electronics Letters, and Radio Science. Since 2013, he has been an Associate Editor for IEEE Microwave and Wireless Components Letters. He is also a Member of the Technical Committee of the IEEE MTT-S International Microwave Symposium (IMS) and of the European Microwave Conference.

Marco Guglielmi (S’79–M’81–SM’97–F’13) was born in Rome, Italy, on December 17, 1954. He received the Laurea in Ingegneria Elettronica degree from the University of Rome “La Sapienza,” Rome, Italy, in 1979, attended the Scuola di Specializzazione in Elettromagnetismo Applicato, University of Rome “La Sapienza,” in 1980, and received the M.S. degree in electrical engineering from the University of Bridgeport, Bridgeport, CT, USA, in 1982, and the Ph.D. degree in electrophysics from the Polytechnic University, Brooklyn, NY, USA, in 1986. From 1984 to 1986, he was an Academic Associate with the Polytechnic University. From 1986 to 1988, he was an Assistant Professor with the Polytechnic University. From 1988 to 1989, he was an Assistant Professor with the New Jersey Institute of Technology (NJIT), Newark, NJ, USA. In 1989, he joined the European Space Agency (ESA), as a Senior Microwave Engineer with the RF System Division, European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands, where he was in charge of the development of microwave filters and electromagnetic simulation tools. In 2001, he became Head of the Technology Strategy Section, ESTEC, where he contributed to the development of management processes and tools for the formulation of a European strategy for space technology research and development. In December 2014, he retired from the ESA. He is currently an Invited Senior Researcher with the Polytechnic University of Valencia, Valencia, Spain, where he is involved in teaching technology innovation and strategy and performs research in applied electromagnetics and microwaves. Dr. Guglielmi was the recipient of a Fulbright Scholarship and a Halsey International Scholarship from the University of Bridgeport in 1981.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design of a Planar Dual-Band Power Divider With Arbitrary Power Division and a Wide Isolated Frequency Band Ching-Wen Tang, Senior Member, IEEE, and Zhang-Qu Hsieh

Abstract—A microstrip dual-band Gysel power divider with arbitrary power division is proposed. In order to generate two frequency bands simultaneously, two Schiffman phase shifters are adopted. Moreover, these frequency bands with arbitrary power ratio between two output ports can be controlled by the transmission lines’ impedances and . In addition, with two -shaped transmission lines series connected at two output ports, there is prominent rejection between two passbands and a wide isolated frequency band between two output ports. Furthermore, two dualband power dividers are developed to verify the proposed design. Index Terms—Dual band, microstrip power divider, Schiffman phase shifter.

I. INTRODUCTION

P

OWER dividers/combiners are commonly used for microwave communications, radar systems, and the front end of the phase-array antenna. In particular, the Wilkinson power divider [1] and Gysel power divider [2] are usually used for power division with equal-phase outputs. Moreover, Wilkinson power dividers are commonly used for the in-phase power division with matched ports and isolated outputs as well. With the quarter-wavelength transmission line for the conventional Wilkinson power divider, there will be periodically spurious responses. Consequently [3]–[9] are proposed to suppress such spurious effects. In addition, [10]–[13] extend studies further on Wilkinson power dividers with dual bands. Furthermore, features of unequal power division [14]–[17] have been investigated as well. Unfortunately, the high-impedance transmission lines required for single- or dual-band Wilkinson dividers with unequal power division make circuit fabrication more difficult. Besides, with the resistor between output ports

Manuscript received April 26, 2015; revised August 05, 2015, October 27, 2015, and November 23, 2015; accepted November 28, 2015. This work was supported in part by the Ministry of Science and Technology, Taiwan, under Grant MOST 104-2221-E-194-012 and Grant MOST 104-2221-E-194-013-MY2. C.-W. Tang is with the Department of Communications Engineering and the Department of Electrical Engineering, Advanced Institute of Manufacturing with High-tech Innovations, National Chung Cheng University, Chiayi 621, Taiwan (e-mail: [email protected]). Z.-Q. Hsieh is with the Department of Electrical Engineering, National Chung Cheng University, Chiayi 621, Taiwan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2506627

of the Wilkinson power divider, heat cannot be properly transmitted out and the phase delay is also influenced. Compared with the Wilkinson power divider, the Gysel power divider features the high power-handing capability [2], [18]; moreover, with external grounded isolation resistors for heat sinking, the Gysel power divider can be employed to monitor the imbalances at output ports as well. In addition, the Gysel power divider is known for its ability to improve the circuit stability and easily realize circuit topology. Nevertheless, the bandwidth of the conventional Gysel power divider is narrow. In order to increase the available bandwidth, multi-sectional transmission lines [19] and the integration of the Wilkinson and Gysel power divider [20] are proposed. Furthermore, by adding the open-circuit stub to the Gysel power divider, dual bands would appear [21], [22]. However, the rejection between two passbands is not apparent. As shown in Fig. 1, an effective way to develop the dual-band Gysel power divider with unequal power division is proposed. First, in Block I with dotted lines, two Schiffman phase shifters series connected are adopted to replace the 180 transmission line of the conventional Gysel power divider. Therefore, the even- and odd-mode impedances of two Schiffman phase shifters can be modified for desired dual frequency bands. Moreover, with series-connected Schiffman phase shifters, wider operational frequency bands can be obtained as well. Second, in Block II with dashed lines, an arbitrarily power ratio of dual passbands can be attained with unequal power division, achieved by changing transmission lines’ impedances and [23]. Consequently, the analytical equations can be derived for the frequency ratio and power ratio. Third, in Block III with dashed–dotted–dashed lines, two -shaped transmission lines are series connected at two output ports separately, which results in a transmission zero so that there is a conspicuous rejection between two passbands. In conclusion, the proposed dual-band Gysel power divider features: 1) an arbitrary power division; 2) a conspicuous rejection between two passbands; 3) a wide isolated frequency band between two output ports; 4) a large power-dividing ratio (up to 6.25) with a wide frequency ratio range; and 5) a capability of power handling. II. DERIVING OF IMPEDANCES AND ELECTRICAL LENGTHS OF THE PROPOSED POWER DIVIDER Three procedures introduced as the following can be employed to analyze the proposed dual-band Gysel power divider with unequal power division in Fig. 1.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Schematic diagram of the proposed dual-band Gysel power divider with arbitrary power division. Fig. 2. Two series-connected Schiffman phase shifters. (a) Schematic diagram. (b) With even-mode excitation. (c) With odd-mode excitation.

A. Circuit in Block I First, analyze the circuit surrounded by Block I, presented with dotted lines in Fig. 1. In order to simplify the design procedure, set the even- and odd-mode electrical lengths of the Schiffman phase shifter equal. Therefore, the matrix of the Schiffman phase shifter can be expressed as (1) (2) (3) With the even–odd-mode analysis technique, -parameters of two series-connected Schiffman phase shifters can be attained as shown in Fig. 2. Specifically with even- and odd-mode excitations, the relationship between voltages and currents shown in Fig. 2(b) and (c) , respectively, can be expressed as (4) (5) where

, , , and . As a result, the input port impedances can be

Fig. 3. Circuit in Block II shown in Fig. 1. (a) Schematic diagram. (b) Simplified equivalent circuit.

expressed as (6)

(9)

(7) Moreover, the reflection coefficient, for

, can be derived by or

(8)

Furthermore, in order to achieve an unequal power division at ports 2 and 3, and are required. and can then be derived as (10)

Consequently, the -parameters of two series-connected Schiffman phase shifters in Fig. 2(a) can be obtained from corresponding single-ported circuits

(11)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TANG AND HSIEH: DESIGN OF PLANAR DUAL-BAND POWER DIVIDER WITH ARBITRARY POWER DIVISION AND WIDE ISOLATED FREQUENCY BAND

3

Fig. 4. -shaped structure. (a) Schematic diagram. (b) With even-mode excitation. (c) With odd-mode excitation.

Fig. 5. Equivalent circuit for obtaining the isolated frequency band between two output ports.

With as the central frequency between two specified frequencies, the electrical length can be obtained as or

(12)

where and are the central frequencies of two specified frequency bands. Consequently, the maximum frequency ratio will be restricted by the electrical length and fabrication. B. Circuit in Block II Second, analyze the circuit surrounded by Block II, presented with dashed lines in Fig. 1 and including the circuit surrounded by Block I. In order to simplify the analysis, resistors and are both set as . Without power exhaustion of these resistors, Fig. 3(a) can be then simplified as Fig. 3(b). Moreover, by setting the power ration between output ports 2 and 3 as , can be obtained. With the assistance of matrix analysis, the voltage can be derived from upper or lower path circuits as

Fig. 6. Theoretically calculated responses of the proposed dual-band power divider with unequal power division. (a) -parameters of EM and theoretical results. (b) Amplitude difference between ports 2 and 3. (c) Phase differences between ports 2 and 3. TABLE I DIMENSIONS OF THE FABRICATED DUAL-BAND POWER DIVIDER WITH UNEQUAL POWER DIVISION

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Fabrication of the proposed dual-band power divider with unequal power division. (a) Layout. (b) Photograph. (c) Comparisons of -parameters between EM simulation and measurement. (d) Amplitude difference between ports 2 and 3. (e) Phase difference between ports 2 and 3. TABLE II DIMENSIONS

OF THE FABRICATED DUAL-BAND POWER WITH EQUAL POWER DIVISION

DIVIDER

(13) (14) where and . According to (8) and (9), the impedance can be equal to . Consequently, with the matching condition at port 1, , the impedances and can be obtained [23] as (15) (16)

C. Circuit in Block III Third, in order to increase the isolation between output ports, add the -shaped structure surrounded by Block III, presented with dashed–dotted–dashed lines in Fig. 1. With the aid of the even–odd-mode analysis technique, -parameters of the -shaped structure shown in Fig. 4 can be obtained as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TANG AND HSIEH: DESIGN OF PLANAR DUAL-BAND POWER DIVIDER WITH ARBITRARY POWER DIVISION AND WIDE ISOLATED FREQUENCY BAND

5

Fig. 8. Fabrication of the proposed dual-band power divider with equal power division. (a) Photograph. (b) Comparisons of -parameters between EM simulation and measurement. (c) Amipltude difference between ports 2 and 3. (d) Phase difference between ports 2 and 3.

(24)

(17)

(20)

can be obtained with the where parameters , , , and matrices of Block I' and II' in Fig. 5 shunt connected, and with the matrices of Block III' in Fig. 5 series connected on the top and at the bottom separately. Moreover, with , the isolated frequency band between two output ports approximates to .

(21)

III. DESIGN EXAMPLES

where for

or

for

or for

(18) (19) or

(22) In addition, with derived as

, the impedance

can then be

(23) Consequently, with for signal termination at port 1 in Fig. 1, the isolation of the proposed dual-band Gysel power divider can be derived with

Two examples of the proposed planar dual-band power divider are fabricated on the substrate Rogers RO4003C. The substrate’s dielectric constant, layer thickness, and loss tangent are 3.55, 0.813 mm, and 0.0027, respectively. As for the first exemplary power divider, 0.87 and 2.13 GHz are specified for central frequencies, and the power ratio between output ports 2 and 3 is set as 2. From (12), the adopted electrical length can then be derived as 52.2 ; moreover, by (10) and (11), the evenand odd-mode impedances and will be obtained as 64.46 and 38.78 , respectively. In addition, according to (15), (16), and (23), the transmission lines’ impedances , , and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III COMPARISONS OF RECENT STUDIES ON THE GYSEL POWER DIVIDER

are derived as 40.28, 56.97, and 57.84 , respectively, with the system impedance . Accordingly, Fig. 6 presents the responses of theoretical calculations, where the yellow blocks represent the operating frequency ranges of two passbands. Consequently, Table I shows physical dimensions of the dualband power divider converted from theoretically calculated parameters, with the aid of the electromagnetic (EM) simulator IE3D. Furthermore, Fig. 7(a) and (b), respectively, shows the layout and a photograph of the fabricated dual-band power divider; Fig. 7(c)–(e) compares EM simulated and measured results. Within the first frequency band, 0.78–0.95 GHz, the amplitude difference and the phase difference between ports 2 and 3 are within 3 0.6 dB and 6 , respectively, in terms of measured results. On the other hand, within the second frequency band, 2.05–2.25 GHz, the amplitude difference and the phase difference are within 3 0.5 dB and 5 , respectively. As for the measured isolation between two output ports, it is greater than 18.5 dB within 0.7–2.2 GHz. As for the second exemplary power divider, 0.87 and 2.13 GHz are specified for central frequencies. Moreover, there is equal power division at output ports; i.e., the power ratio is 1. According to (10)–(23), the adopted electrical length and the transmission lines’ impedances , , and can be obtained as 52.2 , 44.63 , 44.63 , and 57.84 , respectively, with the system impedance . Consequently, Table II shows physical dimensions, converted from theoretically calculated parameters, of the dual-band power divider with equal power division, with the aid of the EM simulator IE3D. Furthermore, Fig. 8(a) shows a photograph of the fabricated dual-band power divider with equal power division; Fig. 8(b)–(d) compares EM simulated and measured results. As for results of measurement, the isolation between two output ports is greater than 18 dB within the frequency band, 0.775–2.2 GHz. Moreover, the amplitude and phase differences between ports 2 and 3 are within 0.3 dB and 4 , respectively.

IV. CONCLUSION Generally speaking, the Gysel power divider is with nonobvious isolation and narrow transmission bandwidth. In this paper, by integrating two Schiffman phase shifters, changing transmission lines’ impedances and , and series connecting two -shaped transmission lines at two output ports separately, the microstrip dual-band power dividers with simple and efficient design has been developed. Although two passbands of the proposed dual-band power divider are not very wide, with the proposed structure, noticeable rejection between two passbands and an arbitrary power division can be found. Moreover, a wide isolated frequency band appear between two output ports. As for circuit development, detailed design formulas have been provided. With microstrips employed for the Schiffman phase shifter in the proposed power divider, the even- and odd-mode electrical lengths will be different. The difference makes slightly narrower bandwidth of dual passbands. In addition, as coupling strength becomes stronger, the difference of phase velocities between even and odd mode in the microstrip line structure will be increased. It will affect the frequency response and bandwidths of the dual passbands. Besides, all circuits are simulated with a full-wave EM simulator and then implemented. Results of EM simulation and measurement match well, which validates the proposed structures. Table III compares the measured results and previous studies on the Gysel power divider. It is shown that the proposed dual-band Gysel power is with a compact size and results in a conspicuous rejection between two passbands and a wide isolated frequency band. REFERENCES [1] E. Wilkinson, “An -way hybrid power divider,” IRE Trans. Microw. Theory Techn., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [2] U. H. Gysel, “A new -way power divider/combiner suitable for highpower application,” in IEEE MTT-S Int. Microw. Symp. Dig., 1975, pp. 116–118. [3] J. Guan, L. J. Zhang, Z. Y. Sun, Y. Q. Leng, Y. T. Peng, and Y. P. Yan, “Modified Gysel power divider with harmonic suppression performance,” Progr. Electromagn. Res. C, vol. 31, pp. 255–269, 2012. [4] K. H. Yi and B. K. Kang, “Modified Wilkinson power divider for th harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 178–180, May 2003. [5] J. S. Kim, M. J. Park, and K. B. Kong, “Modified design of Wilkinson power divider for harmonic suppression,” Electron. Lett., vol. 45, no. 23, pp. 1174–1175, Nov. 2009. [6] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider using dual-band rejection by asymmetric DGS,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 6, pp. 2139–2144, Jun. 2005. [7] C. M. Lin, H. H. Su, J. C. Chiu, and Y. H. Wang, “Wilkinson power divider using microstrip EBG cells for the suppression of harmonics,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 10, pp. 700–702, Oct. 2007. [8] W. C. Ip and K. K. M. Cheng, “A novel power divider design with enhanced harmonic suppression and simple layout,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 125–128. [9] K. K. M. Cheng and W. C. Ip, “A novel power divider design with enhanced spurious suppression and simple structure,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3903–3908, Dec. 2010. [10] K. K. M. Cheng and C. Law, “A novel approach to the design and implementation of dual-band power divider,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 487–492, Feb. 2008. [11] M. J. Park and B. Lee, “A dual-band Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 85–87, Feb. 2008. [12] K. K. M. Cheng and F.-L. Wong, “A new Wilkinson power divider design for dual band application,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 664–666, Sep. 2007.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. TANG AND HSIEH: DESIGN OF PLANAR DUAL-BAND POWER DIVIDER WITH ARBITRARY POWER DIVISION AND WIDE ISOLATED FREQUENCY BAND

[13] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupled-line dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 286–294, Feb. 2011. [14] Y. Wu, H. Zhou, Y. Zhang, and Y. Liu, “An unequal Wilkinson power divider for a frequency and its first harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 737–739, Nov. 2008. [15] S. H. Ahn, J. W. Lee, C. S. Cho, and T. K. Lee, “A dual-band unequal Wilkinson power divider with arbitrary frequency ratios,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 12, pp. 783–785, Dec. 2009. [16] J. S. Lim, S. W. Lee, C. S. Kim, J. S. Park, D. Ahn, and S. Nam, “A 4:1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [17] K. K. M. Cheng and P. W. Li, “A novel power-divider design with unequal power-dividing ratio and simple layout,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 6, pp. 1589–1594, Jun. 2009. [18] S. S. Bharj, “A 1 KW pulsed amplifier using MESFET, LDMOS and bipolar transistors at 2856 MHz,” in IEEE Proc. 11th Annu. Wireless Microw. Technol. Conf., Apr. 2010, pp. 1–3. [19] H. Oraizi and A. R. Sharifi, “Optimum design of a wideband two-way Gysel power divider with source to load impedance matching,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 9, pp. 2238–2248, Sep. 2009. [20] J. Guan, L. J. Zhang, Z. Y. Sun, Y. Q. Leng, and Y. T. Peng, “Designing power divider by combining Wilkinson and Gysel structure,” Electron. Lett., vol. 48, no. 13, pp. 769–770, Jun. 2012. [21] M. J. Park, “Coupled line Gysel power divider for dual-band operation,” Electron. Lett., vol. 47, no. 10, pp. 599–601, May 2011. [22] Z. Sun, L. Zhang, Y. Yan, and H. Yang, “Design of unequal dualband Gysel power divider with arbitrary termination resistance,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 1955–1962, Aug. 2011. [23] F. Lin, Q. X. Chu, Z. Gong, and Z. Lin, “Compact broadband Gysel power divider with arbitrary power-dividing ratio using microstrip/ slotline phase inverter,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1226–1234, May 2012.

7

Ching-Wen Tang (S’02–M’03–SM’07) received the B.S. degree in electronic engineering from Chung Yuan Christian University, Chungli, Taiwan, in 1991, and the M.S. and Ph.D. degrees in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 1996 and 2002, respectively. From 1997 to 2001, he was an RF Engineer with the RF Communication Systems Technology Department, Computer and Communication Laboratories, Industrial Technology Research Institute, Hsinchu, Taiwan, where he was involved in the development of low-temperature co-fired ceramic (LTCC) multilayer-circuit RF components. From 2001 to 2003, he was a Project Manager with Phycomp Taiwan Ltd., Kaohsiung, Taiwan, where he was involved in the development of LTCC components and modules. Since 2003, he has been with National Chung Cheng University, Chiayi, Taiwan, where he is currently a Professor and holds a joint appointment with the Department of Communications Engineering and Department of Electrical Engineering. He has authored or coauthored over 130 refereed journal and conference papers. His recent research includes the analysis and design of microwave/millimeter-wave planar-type and multilayered circuits. Dr. Tang was an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2010–2013). He was a Vice-Chair of the IEEE Microwave Theory and Technique Society (IEEE MTT-S) Tainan Chapter (2013–2014). He has been a Chair of the IEEE MTT-S Tainan Chapter since 2015.

Zhang-Qu Hsieh was born in Changhua, Taiwan, in 1990. He received the B.S. degree in electronic engineering from Chang Gung University, Taoyuan, Taiwan, in 2012, and the M.S. degree in electrical engineering from National Chung Cheng University, Chiayi, Taiwan, in 2014. His current research interests include the design and analysis of RF and microwave circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

493

Periodic Synthesized Transmission Lines With 2-D Routing Capability and Its Applications to Power Divider and Couplers Using Integrated Passive Device Process Ling-Chieh Hsu, Yi-Lung Wu, Jyun-Yu Zou, Huy Nam Chu, Student Member, IEEE, and Tzyh-Ghuang Ma, Senior Member, IEEE

Abstract—A novel periodic synthesized transmission line, comprising periodic unit cells and specially treated corner cells, is proposed and realized using integrated passive device technology. The key innovation is the square unit cell, formed by an asteriated strip overlapped with a capacitive pad. It enables 2-D layout routing since any two of the four arms of the unit cell can serve as the input/output ports. To account for the discontinuity effect at bends, the corner cells are developed independently as a pure lumped T-network to reduce the undesired signal reflection at junctions. Quarter-wavelength synthesized lines are jointed together as miniaturized on-chip couplers. The experimental results demonstrate the compactness of the on-chip components, in addition to their good electrical responses. The uniqueness of the design concept is clearly validated. Index Terms—Bend, coupler, divider, integrated passive device (IPD), periodicity, synthesized transmission line, 2-D routing.

I. INTRODUCTION

T

HE RF front-ends in modern wireless systems require a compact footprint so as to be fully integrated with other modules and subsystems in a single package. Passive microwave components, formed by transmission lines, therefore become the main bottleneck for further reducing the chip size of RF circuits. To tackle the problem, artificial or synthesized transmission lines, based on right- or left-handed structures, have been intensively investigated over the past decades [1], [2]. Add-on features such as dual-band operation [3], harmonic

Manuscript received June 05, 2015; revised September 10, 2015 and December 17, 2015; accepted December 24, 2015. Date of publication January 14, 2016; date of current version February 03, 2016. This work was supported by the Ministry of Science and Technology, Taiwan, under Grant NSC 1012628-E-011-007-MY3, Grant NSC 102-2221-E-011-011-MY2, Grant MOST 104-2628-E-011-007-MY3, and Grant MOST 104-2221-E-011-026-MY3. L.-C. Hsu was with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan. He is now with the Wistron Corporation, Taipei 11469, Taiwan. Y.-L. Wu and J.-Y. Zou were with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan. They are now with the ASUS Corporation, Taipei 11259, Taiwan. H. N. Chu and T.-G. Ma are with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2513039

suppression [4], or multi-mode functionality [5] have been introduced in recent works of synthesized transmission lines along with their remarkable miniaturization capacity. Synthesized transmission lines can be mainly categorized into two groups: periodic structures [6]–[11] and nonperiodic structures [3]–[5], [12]–[26]. Periodic unit cells each with a small electrical length have been intensively studied to synthesize a transmission line with an arbitrary electrical length and a variety of characteristic impedances. Host lines loaded by periodic capacitive stubs are developed in [6] for compact hybrid couplers. Periodic interdigital stubs are used as the mutual capacitance of the forward-wave directional coupler in [7]. The complementary conducting strip transmission lines (CCS TLs) offer 2-D routing capability while preserving acceptable power dissipation even on the lossy CMOS substrate [8]–[11]. In general, periodic synthesized transmission lines provide systematic design procedure, moderate-to-good size reduction ratio, and quite flexible layout arrangement. Nonperiodic synthesized lines, on the other hand, utilize lumped or quasi-lumped elements to realize a network equivalent to a transmission line with a large amount of phase delay (say, 90 ). The network could be either right- or left-handed [13]. The - and T-networks are among the most popular configurations to achieve the goal [13], [14], [17], [18]. Compact dual-band couplers are realized by metamaterial lines with the feature of a wide tunable ratio between the bands [3], [25]. A wideband coupler realized by cascaded quasi-lumped lines is reported in [21]. Nonperiodic synthesized lines with harmonic suppression capability are investigated in [4] and [26]. A tri-mode synthesized line functions as a conventional transmission line in one band, but becomes invisible in the other bands, and has been proposed and analyzed in [5]. The bridged T-coil network with coupled inductors provides or modifiedextraordinarily compact footprints among the designs [15], [20], [24]. To keep the layout agility of a periodic line while further improving its circuit miniaturization ability, in this paper a novel periodic synthesized transmission line with 2-D routing capability is proposed and developed using the integrated passive device (IPD) technology. The fundamental building block is a square unit cell composed of an asteriated conducting strip surrounded by a ground ring. While two of the cross stubs of the

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

asterisk serve as the input/output ports as well as the series inductance, the remaining parts provide the necessary shunt capacitance of the cell. A capacitive pad, centered at the unit cell and overlapped with the asterisk, could be incorporated to adjust the characteristic impedance of the line. Differing from any other work ever reported, the corner cells, or the unit cells at bends, are independently treated to take into account its discontinuity effects. To demonstrate the proposed idea, 35-, 50-, and 70- unit cells are developed and cascaded as 90 lines on the three-layered IPD substrate. The quarter-wavelength lines are then applied to realize an on-chip Wilkinson power divider, a branchline coupler, and a rat-race coupler with extraordinarily compact sizes. In the following, the design principle of the periodic unit cell is first introduced in Section II-A, followed by the treatment of the corner cells. The responses of the quarter-wavelength lines are investigated in Section III, and the performances of the miniaturized divider and couplers are verified by experimental results in Section IV. Comparison tables are summarized to emphasize the uniqueness and superiority of the proposed design. II. DESIGN PRINCIPLES A. Periodic Unit Cell Fig. 1(a) and (b) shows the circuit layout, corresponding lumped models, and associated dimensions of the unit cell for realizing the 2-D periodic synthesized transmission line. Here a 35- line is given as an example. The unit cell is developed on the silicon-based IPD substrate, whose cross-sectional view is shown in Fig. 1(c) for easy reference. The IPD process consists of three copper metal layers [M1 (1 m), M2 (0.65 m), and M3 (10 m)] along with two dielectric layers stacked in-between [Die-l (SiNx) and Die-2 (benzocyclobutene)]. The Die-1 layer, with a thin thickness (0.2 m) and high dielectric constant ( ), is used for developing metal–insulator–metal (MIM) capacitors. The thick metal layer (M3) improves the quality factor of the components. The center operating frequency is set to 4 GHz for demonstration purposes. Referring to Fig. 1(a) and (b), the unit cell is in square shape. The side of the square, or the periodicity, is . An asteriskshaped conducting strip on the M3 layer is centered at the cell, and a square pad is overlapped with it. The asterisk can be further decomposed into a strip and a strip. Two branches of the strip serves as the input/output ports to adjacent cells, and hence, provides the series inductance of the line. The remaining branches, together with the strip, fulfill the capacitive part of the unit cell ( and ). The co-centered square patch is also capacitive as ; it is incorporated to adjust the impedance level of the line. The traces on the M1 layer serve as the reference ground; they are an image of the layout on the M3 layer to form MIM capacitors between the layers. A ground ring is added to the peripheral of the square. The stubs on the M1 layer are connected to the ground ring to keep equal potential for proper operation. Four M3-to-M1 vias are added to improve the isolation of the unit cell from surroundings. The propagation characteristic of the proposed unit cell can be determined by applying the standard Bloch wave analysis.

Fig. 1. Proposed unit cell for periodic synthesized transmission lines with 2-D routing. (a) 3-D view. (b) Layered views. (c) Cross-sectional view of the siliconbased IPD process.

Fig. 2. (a) Lumped model of the unit cell. (b) Cascaded unit cells with infinite periodicity.

After summing up the shunt capacitances contributed by the pad and stubs, the unit cell is simply equivalent to a T-network, as shown in Fig. 2(a). In Fig. 2(b), with an infinite number of unit cells connected in cascade, the voltage/current relationship between the nodes and can be expressed by the matrix of the unit cell as [27] (1) or (2) In (1) and (2), the periodicity.

is the complex propagation constant and

is

HSU et al.: PERIODIC SYNTHESIZED TRANSMISSION LINES WITH 2-D ROUTING CAPABILITY

For a nontrivial solution of must be singular, which leads to

, the matrix in (2)

495

TABLE I DIMENSIONS OF UNIT CELLS OF THE PERIODIC SYNTHESIZED LINES

(3) With the reciprocal and symmetric properties of the lossless unit cell, the phase constant of the Bloch wave, under the assumption of small electrical length, is (4) In (4), we have Unit: m

(5) (6) which can be substituted into (2) to calculate the matrix. The Bloch impedance, meanwhile, can be approximated as

(7) providing that . Equations (4) and (7) are the design equations for synthesizing the unit cell. The periodicity, or the size of the square , should be chosen first. While a smaller requires more cells to synthesize the line, and hence, lowers the reduction ratio, a larger introduces extra power dissipation. In the following, is set to 340 m as a compromise between the circuit size and power loss. For a given impedance level and electrical length (which is several degrees), the required total inductance and capacitance are first solved using the simultaneous equations (4) and (7). The length of the line inductance is then selected to fix the pair of series inductance . Since the periodicity has been fixed, , in turn, determines the size of the capacitive pad and its associated capacitance . The values of the line inductance and pad capacitance with given and can be determined using the imperial equations in [28] or a simple extraction procedure similar to that in [4]. Finally, the lengths of the stubs ( and ) and its overlapped region with the M1 layer are adjusted for proper values of and to fulfill the given total capacitance , and hence, complete the design. Here, the capacitance values ( and ) can be extracted using a simple one-port network consisting of an open stub or a MIM capacitor [4]. Since the only constraint imposed on the design is the total capacitance , the combination of and is relatively arbitrary. In this design, we simply keep the and strips almost equal in length for symmetry reason. For the unit cell in Fig. 1, by setting and , we have nH and pF from (4) and (7). Following the aforementioned design steps, the geo-

TABLE II EXTRACTED LUMPED ELEMENTS AND ELECTRICAL PARAMETERS OF THE UNIT CELLS FOR PERIODIC SYNTHESIZED LINES

Values in () are targeted electrical parameters of the unit cell. Values in () are ideal lumped values calculated from (4) and (7) or (10) and (11).

metric parameters are determined and summarized in Table I. Table II compares the extracted lumped values, and , from the real layout with the calculated ones using equations. The values are in excellent agreement. The unit cell is further analyzed using the full-wave simulator HFSS. The characteristic impedance of the unit cell is derived from the simulated two-port -parameters and compared with the targeted value in Table II. The simulated and targeted electrical lengths are summarized as well. The characteristic impedance is extracted by the well-known equation [29] (8) From the table, there is a good agreement between the results, which clearly demonstrates the effectiveness of the design procedure. Two additional unit cells with characteristic impedances of 50 and 70 are developed and illustrated in Fig. 3. From (7), as the line impedance rises, the required shunt capacitance decreases accordingly. In the 50- cell, the capacitive pad is significantly shrunk while the M1 traces below the strip are removed. To further lower down the capacitance value in the 70- unit cell, the asterisk on the M1 layer is completely discarded. The strips on the M3 layer, hence, become short open stubs with much lower capacitance value. The dimensions and extracted parameters are also listed in Tables I and II for easy reference. B. Corner Cells The core value of a periodic synthesized transmission line is its 2-D routing capability, which facilitates microwave com-

496

Fig. 3. 3-D views of the 50- and 70-

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

unit cells.

ponents with very compact footprints and efficient usage of the chip area. The 2-D routing, however, inevitably requires a number of bends to fulfill the layout. The bend inherently requires an alternative connecting scheme for the input/output ports, and hence, alters the current distribution of the unit cell. For a conventional uniform microstrip line, a chamfered or notched structure is introduced to compensate for the excess capacitance due to the bend. The CCS TLs generally require an equal number of right and left bends to neutralize the discontinuity effects. In this paper, an alternative approach to develop the bends as independent corner cells is proposed. Here, the corner cell is viewed directly as a lumped network whose characteristic impedance and electrical length are specified using an alternative set of equations. For a clear illustration, Fig. 4(a) shows the layout of a 35- corner cell. The corresponding equivalent circuit model is given at the same time. Although the appearance of the model is identical to that in Fig. 2(a), the treatment of this nonperiodic lumped network is quite different. The analysis starts from the matrix of the T-network as

(9) To have the corner cell equivalent to a uniform transmission line with , the matrices of the two must be identical to each other as (9). Accordingly,

(10) and (11) which form the design basis of the corner cell. For a given characteristic impedance and electrical length, the required total inductance and capacitance of the corner cell can be numerically solved by the simultaneous equations (10) and (11) using a commercial tool, such as Mathematica. Once and are determined, the design steps in

Fig. 4. (a) Layout and equivalent circuit of the 35of the 50- and 70- corner cells.

corner cell. (b) Layouts

Section II-A can be repeated to determine the required geometrical parameters of the cells. Using the design procedure, three corner cells having characteristic impedances of 35, 50, and 70 are developed. Their layouts are shown in Fig. 4(a) and (b). Again, Tables I and II summarizes the geometric dimensions and extracted electrical parameters of the cells. Very good agreement between the expected and extracted values is noticed, which validates this special treatment approach. III. QUARTER WAVELENGTH LINES By a direct integration of the periodic unit cells and corner cells, quarter-wavelength synthesized transmission lines with 2-D routing are realized. A typical layout, which is a 35- 90 line, is shown in Fig. 5(a) as an example. The 35- line comprises seven periodic unit cells and five corner cells, with an overall electrical length approximately equal to 90 . The overall size is 1.476 1.136 mm , or equivalently, 0.032 0.025 . Chip photographs of the 35-, 50-, 70- quarter-wavelength lines are given in Fig. 5(b). Fig. 6(a) and (b) depicts the simulated and measured transmission coefficients and phase delays of the three synthesized lines. The simulated of a conventional 5090 coplanar waveguide (CPW) on the same substrate is shown in Fig. 6(a) for comparison. Good agreement between the results can be observed over the frequency band of concern. The measured transmission losses of the synthesized lines are comparable to that of a typical CPW as 0.5–0.6 dB. The 35line, with wider conducting strips on the thick metal layer (M3), features the lowest power dissipation among the four. At the center frequency (4 GHz), the measured phased delays are around 80 –85 . The discrepancy from the expected values, i.e., the direct product of the phase delay of a single cell by the number of cells, is likely a result of the unaccounted parasitic inductive coupling between the cells. In a real application, an additional unit cell or short conventional transmission lines can be added to compensate for the slight difference between the targeted and actual electrical lengths of the synthesized line.

HSU et al.: PERIODIC SYNTHESIZED TRANSMISSION LINES WITH 2-D ROUTING CAPABILITY

497

Fig. 7. Photograph of the measurement setup for probing the chips.

Fig. 5. (a) Layout of the 35- 90 synthesized line. (b) Chip photographs of the 35-, 50-, and 70- 90 synthesized lines.

Fig. 8. (a) Layout and physical realization and (b) -parameters of the on-chip Wilkinson power divider on IPD substrate.

Fig. 6. (a) Transmission coefficients and (b) phase delays of the 35-, 50-, and 70- 90 synthesized lines.

The slow wave factor, not shown in the figure for simplicity, is around 3–4. IV. MINIATURIZED ON-CHIP DIVIDER AND COUPLERS The quarter-wavelength lines in Section III are implemented to realize an on-chip Wilkinson power divider, a branch-line coupler, and a rat-race coupler using the IPD technology. The

development is straightforward since the unit cells provide a high degree of routing flexibility. The layouts and performances of the on-chip divider and couplers are introduced in Sections IV-A–IV-C. In the measurement, all chips are probed by a four-port network analyzer E8361A at the National Chip Implementation Center, Taiwan. The pads are not de-embedded and the reference plane is at the probe tips. A photograph of the measurement setup is shown in Fig. 7. A. Wilkinson Power Divider Like a conventional Wilkinson power divider, the proposed on-chip design consists of two 70- 90 lines connected in parallel. Its layout and chip photograph are shown in Fig. 8(a). The thick violet line depicts the routing of a single synthesized line. A thin-film 100- resistor (NiCr) bridges the last cells of the two lines to guarantee good output isolation. The chip size is 2.27 2.04 mm or 0.00218 .

498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

TABLE III PERFORMANCE SUMMARY OF THE STATE-OF-THE-ART ON-CHIP WILKINSON POWER DIVIDERS

FBW: dB, dB, Compared with a conventional design

dB. . Pads included.

The measured results are compared with the simulated data from HFSS in Fig. 8(b). Good agreement between the results is observed over a wide bandwidth from 2 to 6 GHz. At 4 GHz, the measured transmission loss is 0.6 dB, while in the simulation it is 0.7 dB (i.e., , and 3.7 dB, respectively). With the criteria of dB, dB, , and dB, the operating bandwidth is from 2.85 to 5.55 GHz, or equivalently a fractional bandwidth of 67.5%. Table III compares the size and performances of the proposed divider with a number of on-chip designs using low-temperature co-fired ceramic (LTCC), IPD, or CMOS technologies [10], [12]–[15]. The data, if not provided, are estimated from the plots in the literature. Without using a high-cost CMOS process with better line resolution such as [15], the proposed on-chip solution ranks the third smallest size among the designs; its operating bandwidth, in the meantime, is much wider than that in [14].

Fig. 9. (a) Layout and physical realization, (b) -parameters, and (c) amplitude of a imbalances and phase differences of the on-chip branch-line coupler; conventional branch-line coupler is shown for comparison.

TABLE IV PERFORMANCE SUMMARY OF THE STATE-OF-THE-ART BRANCH-LINE COUPLERS

B. Branch-Line Coupler The 2-D synthesized lines are further applied to achieve an on-chip branch-line coupler. The integration is again straightforward, and its layout and chip photograph are shown in Fig. 9(a). The 35- and 50- 90 lines are marked by thick lines with different colors for easy reading. The area inside a conventional hybrid coupler has been completely used to achieve a very compact footprint. The chip size is only 3.55 2.53 mm , or equivalently 0.077 0.055 . Fig. 9(b) compares the simulated and measured -parameters of the coupler. The simulated of a conventional branchline coupler on the same substrate using CPWs (size: ) is plotted for comparison. Fairly good agreement between the data is observed. The slight frequency shift can be attributed to the fabrication variation of the IPD process, specifically the thickness of the dielectric layers that alters the MIM capacitors in the unit cells. At the center frequency, the transmission coefficients ( , ) are 4.1 dB, almost identical to those of its conventional counterpart. The port isolation ( ) is 19 dB, and the input matching ( ) is lower than 25 dB. The amplitude imbalances and phase differences between the output ports are summarized in Fig. 9(c). From 3.84 to 4.52 GHz, or a fractional bandwidth of 17%, the proposed design satisfies the following

FBW:

dB, dB. Compared with a conventional design

conditions:

,

dB, . Pads included.

dB, dB, and

dB,

,

dB, .

HSU et al.: PERIODIC SYNTHESIZED TRANSMISSION LINES WITH 2-D ROUTING CAPABILITY

499

TABLE V PERFORMANCE SUMMARY OF THE STATE-OF-THE-ART RAT-RACE COUPLERS

FBW:

dB, dB, dB, dB, dB, and phase . Compared with a conventional design . Pads included.

It may even remove the dummy metals for fulfilling the metal density rule. C. Rat-Race Coupler

Fig. 10. (a) Layout and physical realization, (b) -parameters at port, and (c) amplitude imbalances and phase differences of the on-chip rat-race coupler; of a conventional rat-race coupler is shown for comparison.

The performances of various on-chip hybrid couplers are summarized in Table IV [11], [16]–[21]. The fractional bandwidths are estimated using the same criteria mentioned above. The proposed solution is the smallest design using periodic synthesized unit cells. The lumped coupler in [18], though showing a more compact size, is quite lossy. The bridged-T coil indeed is an excellent candidate for size miniaturization [20]. Nevertheless, the mutually coupled inductors cannot provide the routing flexibility that the proposed scheme promises. In a real integration, the periodic unit cells make the coupler easy to fill out any empty space in a chip with an arbitrary outline.

Fig. 10(a) shows the layout and chip photograph of the final design example, the rat-race coupler. It is a direct integration of three sections of quarter-wavelength lines and a three-quarter wavelength synthesized line; they are marked by thick lines in the figure. The chip size is as small as 4.08 3.74 mm or 0.089 0.081 . Fig. 10(b) depicts the simulated and measured -parameters when the coupler is excited at the difference port (port 4). The simulated of a conventional rat-race coupler on the same substrate using CPWs (size: ) is plotted at the same time. The response is well behaved, and the measured and are 4.0 and 4.1 dB at the center frequency. The input matching and isolation are 26.9 and 42.5 dB, respectively. Fig. 10(c) further illustrates the amplitude imbalances and phase differences of the coupler. Excellent agreement between the simulated and measured data is again noticed. With the criteria given in the footnote of Table V, the bandwidth of the design is 13.7%, or 3.8–4.35 GHz. In general, the bandwidth at the sum port is wider than that at the difference port; the former one is omitted here for brevity. Finally, Table V summarizes the performances of the stateof-the-art on-chip rat-race couplers [9], [22]–[24]. Again, the proposed design features the smallest size among those using periodic cells. It is only outperformed by [24], a 3-D LTCC design using a left-handed phase-advance T-network, but with less flexibility on the layout routing. V. CONCLUSION A novel periodic synthesized transmission line with 2-D routing capability has been proposed and demonstrated in this paper. The synthesized line, developed using the IPD process, consists of periodic unit cells in cascade and corner cells separately developed as bends. The synthesis procedure, including simultaneous equations for determining the key design parameters of the unit cells, has been introduced and verified by experiments. The unit cells are connected as quarter-wavelength lines to realize a miniaturized power divider, branch-line coupler, and rat-race coupler. All on-chip designs show very

500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

compact footprints and good electrical responses, and can be easily integrated with a printed circuit board using bond wires or the standard flip-chip technique. According to the comparison tables, the proposed designs have the smallest sizes among those using the same design methodology, i.e., periodic cells. Its 2-D layout flexibility will find numerous applications in modern integrated-circuit designs. ACKNOWLEDGMENT The authors would like to acknowledge the chip fabrication and measurement support by the National Chip Implementation Center (CIC), Taichung, Taiwan. REFERENCES [1] P. Kurgan, J. Filipcewicz, and M. Kitlinski, “Development of a compact microstrip resonant cell aimed at efficient microwave component size reduction,” IET Microw. Antennas Propag., vol. 6, no. 12, pp. 1291–1298, Sep. 2012. [2] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Microw. Mag., vol. 5, no. 3, pp. 34–50, Sep. 2004. [3] P.-L. Chi and T. Itoh, “Miniaturized dual-band directional couplers using composite right/left-handed transmission structures and their applications in beam pattern diversity systems,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1207–1215, May 2009. [4] C.-W. Wang, T.-G. Ma, and C.-F. Yang, “A new planar artificial transmission line and its applications to miniaturized Butler matrix,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2792–2801, Dec. 2007. [5] C.-H. Lai, C.-Y. Shiau, and T.-G. Ma, “Microwave three-channel selector using tri-mode synthesized transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3529–3540, Oct. 2013. [6] K.-O. Sun, S.-J. Ho, C.-C. Yen, and D. V. C. Weide, “A compact branch-line coupler using discontinuous microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 519–520, Aug. 2005. [7] Z.-Y. Liu and R. M. Weikle, “A compact quadrature coupler based on coupled artificial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 889–891, Dec. 2005. [8] C.-C. Chen and C.-K. C. Tzuang, “Synthetic quasi-TEM meandered transmission lines for compacted microwave integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 6, pp. 1637–1647, Jun. 2004. [9] M.-J. Chiang, H.-S. Wu, and C.-K. C. Tzuang, “Design of synthetic quasi-TEM transmission line for CMOS compact integrated circuit,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2512–2520, Dec. 2007. [10] C.-C. Chen, J.-J. Cin, S.-H. Wang, C.-C. Lin, and C.-K. C. Tzuang, “A novel miniaturized wideband Wilkinson power divider employing two-dimensional transmission line,” in Proc. IEEE Int. VLSI Design, Automat., Test Symp., 2008, pp. 212–215. [11] M.-J. Chiang, H.-S. Wu, M.-L. Lee, and C.-K. C. Tzuang, “Design of compact Ka-band monolithic branch-line coupler on silicon substrate,” in Proc. Asia–Pacific Microw. Conf., 2009, pp. 2124–2127. [12] I. Haroun, T.-Y. Lin, D.-C. Chang, and C. Plett, “A reduced-size, lowloss 57–86 GHz IPD-based power divider using loaded modified CPW transmission lines,” in Proc. Asia–Pacific Microw. Conf., 2012, pp. 1202–1204. [13] Y.-J. Li, M.-J. Xing, Z.-M. Zhu, and Y.-T. Yang, “Novel compact compass navigation system (CNS) power divider,” in Proc. Int. Electron. Packag. Technol. High Density Packag. Conf., 2010, pp. 710–713. [14] H.-T. Kim, K. Liu, R. C. Frye, Y.-T. Lee, G. Kim, and B. Ahn, “Design of compact power divider using integrated passive device (IPD) technology,” in Proc. Electron. Compon. Technol. Conf., 2009, pp. 1894–1899. [15] M. Ercoli, D. Dragomirescu, and R. Plana, “An extremely miniaturized ultra wide band 10–67 GHz power splitter in 65 nm CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012, pp. 1–3.

[16] C.-Y. Kuo, A. Y.-K. Chen, C.-M. Lee, and C.-H. Luo, “Miniature 60 GHz slow-wave CPW branch-line coupler using 90 nm digital CMOS process,” Electron. Lett., vol. 47, no. 16, pp. 924–925, Aug. 2011. [17] Y.-C. Tseng and T.-G. Ma, “On-chip X-band branch-line coupler using glass integrated passive device technology,” Electron. Lett., vol. 48, no. 25, pp. 1605–1606, Dec. 2012. [18] J.-D. Jin and S. S.-H. Hsu, “A 0.18-um CMOS balanced amplifier for 24-GHz applications,” IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 440–445, Feb. 2008. [19] T.-N. Kuo, Y.-S. Lin, C.-H. Wang, and C. H. Chen, “A compact LTCC branch-line coupler using modified-T equivalent-circuit model for transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 2, pp. 90–92, Feb. 2006. [20] Y.-S. Lin and J.-H. Lee, “Miniature Butler matrix design using glassbased thin-film integrated passive device technology for 2.5-GHz applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2594–2602, Jul. 2013. [21] D. Titz et al., “New wideband miniature branchline coupler on IPD technology for beamforming applications,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 5, pp. 911–921, May 2014. [22] V. Napijalo, “Coupled line 180 hybrids with Lange couplers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3674–3682, Dec. 2012. [23] I. Haroun, Y.-C. Hsu, and D.-C. Chang, “60 GHz rat-race coupler using LG-CPW transmission lines in IPD technology,” in Proc. Int. Microw. Photon. Top. Meeting/Asia–Pacific Microw. Photon. Conf., 2011, pp. 284–287. [24] C.-H. Tseng, “Compact LTCC rat-race couplers using multilayered phase-delay and phase-advance T-equivalent sections,” IEEE Trans. Adv. Packag., vol. 33, no. 2, pp. 543–551, May 2010. [25] X. Q. Lin et al., “Arbitrarily dual-band components using simplified structures of conventional CRLH TLs,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 7, pp. 2902–2908, Jul. 2006. [26] J. Wang, J. Ni, Y.-X. Guo, and D. Fang, “Miniaturized microstrip Wilkinson power divider with harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 440–442, Jul. 2009. [27] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York, NY, USA: McGraw-Hill, 1992. [28] J. S. Hong and M. L. Lancaster, Microstrip Filters for RF/Microwave Applications, 1st ed. New York, NY, USA: Wiley, 2001. [29] W. R. Eisenstadt and Y. Eo, “ -parameter-based IC interconnect transmission line characterization,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 15, no. 4, pp. 483–490, Aug. 1992.

Ling-Chieh Hsu was born in Taipei, Taiwan, in 1990. He received the B.S. degree in mechatronic engineering from National Taiwan Normal University, Taipei, Taiwan, in 2013, and the M.S. degree in electrical engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2015. In 2015, he joined the Wistron Corporation, Taipei, Taiwan, where he is currently an RF Engineer. His research interests include designs of synthesized transmission lines and RF modulators.

Yi-Lung Wu was born in New Taipei, Taiwan, in 1990. He received the B.S. degree in communication engineering from National Chung Cheng University, Chiayi, Taiwan, in 2012, and the M.S. degree in electrical engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2014. In 2014, he joined the ASUS Corporation, Taipei, Taiwan, where he is currently an RF Engineer. His research interests include designs of synthesized transmission lines, compact baluns, and on-chip passive microwave devices.

HSU et al.: PERIODIC SYNTHESIZED TRANSMISSION LINES WITH 2-D ROUTING CAPABILITY

Jyun-Yu Zou was born in Hsinchu, Taiwan, in 1987. He received the B.S. degree in electronic engineering from Chung Yuan Christian University, Taoyuan, Taiwan, in 2010, and the M.S. degree in electrical engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2012. His research interests include miniaturized microwave circuits and antenna array designs. In 2012, he joined the ASUS Corporation, Taipei, Taiwan, where he is currently an RF Engineer. Mr. Zou was the recipient of the Third Prize Award of the Student Innovation Competition of the 2011 IEEE International Workshop on Electromagnetics, Taipei, Taiwan.

Huy Nam Chu (S’15) was born in Vinh, Nghe An, Vietnam, in 1990. He received the B.S. degree in electrical and electronic engineering from the Hanoi University of Science and Technology, Hanoi, Vietnam, in 2013, the M.S. degree in electronic and computer science engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2015, and is currently working toward the Ph.D. degree in electrical engineering at the National Taiwan University of Science and Technology. His research interests include reconfigurable microwave circuit components and antenna arrays.

501

Tzyh-Ghuang Ma (S’00–M’06–SM’11) was born in Taipei, Taiwan, in 1973. He received the B.S. and M.S. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 1995, 1997, and 2005, respectively. In 2005, he joined the faculty of the Department of Electrical Engineering, National Taiwan University of Science and Technology, where he is currently a Full Professor. From August 2015 to February 2016, he was with the University of Florida, as a Visiting Scholar. His research interests include miniaturized microwave circuit designs, innovative phased arrays, ultra-wideband antennas, and RF identification (RFID). Dr. Ma is an Associate Editor of IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS. He was the recipient of the Poster Presentation Award of the 2008 International Workshop on Antenna Technology (iWAT), Chiba, Japan, and the Best Paper Award of the 2011 International Workshop on Antenna Technology (iWAT), Hong Kong. In 2010, he was the recipient of the Dr. Wu Da-Yu Award of National Science Council and the Most Outstanding Research Award for Young Researchers in Taiwan. He was also the recipient of a certificate from the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION for his exceptional performance as an Article Reviewer from 2009 to 2010. He is also the advisor of the Honorable Mention winner of the Student Paper Competition of the IEEE Antennas and Propagation Society (IEEE AP-S) 2011. In 2012, he was the recipient of the Excellent Young Engineer Award of the Chinese Institute of Electrical Engineering and the title of Distinguished Professor from the National Taiwan University of Science and Technology.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Magnetless Microwave Circulators Based on Spatiotemporally Modulated Rings of Coupled Resonators Nicholas Aaron Estep, Dimitrios L. Sounas, Member, IEEE, and Andrea Alù, Fellow, IEEE

Abstract—Nonreciprocal components are ubiquitous in electronic and optical systems. To date, the use of magneto-optical materials has been the prevailing method to achieve nonreciprocity. However, magnetic-based devices are accompanied by several drawbacks, such as the requirement of bulky biasing devices and their incompatibility with semiconductor technology, which make their integration challenging. Recently, strong magnetless nonreciprocity was demonstrated in spatiotemporally modulated coupled-resonator networks as a result of an effective spin imparted to the structure by an RF signal. These structures can be easily integrated, and they potentially exhibit good power and noise performance, as any parametric device. Here, we develop an analytical theory for such devices, which allows determining the conditions for designing them with optimum characteristics, and present two designs based on lumped- and distributed-element circuits for applications at the very high-frequency and wireless-communications bands, respectively. The circulators exhibit large isolation and low insertion loss within reasonable modulation requirements. Furthermore, they can be realized with a modulation frequency substantially lower than the input frequency, significantly simplifying the design. Measurements for the lumped-element design are provided and show good agreement with theory and full-wave simulations. The nonlinear characteristics of the presented designs are also studied, and possible ways to reduce nonlinear distortion by increasing the static bias of the varactors or using advanced varactor topologies are explored. Index Terms—Circulator, nonreciprocity, temporal modulation.

I. INTRODUCTION

I

N ORDER to realize nonreciprocity, a crucial task in electronic and optical applications, one must break time-reversal symmetry. Nonreciprocal devices play a pivotal role in communication systems, from protecting coherent source

Manuscript received July 17, 2015; revised November 25, 2015 and December 18, 2015; accepted December 19, 2015. This work was supported by the Air Force Office of Scientific Research under Grant FA9550-14-1-0105 and Grant FA9550-13-1-0204, by the National Science Foundation under Grant ECCS-1406235, and by the Defense Threat Reduction Agency under Grant HDTRA1-12-1-0022. Author A. Alù acts as Chief Technology Officer of Silicon Audio RF Circulator, LLC. The terms of this arrangement have been reviewed and approved by The University of Texas at Austin in accordance with its policy on objectivity in research. The authors are with the Department of Electrical and Computer Engineering, The University of Texas at Austin, Austin, TX 78712 USA (e-mail: alu@mail. utexas.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2511737

Fig. 1. Nonreciprocity through biasing with magnetic and angular-momentum vectors. (a) Magnetic nonreciprocity. When a ferromagnetic medium is biased with static magnetic field, the electrons of the medium precess preferably in a particular direction. As a result, circularly polarized waves with opposite rotation directions interact differently with the medium (their effective refractive indices are different) and nonreciprocity is produced. (b) Angular-momentum nonreciprocity with a resonant ring. By imparting angular momentum to the ring, either mechanically or electrically, we can lift the degeneracy between counter-rotating modes of the ring and produce nonreciprocity. (c) Angular-momentum nonreciprocity with a loop of identical resonators symmetrically coupled to each other. Angular momentum is effectively applied to the loop by modulating the resonators with low-frequency signals with equal amplitudes and phase difference of 120 . Modulation lifts the degeneracy of the counter-rotating modes of the loop, as opposed to the counter-rotating states of a single ring in (b).

generators from reflected signals, to cancelling crosstalk between transmit and receive signal paths in antenna feeding networks [1]. Onsager-Casimir’s principle on reciprocity states that, in order to break the reciprocity of a linear system, it is necessary to bias it with a quantity that is odd-symmetric under time reversal [2]–[4]. Four quantities are known to satisfy this requirement, i.e., the magnetic field, the electric current, the linear momentum, and the angular momentum. For decades, the most common approach for breaking reciprocity consisted in applying external magnetic fields to ferromagnetic media [1], [5]. In the absence of a magnetic field, the microscopic magnetic domains in such materials are oriented in random directions and the net magnetic activity is negligible. However, when biased with a static magnetic field [see Fig. 1(a)], these microscopic domains are aligned in the same direction (along the magnetic bias) and a preferred rotation direction is imparted to the corresponding magnetic moments. As a result, circularly polarized electromagnetic waves with opposite rotation directions interact differently with such media, and time-reversal symmetry (reciprocity) is broken. Magnetic biasing may provide a well-established means to break reciprocity, but contains innate limitations. Integration of magnetic materials into complementary metal–oxide–semiconductor (CMOS) processing is a challenging task, due to

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

the incompatibility between magnetic materials and semiconductors in terms of their crystal structure [6], and the typical requirement of external biasing devices, which increase size and weight. External biasing may be avoided through ferromagnetic materials with spontaneous magnetization, such as hexaferrites [7] and ferromagnetic nanowire membranes [8], [9], but such approaches are currently limited by fabrication challenges and the nonoptimal performance of the corresponding devices. On the other hand, the integration of nonreciprocal devices is of tremendous importance since it may eventually allow the realization of full-duplex communication systems and enhance the speed of wireless communications networks. Several alternatives to magnetic biasing were proposed in the past decades, the first one involving circuits with field-effect transistors [10], [11]. Such approaches are fully compatible with integrated circuit (IC) technology, but they generally suffer from poor noise performance and strong nonlinearity. More recently, [12]–[14] proposed a class of magnetless nonreciprocal metamaterials based on transistor-loaded rings. Transistors force waves in the rings to travel in only one direction, thereby mimicking the electron spin precession in magnetized ferrites. These works were successful in realizing effective ferrite media, which, like real ferrites, can produce Faraday rotation or be used as substrates in microwave devices. However, they are also bound to the limitations related to power handling, nonlinearities, and noise sensitivity of previous transistor-based approaches. Transistor-based metamaterials were also presented in [15] and [16], with similar limitations. Nonlinearity was also studied as a potential path to magnetless nonreciprocity, especially at optical frequencies [17]–[20]. However, such approaches usually require strong input intensities and lead to significant signal distortion, in addition to being inherently dependent on the signal amplitude. Following an approach similar to distributed parametric amplification and frequency conversion [21]–[24], it was recently shown that linear low-noise strong nonreciprocity can be achieved by spatiotemporal modulation of waveguides via appropriate electrical or acoustical signals [25]–[34]. However, the weak nature of the electro-optical and acousto-optical effects, through which modulation is typically achieved, leads to bulky devices, especially at optical frequencies. Furthermore, many of these works rely on nonuniform modulation across the waveguide cross section, significantly complicating the fabrication process. Inspired by Onsager-Casimir’s principle and the physical mechanism that creates nonreciprocity in ferrites, [35] presented a new class of metamaterials that provide strong, low-noise, and linear nonreciprocity at the sub-wavelength scale through biasing with the angular-momentum vector. The main element of these metamaterials is a ring resonator, which, like the atoms of real materials, supports pairs of degenerate states with opposite angular momentum. Biasing the ring with the angular momentum vector lifts the degeneracy and produces nonreciprocity, much like a magnetic bias produces nonreciprocity in ferromagnetic materials [see Fig. 1(b)]. This concept was experimentally proven in acoustics by circulating air in a ring resonator, thereby demonstrating the first-ever acoustic circulator [36].

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Since physical rotation is obviously impractical for electromagnetic devices, [35] proposed to realize effective electric rotation through appropriate spatiotemporal modulation. In particular, it was shown that the degeneracy of the th order modes of a ring (modes with azimuthal variation ) can be lifted by modulating the permittivity of the ring as , where , , and represent the perturbation of the ring permittivity, the modulation frequency, and the modulation azimuthal order, respectively. Such permittivity modulation leads to an effective rotation with angular velocity . Contrary to the approaches of [25], [28], and [29], the modulation in [35] is continuous across the transverse surface area of the ring, significantly relaxing manufacturing requirements. In addition, the use of a ring resonator significantly boosts the otherwise weak modulation effect, resulting in strong nonreciprocity at the sub-wavelength scale. In practice, the modulation of the ring needs to be implemented in a discrete fashion via a number of different regions with uniform modulation [37]. Such a discretization results in a reduction of the modulation efficiency by a factor of for modulation regions, where , revealing a tradeoff between performance and fabrication complexity. To avoid this problem, [38] introduced a new design based on three identical resonators symmetrically coupled to each other and modulated by signals with the same amplitude and phase difference of 120 [see Fig. 1(c)]. In this particular design, nonreciprocity is the result of lifting the degeneracy of the counter-rotating modes of the composite loop, as opposed to lifting the degeneracy of the modes of a single ring, as in [35]. Since the modulation of each resonator in the loop is uniform, the modulation efficiency of this structure can reach 100%. The design in [38] was based on a heuristic empirical approach and, although it provided large isolation, it exhibited poor performance in terms of insertion loss and intermodulation products. Similar designs were also presented in [39] with the same limitations. Here, by using coupled-mode theory (CMT), we develop an analytical theory for circulators based on loops of modulated resonators, which allows designing such devices with optimum performance in terms of isolation, insertion loss, and intermodulation products, for given quality factors of their constituent elements and specified modulation parameters. This theory is used to design two circulators, for the very high-frequency (VHF) and wireless-communications bands, with significantly improved characteristics with respect to the preliminary designs in [38] and [39]. The designs are based on the wye resonator topology, which was first introduced in [40] for overcoming the performance issues and implementation challenges of the designs in [38] and [39]. The design in [40] was based on a heuristic nonrigorous approach and, as a result, it was nonoptimal. Furthermore, no experimental proof was included in [40]. Here, we apply the results of CMT to the wye topology in [40] in order to design an optimal lumped-element circulator for the VHF band with commercially available components. Measurements are provided and shown to be in very good agreement with CMT and full-wave simulations. A new distributed-element design is also introduced, for applications at the wirelesscommunications band. Finally, we study the nonlinear proper-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

3

Since the network in Fig. 2 consists of identical resonators with symmetrical coupling between them, , , and need to be symmetrical as well,

(2) Fig. 2. Circulator based on a spatiotemporally modulated loop of coupled resonators, where the resonators are additionally coupled to external transmission lines.

ties of both designs and discuss possible approaches for improving them. This paper is organized as follows. Section II presents the coupled-mode analysis for the most general form of the proposed devices. Section III presents the lumped- and distributedelement designs, including experimental results for the lumpedelement design. Finally, conclusions are provided in Section IV. The analysis in this paper follows the harmonic convention and simulations are performed with CST Microwave Studio and Advanced Design Studio. II. THEORY A. Coupled-Mode Equations Fig. 2 illustrates the most general form of a circulator based on a loop of modulated resonators: it consists of three identical modulated resonators, as described previously, symmetrically coupled to three transmission lines. Networks of coupled resonators can be efficiently studied via CMT [41], which, when applied to the network in Fig. 2, yields

(1) is the state In the above equation, vector, with the field amplitude at the th resonator, is the incident-signal vector with being the incident signal at the th transmission line, and being the reflected-signal vector, with being the reflected signal at the th transmission line. The state vector is normalized so that represents the stored energy in the system. Furthermore, is the system frequency matrix, which incorporates the resonance frequencies and cross-coupling between the resonators, is the system decay matrix, which incorporates the decay mechanisms of the system, is a matrix describing coupling between the lines and the resonators, and is a matrix describing immediate coupling between the lines. Explicit expressions for these matrices will be provided in the following.

The diagonal elements of these matrices refer to isolated resonators, while the off-diagonal elements describe the effect of coupling. In general, consists of two parts, and , corresponding to the intrinsic loss of the resonators and leakage to the external lines, respectively. The matrices , , and should satisfy the general CMT conditions

(3) resulting from power conservation and time-reversal symmetry. The matrix is essentially the scattering matrix of the system for frequencies far from the system resonances, when . If the coupling between ports is only achieved through the resonators, as for all the structures presented here, coupling between different ports is negligible for frequencies far from the system resonances and is a diagonal matrix. Based on this fact and selecting the reference planes of the external lines so that the diagonal elements of are real, (3) lead to , where is the 3 3 identity matrix. Modulation is applied to the resonators so that their resonance frequencies vary in time according to , , and , where is the resonance frequency of the nonmodulated resonators, is the magnitude of the resonance-frequency perturbation, and is the modulation frequency. This form of modulation imparts an effective electric rotation to the loop of coupled resonators, as discussed in Section I. Then, , where is the static part of the modulation matrix, as given in (2) (the part corresponding to the system without modulation) and

(4) Since the applied weak modulation is simply a perturbation of the static (nonmodulated) loop, it is convenient to express (1) in the eigenbasis of the static loop (eigenbasis of ), which consists of a common state, with frequency and state vector , a right-handed state with frequency and state vector

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

, and a left-handed state with the , and state vector . The transformation from the eigenbasis of the separate resonators to the eigenbasis of the static loop reads , where is the state vector in the eigenbasis of the static loop and . Equation (1) then becomes

while the secondary part is given by

same resonance frequency

(5) where

(10) where , , and are the complex amplitudes of the modulated-system harmonics. Substituting (9) and (10) into (5) results in an ordinary eigenvalue problem, the solution of which determines and the coefficients in (10). Keeping only terms up to first order with respect to , it can be shown (see Appendix A for details) that

(6)

(7) (11) (8) In these equations, and are the decay rates of the common and rotating modes, respectively, while and are the coupling coefficients between these modes and the lines. Equation is form-invariant under the eigenand basis transformation, yielding , where , , and are the parts of , , and referring to leakage to the external lines. In the eigenbasis of the static loop, the state vectors of the common, right-handed, and left-handed eigenstates become , , and , respectively. B. Eigenstates of the Source-Free System Before solving the source-driven problem (5), it is important to study the eigenstates of the modulated loop. For simplicity of analysis, we assume , i.e., no loss and no coupling to the external lines. The eigenstates of the modulated-system are perturbations of the static ones, and each of them consists of two parts: a dominant one, which is the same as in the static system, apart from a small frequency detuning, and a secondary one, which is a superposition of the static eigenstates at frequencies , where is the frequency of the dominant one and is an integer. Notice that are the harmonics of the modulated system. For example, consider the right-handed eigenstate of the modulated system, i.e., the eigenstate that is the perturbation of the static-system right-handed eigenstate. The dominant part of this eigenstate reads (9)

If the eigenfrequencies of the common and rotating states are far from each other, so that , the commonmode terms in (11) can be neglected and becomes (12) implies negligible couThe condition pling between common and rotating modes: coupling between eigenstates with different eigenfrequencies, such as the common and rotating states, is strong either if the modulation frequency is close to the difference of the eigenfrequencies or if the modulation amplitude is very large. This is consistent with the fact that (12) can also be derived by neglecting the first row and column of in (5), which describe the coupling between common and rotating states. The condition also ensures operation far from the parametric-oscillation conditions and , where instabilities are expected and CMT breaks down. The eigenfrequency and state vector of the modulated-system left-handed eigenstate can be found through a similar analysis as

(13) Fig. 3 shows a frequency diagram of the modulated-system right- and left-handed eigenstates. Observe that these states are separated from each other by , an effect that resembles frequency-splitting of counter-polarized waves in magnetized ferrites, thereby providing a direct evidence for the nonreciprocal properties of the modulated system.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

5

Transmission to ports 2 and 3 can be calculated by substituting (15) into the second equation of (5) as

(17)

Fig. 3. Frequency diagram for the spatiotemporally modulated loop of coupled resonators. Without modulation, the loop supports degenerate counter-rotating (left- and right-hand sides). Modulation mixes these states, producing states (center), which consist of the rotating states at frequency the hybrid states . Each of the hybrid states is dominated by one of the levels separated by rotating states, making the hybrid states quasi-rotating. The quasi-rotating states , which is a direct evidence that the exist at different frequency levels modulated loop is nonreciprocal.

C. S-Parameters and Optimal Isolation Conditions for the Source-Driven System Neglecting the common mode, which for is minimally affected by the modulation, we rewrite (5), including the source and decay terms, as

(14) and are the complex amplitudes of the right- and where left-handed states of the loop, and . Equation (14) can be solved by assuming

(15) are the where is the frequency of the incoming signal, are the ampliamplitudes of the dominant sub-states, and tudes of the secondary ones. For excitation from port 1, these amplitudes are found by substituting (15) into (14) as

Due to the rotational symmetry of the structure, the rest of the -parameters can be directly calculated from (17) by rotating the port indices as . For example, and can be calculated from (17) as and . Note that, apart from signals at the input frequency [ -parameters given in (17)], there are also signals at frequencies . For excitation from port 1, the -parameters for these signals can be found by substituting (15) into the second of (5),

(18) The rest of the -parameters at can be found again via rotation of the port indices. Due to its threefold symmetry, the modulated loop operates as a circulator, if the signal at one of the output ports is equal to zero. For the modulation phase used here (0 , 120 , and 240 for resonators 1–3, respectively), this condition is satisfied at port 3, if the frequency of the incident wave is and (19) Observe that, in order for to be real, the modulation frequency should be larger than . When (19) is satisfied, it is easy to show from (16) that the right- and left-handed states are, respectively, excited with phases and at port 1. Their phases become and at port 3, leading to mutual cancellation by destructive interference at this port. Since no power is transferred to port 3, one may think that, in the absence of loss, transmission to port 2 is ideal. However, this is not correct since, as already hinted, the modulation results in allocating part of the energy to frequencies outside the main band in the form of intermodulation products. Under the ideal modulation condition (19), it can be found from (16) and (17) that the transmission at the output port (port 2) and reflection at the input port (port 1) are, respectively, given by

(20) (21)

(16)

Observe that, since , , as expected from the conversion of part of the power to intermodulation

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Design charts for magnetic-free circulators based on loops of modulated resonators. (a) Insertion loss, , (b) return loss, , (c) inter, and (d) BW versus the normalized modulation frequency, , and the normalized modulation amplitude, modulation products, . All the results were derived under condition (19) to obtain maximum isolation. Point A corresponds to the design in [38], point B to the lumped-element design in Section III, and point C to the distributed-element design in Section III. Inside the white regions, infinite isolation is impossible.

products. The intermodulation products at any port are found from (16) and (18) as

(22) The transmission bandwidth (BW), another important parameter of the structure, is given by and it satisfies (23) Considering that , where the equality holds in the absence of loss, (22) and (23) lead to the following expressions, involving only : (24) (25) Equation (24) shows that the intermodulation products tend to zero, as the transmission approaches unity, as expected from

power conservation. On the other hand, (25) reveals a fundamental tradeoff between BW and transmission. For a specified modulation frequency, BW decreases, as transmission increases. In order to increase transmission without affecting the BW, it is necessary to increase the modulation frequency. Fig. 4 presents , , and BW versus and under the infinite-isolation condition (19). In these plots we use the normalized modulation parameters, and , instead of the absolute ones, and , in order to keep the plots valid for any , which is generally a structure-dependent parameter over which we have little control. By using the normalized parameters and we essentially consider as a reference for all frequency quantities. It should be noted that is the BW of the intrinsic resonance of the system, i.e., the resonance under negligible coupling to the external lines, and, as such, it also represents a lower bound for the BW of the loaded system. Considering that the intrinsic -factor of the system is given by , the normalized modulation parameters in Fig. 4 can also be expressed as and . The

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

white region in Fig. 4 corresponds to values of the modulation parameters for which infinite isolation is impossible. Furthermore, the charts in Fig. 4 are valid, provided that the conditions , under which (14) have been derived, hold. Assuming that , as it happens for the structures presented in Section III, these conditions become . In terms of normalized parameters, these conditions can be written as , showing that the plots in Fig. 4 are valid for a particular range of values for and . Through numerical calculations, we have found that and are good upper bounds for and , respectively. Fig. 4 allows designing structures with a specified response, in terms of , , and BW, for given or . The charts in Fig. 4 allow reaching interesting conclusions about the effect of the modulation parameters on the characteristics of the structure. First of all, insertion and return losses generally decrease when both and increase. On the other hand, intermodulation products tend to decrease as increases. This behavior is consistent with (13), where the term , providing the amplitude of the undesired secondary sub-states of the modulated loop decreases as increases. Apart from leading to smaller reflection, larger transmission and smaller intermodulation products, increasing is also advantageous from an implementation point of view: a large , and therefore a large separation between the wanted frequency response and the unwanted intermodulation products, results in less steep filters for the rejection of these products, and therefore easier fabrication. The charts in Fig. 4 and the above general conclusions will be used in the next section in order to design two magnetic-free microwave circulators based on lumped and distributed elements for low- and high-frequency applications, respectively. III. CIRCULATOR DESIGNS A. Lumped-Element Design The concept of angular-momentum-induced nonreciprocity was experimentally demonstrated in [38] through a ring of capacitively modulated resonators, as in Fig. 5(a). The most straightforward way to realize a modulated capacitor is through a varactor and a diplexer, as in Fig. 5(b). If the transmission phase between the high-frequency and common ports of the diplexer is zero, the input impedance at the high-frequency port is equal to the impedance of the modulated varactor. Furthermore, the diplexer makes sure that the modulation and input signals do not mix with each other outside the varactor. Although functional, the circuit in Fig. 5(b) has a major drawback: the diplexer is part of the resonant network—the input signal needs to go through the diplexer in order to reach the varactor—potentially complicating the design and detrimentally affecting the overall performance. For this reason, [38] followed an alternative approach to move the diplexers outside the resonant circuit. By combining the circuit in Fig. 5(a) with a dual one consisting of shunt inductors and series capacitors, the ring in [38] was designed to resonate at both the input and modulation frequencies, thus eliminating the need of separate modulation lines and diplexers. Such an approach led to very large isolation

7

Fig. 5. Circulator based on a ring resonator, as in [38]. (a) Ring of capacitively modulated resonators. (b) Implementation of a variable capacitor through a varactor and a diplexer.

Fig. 6. Circulator based on a wye resonator. (a) Capacitively modulated bandstop filters ( and lumped-element wye resonator. The parallel ) are used to prevent the modulation signal from leaking to the external lines. (b) Implementation of the variable capacitors through varactors and filters that create a low-impedance path for bias and modulation signals, while they block the input signal.

(more than 50 dB), but also quite large insertion loss (22 dB). The reason is that, in order to avoid interference between the main and modulation sub-circuits of the ring, the modulation frequency had to be selected quite far from the input frequency, forcing the circulator to operate in the sub-optimal bottom-left area in Fig. 4. Indeed, from the data provided in [38], it can be found that the corresponding circuit operates at point A in Fig. 4. The aforementioned problems related to the ring topology may be overcome using the wye topology in Fig. 6(a) [40]. Modulation can be achieved by connecting varactors between filters, as in Fig. 6(b), which provide a low-impedance path for the dc and modulation signals, while they exhibit very large impedance for the input signal. A simple implementation of such a filter involves a parallel combination of a choke inductor , which provides a low-impedance path for the dc signal, and a series bandpass filter ( and ), which, if designed to resonate at the modulation frequency, provides a low-impedance path for the modulation signal. Furthermore, the filter is designed to have large impedance at the resonance frequency of the circuit, in order to block the input signal. For the filters connecting the circuit ports and the inductors , such as the filter on the left-hand side of the varactor in Fig. 6(b), this condition is satisfied if , where is the filter impedance at the circuit resonance frequency , considering that, at , the impedance at the position of the filters looking towards the ports and the varactors is and , respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Through a similar analysis, it is possible to show that the condition is also sufficient to minimize the flow of the input signal through the filter connected at the center node of the circuit [filter at the right-hand side of the varactor in Fig. 6(b)]. Filters can also be connected between the external lines and the inductors of the wye circuit in order to prevent the modulation signals from leaking to the external lines. These filters can be simple parallel bandstop filters ( and ), which, although exhibiting a narrow BW, they can effectively block the monochromatic modulation signal. Interestingly, none of the filters in the wye-circuit design intercepts the path of the input signal inside the resonant circuit formed by the varactors and the inductors , and as a result their effect on the operation of the device at the input frequency is expected to be minimal. The resonant states of the wye resonator can be calculated by terminating the transmission lines with matched loads, and assuming no external excitation. Conservation of charge at the center node of the circuit demands that the total charge of the three capacitors is zero. This fact excludes the presence of a common state, which would require all the capacitors to have the same charge and, as a result, the total charge to be nonzero. On the other hand, charge conservation is satisfied by the rotating states since for such states the total charge is by definition zero, as a result of their threefold symmetry, with 120 phase difference between different resonators. The threefold symmetry of the rotating states also requires that the voltage at the center node of the circuit is zero. Considering that at resonance the current flow is nonzero, the total impedance of each branch is then zero, yielding

(26) where is the characteristic impedance of the transmission lines. For , i.e., for a circuit with a large -factor, . A capacitance perturbation then produces a frequency perturbation . Loss in the inductors and varactors can be represented by a series resistance , and in such a case, in (26) should be replaced by . It is obvious that and correspond to the leakage and loss decay rates, and , respectively. The corresponding -factors then read and . The fact that the wye resonator does not have a common state indicates that the coupled-mode analysis in Section II is only restricted by the parametric oscillation condition . A full circuit analysis for the wye resonator, including modulation and excitation from the external lines, is presented in Appendix B. Based on the above analysis, we designed a circulator for operation at 200 MHz , with a target resonance BW of 10% . Considering that can be much smaller than for good-quality inductors, we find that the values of and that satisfy these specifications are 400 nH and 1.6 pF, respectively. Based on these values and commercially available components, we choose the lumped elements listed in Table I. Furthermore, the modulation frequency is chosen as 40 MHz, resulting in operation at point B in Fig. 4, where the insertion

TABLE I LUMPED ELEMENT VALUES FOR THE CIRCUIT IN FIG. 6

Fig. 7. Scattering parameters for the lumped-element wye resonator without modulation and under the optimum modulation condition. (a) Full-wave simulations. (b) Coupled-mode analysis.

loss and intermodulation products are 3.1 and 16 dB, respectively. Note that at 200 MHz the inductors and operate above self-resonance, and as a result, their effective response at this frequency is capacitive and very lossy. However, since their impedance is very large, they can still efficiently prevent the input signal from leaking to the modulation lines. This fact shows that for the wye topology, contrary to the ring topology, it is not necessary for the filter components to operate optimally at both the modulation and input frequencies, thereby significantly relaxing the design constraints. Note that, for simplicity purposes, in the proof-of-concept design presented here, the bandstop filters used to prevent the modulation signals from leaking to the external lines [filters in Fig. 6(a)] are omitted. The effect of these filters on the input signal is minimal since the capacitors , through which the input signal primarily flows, have a self-resonance frequency much larger than the operation frequency. Fig. 7 presents the -paramaters with and without modulation as obtained through full-wave simulations and the coupled-mode (17). Simulations were performed by considering full SPICE models for the varactors and the filter inductors, while inductors were modeled through a series combination of inductances and resistances, as listed in Table I, considering that these inductors operate well below their self-resonances. Without modulation, the input power is equally split to the output ports. When modulation is applied, the signal is transmitted to port 3 with insertion loss of about 3.4 dB, a little larger than at point B in Fig. 4, due to additional

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

loss introduced by the filters, while the power transmitted to port 2 is negligible (isolation is larger than 50 dB), showing a remarkable improvement in the performance compared to the ring topology. The numerical results are in excellent agreement with the theoretical ones, apart from a slight shift in the resonance frequency in simulations when the modulation is applied. As shown in Appendix B, this is a second-order effect with respect to that cannot be captured by first-order CMT. Fig. 7 shows that the circuit exhibits a return loss of 10 dB, which, although not ideal, can be considered acceptable for practical applications. An interesting question that now arises is whether the return loss may be reduced by impedance matching. Matching the proposed circulator is equivalent to matching a lossy device, considering that intermodulation conversion in the proposed circulator is by all means a loss channel at the fundamental frequency, given the overall passivity of the device. Ideal matching of a lossy three-port circulator is possible only if the common mode of the circulator can absorb power [42]. This can be proven by considering that the -matrix of a lossy circulator with infinite isolation reads

9

Fig. 8. S-parameters at input and intermodulation frequencies in the case of the lumped-element resonator for the modulation condition in Fig. 7. (a) Full-wave simulations. (b) Coupled-mode analysis.

(27) It is then not difficult to show that the eigenvalue associated with the common mode of the system, i.e., the reflection coefficient of the common mode, is given by and, as a result, the power absorbed by the common mode is equal to . It is clear that zero reflection, , is only possible if the common mode can absorb power, as mentioned before. For the simple wye resonators considered here such an effect is impossible, because these resonators do not support a common mode at all. Nevertheless, according to (21), it is possible to achieve very small values of if the modulation parameters are appropriately selected so that is close to unity. Total reflection cancellation may be possible by adding networks between the ports and the branches of the circulator that allow the excitation of a common mode. However, this is not a trivial problem and falls beyond the scope of this work. Intermodulation frequencies are unavoidable by-products of the proposed concept and, therefore, it is important to know how strong they are. Fig. 8 plots the -parameters at the center frequency and the inter-modulation frequencies , for the modulation parameters in Fig. 7. Both in simulations and theory, the first-order intermodulation products are about 14 dB lower than the output power, a bit higher than at point B in Fig. 4(c), due to additional loss in the filters. Numerical simulations reveal the existence of additional higher order intermodulation products with , which result from higher order modulation terms at frequencies , due to the nonlinear response of the varactors. These products are much weaker than the first-order intermodulation products and they are completely absent for perfectly linear varactors, as shown in Appendix B. Varactors are nonlinear elements and, as such, they are expected to affect the maximum power that can be handled by the device. Fig. 9 presents the output power and third-order intermodulation distortion versus input power for an input signal

Fig. 9. Nonlinear distortion in the case of the lumped-element wye resonator of Fig. 6 for excitation with a dual-tone signal, with frequencies centered at 200 MHz and separated by 1.5 kHz. The results correspond to full-wave simulations.

consisting of two tones centered at 200 MHz, for which isolation is maximum. As in any nonlinear circuit, power saturation and intermodulation distortion are second-order nonlinear effects, related to the third power of the excitation signal or, in the case of varactors, to the coefficient in the polynomial expansion of the varactor capacitance around the dc biasing point . depends on , but not on the modulation signal, showing that modulation does not affect the nonlinear properties of the structure. Ideally, we would like to completely cancel , which is, however, impossible with simple varactors, like the ones used here. Nevertheless, decreases as increases, making possible to reduce nonlinear distortion by increasing . In order to understand this property better, we consider a particular example of a silicon hyperabrupt varactor, like the ones used in our design, with relation , where is the junction capacitance and is the built-in voltage. In such a scenario, , implying that, if is increased by a factor of 4 and by a factor of 2 (the area of the varactor junction is increased by the same factor), so that remains the same, is reduced by a factor of 16, implying an improvement of 12 dB for the maximum power handled by the device. A drawback of this approach is that , which determines the capacitance modulation, also decreases as increases, making necessary the use of a larger modulation voltage. In the previous

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Alternative implementation of the modulation network for the circuit in Fig. 6(a).

example, , implying that for an increase of by a factor of 4 the modulation voltage is also required to increase by the same factor. This tradeoff between modulation voltage and maximum input power may be overcome through more advanced varactor topologies, such as the ones recently proposed in [43], where nonlinear distortion and capacitance modulation can be independently controlled. The circuit in Fig. 6(a) was realized on a printed circuit board, as shown in Fig. 11(c). A photograph and a schematic of the experimental setup is shown in Fig. 11(a) and (b), respectively. The modulation signals were generated through two phase-locked RF signal generators. Modulation of the varactors was achieved through the circuit in Fig. 10, which is different from the one in Fig. 6(b) with respect to the position of the filters . In particular, in Fig. 10, these filters are connected at the varactors’ anodes, while in Fig. 6(b) they are connected between the external lines and the inductors . At a first glance, this difference may look not important for the circulator operation. However, a closer inspection reveals that the impedance at the position of the filters towards the circuit ports in the circuit of Fig. 10 is , instead of , as in Fig. 6(b) and, as a result, the condition may not be sufficient. Indeed, for the lumped-element values in Table I, the modulation circuit in Fig. 10 leads to a resonance at 150 MHz, instead of 200 MHz as for the modulation circuit in Fig. 6(b). Furthermore, insertion loss with the circuit in Fig. 10 is larger than with the circuit in Fig. 6(b), due to the significant loss of and at the input frequency, and the fact that the input signal can now flow through these inductors. Loss can be reduced by reducing the resonance frequency since inductor loss typically decreases as frequency decreases. For the fabricated circuit, loss becomes minimum for a dc bias voltage of 1.1 V and a resonance frequency of 130 MHz. Measured and simulated -parameters for this geometry are presented in Fig. 12. These results provide a clear experimental demonstration of the nonreciprocal properties of the lumped-element wye circulator in Fig. 6(a) with isolation exceeding 50 dB. Furthermore, although insertion loss is larger than in Fig. 7, due to the sub-optimal connection of the filters , as explained before, it is significantly smaller than in the preliminary design [38], which was based on the ring topology in Fig. 5(a). It is also worth noticing the good agreement between experimental and numerical results, even in terms of fine

Fig. 11. Experimental demonstration of the circuit in Fig. 6(a) with the modulation network in Fig. 10. The lumped-element values are the same as in Table I. (a) Photograph of the experimental setup. The power supply provided the dc biasing of the varactors, while the signal generation the modulation signals. (b) Schematic of the experimental setup. (c) Photograph of the device-under-test (DUT).

features, such as the small bump at 140 MHz, which is related to the dispersive characteristics of and . This small bump disappears if and are modeled as a series combination of dispersionless inductances and resistances. The good agreement between experimental and numerical results is a strong indication that the numerical results in Fig. 7, corresponding to optimal connection of the filters , are practically achievable.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

11

TABLE II GEOMETRICAL PARAMETERS AND LUMPED ELEMENT VALUES FOR THE CIRCUIT IN FIG. 10

Fig. 12. Experimental and numerical results for the circuit in Fig. 6(a) with the modulation network in Fig. 10.

Fig. 13. Circulator based on a distributed wye resonator for high-frequency operation. The varactors and adjacent transmission line sections provide the rescouple the resonator to the exonance of the circuit, while the capacitors ternal transmission lines.

B. Distributed-Element Design The circulator presented in Section III-A is based on lumped elements and, as such, it is ideal for low-frequency applications. However, as the frequency increases, lumped components exhibit poorer performance, or they are totally unavailable. For this reason, in this section we present a distributed design for wireless-communications band ( 2.2 GHz), which, like the lumped-element design, is based on the wye topology, but with inductors now replaced by transmission-line sections, as in Fig. 13. The wye resonator is coupled to external lines through the capacitors . The modulation network is identical to the lumped-element case, with the only variation in the position of the bandstop filters, which are now connected between the external lines and the coupling capacitors. Since the distributed-element design does not present any significantly different fabrication challenges than the lumped-element design, and considering the excellent agreement between experimental and full-wave simulation results for the lumped-element design, here we present only full-wave simulation results for a realistic layout of the distributed element system. The parameters of this layout are provided in Table II. Neglecting the coupling to external lines , the input impedance of the transmission-line section from the side of the varactors reads , where , , and are the characteristic impedance, wavenumber, and length of the transmission line segments. Similar to the lumped-element case, the input impedance of each branch as seen from the center node of the circuit should be zero at resonance, resulting in

(28) which is satisfied if the length of the transmission-line sections is between a quarter-and-half wavelength. In reality, the resonance frequency is slightly lower than what predicted by (28), due to the coupling capacitors. The frequency perturbation due to a change in the varactor capacitance can be calculated from (28). In particular, by taking the derivative of (28) with respect to it can be shown that (29) where is the resonance frequency and is the corresponding transmission-line wavenumber. Considering that and , we find that , which shows that, in the case of the distributed element design, the frequency perturbation is smaller than in the case of the lumped-element design, where , by at least a factor of 2. Based on (28), we designed a circulator for operation at 2.2 GHz. The geometrical parameters of the structure and the values of the lumped elements are provided in Table II. The structure is selected to operate at point C in Fig. 4, where insertion loss is less than 2.5 dB and intermodulation products are 20 dB. The intrinsic -factor of the structure, associated with the loss of the lumped elements, the substrate, and the metallic traces, was calculated as , by fitting CMT to simulations in the case without modulation. In particular, substituting (16) into (17) with yields . By fitting this expression to numerical results, we can calculate and . Then and . Note, that the value of the coupling capacitor is not important for the calculation of , because is an inherent property of the resonator. From the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 14. Scattering parameters for the distributed wye resonator without modulation and under the optimum modulation condition. (a) Full-wave simulations. (b) Coupled-mode analysis. Fig. 16. Nonlinear distortion in the case of the distributed wye resonator for excitation with a dual-tone signal with frequencies centered at 2.2 GHz and separated by 15 kHz. The results correspond to full-wave simulations.

Fig. 15. -parameters at input and intermodulation frequencies in the case of the distributed wye resonator for the modulation condition in Fig. 11. (a) Fullwave simulations. (b) Coupled-mode analysis.

value of , we found that the modulation frequency should be 400 MHz. Fig. 14 shows the -parameters of the structure for the optimum modulation condition. Results are similar to the lumped element case, apart from a slight asymmetry in the simulated resonance curves, resulting from a higher order resonance above 3 GHz, which does not exist in the lumped-element design. This asymmetry is not visible in the theoretical results because this higher order mode is neglected in coupled-mode analysis. Fig. 15 shows the -parameters at the center and intermodulation frequencies for the modulation condition in Fig. 14. The intermodulation product at is 20 dB below the main signal at , in perfect agreement with Fig. 4(c), while the intermodulation product at is slightly larger than , due to the asymmetry created by the higher order resonance. Finally, Fig. 16 shows the nonlinear distortion for excitation by a pair of tones centered at 2.2 GHz. The maximum input power is slightly larger than in the lumped-element case. IV. CONCLUSIONS The concept of spatiotemporally modulated coupled resonator networks was investigated for the realization of magnetless microwave circulators. A rigorous analytical model was developed, through which the exact condition for obtaining infinite isolation was derived. The derived model, validated with numerical simulations, allows determining in closed form the required modulation parameters for given lossy -factor, desired insertion loss, and intermodulation products. Achieving low insertion loss generally requires large modulation parameters, while low intermodulation products can be achieved by increasing only the modulation frequency, irrespective of the

modulation amplitude. Based on the analytical model, two circulators were designed for VHF and wireless communications bands. Both designs were based on wye resonators, which were shown to be easier to realize and lead to better performance than ring resonators. Lumped and distributed elements were used for the low- and high-frequency designs, respectively. Both designs exhibit remarkable performance in terms of insertion loss and isolation, close to commercial standards for magnetic circulators. In addition to obvious advantages in terms of cost, weight, and size reduction, an important advantage of the proposed magnetless circulators is their tunability and noise performance. Power handling is limited by the varactors through which modulation is achieved, but it can be improved by either increasing the dc bias of the varactors or using more advanced varactor configurations. The proposed designs offer a large degree of flexibility in the selection of the modulation frequency, which can be made substantially lower than the signal frequency, significantly simplifying the design of the modulation networks. However, the modulation frequency should not be very low, in order to achieve enough distance between the input and intermodulation frequencies, which is important for the efficient rejection of intermodulation products using suitable filters. The results presented in this paper constitute an important step towards the realization of integrated circulators, which may allow achieving full-duplex operation in wireless communications systems. We also point out that the proposed designs are well suited to push these concepts to very low and very high frequencies, up to ranges in which magnetic circulators are not practical, respectively, for the lumped- and distributed-element designs. APPENDIX A. Eigenstates of the Modulated Loop Here, we calculate the eigenstates of the modulated loop in the case of zero intrinsic loss and no coupling to external lines. To this end, we need to solve (5) with and . As a first step, we solve these equations in the case of negligible coupling between the common and rotating states, which is a valid assumption if . In such a case, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

first column and the first row of becomes

can be neglected and (5)

13

and

where

(36) (30) where the “tilde” is used to distinguish the approximate solution under the assumption of zero coupling between the common and rotating states from the exact one. The solution of the first of these equations is obviously , where is a complex number. The solution of the other two equations can be found by assuming and . Equation (30) then becomes (31) which is a typical eigenvalue problem with eigenvalues and , where , and corresponding eigenvectors and . The corresponding solutions of (30) read

. The matrix is time periodic with periodicity Therefore, according to the Floquet theorem, the solution of (34) can be expressed as (37) where is the fundamental frequency of the mode and is the state vector of the th Floquet harmonic. Note from (37) that ’s that are different by an integer multiple of give the same solution and for this reason we choose , which is consistent with the fact that the solution of (34) is a perturbation of the solution of (30). In the Floquet space, (34) is transformed to the regular infinite eigenvalue problem (38) where whose

block relating

with

and reads

is the matrix

(39) where

(32) . where Next, we solve the full (5), including coupling between the common and rotating states, by transforming it to the basis defined by (32) via , where

(40) A pictorial representation of is provided in Fig. 17. The matrix does not have degenerate terms in the main diagonal and, as a result, conventional perturbation theory can be applied to (38) [44]. According to this theory, assuming a Hermitian matrix with nondegenerate eigenvalues and , the eigenvalues and eigenveccorresponding eigenvectors tors of the matrix , where is also a Hermitian matrix and can be calculated from

(33)

(41) where

In particular, (34) where manipulations, we find

(42)

. After straightforward, but lengthy and

(43) (35)

and keeping terms up to first Applying these formulas to order with respect to , we find that the eigenfrequencies of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

Fig. 17. Matrix

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

in (39). All the blank locations correspond to zero elements.

the common, right-handed, and left-handed states of the modulated system are the same as in the case of negligible coupling between the common and rotating states

(44) It is interesting to note that, to the first order with respect to , modulation affects the frequencies of the rotating states ( are shifted from by ), but not the frequency of the common state. The eigenvectors of the rotating modes read

Fig. 18. Circuit schematic of the lumped-element wye resonator.

B. Full-Circuit Analysis of the Lumped-Element Circulator Here, we provide a full-circuit analysis of the lumped-element circulator in Section III and derive exact closed-form expressions for the -parameters of the circuit, even in the case of modulation. Furthermore, we show that modulation creates a shift in the resonance frequency of second order with respect to . Such an effect cannot be predicted by the first-order CMT and it requires full solution of circuit equations. By defining the inductor currents and capacitor charges as in Fig. 18, Kirchhoff’s laws read (46)

(45) The corresponding expressions for the common mode are similar, but longer and, for this reason, they are omitted here.

(47)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

The sources stand for the incident waves from the three ports of the circuit. Summing the two first equations of (47) and replacing the term in the resulting equation with from (46) yields

15

from port 1 with a signal of unitary amplitude and frequency . The first equation in (55) shows that the common mode is not excited at all , as deduced in the main text through first-principle arguments. Equation (55) then becomes

(48) By performing the same operation to the other pairs of (47) we get

(56) Similarly to (14), (56) can be solved by making the assumption

(57)

(49) which leads to

Equation (49) can be compactly written as (50) ,

where

,

(58)

, and where (51) For the derivation of (50) we have also used presence of modulation, and, if , (50) becomes

(59)

. In the

and . The -parameters at the input frequency can be calculated as (52)

where . Similarly to (1), (52) can be transformed to the eigenbasis of the common and rotating states of the ring as

(60) . Considering that assuming , (60) becomes

and

(53) where

,

,

, and (54)

(61)

Transforming the matrix equation (53) to its constituent algebraic equations yields

Comparing (61) with (17) and considering that for the wye resonator , we can find that (62)

(55) are the amplitudes of the rotating states, , , and . For the derivation of (55) we have assumed that the structure is excited where

If , , and , it is possible to show that (62) simplifies to (16). Similar conclusions also hold for the modal amplitude at the intermodulation frequencies . The resonances associated with the dominant sub-states of the circuit can be found from the roots of the denominator in the first equation of (58). For sufficiently high -factor, can be taken equal to 0 and (63)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

These frequencies are shifted from by different amounts, implying that their center of mass, , is also shifted from . In particular, it is not difficult to show that (64) Therefore, , where isolation becomes maximum, is red shifted from by the amount , or, for , . This shifting is the result of the secondorder nature of (50) and it is related to the weak coupling between positive and negative frequencies, which are completely neglected in coupled-mode analysis. REFERENCES [1] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [2] L. Onsager, “Reciprocal relations in irreversible processes. I.,” Phys. Rev., vol. 37, pp. 405–426, Feb. 1931. [3] H. B. G. Casimir, “On Onsager’s principle of microscopic reversibility,” Rev. Mod. Phys., vol. 17, no. 6, pp. 343–350, Apr.–Jul. 1945. [4] H. B. G. Casimir, “Reciprocity theorems and irreversible processes,” Proc. IEEE, vol. 51, no. 11, pp. 1570–1573, Nov. 1963. [5] B. Lax and K. J. Button, Microwave Ferrites and Ferrimagnetics. New York, NY, USA: McGraw-Hill, 1962. [6] D. S. Gardner, G. Schrom, F. Paillet, B. Jamieson, T. Karnik, and S. Borkar, “Review of on-chip inductor structures with magnetic films,” IEEE Trans. Magn., vol. 45, no. 10, pp. 4760–4766, Oct. 2009. [7] S. A. Oliver et al., “Integrated self-biased hexaferrite microstrip circulators for millimeter-wavelength applications,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 2, pp. 385–387, Feb. 2001. [8] A. Saib, M. Darques, L. Piraux, D. Vanhoenacker-Janvier, and I. Huynen, “An unbiased integrated microstrip circulator based on magnetic nanowired substrate,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 6, pp. 2043–2049, Jun. 2005. [9] L.-P. Carignan, A. Yelon, D. Ménard, and C. Caloz, “Ferromagnetic nanowire metamaterials: Theory and applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2568–2586, Oct. 2011. [10] S. Tanaka, N. Shimimura, and K. Ohtake, “Active circulators—The realization of circulators using transistors,” Proc. IEEE, vol. 53, no. 3, pp. 260–267, Mar. 1965. [11] Y. Ayasli, “Field effect transistor circulators,” IEEE Trans. Magn., vol. 25, no. 5, pp. 3242–3247, Sep. 1989. [12] T. Kodera, D. L. Sounas, and C. Caloz, “Artificial Faraday rotation using a ring metamaterial structure without static magnetic field,” Appl. Phys. Lett., vol. 99, July 2011, Art. ID 03114. [13] D. L. Sounas, T. Kodera, and C. Caloz, “Electromagnetic modeling of a magnetless nonreciprocal gyrotropic metasurface,” IEEE Trans. Antennas Propag., vol. 61, no. 1, pp. 221–231, Jan. 2013. [14] T. Kodera, D. L. Sounas, and C. Caloz, “Magnetless nonreciprocal metamaterial (MNM) technology: Application to microwave components,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1030–1042, Mar. 2013. [15] Z. Wang et al., “Gyrotropic response in the absence of a bias field,” Proc. Nat. Acad. Sci. USA, vol. 109, no. 33, pp. 13 194–13 197, Aug. 2012. [16] B.-I. Popa and S. A. Cummer, “Nonreciprocal active metamaterials,” Phys. Rev. B, Condens. Matter, vol. 85, May 2012, Art. ID 205101. [17] K. Gallo and G. Assanto, “All-optical diode in a periodically poled lithium niobate waveguide,” Appl. Phys. Lett., vol. 79, no. 3, pp. 314–316, Jul. 2001. [18] S. Manipatruni, J. T. Robinson, and M. Lipson, “Optical nonreciprocity in optomechanical structures,” Phys. Rev. Lett., vol. 102, May 2009, Art. ID 213903. [19] L. Fan et al., “An all-silicon passive optical diode,” Science, vol. 335, pp. 447–450, Jan. 2012. [20] B. Peng et al., “Parity-time-symmetric whispering-gallery microcavities,” Nature Phys., vol. 10, pp. 394–398, May 2014. [21] P. K. Tien and H. Suhl, “A traveling-wave ferromagnetic amplifier,” Proc. IRE, vol. 46, no. 4, pp. 700–706, Apr. 1958.

[22] A. L. Cullen, “Theory of the travelling-wave parametric amplifier,” Proc. IEE, vol. 107, no. 32, pp. 101–107, Mar. 1960. [23] R. Landauer, “Parametric amplification along nonlinear transmission lines,” J. Appl. Phys., vol. 31, no. 3, pp. 479–484, Mar. 1960. [24] D. Jäger and F.-J. Tegude, “Nonlinear wave propagation along periodic-loaded transmission line,” Appl. Phys., vol. 15, pp. 393–397, 1978. [25] Z. Yu and S. Fan, “Complete optical isolation created by indirect interband photonic transitions,” Nature Photon., vol. 3, pp. 91–94, Feb. 2009. [26] M. S. Kang, A. Butsch, and P. St. J. Russell, “Reconfigurable light-driven opto-acoustic isolators in photonic crystal fibre,” Nature Photon., vol. 5, pp. 549–553, Sep. 2011. [27] X. Huang and S. Fan, “Complete all-optical silica fiber isolator via stimulated Brillouin scattering,” J. Lightw. Technol., vol. 29, no. 15, pp. 2267–2275, Aug. 2011. [28] H. Lira, Z. Yu, S. Fan, and M. Lipson, “Electrically driven nonreciprocity induced by interband photonic transition on a silicon chip,” Phys. Rev. Lett., vol. 109, Jul. 2012, Art. ID 033901. [29] K. Fang, Z. Yu, and S. Fan, “Photonic Aharonov–Bohm effect based on dynamic modulation,” Phys. Rev. Lett., vol. 108, Apr. 2012, Art. ID 153901. [30] D.-W. Wang, H.-T. Zhou, M.-J. Guo, J.-X. Zhang, J. Evers, and S.-Y. Zhu, “Optical diode made from a moving photonic crystal,” Phys. Rev. Lett., vol. 110, Feb. 2013, Art. ID 093901. [31] I. K. Hwang, S. H. Yun, and B. Y. Kim, “All-fiber-optic nonreciprocal modulator,” Opt. Lett., vol. 22, no. 8, pp. 507–509, Apr. 1997. [32] C. R. Doerr, N. Dupuis, and L. Zhang, “Optical isolator using two tandem phase modulators,” Opt. Lett., vol. 36, no. 21, pp. 4293–4295, Nov. 2011. [33] C. Galland, R. Ding, N. C. Harris, T. Baehr-Jones, and M. Hochberg, “Broadband on-chip optical non-reciprocity using phase modulators,” Opt. Exp., vol. 21, no. 12, pp. 14 500–14 511, Jun. 2013. [34] S. Qin, Q. Xu, and Y. E. Wang, “Nonreciprocal components with distributedly modulated capacitors,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2260–2272, Oct. 2014. [35] D. L. Sounas, C. Caloz, and A. Alù, “Giant non-reciprocity at the subwavelength scale using angular momentum-biased metamaterials,” Nat. Commun., vol. 4, Sep. 2013, Art. ID 2407. [36] R. Fleury, D. L. Sounas, C. F. Sieck, M. R. Haberman, and A. Alù, “Sound isolation and giant linear nonreciprocity in a compact acoustic circulator,” Science, vol. 343, pp. 516–519, Jan. 2014. [37] D. L. Sounas and A. Alù, “Angular-momentum-biased nanorings to realize magnetic-free integrated optical isolation,” ACS Photon., vol. 1, pp. 198–204, 2014. [38] N. A. Estep, D. L. Sounas, J. Soric, and A. Alù, “Magnetic-free nonreciprocity and isolation based on parametrically modulated coupledresonator loops,” Nature Phys., vol. 10, pp. 923–927, Dec. 2014. [39] N. A. Estep, D. L. Sounas, and A. Alù, “Angular-momentum-biasing for non-reciprocal electromagnetic devices,” in Wireless Microw. Circuits Syst. Symp., Waco, TX, USA, Apr. 3–4, 2014, pp. 1–4. [40] N. A. Estep, D. L. Sounas, and A. Alù, “On-chip non-reciprocal components based on angular-momentum biasing,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, May 17–22, 2015, pp. 1–4. [41] W. Suh, Z. Wang, and S. Fan, “Temporal coupled-mode theory and the presence of non-orthogonal modes in lossless multimode cavities,” IEEE J. Quant. Electron., vol. 40, no. 10, pp. 1511–1518, Oct. 2004. [42] J. Helszajn, The Stripline Circulator: Theory and Practice. New York, NY, USA: Wiley, 2008. [43] K. Buisman et al., “Distortion-free varactor diode topologies for RF adaptivity,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, USA, Jun. 12–17, 2005. [44] D. J. Griffiths, Introduction to Quantum Mechanics. Upper Saddle River, NJ, USA: Prentice-Hall, 1995. Nicholas Aaron Estep received the B.S. degree in electrical engineering from The University of Texas at Austin, Austin, TX, USA, in 2006, the M.S. degree in electrical engineering from the Air Force Institute of Technology, Dayton, OH, USA, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at The University of Texas at Austin. His research interests include metamaterials and plasmonics, nonreciprocal electromagnetic devices, and electromagnetic propagation in dispersive, bi-anisotropic media.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ESTEP et al.: MAGNETLESS MICROWAVE CIRCULATORS BASED ON SPATIOTEMPORALLY MODULATED RINGS OF COUPLED RESONATORS

Dimitrios L. Sounas (M’11) received the Diploma/ M.Eng. and Ph.D. degrees in electrical and computer engineering (with highest honors) from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 2004 and 2009, respectively. From August 2010 to October 2012, he was a Post-Doctoral Fellow with the Electromagnetic Theory and Applications Research Group, École Polytechnique de Montréal. Since November 2012, he has been a Post-Doctoral Fellow with the Metamaterials and Plasmonics Research Group, The University of Texas at Austin, Austin, TX, USA. He has authored or coauthored over 40 journal papers, 70 conference papers, and 2 book chapters, among which include papers in highly selective journals including Science, Nature Physics, Nature Communications, Physical Review Letters, and IEEE TRANSACTIONS. He holds and four patents. His research interests span over a broad range of areas including electromagnetis, optics, metamaterials, plasmonics, nonreciprocity, spatiotemporal modulation, parity-time symmetry, and graphene. He has made major contributions in the area of magnetless nonreciprocal components, which attracted significant interest from public media and telecommunications industry for inclusion in next-generation wireless communication systems.

Andrea Alù (S’03–M’07–SM’12–F’14) received the Laurea, M.S., and Ph.D. degrees from the University of Roma Tre, Rome, Italy, in 2001, 2003, and 2007, respectively. He is currently an Associate Professor and David & Doris Lybarger Endowed Faculty Fellow in Engineering with The University of Texas at Austin, Austin, TX, USA. From 2002 to 2008, he has periodically worked with the University of Pennsylvania, Philadelphia, PA, USA, where he has also developed significant parts of his doctoral and

17

postgraduate research. After spending one year as a Postdoctoral Research Fellow with the University of Pennsylvania, in 2009 he joined the faculty of The University of Texas at Austin. He is also a member of the Applied Research Laboratories and of the Wireless Networking and Communicaions Group, The University of Texas at Austin. He has coauthored an edited book on optical antennas, over 250 journal papers, and over 20 book chapters. His current research interests span over a broad range of areas including metamaterials and plasmonics, electromangetics, optics and photonics, scattering, cloaking and transparency, nanocircuit and nanostructure modeling, miniaturized antennas and nanoantennas, and RF antennas and circuits. Dr. Alù is a full Member of URSI. He is a Fellow of the Optical Society of America (OSA) and the American Physical Society (APS). He is a Senior Member of SPIE. He is a Member of MRS. He has organized and chaired various special sessions in international symposia and conferences. He is currently on the Editorial Board of Physical Review B, Scientific Reports and Advanced Optical Materials. He serves as an Associate Editor for four journals including IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS and Optics Express. He has been the Guest Editor for Special Issues of the IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, Optics Communications, Metamaterials, and Sensors on a variety of topics involving metamaterials, plasmonics, optics, and electromagnetic theory. He has served as an OSA Traveling Lecturer since 2010, and IEEE AP-S Distinguished Lecturer since 2014, and as the IEEE joint AP-S and Microwave Theory and Techniques Society (MTT-S) Chapter for Central Texas. He was the recipient of several research awards including the NSF Alan T. Waterman Award (2015), the OSA Adolph Lomb Medal (2013), the IUPAP Young Scientist Prize in Optics (2013), the IEEE MTT-S Outstanding Young Engineer Award (2014), the Franco Strazzabosco Award for Young Engineers (2013), the URSI Issac Koga Gold Medal (2011), the SPIE Early Career Investigator Award (2012), an National Science Foundation (NSF) CAREER Award (2010), the Air Force Office of Scientific Research (AFOSR) and the Defense Threat Reduction Agency (DTRA) Young Investigator Award (2010 and 2011), and Young Scientist Award from the URSI General Assembly (2005) and URSI Commission B (2010, 2007, and 2004). His students have also been the recipient of several awards including the Student Paper Award of the IEEE Antennas and Propagation Symposia (2011 and 2012).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

519

Design Optimization of Single-/Dual-Band FET LNAs Using Noise Transformation Matrix Yu-Chih Hsiao, Chinchun Meng, Member, IEEE, and Chun Yang

Abstract—This paper describes the design optimization of single- and dual-band inductively source degenerated field-effect transistor low-noise amplifiers (LNAs) using an analytical formula of noise parameters derived through a noise transformation matrix. The dual-band LNA design can be directly expanded from the single-band LNA design using a noise transformation matrix. The derived noise formulas of LNAs reveal that a simultaneous noise and input match (SNIM) can be obtained at a single frequency for a single-band LNA. For a concurrent dual-band LNA, the simultaneous noise match cannot be achieved at two different operating frequencies and thus a balanced design in noise performance is developed using a noise transformation matrix. This paper demonstrates a 5-GHz single-band and a 2.4/5-GHz concurrent dual-band two-stage single-voltage-supply LNA using 0.15- m depletion-mode pseudomorphic HEMT technology to verify design methodology. The inductively source-degenerated common-source amplifier is applied at the input stage. The 5-GHz common-source common-drain (CS-CD) LNA shows 1.4-dB noise figure (NF) with an SNIM. Moreover, the 2.4/5-GHz CS-CD concurrent dual-band LNA has a balanced noise performance of 2.2-dB NF at 2.4 GHz and 2.0-dB NF at 5 GHz, respectively. Index Terms—Inductively source-degenerated inductor, low-noise amplifier (LNA), noise transformation matrix.

I. INTRODUCTION

T

HE STRONG growth for mobile Internet usage such as video streaming from smart phone users has pushed the mobile phone operators to move from third generation (3G) to fourth generation (4G) long-term evolution (LTE). Today, a WiFi offload approach is used by the mobile phone operator to mitigate the data traffic congestion in the LTE network. A dualband low-noise amplifier (LNA) implemented with transistor switches without using a balanced design approach achieves better noise performance at each band than that of a concurrent dual-band LNA. However, the switched dual-band LNA provides more chances to be connected, but the data rate is the same as that of a single-band LNA. Thus, a 2.4/5-GHz dual-band LNA has become a popular research topic because a concurrent topology increases wireless capacity and multifunction ca-

Manuscript received May 13, 2015; revised September 25, 2015 and October 29, 2015; accepted December 07, 2015. Date of publication December 24, 2015; date of current version February 03, 2016. This work was supported by the Ministry of Science and Technology of Taiwan, R.O.C., under Contract MOST 104-2221-E-009-061. The authors are with the Department of Electrical and Computer Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: ccmeng@mail. nctu.edu.tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2508790

pabilities [1]–[5]. A concurrent dual-band LNA is also needed in a 4G LTE-Advanced system. In the near future, a carrier aggregation technique, which combines bandwidths of different carrier frequencies up to 100 MHz, will be employed to support high-speed data transmission for the 4G LTE-Advanced network. A common-source (CS) device with source degeneration inductor ( ) is normally used for the LNA design to achieve the noise match and input match at the same time [6]. An inductor in series with the gate is then used to resonate out the gate-to-source capacitor at the operating frequency. A parallel capacitor is normally applied between the gate and source of the transconductance stage to achieve power-constrained simultaneous noise and input matching (PCSNIM) [7]. The noise figure (NF) of the resulting LNA approaches the intrinsic device noise figure minimum ( ) and input matching is achieved at the same time for every transistor size at a fixed supply current. A concurrent LNA topology normally employs a combination of series- and parallel-LC circuits at the gate terminal of a CS device with a source degeneration inductor to achieve dual-band frequency response [5]. This paper presents a new method to straightforwardly derive noise formulas of the inductively source-degenerated LNAs using a noise transformation matrix. The noise transformation matrix is defined from the transformation of input-referred noise current and voltage [8], [9]. It was employed to discuss the influence of the microwave transistor with package and wire bonding effects [8]. This paper presents a new noise transformation matrix from the duality of input-referred noise current and voltage. To take advantage of the new noise transformation matrix, noise formulas of an inductively source-degenerated CS LNA with a matching network in series with the gate terminal can be obtained. In this paper, the presented analytical method of the noise transformation matrix not only employs to derive noise formulas of an LNA with a simple gate-connected inductor straightforwardly, but also extends to derive that of an LNA with a complex dual-band input matching network at the gate terminal. The noise parameter derivation of a dual-band LNA can be expanded directly from that of a single-band LNA using noise transformation matrix technique. The introduction and benefits of the noise transformation matrix to noise formula derivation are described in Section II. The complete noise formulas with tedious algebraic derivation has been established by Statz et al. [10] and Pucel et al. [11], [12] for MESFET devices, Nguyen et al. [7], and Chiu et al. [13] for MOS LNAs. Solving a complicated NF equation is needed for the algebraic derivation. Since the fundamental physics of field-effect transistor (FET) devices such as MOS-

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

FETs, HEMTs, and MESFETs are similar, it turns out that the formulas of noise parameters can be applied to all the FET-based LNAs. Moreover, Lu and Chiu [14] provide a vector analysis method to reduce complexity in the noise derivation. The expressions for input-referred noise current and voltage are needed for the vector analysis derivation. Thus, the vector analysis approach is still difficult to obtain noise formulas suitable to other LNA topologies such as a concurrent dual-band topology. The complexity for either algebraic or vector derivation increases because the derivation for a complex dual-band input matching network cannot be straightforwardly extended from that of the single-band counterpart. This paper first derives noise parameters of a CS device with an additional parallel capacitor from gate and drain noise currents through graphic discussions in Section III. The noise transformation matrix is then employed to establish the bridge to the noise formula of single- and dual-band LNAs. The optimal noise impedance, , and NF of single- and dual-band inductively source-degenerated LNAs are derived through the noise transformation matrix in Section III. It is worth mentioning that the analytical noise formulas of a dual-band LNA is first derived in this paper and concludes that a balanced noise performance at two input matching frequencies is the best design approach for a concurrent dual-band LNA. From observing the complex conjugate of the derived optimal noise impedance, a corresponding noise matching network is established. Based on the established noise matching network and well-known input matching network, the design algorithm of optimal single- and dual-band LNAs are discussed in Section III. The design methodology developed in this paper is suitable to all the FET LNAs and is verified using pseudomorphic HEMT (pHEMT) technology as an example. This paper demonstrates a 5-GHz single-band LNA and a 2.4/5-GHz concurrent dual-band LNA using a 0.15- m power pHEMT process. Since the pHEMT device in this paper is a depletion mode device, a transistor-based self-biasing scheme [15] is employed to achieve single-voltage-supply operation. In addition, a current-reused technique is employed to reduce current consumption. The circuit implementations and experimental results are shown in Section IV.

Fig. 1. Transformation of two inner input-referred noise sources into two outer input-referred noise sources.

Hartmann and Strutt established the noise parameters before and after transformation [8] as (2) (3) (4) Both single-band and concurrent dual-band LNAs have a source degeneration inductor at the source and a series-connected matching network at the gate, respectively. Thus, the Norton noise parameters should be changed to Thevenin format as noise conductance , uncorrelated noise resistance , and correlated noise impedance to facilitate the noise formula derivation. This paper defines a new noise transformation matrix as (5) and straightforwardly the relation between an noise transformation matrix and an noise transformation matrix is (6) From the current–voltage duality, the Thevenin noise parameters before and after transformation are related by the formulas as follows: (7) (8)

II. NOISE TRANSFORMATION MATRIX A noisy two-port network can be represented by an inputreferred noise voltage source, an input-referred noise current source, and a noiseless two-port network. The auto-correlation and cross-correlation of input-referred noise voltage source and noise current source are used to describe the noise behavior of a noisy two-port network through the noise resistance , uncorrelated noise conductance , and correlated noise admittance . When a noisy two-port network is transformed by a noiseless shell to a new two-port network, as shown in Fig. 1, an noise transformation matrix consisting of four parameters defines the relationship between inner and outer input-referred noise voltage and noise current sources as follows: (1)

(9) It will be clear in the latter section that an matrix for both a series-connected source degeneration inductor and a series-connected matching network at the gate terminal has simple matrix elements with and . Thus, (7)–(9) can be simplified as (10) (11) (12) The detailed noise derivation of single-band and concurrent dual-band LNAs will be discussed in a later section.

HSIAO et al.: DESIGN OPTIMIZATION OF SINGLE-/DUAL-BAND FET LNAs USING NOISE TRANSFORMATION MATRIX

521

Fig. 2. (a) Schematic of a single-band inductively source-degenerated LNA and the related noise derivation procedure for: (b) a CS device with a parallel , (c) adding a source-degenerated inductor from (b), and (d) adding an input matching gate inductor from (c).

III. ANALYSIS OF INDUCTIVELY SOURCE-DEGENERATED SINGLE- AND DUAL-BAND LNAs The schematic of a single-band LNA is shown in Fig. 2(a). The device considered in Fig. 2 is an FET device. The steps to obtain the noise parameters of a single-band LNA are also described in Fig. 2(b)–(d). The noise parameters of a CS device with a parallel are derived first as shown in Fig. 2(b). The noise transformation matrix of a series-connected source inductor in Fig. 2(c) is then obtained next. Finally, the noise property of a single-band LNA is obtained by cascading the noise transformation matrix of a series-connected gate inductor. Source-connected inductor and gate-connected inductor function as local series feedback configuration and cascade configuration, respectively. The noise transformation matrices of local series feedback configuration and cascade configuration are presented using -parameters in the previous literature [8], [9]. In fact, the noise transformation matrix relates to parameters in a simple way for these two circuit configurations and the detailed derivations are given in Appendix B. If and is set to be zero, (2)–(4) can be simplified to derive two-port noise parameters in a Norton format straightforwardly. However, the is not zero in these cases. Complex mathematical derivations cannot be avoided using noise transformation matrices. Instead, noise transformation matrices are used in this paper to obtain concise noise formula of noise conductance , uncorrelated noise resistance , and correlated noise impedance . The noise formula of a CS LNA with and in Thevenin format can be derived using noise transformation matrices in two steps, as shown in Fig. 2(c)–(d). The complex conjugate of the derived optimal noise impedance helps to establish noise matching network in this section. A. Noise Parameters of a CS Device With a Parallel Following the PCSNIM concept [7], a parallel is usually employed to modify and brings the noise and input

Fig. 3. (a) Noisy two-port network: small-signal circuit of a CS device with a , one drain thermal noise current and one gate-induced noise current parallel and ). (b) Drain thermal noise current in (a) is replaced by two ( and ) and then (c) alternative representation of a input noise sources ( noisy two-port network: a noiseless two-port network, one input-referred noise voltage and one input-referred noise current ( and ).

matching closer at a fixed current. The equivalent circuit of a CS device with a parallel can be modeled with a drain noise current and a gate noise current, as shown in Fig. 3(a). The equivalent circuit of a CS device with a parallel can be modeled with a drain noise current and a gate noise current, as shown in Fig. 3(a). A complete equivalent circuit for noise parameters should include gate-to-drain capacitance, , and output resistance caused by the channel length modulation, . However, both and have negligible effects in the device noise parameters because is larger than and a device normally operates below the transistor cutoff frequency while does not affect the correlation between input referred noise voltage and noise current. The reasons for omissions of and are further discussed in Appendix A. Here, the noise parameter derivation is based on the simplified circuit of Fig. 3(a). Following the PCSNIM concept [7], a parallel is usually employed to modify the and brings the noise and input matching closer at a fixed current. The corresponding matrix of a CS device with a parallel can be written as (13)

where . As shown in Fig. 3(b), the drain thermal noise current can be represented by two input noise sources, the input noise voltage caused by the drain thermal noise current, (14)

522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

and the input noise current caused by the drain thermal noise current,

According to (19) and (20), the additional parallel does not affect and . However, the noise correlation admittance is defined with a correlated . Thus, is modified with a parallel [7], [17]–[21] as

(15) These two input noise sources coming from the same drain noise current are totally correlated. Thus, from (14) and (15) there is a simple relationship between and as follows [16]: (16)

(21)

It is well known that the noise in a noisy two-port network can be represented by one input-referred noise voltage and one inputreferred noise current, as shown in Fig. 3(c). Apparently, the input-referred noise voltage can be expressed as

where is zero for an FET because the drain thermal noise current is capacitively coupled to the gate-induced noise current. Finally, the optimal noise admittance can be expressed as

(17) The input-referred noise current consisting of the correlated and uncorrelated can be expressed as

(18)

(22)

where is the correlated gate-induced noise current and is the uncorrelated gate-induced noise current. The decomposition of input-referred noise current into correlated and uncorrelated parts helps to obtain the noise parameters in Norton ( , , and ) format. However, the noise parameters in Thevenin ( , , and ) format are more useful because the LNA topology complies with a series configuration. Thus, the transformation of noise parameters from Norton format to Thevenin format is applied first as follows. 1) Noise Parameters in Norton Format: The noise resistance is defined as the mean-square input-referred noise voltage within a frequency increment normalized by 4 , where is the Boltzmann constant and is the absolute temperature, respectively. From (14) and (17), can be written as

2) Noise Parameters in Thevenin Format: The transformations of noise parameters between Norton format and Thevenin format are shown in (23)–(25). Since the complete noise formulas of single-band and concurrent dual-band LNAs are derived using an noise transformation matrix, the noise parameters in Norton format are required to change to those in Thevenin format. Thus, the noise parameters in Thevenin format can be expressed as

(19) , is the zero-bias drain conductance, where and is a constant for the drain thermal noise current. Moreover, the uncorrelated noise conductance is defined as the mean-square uncorrelated within a frequency increment normalized by 4 . From (18), can be written as

(23) (24) (25) where

,

, and

are dimensionless factors, (26)

and

(20)

(27)

where is a constant for the gate-induced noise current and is a correlation coefficient of the gate-induced noise current and the drain thermal noise current [17]. All the symbols used are identical to those of [17] to facilitate the reading of this paper.

(28)

HSIAO et al.: DESIGN OPTIMIZATION OF SINGLE-/DUAL-BAND FET LNAs USING NOISE TRANSFORMATION MATRIX

Apparently, equals zero because is zero. If is set to be zero, the derived , , and are the same as those in [11]–[13]. For a long-channel device, the related device noise parameters are , , , and . Thus, the dimensionless , , and factors are , , and while is zero. The , , , and of a short-channel device deviate from their long-channel values and become a function of drain and gate bias condition. The values of , , , and of a long-channel device can still illustrate the order of all these parameters. There are attempts in the literature to express , , , and as a function of gate and drain bias condition [22], [23]. However, the resulting equations of , , , and as a function of gate and drain voltages are very complicated. This paper focuses on the LNA design optimization theory and no attempts have been done to obtain , , , and by fitting the measured device noise parameters. Thus, , , and factors based on the long-channel device are employed in this paper to illustrate the design tradeoffs of an LNA in a later section. Thus, the optimal noise impedance of a CS device with a parallel can be derived from , , and as

(29) , the minimum NF, can be derived from the noise parameters either from Norton format or Thevenin format. Since and are zero,

523

Fig. 2(b) and (c) requires the knowledge of the matrix for both the device and source inductor . The matrix of the local-series source inductor is written in (31) while that of a CS device with a parallel is written in (13), (31) Thus, from (B5), the noise transformation matrix of a CS device with a local-series is derived as follows: (32) The noise transformation matrix when cascading a network is simply represented by the matrix of the cascading network, as derived in Appendix B2. Subsequently, from (B9), the effect of cascading gate inductor is expressed as (33) Thus, the noise transformation matrix of a single-band inductively source-degenerated LNA with an input matching gate inductor is directly written as

(34) noise transformation matrix has From (34), the and . Thus, it is imperative that the noise parameters should be expressed in Thevenin format as discussed in (10)–(12). Since , and are expressed with , , and dimensionless factors for a CS device with a parallel shown in Fig. 2(b), a single-band inductively source-degenerated LNA with an input matching gate inductor shown in Fig. 2(d) has the noise parameters of , , and as follows:

(30)

(35)

where is defined as and is the device cutoff frequency. is independent of the parallel because and are not affected by the parallel [7]. It is well known that the device cutoff frequency is a monotonic increasing function of the device operating current density, except at very high current density where the device NF increases because the mobility degrades. In general, a low-noise LNA is obtained at the cost of high current consumption.

(36)

B. Noise Parameters of a Single-Band Inductively Source-Degenerated CS Amplifier With an Input Matching Gate Inductor The single-band inductively source-degenerated CS LNA with an input matching gate inductor is derived step by step as shown from Fig. 2(b)–(d). First, the effect of a source degeneration inductor on device noise parameters is studied in appendix B1. The noise transformation matrix from

(37) where . The noise conductance and uncorrelated noise resistance remain the same while the noise correlation impedance only shifts a value of when compared with the noise parameters of a CS device with a parallel . To further compare the noise properties between a single-band inductively source-degenerated LNA with an input matching gate inductor in Fig. 2(d) and a CS device with a parallel in Fig. 2(a), it is noticeable from (29) and (30) that shifts a value of and remains the same because of the absence of real part in the correlated noise impedance ( ) and the invariants of noise conductance and uncorrelated noise resistance. Thus, the complete formulas of the optimal noise impedance and NF in Fig. 2(a) where a simple

524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 4. (a) Input and (b) noise match circuit of an inductively source-degenerated CS LNA with a single-band input matching network.

Fig. 5. Square root of , , and with respect to long-channel device from theoretical calculation.

gate inductor is employed to match to the source impedance of can be expressed as (38)

. as a function of for a long-channel device is plotted in Fig. 5 and is close to one in general. In the case of a short-channel device, is about one and resonance frequencies for noise and input match are even closer [13]. Moreover, SNIM also requires both the real parts in (38) and (40) to be equal to . From (38), the real part of should match to and

(39)

(42)

On the other hand, the input impedance of a single-band LNA in Fig. 2(a) can be written as (40) The related input matching network is drawn in Fig. 4(a). The input matching network has a frequency independent resistor in series with an LC matching network. Based on the complex conjugate of in (38), the optimal noise matching network can be established as shown in Fig. 4(b). The noise matching network has a frequency dependent resistor of in series with an LC matching network. For an inductively source-degenerated LNA, as shown in Fig. 2(a), the drain current can be related to the input voltage by the expression of ) for the input-matched condition [24]. The voltage gain performance can be derived from the transconductance gain, , and load resistance, , as expressed in (41), (41) A design algorithm for the inductively source-degenerated CS LNA is established in this paper using (30) of , (38) of noise matching, (40) of input matching, and (41) of voltage gain. Simultaneous noise and input match (SNIM) are feasible for an inductively source-degenerated CS LNA when observing the similarities in Fig. 4(a) and (b). From the reactance parts in (38) and (40), needs to be close to one for SNIM. Take the case of for example, the resonance frequency for noise match is 0.91 times that for the input match because of

of a

The square root of the dimensionless factor is plotted in Fig. 5 for the case of a long-channel device and is a monotonic increasing function of ratio. For , the square root of is . From (42), the device width of in Fig. 2(a) is obtained from noise match since the square root of equals . Thus, the optimal device width for noise match becomes less for a small ratio because device width is proportional to . It is noticeable that the optimal device width for noise match is uncorrelated to the device operating current while the device is a monotonic decreasing function of the device current density. From (40), the real part of should also match to and (43) Thus, (41) can be rewritten as (44) One intuitive design choice is to keep the current density (cutoff frequency) constant for the same while adding the parallel capacitor, . From (42) and (44), a small ratio reduces the optimum device width for noise match and thus a low operating current is obtained at the cost of voltage gain reduction. The quality factor defined from optimal noise match in Fig. 4(b) is written as (45)

HSIAO et al.: DESIGN OPTIMIZATION OF SINGLE-/DUAL-BAND FET LNAs USING NOISE TRANSFORMATION MATRIX

is a constant without frequency dependence and is plotted in Fig. 5 for a long-channel device. is a monotonic decreasing function of ratio. is 4 when is 0.4 and becomes formidably large for ratio less than 0.4 to render an LNA design impractical. Thus, the noise match bandwidth sets a minimum current consumption for a given current density. When inductively source-degenerated CS LNAs with parallel capacitors are biased at the same current density to obtain the same , the shrinkage of noise match bandwidth and gain reduction at a low current consumption have also been pointed out by Nguyen et al. through simulations [7]. In this paper, the use of voltage gain in (44) instead of power gain facilitates the analysis of design tradeoffs in a quantitative way. A quantitative analysis is performed when observing Fig. 5. The square root of shows a linear range from 0.108 to 0.645 when increases from 0.4 to 1. In other words, the current consumption is decreased sixfold and the voltage gain is reduced 2.5 times or 7.96 dB when decreases from 1 to 0.4 for the case of a constant current density (constant ). It is well known that a device cutoff frequency saturates and even falls off at high current density. Thus, it is wise that a circuit designer chooses the current density where the device cutoff frequency starts to saturate to optimize the NF of an LNA without any parallel capacitor. The device width and voltage gain (source inductance) of the LNA are obtained from the noise match and input match conditions. Thus, the LNA current consumption is uniquely obtained and the designed LNA has the lowest NF and the highest voltage gain. The design corresponds to the SNIM technique and can not have any constraint on the current consumption [7]. If the designed LNA has more than enough gain to suppress the noise from the subsequent stages, gain can be sacrificed to lower the current consumption by adding a parallel capacitor. An inductively source-degenerated CS LNA reaches the device through different ratios under a constant current density. Thus, a power constraint can be imposed on an LNA with parallel capacitor to form a PCSNIM design technique [7]. The gain reduction and noise bandwidth shrinkage are beneficial to low power consumption. A maximum sixfold current reduction can be obtained for a reasonable bandwidth from our analysis. C. Noise Parameters of an Inductively Source-Degenerated CS Dual-Band LNA A concurrent dual-band LNA shown in Fig. 6(a) [5] is formed by cascading an additional parallel resonator of and with the previous single-band LNA topology. The concurrent dualband LNA circuit has a parallel – tank in cascade with a series – tank, as shown in Fig. 6(b). The dual-band input impedance is written as

525

Fig. 6. (a) Schematic, (b) input, and (c) noise match circuit of an inductively source-degenerated CS LNA with a dual-band input matching network.

Thanks to the noise transformation matrix technique, noise analysis can be straightforwardly extended to derive noise formulas for a concurrent dual-band LNA. From (B9), the noise transformation matrix of a parallel – resonator can be expressed as (47) – resonator is in series connecting to Since the parallel the gate inductor , the simple relations in noise parameters are preserved in Thevenin format, as discussed in the previous section. A dual-band LNA has the noise parameters of , , and as follows: (48) (49)

(46) and Thus, the dual-band LNA achieves simultaneous input matching at two different operating frequencies, and , and has a notch frequency in gain caused by the resonant frequency of the parallel – tank between two operating frequencies.

is expressed as

(50)

526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

There is no real part in as

. Therefore,

can be expressed

(51) and tion. NF,

remains the same as discussed in the previous sec, is expressed as (52)

From (51), the noise match network of a dual-band LNA is plotted in Fig. 6(c). However, noise match cannot be achieved simultaneously at the two input matching frequencies, and , even if equals one because the real part of is dispersive. Thus, a different design algorithm is used for the device width selection of a dual-band LNA. For instance, to obtain a balanced noise performance of at two input matching frequencies, (52) is solved and the device width of in Fig. 6(a) is chosen according to (53) as follows: (53) When compared with a single-band noise match LNA of (42), the noise match frequency in a dual-band LNA of (53) is designed at the average of and . The real part of of (46) should be matched to for input match and, thus, (43) is valid for a dual-band LNA. It can be proven that (41) still holds true at two input matching frequencies. There then exist similar tradedoffs between gain and current consumption for a dual-band LNA. In this paper, a concurrent dual-band HEMT LNA with a balanced noise performance is demonstrated in a later section. IV. SINGLE-VOLTAGE-SUPPLY SINGLE- AND DUAL-BAND INDUCTIVELY SOURCE-DEGENERATED pHEMT LNAs This paper demonstrates a 5-GHz single-band LNA and a 2.4/5-GHz concurrent dual-band LNA using 0.15- m pHEMT technology. A depletion-mode pHEMT device has a negative pinch-off voltage. Thus, a self-biasing scheme is employed to make single-supply-voltage pHEMT LNAs compatible with the mainstream CMOS technology. The demonstrated LNAs have a common-source common-drain (CS-CD) configuration with a current-reuse topology [24]–[26] to reduce current consumption. An inductively source-degenerated CS stage has low NF, good input match, and high gain while the CD stage provides more gain and good output match. Single-/dual-band standalone LNAs developed here can be used to boost noise performance for existing CMOS receivers. A. 5-GHz Single-Band pHEMT LNA A 5-GHz CS-CD current-reuse LNA with a transistor-based self-biasing scheme is shown in Fig. 7(a). The CS transistor of

Fig. 7. (a) Schematic and (b) die photograph of the 5-GHz single-voltagesupply CS-CD LNA.

is biased by a gate-to-source connected transistor of while the CD transistor of is biased by a gate-to-source connected transistor of . The gate-to-source connected transistor of is in a current source form and replaces the resistor in a resistor-based self-biasing scheme. The gate of is biased at the ground potential because the gate-to-source junction of is reverse biased and there is no voltage drop across the resistor . Apparently, the source of is biased at the same potential of the gate of . The CS and CD stages with the same biasing configurations are in cascode for current reuse. Thus, the size of ( ) is equal to that of ( ). The transistor size of the CS (CD) stage ( ) is 2 50 m and that of the biasing transistor of ( ) is 2 11 m. A 4-k resistor is placed at the input to bias the gate voltage at ground potential while and are large bypass and blocking capacitors, respectively. of 0.2 nH, of 3 nH and of 60 fF are chosen to achieve good noise and input match around 5 GHz. A gain peaking inductor of is inserted at the gate of the CD transistor of to increase the gain around 5 GHz. is chosen to be 2.83 nH. The die size of the CS-CD amplifier is only 1 1 mm including pads, as shown in Fig. 7(b). The supply voltage is 3 V and current consumption is 11 mA. As a result, the CS-CD LNA topology has 17-dB power gain at 5 GHz, as shown in Fig. 8. Moreover, the input return loss is better than 10 dB from 3.8 to 5.6 GHz, while the output return loss is better than 10 dB in the range of 2–8 GHz, as shown in Fig. 8. The best noise performance features 1.4-dB NF at 5.6 GHz, as shown in Fig. 9. Besides, the power performance of input 1-dB compression point , output 1-dB compression point , input third-order intercept point , and output third-order intercept point

HSIAO et al.: DESIGN OPTIMIZATION OF SINGLE-/DUAL-BAND FET LNAs USING NOISE TRANSFORMATION MATRIX

527

Fig. 8. Measured power gain and input/output return loss of the 5-GHz singlevoltage-supply CS-CD LNA.

Fig. 11. (a) Schematic and (b) die photograph of the 2.4/5-GHz single-voltagesupply CS-CD LNA.

B. 2.4/5-GHz Dual-Band pHEMT LNA

Fig. 9. Measured NF and LNA.

of the 5-GHz single-voltage-supply CS-CD

Fig. 10. Measured output power with respect to input power of the 5-GHz single-voltage-supply CS-CD LNA.

are shown in Fig. 10. respectively.

and

are

12 and

1 dBm,

A 2.4/5-GHz concurrent dual-band CS-CD LNA is shown in Fig. 11. The dc biasing topology is the same as that of the previous single-band LNA. The transistor size of the CS (CD) stage ( ) is 2 50 m and that of the biasing transistor of ( ) is 2 12 m. A 4-k resistor is also placed at the input to bias the gate voltage at ground potential. The dual-band input matching network is designed for a balanced noise performance at 2.4- and 5-GHz operating frequencies. An inductively source-degenerated CS stage without a parallel capacitor is designed here to achieve high gain because a simple CD stage without gain peaking inductor is employed. The corresponding source inductance is 76 pH. of 4.5 nH, of 3.3 nH, and of 0.68 pF are chosen for a concurrent dual-band operation. The die size of the concurrent dual band LNA is 1.5 1 mm including pads, as shown in Fig. 11(b). The supply voltage is 3 V and current consumption is 12.6 mA. As a result, the 2.4/5-GHz dual-band CS-CD LNA has 20-dB power gain, input return loss of 10 dB and output return loss of 8 dB at 2.4 GHz; 15-dB power gain, input return loss of 7 dB, and output return loss of 6 dB at 5 GHz, respectively, as shown in Fig. 12. From Fig. 13, the measured NF is 2.2 dB at 2.4 GHz and 2.0 dB at 5 GHz, while the measured is 1.1 dB at 2.4 GHz and 1.3 dB at 5 GHz, respectively. The measured results agree well with the simulated results. Both simulation and measurement results show that the noise match cannot be achieved simultaneously at two input matching frequencies. The of (30) is a monotonic increasing function of frequency and remains the same for both single- and dual-band LNAs. However, the measured of a dual-band LNA, as shown in Fig. 13, has a spike in the frequency response while the measured of a single-band LNA, as shown in Fig. 9, does

528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 12. Measured power gain and input/output return loss of the 2.4/5-GHz single-voltage-supply CS-CD LNA.

Fig. 14. Simulated with different voltage-supply CS-CD LNA.

of the 2.4/5-GHz single-

Fig. 13. Measured NF and of the 2.4/5-GHz single-voltage-supply CS-CD LNA. Simulated noise performances with/without series resistance of are also shown.

possess a monotonic increasing behavior. The frequency spike of occurs at 3.1 GHz and is close to the resonant frequency of the parallel – tank. The nonideal inductor in the parallel – tank accounts for the discrepancy in frequency response of the NF. For our derivations of noise parameters and noise matching network, an ideal inductor is assumed. A real inductor has a finite resistor in series and our derivations hold true if noise of the associate resistor is negligible. The effect of noise from the series resistor of an inductor depends on the circuit topology and is pronounced for a parallel LC tank in series connecting to the network. Thus, in the simulation, the series resistor of inductor is set to be zero and then a monotonic increasing behavior for the appears as shown in Fig. 13. The in Fig. 13 while is almost the same as the in Fig. 9, as expected. The effect of finite resistance in an inductor is further elaborated in Fig. 14 by varying the resistor value of in simulating the . A series resistor can be represented as a parallel resistor of using , where . A small results in a high quality factor and a large . In the parallel resonant condition, only appears and affects the NF. A large

Fig. 15. Measured output power with respect to input power at: (a) 2.4 GHz and (b) 5 GHz of the 2.4/5-GHz single-voltage-supply CS-CD LNA.

degrades the NF. Thus, a resonant effect in the occurs. A small has a sharp spike in the , as shown in

HSIAO et al.: DESIGN OPTIMIZATION OF SINGLE-/DUAL-BAND FET LNAs USING NOISE TRANSFORMATION MATRIX

529

TABLE I PERFORMANCE COMPARISONS OF HEMT LNAs WITH INTEGRATED ON-CHIP INDUCTOR AT AROUND 2.4–5 GHz

Fig. 16. Measured supply current as a function of the supply voltage for 5-GHz single-band and 2.4/5-GHz single-voltage-supply CS-CD LNAs.

Fig. 14. In the case of , a delta spike appears in the and needs to be seen by very fine frequency resolution in Fig. 14. Power performance of the dual-band CS-CD LNA is shown in Fig. 15. and are 20 and 8.5 dBm at 2.4 GHz, as shown in Fig. 15(a); and are 18 and 4 dBm at 5 GHz, as shown in Fig. 15(b), respectively. The performance comparison of HEMT LNAs with integrated on-chip inductors around 2.4–5 GHz are summarized in Table I. In this paper, the undesired negative bias voltage for a depletion-mode pHEMT device is eliminated using the transistor-based self-biasing scheme. When the supply voltage of two demonstrated LNAs are higher than 2.2 V, the supply current shows a stable value, as shown in Fig. 16 and the noise performance also stays the same. Thus, the single-voltage-supply pHEMT LNAs are useful to boost up the receiver sensitivity. V. CONCLUSION Analytical noise formulas have been derived by cascading noise transformation matrices for both single- and dual-band inductively source-degenerated CS LNAs. From the derived analytical formulas, it is clear that an SNIM design can be achieved for a single-band LNA while a balanced noise performance at two input matching frequencies is the best design approach for a dual-band LNA. As a result, a 5-GHz single-band LNA with simultaneously noise and input match and a 2.4/5-GHz dual-band LNA with a balanced noise match at two input matching frequencies are successfully demonstrated, as shown in Figs. 9 and 13, respectively, using 0.15- m pHEMT technology.

and Fig. 17. (a) Noisy two-port network: the CS small-signal circuit with in (a) is replaced by two input noise . (b) Drain thermal noise current sources and .

APPENDIX A OMISSIONS OF AND IN THE SMALL-SIGNAL CIRCUIT FOR THE DERIVATION OF DEVICE NOISE PARAMETERS A CS small-signal model with and is shown in Fig. 17. The drain thermal noise current, , in Fig. 17(a), is represented by the input noise voltage, , and the input noise current, , in Fig. 17(b), respectively. The device noise parameters are independent of the output load, and thus a short-circuit load is employed in Fig. 17 to explain the omissions of and in the derivation of noise parameters. As shown in Fig. 17(a), the output current is equal to . By applying a short circuit at the gate in Fig. 17(a) and (b), the input noise voltage caused by the drain thermal noise current can be expressed as (A1) and by applying an open circuit at the gate in Fig. 17(a) and (b), the input noise current caused by the drain thermal noise current can be expressed as (A2) where . is normally about the same order of magnitude as . From (A1) and (A2), and are related by the following: (A3)

530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

input-referred noise voltage and an input-referred noise current , as shown in Fig. 18(a) [30], [31]. It is straightforward that (B1) and the inputSecondly, the input-referred noise voltage referred noise current associated with the matrix form of are transformed to an input-referred noise voltage and an output-referred noise voltage associated with the impedance matrix form of in Fig. 18(b) by the following expression [30], [31]: (B2) Fig. 18. Noisy two-port network enclosed by a noiseless two-port network in a series–series feedback configuration. Noise is represented by an: and an input-referred noise current of (a) input-referred noise voltage , (b) input-referred noise voltage , and output-referred noise voltage of , (c) input-referred noise voltage and output-referred noise voltage of , and (d) input-referred noise voltage and an input-referred noise of . current

Since is larger than and a device normally operates below the transistor cutoff frequency, the approximation results of (A1)–(A3) agree well with results of (14)–(16), respectively. It is obvious that does not affect the correlation between input noise voltage and input noise current . Thus, the effects of and on noise parameters are neglected in this paper.

and the noiseless shell of are in a seThirdly, since ries–series configuration, the input-referred noise voltage and the output-referred noise voltage associated with are also the input-referred noise voltage and the output-referred noise voltage associated with as expressed in (B2), where , as shown in Fig. 18(c). Finally, the input-referred noise voltage and the inputreferred noise voltage are transformed back to the inputreferred noise voltage and the input-referred noise current associated with the matrix form of shown in Fig. 18(d) by the following expression [30], [31]:

APPENDIX B NOISE TRANSFORMATION MATRIX OF LOCAL SERIES FEEDBACK AND CASCADE CONFIGURATIONS Local series feedback and cascade configurations are employed in the LNA topologies. In the previous literature [8], noise transformation matrices of local series feedback and cascade configuration are represented by -parameters of the constituent elements. It is difficult for formula derivations through the S-parameters representations. In this Appendix, noise transformation matrices of series–series feedback and cascade configurations are directly derived and represented in counterparts of -parameters to facilitate derivations of noise transformation matrices of adding a noiseless source degeneration inductor in the local series feedback configuration and cascading a single-/dual-band noiseless input matching network.

(B3)

Thus, the noise transformation matrix of a series–series feedback configuration is obtained from (B1)–(B3),

(B4) Equation (B4) can also be expressed by its constituent matrices of and in the following way through the relations of matrix elements and matrix elements,

1. Local Series Feedback Configuration A noisy two-port network denoted by the subscript is enclosed by a noiseless two-port network denoted by the subscript in a series–series feedback configuration, as shown in Fig. 18. When the series–series feedback network is a simple element such as a source degeneration inductor, as shown in Fig. 2(a), the topology is called as a local-series feedback. Here, the noise transformation matrix of a noiseless shell in a series–series feedback configuration is derived in a step-by-step process from Fig. 18(a)–(d). Firstly, the noisy two-port network is represented by a noiseless network in the matrix form of together with an

(B5)

2. Cascade Configuration A noiseless shell of is in cascade with a noisy two-port network denoted by subscript , as shown in Fig. 19(a), where the noisy two-port network is represented by a noiseless network in the matrix form of together with an inputreferred noise voltage and an input-referred noise current . The inner input-referred noise voltage and current ( and )

HSIAO et al.: DESIGN OPTIMIZATION OF SINGLE-/DUAL-BAND FET LNAs USING NOISE TRANSFORMATION MATRIX

Fig. 19. Noisy two-port network enclosed by a noiseless two-port network in a cascade configuration. Noise is represented by: (a) inner input-referred noise and an inner input-referred noise current , (b) outer input-referred voltage noise voltage , and an outer input-referred noise current .

in Fig. 19(a) are transformed to the outer input-referred noise voltage and current ( and ) in Fig. 19(b) through the noise transformation matrix. Apparently, the following two equations are obtained from Fig. 19(a) and (b), respectively: (B6) (B7) The terminal voltages and currents of lated as follows:

in Fig. 19(a) are re-

(B8) Noting that and in Fig. 19 and comparing (B7) with the result of substituting (B6) into (B8), the noise transformation matrix corresponding to the noiseless cascading shell is thus obtained as follows: (B9)

REFERENCES [1] K.-A. Hsieh, H.-S. Wu, K.-H. Tsai, and C.-K. C. Tzuang, “A dualband 10/24-GHz amplifier design incorporating dual-frequency complex load matching,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1649–1657, Jun. 2012. [2] J. Lee and C. Nguyen, “A concurrent tri-band low-noise amplifier with a novel tri-band load resonator employing feedback notches,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4195–4208, Dec. 2013. [3] N. M. Neihart, J. Brown, and X. Yu, “A dual-band 2.45/6 GHz CMOS LNA utilizing a dual-resonant transformer-based matching network,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 8, pp. 1743–1751, Aug. 2012. [4] X. Yu and N. M. Neihart, “Analysis and design of a reconfigurable multimode low-noise amplifier utilizing a multitap transformer,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1236–1246, Mar. 2013. [5] H. Hashemi and A. Hajimiri, “Concurrent multiband low-noise amplifiers—Theory, design, and applications,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 1, pp. 288–301, Jan. 2002. [6] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz, CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. MTT-32, no. 5, pp. 745–759, May 1997.

531

[7] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 5, pp. 1433–1442, May 2004. [8] K. Hartmann and M. J. O. Strutt, “Changes of the four noise parameters due to general changes of linear two port circuits,” IEEE Trans. Electron Devices, vol. ED-20, no. 10, pp. 874–877, Oct. 1973. [9] G. Vendelin, A. Pavio, and U. Rohde, Microwave Circuit Design using Linear and Nonlinear Techniques. New York, NY, USA: Wiley, 1990, pp. 86–88. [10] H. Statz, H. A. Haus, and R. A. Pucel, “Noise characteristics of gallium arsenide field-effect transistors,” IEEE Trans. Electron Devices, vol. ED-21, no. 9, pp. 549–562, Sep. 1974. [11] R. A. Pucel, H. A. Haus, and H. Statz, “Signal and noise properties of gallium arsenide field effect transistors,” in Advances in Electronics and Electron Physics, L. Morton, Ed. New York, NY, USA: Academic, 1975, vol. 38, pp. 195–265. [12] R. A. Pucel, D. J. Masse, and C. F. Krumm, “Noise performance of gallium arsenide field-effect transistors,” IEEE J. Solid-State Circuits, vol. SSC-11, no. 2, pp. 243–255, Apr. 1976. [13] H.-W. Chiu, S.-S. Lu, and Y.-S. Lin, “A 2.17-dB NF 5-GHz-band monolithic CMOS LNA with 10 mW power consumption,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 3, pp. 813–824, Mar. 2005. [14] S.-S. Lu and H.-W. Chiu, “Authors’ reply to ‘Comments on a 2.17-dB NF 5-GHz-band monolithic CMOS LNA with 10 mW power consumption’,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2472–2473, Oct. 2009. [15] H. Morkner, M. Frank, and B. Ingram, “A novel 3 V, 7 mA pHEMT GaAs active MMIC mixer/LNA for wireless applications,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1995, pp. 29–32. [16] B. Razavi, Design of Analog CMOS Integrated Circuits. New York, NY, USA: McGraw-Hill, 2001, pp. 221–224. [17] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuit, Second ed. Cambridge, U.K.: Cambridge Univ. Press, 2004, pp. 364–384. [18] J. Lu and F. Huang, “Comments on “CMOS low-noise amplifier design optimization techniques”,” IEEE Tran. Microw. Theory Techn., vol. 54, no. 7, pp. 3155–3155, Jul. 2006. [19] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “Authors’ reply to ‘Comments on CMOS low-noise amplifier design optimization techniques’,” IEEE Tran. Microw. Theory Techn., vol. 54, no. 7, pp. 3155–3156, Jul. 2006. [20] N.-J. Oh, “Corrections to ‘CMOS low-noise amplifier design optimization techniques’,” IEEE Tran. Microw. Theory Techn., vol. 55, no. 6, pp. 1255–1255, Jun. 2007. [21] A. van der Ziel, Noise in Solid State Devices and Circuits. New York, NY, USA: Wiley, 1986, pp. 88–90. [22] K. Han et al., “Complete high-frequency thermal noise modeling of short-channel MOSFETs and design of 5.2-GHz low noise amplifier,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 726–735, Mar. 2005. [23] J. Jeon, S. Kim, I. M. Kang, K. Han, K. Lee, and H. Shin, “Analytical thermal noise model suitable for circuit design using short-channel MOSFETs,” in IEEE RFIC Symp., 2005, pp. 637–640. [24] V. H. Lee, S.-K. Han, J.-S. Lee, and S.-G. Lee, “Current-reused ultra low power, low noise amplifier+mixer,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 755–757, May 2009. [25] B. Razavi, Design of Analog CMOS Integrated Circuits. New York, NY, USA: McGraw-Hill, 2001, pp. 365–366. [26] Y.-J. Lin, S. H. Hsu, J.-D. Jin, and C. Y. Chan, “A 3.1–10.6 GHz ultrawideband CMOS low noise amplifier with current-reused technique,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 232–234, Mar. 2007. [27] S.-E. Shih et al., “Design and analysis of ultra wideband GaN dual-gate HEMT low-noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3270–3277, Dec. 2009. [28] O. Tang et al., “An ultra-low DC power ultra-flat multi-octave MHEMT LNA MMIC,” in IEEE GaAs IC Symp. Tech. Dig., Nov. 2000, pp. 147–150. [29] B. Y. Ma et al., “InAs/AlSb HEMT and its application to ultra-low-power wideband high-gain low-noise amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4448–4455, Dec. 2006. [30] G. Vendelin, A. Pavio, and U. Rohde, Microwave Circuit Design using Linear and Nonlinear Techniques. New York, NY, USA: Wiley, 1990, pp. 77–79. [31] G. Gonzalez, Microwave Transistor Amplifiers Analysis and Design, Second ed. Upper Saddle River, NJ, USA: Prentice-Hall, 1997, pp. 485–489.

532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Yu-Chih Hsiao was born in New Taipei City, Taiwan, in 1985. He received the B.S. degree in electric engineering from Huafan University, New Taipei City, Taiwan, in 2008, the M.S. degrees in electric engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2010, and is currently working toward the Ph.D. degree in electrical and computer engineering at National Chiao Tung University, Hsinchu, Taiwan. His current research is focused on microwave and millimeter-wave integrated circuits.

Chinchun Meng (A’02–M’03) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1985, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), Los Angeles, CA, USA, in 1992. He is currently a Full Professor with the Department of Electrical and Computer Engineering, National Chiao Tung University, Hsinchu, Taiwan. His current research interests are in the areas of RF integrated circuits and microwave and millimeter-wave integrated circuits.

Chun Yang was born in Taipei, Taiwan, in 1985. He received the B.S. degree in electrical engineering from Chang Gung University, New Taipei City, Taiwan, in 2009, and the M.S. degree in electrical and computer engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2011. His research interests are in the areas of RF circuits and analog circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

533

A Wideband Analog-Controlled Variable-Gain Amplifier With dB-Linear Characteristic for High-Frequency Applications Hang Liu, Chirn Chye Boon, Senior Member, IEEE, Xiaofeng He, Xi Zhu, Xiang Yi, Member, IEEE, Lingshan Kong, and Michael C. Heimlich, Senior Member, IEEE

Abstract—A higher frequency, over 2 GHz, is suggested for current 4G or 5G wideband applications. By adopting a unique gain control method, an analog-controlled variable-gain amplifier (VGA) with an accurate dB-linear characteristic is presented. The designed VGA not only features large bandwidth, but also has accurate gain adjustment with a relatively wide control voltage range. The VGA has a measured gain range of 24 dB, of which 17.3 dB is dB-linear with less than 0.3-dB gain error. The 3-dB bandwidth is relatively constant from 2 to 2.2 GHz for the entire dB-linear range. An output 1-dB compression point of 1.8 dBm and a noise figure of 24 dB are measured. Due to the simple structure, the current consumption of the VGA core is only 2.9 mA from a 1.2-V supply, and the size is only 225 m 45 m, excluding pads. Moreover, the robustness of the designed VGA is verified by means of Monte Carlo simulation. Index Terms—Cell-based, CMOS, dB-linear variable-gain amplifier (VGA), inductorless, low-power application, millimeter-wave.

I. INTRODUCTION

O

VER THE last decade, research on millimeter-wave integrated-circuit designs has attracted tremendous attention. In particular, the usage of the unlicensed 9-GHz band from

Manuscript received August 01, 2015; revised October 14, 2015; accepted December 22, 2015. Date of publication January 18, 2016; date of current version February 03, 2016. This work was supported by the Singapore Ministry of Education Academic Research Fund Tier 2 (MOE2012-T2-2-098). The work of X. Zhu was supported by the Australia Research Council under Grant LP130100734 and Grant DE160101032. H. Liu was with VIRTUS, School of Electrical Electronic Engineering, Nanyang Technological University, Singapore 639798. He is now with the Institute of Microelectronics, Agency for Science, Technology and Research (A*STAR), Singapore, 138635 (e-mail: [email protected]). C. C. Boon, X. Yi, and L. Kong are with VIRTUS, School of Electrical Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). X. He was with VIRTUS, School of Electrical Electronic Engineering, Nanyang Technological University, Singapore 639798. He is now with the Huawei Technologies Company Ltd., Singapore 117674. X. Zhu was with VIRTUS, School of Electrical Electronic Engineering, Nanyang Technological University, Singapore 639798. He is now with the Department of Engineering, Macquarie University, Sydney, N.S.W. 2109, Australia (e-mail: [email protected]). M. C. Heimlich is with the Department of Engineering, Macquarie University, North Ryde, N.S.W. 2109, Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2513403

57 to 66 GHz is emerging as a dominant force for short-range high-data-rate wireless communication [1]. To achieve the required dynamic range, both the RF front-end and the analog baseband stage need to have a gain tuning capability [2]. At the RF front-end, a digitally controlled tuning scheme is preferred because it is usual to only have coarse gain tuning, such as highgain and low-gain modes [1], [2]. Fine-gain tuning should then be provided at the analog baseband by means of variable-gain amplifiers (VGAs) [2]–[5]. Although few designs of high-frequency VGAs in SiGe BiCMOS have been published in the literature [6], [7], designing a robust VGA with accurate gain adjustment in a CMOS technology operating in the GHz range with a limited budget of power consumption and die area are still challenging, in particular, if an accurate dB-linear characteristic is required. Among recent published high-frequency VGAs with an accurate dB-linear characteristic, digitally controlled VGAs are dominated [2]–[6], [8]; only a few are analog-controlled ones [7], [9]. In [7], an automatic-gain-control amplifier in 0.13- m SiGe BiCMOS technology is presented. By taking advantage of HBT devices, the designed amplifier can operate up to 5 Gb/s. However, SiGe BiCMOS technology does not aim for low-power low-cost applications. For low-power applications, it is still preferable to design the system in a CMOS technology. Thus, an analog-controlled VGA in 90-nm CMOS technology is presented in [9]. By fully utilizing low-threshold-voltage devices, this VGA features a low power, while a relatively large voltage gain range is also achieved. However, the gain of the designed VGA does not show an accurate dB-linear characteristic. To achieve accurate gain adjustment through analog control, an exponential-like function is usually adopted. Therefore in this work, a unique, but robust, gain adjustment method along with a gain peaking technique in an analog-controlled VGA design is presented. Consequently, the designed VGA not only shows accurate dB-linear characteristic, but also features wideband and compact size. This paper is organized as follows. In Section II, an overview of wideband amplifier design and its cell-based design strategy is given. The design of an accurate dB-linear unit cell with bandwidth extension is presented in Section III. The overall VGA architecture and other key building blocks are presented in Section IV. The measurement results of the proposed VGA are summarized in Section V. Finally, a conclusion is presented in Section VI.

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

CALCULATED

AND AND

TABLE I VALUES FOR GHz

dB

II. OVERVIEW OF WIDEBAND AMPLIFIER DESIGN CELL-BASED DESIGN STRATEGY

AND

One of the design challenges for a wideband amplifier is that the operation frequency of the amplifier is limited by the parasitic capacitance at the output. The pros and cons of different wideband amplifier topologies have been well analyzed in the literature [10], [11]. To extend the bandwidth of the amplifier, several classical approaches have been presented in the literature [10], [11]. However, none of these approaches can be directly used to control a VGA with accurate dB-linear characteristic because there is no exponential relationship between the voltage gain and the control voltage. Due to the limited gain-bandwidth product of the active device, the gain of a single-stage wideband amplifier is usually limited. To enhance the gain, the single-stage amplifier can be used as a cell, so that several identical cells can be cascaded to achieve the required gain. Such a cell-based design strategy has often been used for wideband amplifier design [10]. The advantage of adopting the cell-based design strategy into the wideband VGA design is that the gain of each unit cell can be traded for bandwidth, which significantly reduces the design challenge of the unit cell. Consequently, there is more space for implementation of an accurate dB-linear characteristic. If each gain stage is identical and has a bandwidth of , the overall bandwidth of cascaded stages is [10] (1) in dB, the achieved If the gain of each cell is defined as gain range of the cascaded system can be written as (2) dB and GHz are targeted, the calIf culated and requirements for each unit cell are shown in Table I, where is the number of cascaded cells. As can be seen from Table I, the required gain of each cell is relatively low if multiple stages can be cascaded. This is a great advantage for the design of a high-gain wideband amplifier in a deep-submicrometer technology. Due to the short-channel effect, it is difficult to achieve a high dc gain for an amplifier without any gain compensation technique [12]. To compensate the gain of the amplifier, neither adding negative resistance to the output nodes, nor using stacked transistors would be ideal for the requirements of low voltage, low power, and wideband. To extend the bandwidth of the unit cell, a simple structure is preferred. Another advantage of cascading more cells is that the

bandwidth variation of each cell has a limited impact on the overall bandwidth variation of the cascaded amplifier, which is extremely important for a wideband VGA design. However, such a cell-based design strategy still faces some great challenges. In particular, a dB-linear characteristic is required for accurate gain adjustment. First of all, the gain error of each unit cell needs to be extremely small so that the accumulated gain error can be maintained within a reasonable range. For example, if the overall gain error of a ten-cell VGA needs to be less than 1 dB, then the gain error of the unit cell needs to be less than 0.1 dB. Secondly, since a very wideband cell is required due to the gain-bandwidth tradeoff, as shown in Table I, then some techniques must be employed to extend the bandwidth of the unit cell. Thirdly, a voltage-to-voltage or a voltage-to-current converter needs to be applied to generate exponential-like function so that the accurate dB-linear characteristic can be achieved. However, such a convertor has additional parasitic capacitance, which could limit the bandwidth of the overall VGA [13]–[16]. In [17] and [18], we have presented cell-based VGAs with accurate dB-linear characteristic. However, the bandwidth of that VGA is limited to 150 MHz due to the associated parasitic capacitance at the output. Thus, again, some novel techniques need to be employed to balance the requirements of large bandwidth and accurate dB-linear characteristic. Finally, the power consumption as well as the die area of the unit cell needs to be minimized, as these parameters will also be multiplied directly by the number of stages. Therefore, a simple, but robust structure should be used for the unit-cell implementation. III. DESIGN

dB-LINEAR UNIT CELL WITH EXTENDED BANDWIDTH

OF THE

A. Choice of Device Length for a Robust Design To ensure the robustness of the design, the length of the device needs to be carefully selected, rather than simply choosing the minimum length. However, using the minimum length could minimize the power consumption as well as maximize the bandwidth due to the reduced parasitic capacitance. Therefore, there are tradeoffs between low voltage, low power, large bandwidth, and robustness. The simulated and variation for three values of 0, 0.25, and 0.7 V, which is the dc condition for transistors , , and , is shown in Fig. 1. It can be seen from Fig. 1(a)–(c) that reduces with increased length of the device. On the other hand, as shown in Fig. 1(d), the minimum variation of across the process variations is a device length of 120 nm. Therefore, there is another tradeoff between the threshold voltage and its variation across different processes. In this design, a device length of 150 nm is selected as a compromise between low and small variation. B. Unit Cell With Accurate dB-Linear Characteristic The schematic of the proposed unit cell and its low-frequency equivalent circuit at the load is shown in Fig. 2. As illustrated, and are the input differential transistors. is the tail current source, which provides the bias conditions. To achieve a dB-linear characteristic, n-MOS load transistors and are

535

LIU et al.: WIDEBAND ANALOG-CONTROLLED VGA WITH dB-LINEAR CHARACTERISTIC FOR HIGH-FREQUENCY APPLICATIONS

As the n-MOS load transistors and sub-threshold region, the transconductance pressed as

are biased in the can be ex-

(7) and Meanwhile, the other two n-MOS load transistors are biased in the saturation region, and the transconductance can be expressed as (8) Differentiating regard to ,

with regard to

and

with

(9) Fig. 1. for various device lengths with: (a) V, (b) V, (c) V, and (d) variation with typical–typical (TT), fast–fast (FF), and slow–slow (SS) process corners.

(10) Substituting (6), (9), and (10) into (4) leads to

As current

and

(11) are biased in the sub-threshold region, the can be expressed as (12)

Fig. 2. Schematic of the unit cell and its low-frequency equivalent circuit at the load.

is the sub-threshold base current and is the gate where coupling coefficient, which can be taken as 0.7 throughout the sub-threshold region. Differentiating with regard to gives the expression of as

biased in the sub-threshold region, while n-MOS load transistors and are biased in the saturation region. By inspection, the gain is derived as (13) (3) where is the transconductance of the relevant transistor. Equation (3) can be rewritten to include the changes in as (4) The bias conditions of the input differential-pair transistors and are fixed at all times, thus the current relationship between and can be expressed as (5) and thus, (6)

The control voltage is applied at , which is the gate terminal of the n-MOS load transistors and . The overall gain is close to an inverse exponential function for an appropriate range. To further elaborate this viewpoint, in Fig. 3(a) and (b) , the gain of the unit cell is plotted as a function of with different widths of and , respectively. As can be seen from Fig. 3, by carefully selecting the size of the device, , the gain range and gain error can be optimized. The design procedure of the unit cell with accurate dB-linear characteristic can be summarized as follows. Firstly, given the required power consumption, the sizes of the input transistors and can be chosen. Secondly, a selection of the sizes of and can be made based on the required gain of the unit cell. Finally, the sizes of and can be optimized accordingly so that an accurate dB-linear characteristic can be achieved.

536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 3. Comparison of the simulated and theoretical calculated gain character: (a) with swept width of and istic of the unit cell as a function of . (b) with swept width of

Fig. 4. Schematic of: (a) classical gate-peaking technique, (b) modified gatepeaking technique, and (c) unit cell with bandwidth extension, W/L in m.

C. Unit Cell With Extended Bandwidth The schematic of a classical gate-peaking technique, its modified version, and the proposed high-frequency unit cell are shown in Fig. 4(a)–(c), respectively. The fixed resistor is replaced by a p-MOS transistor operating in the triode region, as shown in Fig. 4(b) and (c). To minimize the design complexity of the unit cell as well as effectively control the gain peaking, a control–voltage generator is designed and is presented in Section IV-C. In this way, can be generated, and dynamically follows the variation of so that the peaking can be effectively controlled. The impedance looking into the source of , , can be expressed as (14) Substituting this as

in (3) gives (15)

It can be seen that one zero is introduced in (15), due to the existence of the gate resistor, . The corner angular frequency of the zero is . By observation, the dominant pole of the output node, , is expressed as (16) where

is the total capacitance at the output node.

Fig. 5. Simulated frequency responses of the unit cell with different widths of .

The idea behind (14)–(16) is to demonstrate how the gatepeaking technique can be used to extend the bandwidth of the unit cell. To further elaborate this idea so that the reader can understand the presented design method, the simulated frequency responses of a unit cell with different widths of are given in Fig. 5. As the devices, , are biased in the triode region, changing the width of will change the equivalent resistance at the gate terminals, which effectively affect As a result, the bandwidth of the unit cell can be extended. As illustrated in Fig. 5, the larger the device’s width, the greater bandwidth can be achieved, at a cost of increased gain ripple within the passband. In practice, the gain ripple must be controlled within an acceptable range, which is required to be less than 1 dB for our targeted application [2]. In addition, the variation in gain ripple will result in a significant dB-linear gain error at the

537

LIU et al.: WIDEBAND ANALOG-CONTROLLED VGA WITH dB-LINEAR CHARACTERISTIC FOR HIGH-FREQUENCY APPLICATIONS

Fig. 6. Simulated frequency responses of the optimized unit cell.

Fig. 7. Overall block diagram of proposed 11-cell VGA.

frequency where the gain peaks, thus there is a design tradeoff between the bandwidth and gain ripple. Another resistor is added at the drain node of and to set the low-frequency gain. Simulation results show that a relatively large can increase the gain variation range; thus a large will force the load transistors and into the triode region. However, the dB-linear gain error will deteriorate. The simulated frequency responses for the optimized unit cell that is used for the cascaded VGA design are shown in Fig. 6. The simulated bandwidth of the unit cell is around 8 GHz, with a dB-linear gain range of 1.6 dB. Moreover, the designed unit cell only consumes 200 A from a 1.2-V power supply. IV. OVERALL VGA ARCHITECTURE AND OTHER KEY BLOCKS A. Overall VGA Architecture Based on the calculations shown in Table I, as well as the discussions given in the previous sections, the maximum number of cascaded cells to guarantee a 2-GHz bandwidth with a reasonable dB-linear gain range is 11. The block diagram of the proposed 11-cell VGA is depicted in Fig. 7. As shown in Fig. 7, refers to the unit cell discussed in the previous section. The other cell, , is a modified version of the unit cell that is used for dc-offset cancellation. FB is the dc-offset-cancellation feedback circuit. The gain of the VGA is directly controlled by voltage . The required control voltages, and , are automatically generated by the control–voltage generator circuit. To further boost the gain, the VGA is followed by a fixed-gain amplifier, as well as a buffer for the purpose of measurement. B. DC-Offset-Cancellation Circuit To stabilize the dc condition, two feedback loops are used for dc-offset cancellation. A similar approach is adopted in [9],

Fig. 8. DC-offset-cancellation circuit: (a)

, (b) FB block, W/L in m.

Fig. 9. Control–voltage generation circuit.

where a more detailed analysis can be found. The feedback loop consists of and a feedback cell FB. The schematic of is shown in Fig. 8(a). In this cell, the main amplifying transistor pair is evenly divided into two parts, and , while the dc conditions are kept the same as for . is for the signal input and is for the dc-offset feedback input. The schematic of FB is shown in Fig. 8(b). The input RC low-pass filter is realized by two cascaded p-MOS transistors in series serving as a resistor, and one n-MOS transistor serving as a capacitor. In this way, large resistance and capacitance can be realized with very small area. The simulated 3-dB cutoff frequency is around 400 kHz. C. Control–Voltage Generator The schematic of the control–voltage generation circuit is depicted in Fig. 9. is required to achieve an accurate dB-linear gain Since adjustment and the range of is only limited to 200 mV, it needs to be scaled up to full swing for easier and more accurate

538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 12. Comparison of the calculated, simulated, and measured gain characat low frequency. teristics of the overall VGA as a function of

Fig. 10. Schematics of fixed-gain amplifier and buffer, W/L in m.

The designed VGA is robust enough against process variation as well as device mismatch. This is mainly for the following reasons. First of all, the existence of the dc-offset-cancellation circuit can minimize effects caused by device mismatch. Secondly, as demonstrated in Section III-B, a proper selection of device sizes helps to minimize the variation of threshold voltage. Finally, the unit cell is constructed almost as an all n-MOS design, with p-MOS transistors only serving as variable resistors. The variations in gain at the highest gain setting and at the lowest gain setting are 6.8 and 4 dB, respectively. Fig. 11. Simulated gain characteristic of the VGA with TT, FF, SS process corners, and Monte Carlo simulation of 100 runs.

tuning and measurement. By optimizing the gain range and gain error, the relationship between and in this case can be expressed as (17) is reAs previously discussed, a dynamically controlled quired to minimize the gain ripple variation. To achieve this goal, a source follower with a source resistance of is adopted to generate the control voltage Consequently, both control voltages, and , can be correlated to . D. Fixed-Gain Amplifier and Buffer For the standalone VGA measurement purpose only, a buffer is used for the VGA to drive a low-impedance load. The schematics of the fixed-gain amplifier and the buffer are shown in Fig. 10. This amplifier provides a fixed gain of 10.5 dB to compensate for the buffer loss so that the resultant lowest gain can be boosted from 10.5 to 0 dB. A differential buffer is designed and added as the last stage. The buffer is simulated as having a loss of 10.8 dB at all frequencies. E. Monte Carlo Simulation To demonstrate the robustness of the designed VGA, a Monte Carlo simulation was performed. The simulated gain characteristic of the designed VGA with the Monte Carlo simulation results of 100 runs is shown in Fig. 11. In addition, the typical, fast, and slow corners are also given in the figure as benchmarks.

V. MEASUREMENT RESULTS To verify the proposed structure, the VGA shown in Fig. 7 is fabricated in Globalfoundries’ 65-nm CMOS technology. The on-wafer measurement is performed in a way that was presented in [6]. To generate the differential signals, two two-port VNAs are combined (Agilent E8364B). A 100- resistor is placed across the differential inputs to provide standard 50- input impedance matching for the standalone VGA measurement. In addition, the buffer loss has been de-embedded in the measurement. The calculated, simulated, and measured dB-linear gain characteristic as well as the measured gain error of the proposed VGA at low frequency are presented in Fig. 12. As illustrated, a measured gain range of 24.0 dB is achieved for from 0.2 to 1 V. Moreover, the measured dB-linear gain error is less than 0.3 dB. To demonstrate the wideband characteristic, both the simulated and measured frequency responses of the designed VGA with various values are given in Fig. 13. As can be seen, the minimum and maximum bandwidth for the VGA is from 2 to 2.2 GHz with only about 200 MHz of bandwidth variation. The gain flatness within the passband is better than 1 dB. The difference between the simulated and measured results is mainly due to the process variation of the p-MOS devices that are used as gain peaking. The measured output 1-dB compression point and noise figure (NF) of the VGA at 1 GHz are shown in Fig. 14. The output is from 1.8 to 4 dBm and the NF is from 24 to 29 dB, when the VGA is tuned from the highest gain setting to the lowest. Measurements also show that the dc current of the VGA core is only 2.9 mA, and the current of the buffer is 5.4 mA, both from a 1.2-V power supply.

LIU et al.: WIDEBAND ANALOG-CONTROLLED VGA WITH dB-LINEAR CHARACTERISTIC FOR HIGH-FREQUENCY APPLICATIONS

539

TABLE II PERFORMANCE COMPARISONS WITH OTHER STATE-OF-THE-ART DESIGNS FOR HIGH-FREQUENCY APPLICATIONS

Fig. 13. Simulated and measured frequency responses of the VGA with swept .

Fig. 14. Measured output

and NF of the VGA at 1 GHz.

has a greater gain range and less power consumption than our circuit, that VGA does not have an accurate dB-linear characteristic, which is the most critical requirement for the analog-controlled VGA design. Moreover, to ensure the designed VGA is insensitive to process variations, we intentionally leave sufficient design margin. In this case, approximately 40% more power than what has to be consumed. VI. PERFORMANCE SUMMARY AND CONCLUSION

Fig. 15. Layout and die photograph of the VGA.

Fig. 15 shows the layout and a die photograph of the VGA. The designed VGA is very compact and the size with pads excluded is only 225 m 45 m. It should be noted that the die photograph is upside down. The top-row pads are used for other test structures, which are not used for the designed VGA. A performance summary of the designed VGA is given in Table II. For fair comparisons, only CMOS VGAs that have a bandwidth in the GHz range are included in the comparisons. In [4]–[6], the designed VGAs are all digitally controlled. To achieve a gain resolution of approximately 1 dB, all of them require at least 5 bits of digital control code. In contrast, our designed analog-controlled VGA can achieve a gain resolution of approximately 0.3 dB. Since a channel-selection filter is not included in our circuit, it is difficult to provide an apple-toapple comparison in terms of power consumption. The 3.5-mW overall power consumption of the designed VGA leaves a reasonable power budget for the design of a low-power channel-selection filter [12]. Although the analog-controlled VGA in [9]

The design of a wideband analog-controlled VGA with accurate gain adjustment for high-frequency applications is presented. To justify the tradeoff between gain and bandwidth, a system-level analysis for the gain and bandwidth requirements of the unit cell is given. Based on the analysis, a simple, yet robust unit cell is presented. This unit cell adopts a unique gaincontrol method, which can accurately adjust the gain of the VGA without compromising any other performance. To verify the concept, the VGA is fabricated in a standard 65-nm CMOS technology. The measurement results show that the bandwidth of the VGA is greater than 2 GHz, while less than 3.5 mW is consumed from a 1.2-V supply. Moreover, a dB-linear gain range of 17.3 dB with only 0.3-dB gain error is achieved. The presented VGA is suitable for analog baseband processing in many applications that require low power consumption and large bandwidth. REFERENCES [1] B. Razavi, RF Microelectronics, ser. Commun. Eng. Emerg. Technol., 2nd ed. Upper Saddle River, NJ, USA: Prentice-Hall, 2011. [2] A. Siligaris et al., “A 65-nm CMOS fully integrated transceiver module for 60-GHz wireless HD applications,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 3005–3017, Dec. 2011. [3] V. Szortyka, K. Raczkowski, M. Kuijk, and P. Wambacq, “A 42 mW wideband baseband receiver section with beamforming functionality for 60 GHz applications in 40 nm low-power CMOS,” in IEEE RFIC Symp., 2012, pp. 261–264. [4] S. D’Amico, A. Spagnolo, A. Donno, P. Wambacq, and A. Baschirotto, “A 9.5 mW analog baseband RX section for 60 GHz communications in 90 nm CMOS,” in IEEE RFIC Symp., 2011, pp. 1–4. [5] M. Hosoya, T. Mitomo, and O. Watanabe, “A 900-MHz bandwidth analog baseband circuit with 1-dB step and 30-dB gain dynamic range,” in Proc. IEEE ESSCIRC, Sep. 2010, pp. 466–469.

540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

[6] T. B. Kumar, K. Ma, and K. S. Yeo, “Temperature-compensated dB-linear digitally controlled variable gain amplifier with dc offset cancellation,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2648–2661, Jul. 2013. [7] C. Liu et al., “A 5-Gb/s automatic gain control amplifier with temperature compensation,” IEEE J. Solid-State Circuits, vol. 47, no. 6, pp. 1323–1333, Jun. 2012. [8] S. Y. Kang, S. T. Ryu, and C. S. Park, “A precise decibel-linear programmable gain amplifier using a constant current-density function,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2843–2850, Sep. 2012. [9] Y. Wang, B. Afshar, Y. Lu, V. C. Gaudet, and A. M. Niknejad, “Design of a low power, inductorless wideband variable-gain amplifier for highspeed receiver systems,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 4, pp. 696–707, Apr. 2012. [10] S. Galal and B. Razavi, “10-Gb/s limiting amplifier and laser/modulator driver in 0.18- m CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2138–2146, Dec. 2003. [11] E. M. Cherry and D. E. Hooper, “The design of wide-band transistor feedback amplifiers,” Proc. Inst. Elect. Eng., vol. 110, no. 2, pp. 375–389, Feb. 1963. [12] P. Wambacq, V. Giannini, K. Scheir, W. V. Thillo, and Y. Rolain, “A fifth-order 880 MHz/1.76 GHz active lowpass filter for 60 GHz communications in 40 nm digital CMOS,” in Proc. IEEE ESSCIRC, 2010, pp. 350–353. [13] I. Choi, H. Seo, and B. Kim, “Accurate dB-linear variable gain amplifier with gain error compensation,” IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 456–464, Feb. 2013. [14] H. Elwan, A. Tekin, and K. Pedrotti, “A differential-ramp based 65 dB-linear VGA technique in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2503–2514, Sep. 2009. [15] H. D. Lee, K. A. Lee, and S. Hong, “A wideband CMOS variable gain amplifier with an exponential gain control,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 6, pp. 1363–1373, Jun. 2007. [16] Q. H. Duong, Q. Le, C. W. Kim, and S. G. Lee, “A 95-dB linear low-power variable gain amplifier,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 8, pp. 1648–1657, Aug. 2006. [17] H. Liu, X. Zhu, C. C. Boon, and X. He, “Cell-based variable-gain amplifiers with accurate dB-linear characteristic in 0.18 m CMOS technology,” IEEE J. Solid-State Circuits, vol. 50, no. 2, pp. 586–596, Feb. 2015. [18] H. Liu, X. Zhu, C. C. Boon, and X. He, “A 71 dB 150 W variablegain amplifier in 0.18 m CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 334–336, May 2015. Hang Liu received the B.E. degree in electronics (Hons.) and Ph.D. degree in electrical engineering from Nanyang Technological University (NTU), Singapore, in 2011 and 2015, respectively. In 2011, he joined NTU, as a Project Officer with focus on RF integrated circuit (IC) design. In 2015, he joined the A*STAR Institute of Microelectronics, Singapore, as a Research Scientist with the Integrated Circuits and Systems Division, where he is focused on wireless IC designs. He specializes in the areas of RF circuits and systems design with a main focus on oscillator and power amplifier designs in both CMOS and GaN. He also specializes in analog baseband designs (variable gain amplifiers and filters) and mixed signal designs (direct digital-to-RF converters). Chirn Chye Boon (M’09–SM’10) received the B.E. degree in electronics (Hons.) (Elect.) and Ph.D. degree in electrical engineering from Nanyang Technological University (NTU), Singapore, in 2000 and 2004, respectively. He is currently an Associate Professor with NTU and the Programme Director of the S$50 millions research centre of excellence, VIRTUS, NTU. He specializes in the areas of RF and millimeter-wave circuits and systems design for imaging and communications applications. He has coauthored over 100 refereed publications and several patents and books in the fields of RF and millimeter wave.

Dr. Boon is an Associate Editor for the IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS. He was the recipient of the Year-2 Teaching Excellent Award, Electrical and Electronic Engineering, NTU. He was selected as an IEEE ELECTRON DEVICES LETTERS Golden Reviewer. Xiaofeng He was born in Fuzhou, China. He received the B.S. degree in electronic information science and technology from the University of Electronic Science and Technology of China, Chengdu, China, in 2007, and the Ph.D. degree in microelectronics and solidstate electronics from the Institute of Microelectronic of Chinese Academy of Sciences, Beijing, China, in 2012. Since Summer 2008, he was an RF Integrated Circuit (IC) Design Engineer (co-op) with the Hangzhou Zhongke Microelectronics Company, Hangzhou, China. In December 2013, he was with the Radar Group, Nangyang Technology University, Singapore, where he was involved with wideband transceivers for radar applications. Since January 2014, he has been with the RF Group, Huawei Corporation, Singapore. His research interests include high-speed/RF circuits. Xi Zhu received the B.E. (Hons.) and Ph.D. degrees from the University of Hertfordshire (UH), Hertfordshire, U.K., in 2005 and 2008, respectively. In 2013, he was a Research Fellow with the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), Singapore. He is currently a Lecturer with the Department of Engineering, Macquarie University, Syndey, N.S.W., Australia. He has coauthored over 30 refereed publications. He holds one patent. His research activities mainly involve the areas of analog baseband, RF, and millimeter-wave circuits and systems designs. Xiang Yi (S’11–M’13) received the B.E. degree from the Huazhong University of Science and Technology (HUST), Wuhan, China, in 2006, the M.S. degree from the South China University of Technology (SCUT) Guangzhou, China, in 2009, and the Ph.D. degree from the Nanyang Technological University (NTU), Singapore, in 2014. He is currently a Research Fellow with NTU. His research interests include RF and millimeter-wave frequency synthesizers and transceiver systems. Mr. Yi is a Technical Reviewer for several IEEE journals and conferences. He was the recipient of the IEEE ISSCC Silkroad Award and the IEEE Solid-State Circuits Society SSCS Travel Grant Award and in 2013. Lingshan Kong received the B.Eng. degree in electrical and electronic engineering from Nanyang Technological University (NTU), Singapore, in 2014, and is currently working toward the Ph.D. degree at NTU. Her research interests are focused on analog baseband design and wireline backplane transceiver design.

Michael C. Heimlich (M’84–SM’13) received the B.S., M.E., and Ph.D. degrees from the Rensselaer Polytechnic Institute, Troy, NY, USA, in 1985, 1987, and 1992, respectively, all in electrical engineering. He is currently a Core Professor with the Department of Engineering, Macquarie University, North Ryde, N.S.W., Australia.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

541

A 90-nm CMOS V-Band Low-Power Image-Reject Receiver Front-End With High-Speed Auto-Wake-Up and Gain Controls Jian-Yu Hsieh, Tao Wang, and Shey-Shi Lu, Senior Member, IEEE

Abstract—A low-power auto-wake-up image-reject receiver front-end in 90-nm CMOS technology is presented for V-band applications. The proposed front-end generally operates in the sleep mode and consumes 19 mW. When an RF signal greater than 50 dBm is received, the front-end wakes up automatically and enters into the active mode consuming only 46-mW power. of the front-end is provided by Adjustable linearity changing two gain modes (high- and low-gain modes). When input RF power is higher than 30 dBm, will be improved (from of high-gain mode to low-gain mode). Experiments show 25.2 and 22.5 dBm in high- and low-gain modes, respectively. An image-reject ratio greater than 32 dB is measured when using the proposed image-reject mixer topology. All passive phase-shift couplers for realizing the image-rejection are composed of standard CMOS lumped elements, thereby considerably reducing the chip size (0.82 mm ). Index Terms—Auto-wake-up low-power image-reject receiver, envelope detector. lumped-element phase-shift couplers, zero-

I. INTRODUCTION

V

-BAND (57.2–65.8 GHz) has drawn significant attention in short-distance and high-speed wireless communication because of its wide unlicensed bandwidth [1], capable of fast data transmission. A data rate higher than 3 Gb/s is sufficiently fast to transmit uncompressed real-time full high-definition (full-HD) video streams [2]. However, wireless transceivers in this frequency range consume considerable power [3], [4]. Techniques to decrease the power consumption of wireless transceivers in this band have been discussed broadly [5], [6]. Common power reduction techniques involve advanced semiconductor processes, low threshold voltages [7], and so on. In contrast, auto-wake-up operation, another approach for realizing low power consumption in a low gigahertz frequency Manuscript received July 05, 2015; revised October 31, 2015; accepted December 12, 2015. Date of publication January 06, 2016; date of current version February 03, 2016. This work was supported in part by the Ministry of Science and Technology of Taiwan (MOST) under Contract MOST 1032221-E-002-263. J.-Y. Hsieh and S.-S. Lu are with the Electrical Engineering Department, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]; [email protected]). T. Wang is with the Electronic Engineering Department, Chang Gung University, Taoyuan City 333, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2510655

range [8], saves power by switching circuits between sleep and active modes. A conventional auto-wake-up operation system requires two receivers at different frequencies; one for data reception and the other for wake-up command reading [8]. To complete the auto-wake-up function, several circuit components such as a micro-control unit, an analog-to-digital convertor (ADC), and switches are required. Moreover, the conventional auto-wake-up topology needs multiple antennae and occupies a large chip area. The complexity of such a system also results in a long response time, which is detrimental to high data-rate transmission. To address these drawbacks, we propose a fast auto-wake-up topology that adopts a high-speed wake-up and gain control unit (WGU) to reduce the response time and power consumption. The data and wake-up commands are combined into one input RF signal . Only one receiver and one antenna are needed, thus reducing power consumption and chip area. The WGU performs wake-up control by switching the front-end from the sleep mode to the active mode when power is higher than 50 dBm. The power consumption of the front-end in the sleep mode dBm) and the active mode ( dBm) is 19 and 46 mW, respectively. In addition, the WGU performs gain control on a variable-gain low-noise amplifier (VGLNA), providing high-and low-gain modes to the front-end. The input 1-dB compression point ( ) of the front-end is 25.2 dBm in the high-gain mode and 22.5 dBm in the low-gain mode, depending on whether the power is higher than 30 dBm. A proper can be selected accordingly and make the following mixers operate at a more linear region. The above RF signal power detection is performed by a rectifier. The sensitivity of conventional rectifiers used to detect RF signal power is limited by the threshold voltage of the diode-connected transistors [9]. To solve this problem, we propose a zerotechnique in the proposed rectifier. The proposed front-end is a low IF architecture having many advantages such as low dc offset, less even-order distortion, and smaller flicker noise. The inherent image problem of a low-IF receiver is resolved by using a low-power symmetric imagereject architecture, as shown in Fig. 1, which includes mixers and passive phase-shift couplers. The phase-shift couplers are realized by integrated lumped elements, instead of conventional transmission lines, thereby considerably reducing the chip size. Design considerations of the proposed receiver front-end will be

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 2. Image signal mixing behavior in a receiver front-end.

Fig. 1. Block diagram of the proposed receiver front-end.

discussed in the following sections. Time delays in the zeroenvelope detector and limiting amplifiers will be analyzed and taken into account in the data-rate and sensitivity discussions. II. ARCHITECTURE OVERVIEW In the proposed receiver front-end, the operating frequencies of RF, local oscillator (LO), and IF signals are 60, 65, and 5 GHz, respectively. Fig. 1 shows the block diagram of the front-end, which consists of a VGLNA, two differential-tosingle-ended mixers, a rectifier, a WGU, two 90 phase-shift couplers (one is placed after the VGLNA operating at 60 GHz and the other is placed after the mixers operating at 5 GHz), and four 180 phase-shift couplers (placed at the RF and LO ports of the mixers operating at 60 and 65 GHz, respectively). First, is converted to an envelope signal by the rectifier, and then it is sent to the WGU, which, based upon the envelope signal, generates wake-up and gain control signals ( and ) to control the VGLNA and mixers. turns the VGLNA and mixers on/off using of 50 dBm as the threshold. switches the gain mode of the VGLNA, using of 30 dBm as the threshold. The phase-shift couplers are integrated on a chip. To the best of our knowledge, it is by far the most compact 60-GHz image-reject receiver front-end in standard CMOS technology [10]. A. Image Problem and Image-Reject Architectures The image problem of a heterodyne receiver is illustrated in Fig. 2. Both the desired signal at the RF frequency ( ) and the unwanted signal at the image frequency ( ) are downconverted to an IF frequency , which renders these signals indistinguishable. Image-reject filters [11], [12] before downconversion will certainly help reduce this problem, but the effectiveness is limited because the quality factor of the image-reject filter is low when and are almost equal. Quadrature downconversions [13], [14] were proposed to solve the image problem without image-reject filters. To realize quadrature downconversion, accurate phase difference between the quadrature LO signals is very important since it affects the image-rejection significantly. Moreover, extra mixers in the double downconversions consume more power [15].

Fig. 3. Proposed architectures for image rejection.

We adopted a low-power symmetric image-reject architecture that uses only single downconversion without any image-reject filter (similar to the product HMC908LC5 manufactured by Hittite). The simplified architecture is shown in Fig. 3. The architecture uses a single LO signal source, which reduces mismatches from inaccurate quadrature LO signals, two 90 phaseshift couplers, and two mixers. Since the 90 phase-shift couplers are all passive elements and only two mixers are used, power consumption is reduced. However, the architecture still requires accurate 90 phase-shift couplers. The principle of this image-reject architecture is described as follows. As illustrated in Fig. 3, an RF signal and an image at the RF port pass through the first 90 phase shifter and are downconverted with an LO signal by the mixers, respectively. The downconverted RF signals and images then pass through the second 90 phase shifter and combine at the IF port. The downconverted RF signals are in-phase. However, the downconverted images are out-of-phase, which cancel each other. That means the RF signal is downconverted to the IF frequency, but the image is rejected. B. On-Chip Lumped-Element Phase-Shift Couplers The proposed front-end uses 90 and 180 phase-shift couplers for changing the phases of RF, LO, and IF signals. These phase-shift couplers are conventionally realized by wavelength-based transmission lines, such as coupled-line or rat-race couplers [10], [16]. However, these transmission lines occupy considerable area on the chip. Therefore, we use lumped elements such as inductors and capacitors [17]–[19] to replace the conventional coupled-line and rat-race couplers, as shown in Fig. 4(a) and (b), respectively [15]. The coupled-line coupler is replaced with lumped inductor and capacitors and [see Fig. 4(a)]. The inductance/capacitance values can be calculated as follows: (1) (2)

HSIEH et al.: 90-nm CMOS V-BAND LOW-POWER IMAGE-REJECT RECEIVER FRONT-END

543

TABLE I PARAMETERS OF THE LUMPED-ELEMENT PHASE-SHIFT COUPLERS

Fig. 4. (a) Coupled-line coupler and (b) branch-line ring hybrid (or rat-race hybrid) replaced by the lumped inductors and capacitors.

and (3)

Fig. 5. Schematic of the proposed rectifier (the transistor size is in the unit of m/ m).

where and are the characteristic impedance and operating angular frequency of the transmission-line segment, respectively. The rat-race coupler can be replaced with lumped inductor and capacitor [see Fig. 4(b)] according to the following equations: (4) and (5) The frequencies for 90 and 180 phase-shift couplers are designed at 60 GHz/5 GHz and 65 GHz/60 GHz, respectively. The required lumped element values as calculated from (1)–(5) are listed in Table I. Note that although both the rat-race coupler and the balun [20] have good performance for single-ended to differential conversion, the rat-race coupler is adopted in this work instead because it is a proven approach in work. C. Rectifier The proposed rectifier consists of a zeroenvelope detector ( , , and ) and a low-pass filter ( and ), as shown in Fig. 5, where the component values and transistor sizes are also shown. Its compact structure is suitable for high-speed operation. First, the envelope detector converts the modulated RF signal to an envelope signal, and then the carrier signal is filtered out by a low-pass filter. Conventional CMOS envelope detectors use a pn-junction as the diode, which causes a latch-up

Fig. 6. Schematic diagram of a conventional envelope detector.

problem and thus limits the rectifying speed [21]. Therefore, diode-connected MOSFET is used instead. CMOS rectifiers can be categorized into passive and active rectifiers. Most passive rectifiers have dead zones (voltage drop of one or two ), which limit the input signal amplitude range. To reduce dead zones (or ) of passive rectifiers, rectifying techniques have been proposed, such as the gate cross-coupled rectifier [22], [23], bootstrap capacitors [24], bulk-source biasing [25], the gate–drain potential control [26], and floating gate technologies. In contrast, active rectifiers have smaller dead zones, and better efficiency [27]; however, they consume more power and their performance degrades as the input frequency increases [24], [28]. To operate at higher speed and reduce dead zones, we propose a new rectifier, which is a passive structure with zerodiodes. Fig. 6 shows a conventional structure of a passive envelope detector [9]. Even though this envelope detector can operate at high speed, there exists a dead zone of one across its diode. To reduce the dead zone, a resistor , a capacitor , and a dc power supply voltage are introduced to the envelope detector, as shown in Fig. 7(a). Resistors and provide a dc path that biases at the nearly on region and reduces the dead zone to zero. Fig. 7(b) shows the equivalent circuit of the

544

Fig. 7. Schematic diagrams of the: (a) zeroequivalent circuit.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

envelope detector and (b) its

Fig. 9. Schematic of the proposed wake-up and gain control circuit.

Fig. 8. (a) Charging and (b) discharging behaviors of the zerodetector.

envelope

envelope detector, where is modeled by a diode with a series resistor . The charging and discharging behaviors of the envelope detector are illustrated in Fig. 8. When increases from zero to the peak voltage, as shown in Fig. 8(a), capacitor is charged from through a small resistor . The voltage difference between and is nearly a constant . When the starts to decrease from the peak voltage to zero, as depicted in Fig. 8(b), diode is off because decreases faster than . decreases slower because there is a large resistor in the discharging path. The time constants of charging and discharging cycles, and , directly affect the output envelope waveforms and data rate of the front-end. The time delay of the rectifier and the sensitivity of the front-end will be discussed later. D. WGU The proposed WGU consists of two comparators and two cascaded limiting amplifiers, as shown in Fig. 9. The envelope signal from the rectifier output is compared with the reference voltages and . The comparison results are enlarged by a limiting amplifier chain, resulting in wake-up and gain control signals and . Increasing the number of stages of the limiting amplifiers improves the sensitivity of the front-end, but also generates longer delay that results in lower data rate. The tradeoff between the time delay of the

Fig. 10. Schematic of the proposed VGLNA.

WGU and the sensitivity of the front-end will be discussed in the following sections. E. VGLNA and Mixer The VGLNA is composed of a four-stage cascade ampli– and a one-stage cascade amplifier fier ( – ), as illustrated in Fig. 10. is always on and is on or off depending on the value of . The output signal of is sent to a rectifier, which detects the RF signal power for the WGU. Based on the output signal from the rectifier, the WGU generates signals and for system controls. is fed back to the gate of to control the dc current of , while is fed back to the gate of to adjust the gain of so as to change of the front-end. The wake-up behavior of the VGLNA is explained in Fig. 11. When the power is greater than 50 dBm, the WGU generates a high-voltage to turn on . During the process, the rectifier and the WGU contribute a total time delay in the response, as shown in Fig. 11, restricting the data rate of the front-end. Fig. 12 shows the schematic of the differential-to-singleended mixer. The 60- and 65-GHz 180 phase-shift couplers are placed at the RF and LO ports of the mixer to execute single to differential signal conversions. The differential signal is then converted to a single-ended signal by an active load (

HSIEH et al.: 90-nm CMOS V-BAND LOW-POWER IMAGE-REJECT RECEIVER FRONT-END

545

Fig. 11. Time-delay phenomenon while the VGLNA is activated and shut . down by the command of Fig. 13. Charging and discharging time-domain behaviors of the zeroand . velope detector at points

en-

Fig. 12. Schematic of the proposed mixer.

and ) and then amplified by a common source amplifier. Note that the gates of and are activated by as aforementioned. III. THEORETICAL ANALYSES AND DESIGN CONSIDERATION A. Time Delay in the Zero-

Envelope Detector

RF ripples and a time delay are inevitable in the output waveform of the zeroenvelope detector. Large RF ripples cause the WGU to make a wrong decision. restricts the data rate of the front-end. Fig. 13 illustrates the charging and discharging waveforms at points and of the zeroenvelope detector. In the charging cycle [see Fig. 8(a)], the loop equation can be obtained by Kirchhoff’s rule

Fig. 14. Chip micrograph of the receiver front-end.

at , the charging voltage the charging cycle can be derived as follows:

in

(7) where is the dc voltage level constructed by , , and . Similarly, in the discharging cycle shown in Fig. 8(b), the loop equation can be obtained as follows: (8)

(6) is the charging current and is the magnitude of where ripples in an envelope, as shown in Fig. 13. is the charge difference on capacitor during a charging and discharging period . The charging and discharging cycles are expressed by and , respectively. With the initial condition

at point

where voltage

is a discharging current. By using the initial condition at , we can derive the discharging at point in the discharging cycle as follows: (9)

546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 15. Measured wake-up transient responses of

and IF signals. Fig. 17. Measured NF of the receiver front-end.

Fig. 16. Measured CG and IRR of the receiver front-end.

and

is given by (10)

As a result, the ripple voltage

can be shown to be (11)

of the zeroenvelope detector is The time delay , defined as the time required to discharge 99% of the storage charge in capacitor . According to (11), can be reduced by increasing . However, increasing also increases as a tradeoff. is designed as 20 k and is about 5 fF for high data-rate transmission. B. Time Delay in the Limiting Amplifier The time delay of a one-stage limiting amplifier can be derived as follows [9]: (12) , , and are electron mobility, channel width, where and length of the NMOS, respectively; , , and are hole mobility, channel width, and length of the PMOS, respectively; is the oxide capacitance of the NMOS and PMOS;

Fig. 18. Measured of the receiver front-end in: (a) high-gain and (b) lowgain modes at 5-GHz IF output signal.

is the output load capacitor of the one-stage limiting amplifier and it consists of parasitic capacitance of the connecting wires and internal capacitance of the transistors. In general,

HSIEH et al.: 90-nm CMOS V-BAND LOW-POWER IMAGE-REJECT RECEIVER FRONT-END

547

TABLE II PERFORMANCE COMPARISON OF STATE-OF-THE-ART V-BAND RECEIVER FRONT-END

can be expressed as , where is the channel width of transistors, is the capacitance density per channel length, and is the fringing capacitance. Hence, can be expressed as (13) where . Assume that the currents of the charging and discharging are the same, then can be rewritten as (14) The dynamic power dissipation amplifier is given by

of the one-stage limiting (15)

where is the frequency of the envelope signal. From (14) and (15), we know that increasing or reducing can shorten . However, increasing also increases dynamic power dissipation. Although increasing the number of stages in the limiting amplifier chain improves sensitivity, it also increases , showing a tradeoff between sensitivity and . To achieve a high data-rate transmission, two stages are selected for optimal time delay. The total delay by the rectifier and WGU can be approximated as (16) Simulation results show that the front-end is 55 dBm.

is 0.23 ns, while sensitivity of

IV. MEASUREMENT RESULTS OF THE RECEIVER FRONT-END The proposed receiver front-end chip is implemented in TSMC 90-nm CMOS technology. Fig. 14 illustrates the chip

micrograph, where the die occupies a layout area including pads and bypass capacitors of only 0.92 mm 0.9 mm. The parasitic effects of lumped elements are extracted by ADS Momentum. The image rejection ratio (IRR), noise figure (NF), conversion gain (CG), , input third-order intercept point , and wake-up transient responses were measured on-wafer under 1.2-V supply voltage. RF and LO signal frequencies in measurement were 60 and 65 GHz, respectively. Fig. 15 shows the measured wake-up transient responses of IF and signals with a 60-GHz 50-dBm on–off keying (OOK) modulated signal as input to test its auto-wake-up function. We observe that when the RF signal is nonzero voltage (NZV) modulated data, signal level is 1 V, keeping the VGLNA and mixers in active mode. When the RF signal is zero-voltage (ZV) modulated data, becomes 0.52 V, and turns off the front-end. Fig. 16 depicts the simulated and measured CG and IRR of the front-end. The measured CG in high- and low-gain modes are 20 and 15 dB, respectively. The measured IRR in high- and low-gain modes are 32 and 33.9 dB, respectively. Fig. 17 shows the simulated and measured NF of the front-end. The measured NF in high- and low-gain modes are 8.9 and 10.8 dB, respectively. The measured and are shown in Fig. 18. The measured in high- and low-gain modes are 25.2 and 22.5 dBm, respectively. The measured in high- and low-gain modes are 16.2 and 12.9 dBm, respectively. The sensitivity of the front-end is 50 dBm, which is the power level when the front-end is enabled by , as shown in Fig. 15. The measured of the front-end can be adjusted from 25.2 dBm (high-gain mode) to 22.5 dBm (low-gain mode) when the power is larger than 30 dBm. That is, can be adaptively changed according to the power. The proposed front-end consumes 19 and 46 mW in the sleep and active modes, respectively. Table II summarizes the recently reported state-of-art 60-GHz front-ends. Notably, though the proposed circuit adopts the 90-nm technology, it possesses performance comparable to that of other high

548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

unity-gain-frequency technologies, especially in terms of power consumption and chip size. V. CONCLUSION In this paper, a V-band auto-wake-up low-power image-reject receiver front-end chip in 90-nm CMOS technology is proposed. With the auto-wake-up function, the front-end consumes 19 and 46 mW at sleep and active modes, respectively. The sensitivity of this front-end is 50 dBm; is 25.2 and 22.5 dBm in the high- and low-gain modes; and IRR is greater than 32 dB. All phase-shift couplers in the chip are realized on a chip of small size. The experimental results demonstrate the significant improvement resulting from auto-wake-up topology, especially in terms of power and size reduction for 60-GHz applications. ACKNOWLEDGMENT The authors would like to acknowledge fabrication and measurement support provided by the National Chip Implementation Center (CIC) and the National Nano Device Laboratories (NDL). The authors also acknowledge and are thankful for the support of the Ministry of Science and Technology (MOST) of Taiwan. REFERENCES [1] IEEE Standard for Information Technology, IEEE Standard 802.15.3c2009, Oct. 2009, pp. 1–200. [2] J. M. Gilbert, C. H. Doan, S. Emami, and C. B. Shung, “A 4-Gbps uncompressed wireless HD A/V transceiver chipset,” IEEE Micro, vol. 28, no. 2, pp. 56–64, Mar.–Apr. 2008. [3] T. Mitomo et al., “A 60-GHz CMOS receiver front-end with frequency synthesizer,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 1030–1037, Apr. 2008. [4] K. Okada et al., “A 60-GHz 16QAM/8PSK/QPSK/BPSK direct-conversion transceiver for IEEE802.15.3c,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2011, pp. 160–161. [5] C. Marcu et al., “A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2009, pp. 314–315. [6] J. Lee, Y. Huang, Y. Chen, H. Lu, and C. Chang, “A low power fully integrated 60 GHz transceiver system with OOK modulation and on-board antenna assembly,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2009, pp. 316–317. [7] D. Wu, R. Hung, W. Wong, and Y. Wang, “A 0.4-V low noise amplifier using forward body bias technology for 5 GHz application,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 543–545, Jul. 2007. [8] N. M. Pletcher, S. Gambini, and J. M. Rabaey, “A 2 GHz 52 W wake-up receiver with 72 dBm sensitivity using uncertain-IF architecture,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2008, pp. 524–525. [9] A. S. Sedra and K. C. Smith, Microelectronic Circuits, 5th ed. New York, NY, USA: Oxford Univ. Press, 2004. [10] J. Kim, W. Choi, Y. Park, and Y. Kwon, “60 GHz broadband image rejection receiver using varactor tuning,” in Proc. RFIC Symp., Jun. 2010, pp. 381–384. [11] J. Macedo, M. A. Copeland, and P. Schvan, “A 2.5-GHz monolithic silicon image reject filter,” in Proc. IEEE Custom Integr. Circuits Conf., May 1996, pp. 10.3.1–10.3.4. [12] T.-K. Nguyen et al., “Image-rejection CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 538–547, Feb. 2005. [13] S. Lee et al., “A 1 GHz image-rejection down-converter in 0.8 m CMOS technology,” IEEE Trans. Consum. Electron., vol. 44, no. 2, pp. 235–239, May 1998. [14] P. B. Khannur et al., “A 2.45 GHz fully differential CMOS imagereject mixer for Bluetooth applications,” in Proc. RFIC Symp., 2002, pp. 415–418.

[15] B. Razavi, RF Microelectronics, 1st ed. Englewood Cliffs, NJ, USA: Prentice-Hall, 1998. [16] D. M. Pozar, Microwave Engineering, 3rd ed. New York, NY, USA: Wiley, 2005. [17] R. C. Frye, S. Kapur, and R. C. Melville, “A 2-GHz quadrature hybrid implemented in CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 550–555, Oct. 2003. [18] H.-C. Chen, T. Wang, and S.-S. Lu, “A 5–6 GHz 1-V CMOS direct conversion receiver with an integrated quadrature coupler,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1963–1975, Sep. 2007. [19] S. Parisi, “180 degree lumped element hybrid,” in IEEE MTT-S Int. Microw. Symp. Dig., 1989, pp. 1243–1246. [20] C. C. Meng and S. C. Tseng, “Gilbert mixers with microwave quadrature/differential generators: An approach for millimeter-wave IC,” in Proc. IEEE Radio Wireless Symp, 2009, pp. 650–653. [21] C. Peters, J. Handwerker, D. Maurath, and Y. Manoli, “A sub-500 mV highly efficient active rectifier for energy harvesting applications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 7, pp. 1542–1549, Jul. 2011. [22] M. Ghovanloo and K. Najafi, “Fully integrated wideband high-current rectifiers for inductively powered devices,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1976–1984, Nov. 2004. [23] P. Rakers, L. Connell, T. Collins, and D. Russell, “Secure contactless smartcard ASIC with DPA protection,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 559–565, Mar. 2001. [24] T. T. Le, J. Han, A. von Jouanne, K. Mayaram, and T. S. Fiez, “Piezoelectric micro-power generation interface circuits,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1411–1420, Jun. 2006. [25] T. Lehmann and M. Cassia, “1-V power supply CMOS cascode amplifier,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1082–1086, Jul. 2001. [26] T. Umeda, H. Yoshida, S. Sekine, Y. Fujita, T. Suzuki, and S. Otaka, “A 950-mHz rectifier circuit for sensor network tags with 10-m distance,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 35–41, Jan. 2006. [27] H. Raisigel, J.-C. Crebier, Y. Lembeye, J. Delamare, and O. Cugat, “Autonomous, low voltage, high efficiency, CMOS rectifier for three phase micro generator,” in Proc. Int. Solid-State Sens. Actuators, Transducers Conf., 2007, pp. 883–886. [28] E. Dallago, D. Miatton, G. Venchi, G. Frattini, and G. Ricotti, “Selfsupplied integrated active high-efficient AC–DC converter for piezoelectric energy scavenging systems,” in Proc. IEEE ISCAS, 2007, pp. 1633–1636. [29] T. Mitomo et al., “A 2 Gb/s-throughput CMOS transceiver chipset with in-package antenna for 60 GHz short-range wireless communication,” in Proc. IEEE Int. Solid-State Circuits Conf., Feb. 2012, pp. 266–268. [30] B. Razavi et al., “A low-power 60-GHz CMOS transceiver for wigig applications,” in Proc. VLSI Circuits Tech. Symp. Dig., 2013, pp. C300–C301. [31] K. Okada et al., “Full four-channel 6.3-Gb/s 60-GHz CMOS transceiver with low-power analog and digital baseband circuitry,” IEEE J. Solid-State Circuits, vol. 48, no. 1, pp. 46–65, Jan. 2013. Jian-Yu Hsieh received the B.S. degree in electrical engineering from National Central University, Taoyuan, Taiwan, in 2003, the M.S. degree in electrical engineering from National Chung Cheng University, Chia-yi, Taiwan, in 2006, and is currently working toward the Ph.D. degree in electrical engineering at National Taiwan University, Taipei, Taiwan. His research interests include RF, mixed-mode, and biomedical circuit designs.

Tao Wang received the Ph.D. degree in electronic engineering from National Taiwan University, Taipei, Taiwan, in 2008. Since 2010, he has been with the Department of Electronic Engineering, Chang Gung University, Taoyuan City, Taiwan. His research interests include integrated circuit designs in CMOS compatible micromachining technology, communication circuits, and wireless power transfer.

HSIEH et al.: 90-nm CMOS V-BAND LOW-POWER IMAGE-REJECT RECEIVER FRONT-END

Shey-Shi Lu (S’89–M’91–SM’92) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1985, the M.S. degree in electrical engineering from Cornell University, Ithaca, NY, USA, in 1988, and the Ph.D. degree in electrical engineering from the University of Minnesota, Minneapolis–St. Paul, MN, USA, in 1991. During the summer of 1990, he was a Research Aide with the IBM T. J. Watson Research Center, Yorktown Heights, NY, USA. Since August 1991, he

549

has been with the Department of Electrical Engineering, National Taiwan University, initially as an Associate Professor (from 1991 to 1995), a Full Professor (from 1995 to present), and a Distinguished Professor since 2014. His current research interests are in the areas of CMOS RF integrated circuits (RFICs) and CMOS biotechnology. Dr. Lu was the recipient of the 2009 Outstanding Research Award of the National Science Council, the 2006 Distinguished Engineering Professor Award of the Chinese Institute of Electrical Engineering, and the 2005 Fu Szu-Nien Award of National Taiwan University.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Techniques for Power Dynamic Range and Back-Off Efficiency Improvement in CMOS Digitally Controlled Polar Transmitters Toshifumi Nakatani, Member, IEEE, Donald F. Kimball, Member, IEEE, and Peter M. Asbeck, Fellow, IEEE

Abstract—Techniques to extend the power dynamic range and to reduce the dc power consumption in back-off for a multiband CMOS digitally controlled polar transmitter are described. The techniques are applied to a transmitter comprising a digitally controlled buck converter and a current-mode class-D RF amplifier with digitally controlled unit cells. The power dynamic range is improved by using tri-state unit-cells (rather than the customary “on–off” controlled cells) to reduce the feed-through power. The measured overall power dynamic ranges are 91 and 85 dB, at 0.85 and 1.75 GHz, respectively. DC power consumption at low power was reduced by introducing a new charge-sampling mode of operation for the buck converter in addition to the customary pulse-width modulation operation. Experimental results showed dc power consumption reduced by 30% at low power. Index Terms—Buck converters, CMOS, digital pulse-width modulation (PWM), polar transmitters, power amplifiers (PAs).

I. INTRODUCTION

M

ANY RECENT handsets, such as smart phones, have transceivers for multiple wireless standards. In order to accommodate the different frequencies, bandwidths, peak output powers, and modulation schemes, multiple power amplifiers (PAs) are used at the cost of large area. A digitally assisted PA is one of the potential solutions to realize a multiband and multimode transmitter [1]. Co-design of digital signal processing (DSP) algorithms and PA circuits can lead to improved efficiency and linearity in multiple conditions. It is attractive to leverage the high integration capability of CMOS by integrating both the DSP and PA into one die because many control lines operating with high clock rate can be readily accommodated and it is relatively easy to manage delay time between control lines compared with multi-chip solutions. In previous work reported in [2], we have demonstrated a multiband digitally driven polar transmitter that can be tuned in the 0.7–1.8-GHz range. The output power of the RF amplifier, employing a current-mode

Manuscript received September 07, 2015; revised December 07, 2015; accepted December 14, 2015. T. Nakatani and D. F. Kimball are with MaXentric Technologies LLC, La Jolla, CA 92037 USA (e-mail: [email protected]; [email protected]). P. M. Asbeck is with the Department of Electrical and Computer Engineering. University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available onlineat http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2510651

class-D (CMCD) configuration, is controlled by a dynamically controlled power supply (DPS) using a digitally driven buck converter. The peak overall efficiencies of the transmitter with one-tone signals were measured to be 26%–27% at 29–30-dBm output powers. This paper addresses several remaining design challenges for this and related digitally controlled PAs, and describes techniques to meet these challenges. An important challenge is to establish the very large dynamic range of power control needed for use in handsets (up to 74 dB for WCDMA) with low dc power consumption. This is a major problem for polar transmitters since these transmitters suffer from feed-through of the constant envelope RF phase-modulation (PM) signal. It is also problematic for digitally controlled PAs since a very large number of bits is required to fully cover the dynamic range. In representative digitally controlled PAs, the PA is partitioned into multiple RF unit-cells and the output power is controlled by changing the number of active RF unitcells [termed here as unit-cell control (UCC)]. The power dynamic ranges of the reported digitally controlled PAs are only 40–50 dB using 8–10-bit RF UCC [3]–[5]. In order to extend the power dynamic range, several approaches have been reported. In [4] and [5], RF input power controls, such as input attenuator or quadrature modulation, are applied. These techniques are reliable, but flexibility and efficiency in back-off are sacrificed because the PAs work as linear-mode amplifiers. In [6], a 45-nm CMOS PA with the power dynamic range of 86 dB has been reported by combining the outphasing technique ( 35 dB) with the UCC ( 60 dB). However, the efficiency of an outphasing amplifier without a Chireix combiner tends to drop quickly in the back-off. Also, the modulation approach with big jumps of the outphasing angle could significantly expand the input signal bandwidth. In [7], a 65-nm CMOS PA with a power dynamic range of 102 dB has been reported using a cross-coupled differential amplifier and second gate bias voltage control (SGBVC) of a cascode amplifier. However, the cross-couple configuration tends to decrease the bandwidth due to increasing the common-mode capacitance. In addition, the average RF power control using the second gate bias voltage does not decrease the driver-stage dc power, which dominates the overall dc power in back-off. In this work, we describe and analyze the dynamic range of a digitally controlled polar transmitter using both DPS and UCC using an additional feature of tri-state control of the RF unit cell. A preliminary account of the technique was reported in [8].

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

By applying a third state (attenuation) to the RF unit-cell operation in addition to the conventional two states (amplification and open-circuit), the measured power dynamic range was extended to 91 and 85 dB (potentially 102 and 101 dB if there is no leakage through the evaluation board) at frequencies of 0.85 and 1.75 GHz, respectively. Furthermore, using both DPS and UCC together, the measured dc power consumption was reduced by 15%–20% in the back-off range of 5–10 dB (which is equivalent to higher efficiency) compared with the case of conventional UCC [3]–[5]. The amplifier used in this work also provides a tunable output matching network to cover the 0.75–2-GHz frequency range, integrated into the chip (thus the peak efficiencies were somewhat lower than those of other reported digitally controlled PAs without this feature). In this paper, after introducing overall architecture and nominal operation in Section II, we focus on analyzing power dynamic range extension using the tri-state UCC in Section III. In this work we also report on a technique to reduce the power consumption of the buck converter that supplies dc current to the RF unit cells, which directly affects the overall efficiency. In order to reduce buck converter dc power at low output power levels, many techniques have been reported. In [9] and [10], several adaptive dead-time control techniques are proposed. However, it is difficult to reduce the supply current to less than the product of the output capacitance, battery voltage, and switching frequency in back-off. In [11], pulse-width modulation (PWM) and pulse frequency modulation (PFM) dual-mode operation is reported. Using PFM, the dc power consumption decreases in back-off. However, the bandwidth of the envelope signal is limited due to decreasing the sampling frequency in back-off. In this paper we introduce a new operation mode to the buck converter, a variant of techniques used for the switched capacitor [8], called here “charge sampling.” In this mode, the buck converter works as a combination of current source and switched capacitor. The measured overall dc power consumption was reduced by a factor of approximately 1.4 compared with the PWM mode. Details of the operation are analyzed in Section IV. II. DIGITALLY CONTROLLED POLAR TRANSMITTER Fig. 1 shows the block diagram of the digitally controlled polar transmitter [8]. The RF output power is controlled both by varying the number of unit cells and by varying the power supply under digital control. The prototype transmitter consists of a buck converter chip and a CMCD RF PA chip. The RF phase input to the transmitter is provided by differential PM binary input signals. In the CMCD PA chip, final and driver stages are partitioned into 1023 three-state unit-cells, whose operation is selected with 11-bit digital words. The envelope input is provided by a digital PWM signal [12] provided to the buck converter, whose output is delivered to the RF PA through an off-chip air-core inductor. The RF output power from the unit-cells is delivered through an on-chip tunable matching network. In the high output power region, both the state of the unit-cells and the supply current from the buck converter are changed to control the average output power (“high-power (HP)” mode).

Fig. 1. Block diagram of digitally controlled polar transmitter using both DPS and tri-state UCC.

The state of each unit-cell is chosen only between switching actively (“state-1”) and constant open (“state-2,” in which the cell is off during the entire RF cycle). By selectively turning off the unit cells, the dc power dissipation of not only the final stage, but also the driver stage can be decreased in back-off with this approach. The extent of feed-through to the output is also decreased because the equivalent parasitic capacitance between the input and output of the final stage is reduced. Both DPS and UCC can be applied for amplitude modulation. Fig. 2 shows the schematic load line for a unit-cell (final-stage switch) during HP mode operation, when the number of state-1 unit-cells is changed, for the case of: (a) constant power supply (as reported in [3]–[7]) and (b) for a DPS (as used in this work). As mentioned in [4], the load impedance seen from each unit-cell is proportional to the number of state-1 unit-cells through active load–pulling. In Fig. 2(a), when all unit-cells are in state-1, the slope of the load line is low due to the high effective load impedance, and the field-effect transistors (FETs) operate in the triode region (the PA works as a switching amplifier). With decreasing , the slope of the load line becomes steeper. When the supply voltage is constant, the FETs enter the saturation region, where the PA works as a current source (linear amplifier). The high on-resistance of the FET in saturation maintains the drain current at approximately the maximum drain current ( ). In this case, the efficiency including the driver and final stages is reduced in back-off because the PA works as a linear amplifier. On the other hand, for case (b), as the output power is decreased, not only decreases, but also the supply voltage is reduced (as in an envelope-tracking PA), and the FETs can stay in the triode region in all output power ranges. Even though the load line becomes steep, the lower supply voltage (supplied through the buck converter) prevents the FET from entering the saturation region. In this case, the efficiency can be relatively high by maintaining switching amplifier operation.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAKATANI et al.: POWER DYNAMIC RANGE AND BACK-OFF EFFICIENCY IMPROVEMENT IN CMOS DIGITALLY CONTROLLED POLAR TRANSMITTERS

3

Fig. 3. Block diagram of digitally controlled polar transmitter for feed-through control using tri-state UCC (LP mode).

Fig. 2. Dynamic load line of switching FET in UCC with: (a) constant power supply [3]–[7] and (b) DPS [8].

The challenges of the digitally controlled polar transmitter in Fig. 1 are to further extend the controllable output power range and to reduce dc power consumption in back-off. The simulated power dynamic range for the baseline architecture of Fig. 1 was 80 dB (from 45 to 35 dBm) at 1.75 GHz when the ideal resonator and balun were used as the tunable matching network. An additional 40 dB is needed since the requirement of the 3GPP standard [release 6, High-Speed Uplink Packet Access (HSUPA)] is approximately 120 dB (74 dB for average power control and approximately 40 dB for modulation). The overhead dc power of the buck converter was also approximately 90 mW, which needs to be decreased in the low-power region. Both challenges are addressed in the following. III. POWER DYNAMIC-RANGE IMPROVEMENT A. Feed-Through Control Using Three-State Unit-Cells To overcome the dynamic range limitation of the digitally controlled polar transmitter, an additional power-control mode is introduced here and used to provide the modulated output power. In this “low-power” (LP) mode, RF power supplied by feedthrough from the driver stages is managed using tri-state UCC. No current is supplied from the buck converter in this mode, thereby increasing the efficiency. The block diagram is shown in Fig. 3. The feed-through power is delivered from the drivers through the gate–drain capacitance of the active (state-1) unit-cells even though the

buck converter does not supply current and the overall dc power consumption is very small (the minimum overall dc power is 1 mW, as shown in Section V). The feed-through power can be controlled by changing the number of state-1 unit-cells ( ). In addition, a third state of the unit-cell is introduced in this mode, where the FETs of the final stage are turned on fully over the entire RF period and act as resistors, partially shunting the output signal to ground (“state-3”). Part of the feed-through power from state-1 unit-cells is absorbed by the resistors. The output power can thus be attenuated to extend the dynamic range, in a manner controlled by the number of the unit-cells in state-3 ( ). By applying unused unit amplifiers as attenuators, there is negligible decrease of the peak output power and efficiency in the HP mode. When these two different modes are adopted, a power calibration scheme is needed to smoothly switch between the modes. One of the possible schemes is the “power alignment loop” reported in [13]. B. Analysis Fig. 4 shows a simplified equivalent circuit of the driver and final stages of the proposed transmitter in the LP mode. The total number of unit-cells is , and the feed-through current flows from the driver stage to the load ( ) through the input–output parasitic capacitance ( ) of the final stage in each state-1 unit-cells (the state-2 unit cells do not contribute since both the last driver stage and final stages are inactive). The output resonator consists of and , where the unloaded quality factor is and the loaded quality factor is . For simplicity, it is assumed that the carrier frequency ( ) is identical to the resonant frequency ( ) of and . The supply voltage of the driver stage is . The envelope inductor ( ) is grounded at it source. In the LP mode, decreasing is equivalent to decreasing the total input–output capacitance of the final

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Simple equivalent circuit of proposed transmitter in LP mode.

stage. Increasing is equivalent to decreasing the total shunt resistance at the output of the final stage. When is small enough compared with , the feed-through differential current from the driver stage in state-1 unit-cells at the fundamental frequency is written as (1) From Kirchhoff’s current law,

is expressed as (2)

The load current and voltage at the fundamental frequency are written as (3) (4) where

and ( ). By neglecting the effects of output shunt capacitance, the output power delivered to is obtained from (5) The actual output power is calculated by subtracting the loss of the output balun from (5). Fig. 5 shows the calculated and simulated output power in the LP mode at frequencies and 1.75 GHz. A 10-bit unit-cell architecture (7-bit unary-cell and 3-bit binary-cell, ) is employed [4],[5]. is 12.5 and is 1.8 V. The simulations were done using 0.15- m CMOS technology for the driver and final stages ( pF and ), and ideal inductor and capacitor as the output resonator ( and 2.3 at 0.85 and 1.75 GHz, respectively, and ). In Fig. 5(a), is swept from 1 to 1023 and is 0. In Fig. 5(b), is unity and is swept from 2 to 1022. The simulated controllable power dynamic ranges in the LP mode

Fig. 5. Calculated (curve) and simulated (symbol): (a) output power and (b) output versus number of state-1 unit-cells ( ) with in LP mode. power versus number of state-3 unit-cells ( ) with GHz). (

are 75–79 dB and the total power dynamic ranges in both power modes are 113–120 dB, which almost satisfy the requirements of the HSUPA. The output powers of the both modes overlap between 45 and 5 dBm, which is wide enough to switch the modes from one to another when the average output power is changed. In the range from 60 to 30 dBm, there is a small discrepancy between calculation and simulation because increasing shunt output capacitance of the final stage in back-off [2], [12] causes a resonance frequency shift in the high band. In the range from 30 to 5 dBm, the simulated output power is gradually saturated because an interaction between the switching state-1 FETs and the shunt output capacitance attenuates the feed-through power. These nonlinearities can be improved using a digital pre-distortion. C. Implementation Fig. 6 shows a block diagram of the implementation of a three-state CMCD unit-amplifier. The unit-cell consists of a three-state selector, a three-stage driver amplifier, and a two-stacked final stage. The gate voltage of the final stage is set to 2.8–2.9 V. Each final stage FET in the unit-cell can be operated in three states; these are controlled by two digital

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAKATANI et al.: POWER DYNAMIC RANGE AND BACK-OFF EFFICIENCY IMPROVEMENT IN CMOS DIGITALLY CONTROLLED POLAR TRANSMITTERS

Fig. 6. Block diagram and control scheme of tri-state CMCD unit amplifier for implementation [8].

5

pacitance ( ) [12]. This results in larger overall dc power dissipation of the transmitter in low-power operation. In order to reduce the overall dc power consumption in the mid-power range, a “charge-sampling” mode of the buck converter is introduced in this work, where a technique like an envelope UCC is employed. The operation is similar to that described for a direct sampling mixer [14]. The circuit schematic and output voltage waveform are shown in Fig. 7(b). The output current is determined by the amount of charge stored in during a period ( ). While the node voltage in Fig. 7 reaches for the digital PWM mode when the high-side (M1) unit-FETs are turned on, for the charge-sampling mode no longer can reach during the allocated on-time, and attains a peak value controlled by the number of high-side unit FETs that are on (as in a current-controlled digital-to-analog converter). Subsequently, during a relatively long dead time at the falling edge of the drive waveforms, the stored charge flows to the PA through . At the end of the dead time, the low-side FET (M2) turns on and current flows from the ground as needed. The dc power consumption of the buck converter in back-off is reduced because the output capacitance loss is ideally zero, although there is additional loss due to voltage drop across the high-side FETs while they are conducting. It is noteworthy that the dc power consumption is lower than that of a linear amplifier or lowdropout regulator because a significant fraction of the output current flows from ground rather than from when M2 is in the on-state. B. Analysis

Fig. 7. Circuit schematics and voltage waveforms of buck converter with: (a) digital PWM mode and (b) charge-sampling mode [8].

inputs, A and B. For state-1, both A and B are high; for state-2, A is low and B can be in either state; while A is high and B is low in state-3. As the required output power decreases from its peak, all unit-cell’s B’s are high and A’s change from high to low in order. Once all A’s, except for one small unit-cell are low, B’s of these unit-cells are switched to the low state. To attenuate the output power, A’s are set to be high in order. IV. BUCK CONVERTER EFFICIENCY IMPROVEMENT A. Charge-Sampling Mode of Buck Converter In this work, a buck converter in digital PWM mode is used as a digitally controlled envelope amplifier in high power operation. The circuit schematic and output voltage waveform ( ) are shown in Fig. 7(a) for this conventional operation mode. The time-average supply current to the PA through the envelope inductor is proportional to the duty cycle of the applied pulses. The buck converter tends to achieve high efficiency at its peak output current. However, the efficiency drops in back-off due to the constant charge–discharge loss of the output parasitic ca-

Detail of the charge-sampling mode is explained using the equivalent circuit and simplified voltage waveform shown in Fig. 8. M1 is approximated as a variable current source and a switch. The current provided from each unit-FET in M1 is , where is the total number of unit cells. If the number of active unit-FETs is , then the corresponding total input current is ( ) . The on-time of M1 is , the deadtime is , and M2 turns on for the rest of the switching period. The load resistance of the buck converter is and it is assumed that is large enough. The output current of the buck converter in the charge-sampling mode is derived as follows. is expressed as when when when

(6)

The peak drain voltage is written as (7) Sum of the M1 on-time and dead time is calculated from (8) The dc output voltage, which is equal to the average drain voltage, is expressed as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Effect of charge injection of low-side FET. ( , , MHz,

, ns).

,

Fig. 8. (a) Equivalent circuit and (b) simplified waveform of buck converter in charge-sampling mode.

(9) The dc output current is obtained from (10) . The results show where it is assumed that that the output current is approximately proportional to in the ideal condition. The required dead-time is expressed as (11) From (6) and (10), the ideal efficiency is calculated as

Fig. 10. (a) Calculated (line) and simulated (symbol) output current versus number of active M1 unit-cells in charge-sampling mode and (b) simulated input dc power versus output current in charge-sampling and digital PWM modes. , , MHz, V, A, ( pF, ns).

(12) Limits for the charge-sampling mode operation are the following: 1) the peak voltage at must be less than and 2) must be shorter than . As a result, the maximum efficiency is 50%. For implementation, M2 unit-FET control is also needed to reduce the loss due to the charge injection of M2. Fig. 9 shows the simulated drain voltage waveform in the charge-sampling mode in the cases that M2 unit-FETs are partially active (solid, , where is the number of M2 unit-FETs) and all of them are active (dashed, ). Charge in is absorbed when M2 turns off, and is replaced when M2 turns on. As a result, the voltage waveform is shifted to a lower value and the output current decreases. With decreasing , the effect of the charge injection is relaxed although the resistive voltage drop

increases for a certain time when M2 turns on. Using simulation, is designed to achieve highest efficiency. Fig. 10(a) shows the calculated (line) and simulated (symbol) output current versus when ; varies from 1 to 64, and ’s are selected in the range from 16 to 168 to maximize efficiency. The resistances ( and 6.18 ) are chosen to be equivalent to the load presented by the RF PA when the numbers of state-1 unit-cells ( ) are 15 and 127, which correspond to the middle output power range. Due to the assumption of (10), minimum available duty cycle is used ( when the rising and falling times of the buck converter are taken into account). To confirm the concept, simulations are carried out with of 1 H and of 46.1 MHz. Extracted and are 7.42 A and 30 pF, respectively. From (11), ’s are 6.08 and 2.41 ns for the two load resistances considered.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAKATANI et al.: POWER DYNAMIC RANGE AND BACK-OFF EFFICIENCY IMPROVEMENT IN CMOS DIGITALLY CONTROLLED POLAR TRANSMITTERS

7

Fig. 11. Block diagram of digital PWM/charge-sampling buck converter for implementation.

With increasing , the simulated output currents increase in a fashion similar to the theoretical line. The simulated results are a little lower than the theoretical lines due to the resistive voltage drop and remaining charge injection caused by the M2 unit-FETs. When , the calculated peak becomes higher than ( 3.6 V) and the simulated current is also saturated, and operation in the charge-sampling mode is no longer possible. Fig. 10(b) shows the simulated buck converter dc power consumption versus output current for both the charge-sampling mode and the digital PWM mode. In the digital PWM mode, is swept from 1.95% to 96.9%. The minimum input power is found to be 88 mW (caused largely by the fixed output capacitance loss). The dc input powers in the charge-sampling mode are in the range from 2 to 25 mW, which is more than three times lower than for the digital PWM mode. Since the maximum output current in the charge-sampling mode is limited, it is necessary to switch between the charge-sampling and digital PWM modes, depending on the output current and load resistance. When the operation mode is switched, the input dc power could be changed continuously by adaptively controlling the dead time in the digital PWM mode (although it has not been done in this work). Development of an envelope modulation algorithm across the two modes also remains to be done. Compared with a linear amplifier with the efficiency of , the efficiency of the buck converter in the charge-sampling mode is higher by the coefficient of (12), which is 3.3 and 7.7 when and 6.18 , respectively. C. Implementation Fig. 11 shows the configuration of a buck converter unit-cell for both digital PWM and charge-sampling modes. The buck converter consists of a selector, a two-stage driver, and a final stage. The high and low-side FET gate widths of the final stage are 18 and 10 mm, respectively, for a maximum output current of 1.25 A at the supply voltage of 3.6 V. Each high- and low-side FET in a buck converter can operate in two states: switching or continuously open. The states of the FETs are controlled by two digital inputs, C and D. When C and D of all unit-cells are high and low, respectively, the final stage is driven by the digital PWM signal. Other states are used for the charge-sampling mode: when C of a unit-cell is low, the high-side FET is continuously open. When D is high, the low-side FET is continuously open.

Fig. 12. (a) Required gate voltage waveforms for charge sampling, (b) block diagram and gate voltage waveforms of low-side pulse generator in conventional dead-time generator, and (c) block diagram and gate voltage waveforms of proposed variable dead-time generator.

Fig. 12(a) shows the control voltage waveforms required for the charge-sampling mode. A pulsed signal is applied to the generator and gate voltages with a dead time are generated in the high/low-side pulse generators. For the digital PWM mode, the dead time is shorter than the required pulse width. For the charge-sampling mode, the dead time needs to be longer than the pulse width of the input signal [waveform (1)]. In this case, required high/low-side gate voltages are waveforms (2) and (3) shown in Fig. 12(a). Fig. 12(b) shows block diagram and voltage waveforms of a conventional low-side pulse generator using the delayed input signal [waveform (1 )] together an AND gate. With increasing the delay time ( ), the dead time becomes longer. However, if the dead time is longer than twice the input pulse width, the waveform is degraded, as shown in waveform (3 ). In order to generate required voltage waveform, a new dead-time generator is needed. Fig. 12(c) shows the block diagram of the proposed pulseedge-switching variable dead-time generator. The negative edge D-flip flop with reset provides low voltage at the falling edge of the reset and high voltage at the rising edge of the clock. By applying the inverted input pulse to the reset and the delayed pulse to the clock, the required low-side gate voltage can be generated. The dead times for the digital PWM and charge-sampling modes are set to be 0.15 and 1.5 ns, respectively, to reduce the occupied area (although the optimal value for the charge-sampling mode is longer).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Microphotographs of: (a) CMCD PA chip (2.55 (b) buck converter chip (1.39 1.38 mm ) [8].

1.54 mm ) and

V. EXPERIMENTAL RESULTS The proposed transmitter was implemented as two fabricated integrated circuits (ICs): (a) CMCD PA and (b) buck converter, using a 0.15- m RF CMOS process containing a 6- m-thick Al metal layer. The chip microphotographs are shown in Fig. 13. The CMCD PA has tri-state UCC capability with 11-bit digital inputs and tunable matching network consisting of band-switch capacitor and transformer balun. The buck converter chip allows the mode of operation to be switched between digital PWM and charge-sampling using 11-bit digital inputs. An envelope inductor (120-nH air-core) and several de-coupling capacitors are off-chip. The die sizes are 2.55 1.54 mm (CMCD PA) and 1.39 1.38 mm (buck converter), respectively. Fig. 14 shows the measured and calculated output power versus duty cycle of the digital PWM inputs at the carrier frequencies of: (a) 1.75 and (b) 0.85 GHz in HP mode, where the results with number of active (state-1) cells and are plotted as examples. The resonant frequencies of the tunable matching network are adjusted to be 1.75 and 0.85 GHz. The RF input impedance is matched to 50 at each frequency using different off-chip components for test purposes, and the RF input power is also slightly different (11/10 dBm). The switching frequency of the buck converter is 46.9 MHz, which is high enough for the envelope inductor. In Fig. 14, the symbols are the measured results and the lines are the theoretical output power (see the Appendix), where the parameters are obtained from a combination of post-layout simulation, electromagnetic simulation, and dc measurement as summarized in Table I. Measured power dynamic ranges of 78 dB (from 49 to 29 dBm) and 82 dB (from 54 to 28 dBm) are achieved, respectively. At 1.75 GHz, the measured results are lower than the theoretical line (by 1 dB at the peak output power). A possible reason for the difference is that the nonlinear output capacitance (approximately from 8 to 30 pF) of the two-stack final-stage changes the equivalent load impedance

Fig. 14. Measured (symbol) and calculated (line) output power versus duty cycle at: (a) 1.75 GHz and (b) 0.85 GHz, where a state of CMCD PA unit-cells nH, MHz, dBm). is in HP mode. (

TABLE I PARAMETERS FOR THEORETICAL CALCULATION OF WIDE DYNAMIC RANGE POLAR TRANSMITTER USING CMCD AMPLIFIER

depending on the output voltage (while a constant capacitance is included in the output resonator in our calculation). Fig. 15 shows the measured and calculated (a) output power versus number of state-1 unit-cells with and (b) output power versus number of state-3 unit-cells with in LP mode, where the buck converter supplies 0 V. The theoretical output power is calculated using (5) and Table I. On-chip power leakages from the input to the output ( 49 and 54 dBm, respectively) are taken into account for the calculated results. By

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAKATANI et al.: POWER DYNAMIC RANGE AND BACK-OFF EFFICIENCY IMPROVEMENT IN CMOS DIGITALLY CONTROLLED POLAR TRANSMITTERS

9

Fig. 16. Measured overall dc power consumption versus output power ( , nH, MHz, GHz, dBm).

Fig. 15. Measured and calculated: (a) output power versus number of state-1 and (b) output power versus number of state-1 unit-cells unit-cells with in LP mode. ( , GHz, dBm). with

using the state-3 unit-cells, the power dynamic ranges are extended by 7 and 9 dB at the carrier frequencies of 1.75 and 0.85 GHz. The total power dynamic ranges in both modes are 85 and 91 dB. In the range governed by switching cells between state-1 and state-2 (“state 2-to-1” control), the measured dynamic range is limited due to low input–output isolation of the PA, but the leakage current can be attenuated using the third state unit-cells. In the range governed by switching cells between state-2 and state-3 (“state 3-to-2”control), the measured dynamic range improvement is somewhat lower than predicted by (5) (the power dynamic range improvements are potentially 25 and 21 dB), due to low input–output isolation of the evaluation board. The on-chip power leakage could be further improved by carefully routing the metal layers and by using the advanced technologies, such as silicon-on-insulator with high resistivity substrate (HRSOI). An integrated local oscillator can also help to reduce the off-chip power leakage. Fig. 16 shows the measured overall dc power consumption versus output power in both LP and HP modes at 1.75 GHz. In the HP mode, the plotted symbols are the overall dc powers in the same conditions as Fig. 14(a). In the proposed transmitter, a combination of the duty cycle and number of state-1 unitcells can be independently selected to minimize the overall dc

Fig. 17. Comparison between different output power control schemes ( GHz, dBm).

Fig. 18. Measured overall dc power consumptions versus output power when buck converter operations are in charge-sampling and digital PWM modes ( , MHz, GHz, dBm).

power for each output power level, as shown via the dashed curve labeled “best case.” The minimum overall dc power in the back-off is approximately 90 mW due to the overhead of the buck converter.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II PERFORMANCE COMPARISON OF RECENT DIGITALLY CONTROLLED POLAR TRANSMITTERS

On the other hand, a minimum overall dc power of less than 1 mW is achieved in the LP mode. By switching between HP and LP modes at average output power of approximately 20 dBm, the dc power consumption of the transmitter can be minimized. In this case, the measured dynamic ranges of the LP mode state 3-to-2 range, LP mode state 2-to-1 range, and HP mode are 7, 29, and 49 dB, respectively. In the LP mode, there is a large dc power difference between the state 3-to-2 range and state 2-to-1 range due to the selector design of the implemented CMCD PA. Cooperative design between the selector and logic circuits could reduce the overall dc power in the LP mode. The dc power consumption under the proposed power control scheme is compared with power consumption under conventional schemes (DPS [2] and UCC [3]–[5]) in Fig. 17. The proposed scheme achieved 15%–20% lower dc power consumption (i.e., higher efficiency) than the conventional UCC in the back-off range from 5 to 10 dB. In the RF output power region of less than 3 dBm, the dc power consumption of the UCC is a little smaller than that of the proposed scheme. However, the power control resolution of the UCC is less than 3 bits and it is practically less useful in this region. To evaluate the performance of the charge-sampling operation, the overall dc power consumptions are compared between the charge-sampling mode and digital PWM mode in Fig. 18. Measurements are made in the middle output power range (from 35 to 6 dBm) at 1.75 GHz, with different numbers of state-1 unit-cells of the CMCD PA ( – ). The number of unit-cells in charge-sampling mode is adjusted for each output dc current to be the same as that for the digital PWM mode under conditions of the specified load resistance and 3.13% duty cycle. The dead time is 1.5 ns (constant due to limitations of the designed IC). By applying the charge-sampling mode, the overall dc power consumption is reduced from 102 to 72 mW. Additional reductions should be possible if the dead time and envelope inductor could be tailored appropriately in a future design. Performance of the proposed digitally controlled polar transmitter is summarized and compared with recent works in Table II. It should be noted that the proposed transmitter can control the output power over a wide range using DPS and tri-state UCC techniques and can operate at multiple frequency bands with only an external envelope inductor, while the other entries achieve either wide dynamic range or multiple bands with off-chip matching networks.

TABLE III CONTRIBUTION OF EACH BLOCK TO EFFICIENCY AT PEAK EFFICIENCIES

The peak efficiency of the fabricated PA is lower than that of various reported PAs. A chief cause of its reduced efficiency is the loss of the tunable matching network, which, as summarized in Table III, has equivalent efficiencies of 46.8% and 27.4% at 1.75 and 0.85 GHz. If more advanced technologies, such as HRSOI, are applied, the quality factor of on-chip passive components improves and significant efficiency improvement is expected. VI. CONCLUSION Techniques have been proposed and analyzed to improve the controllable output power range and back-off dc power dissipation of a multiband digitally assisted polar transmitter. Control of the output power due to feed-through was demonstrated over a range of 52 and 47 dB at carrier frequencies of 0.85 and 1.75 GHz, respectively. Overall power dynamic ranges of 91 and 85 dB were achieved at these carrier frequencies. Furthermore, using both DPS and UCC together, the measured dc power consumption was reduced by 15%–20% in the back-off range of 5–10 dB compared with the case of conventional UCC. A new mode of operation of the buck converter used as an envelope amplifier was also demonstrated in which the circuit functions in a manner similar to a switched capacitor regulator. With this technique, the overall dc power consumption decreased by a factor of approximately 1.4 in the middle output power range (from 35 to 6 dBm). The techniques are useful for digitally controlled PAs in applications requiring wide output power control like WCDMA. APPENDIX Fig. 19 shows a simplified equivalent circuit of the proposed transmitter in the HP mode. As shown in Fig. 2(b), it is assumed that the FETs of the final stage work in the triode region. The on-resistance of the FET is expressed as . The series resistance of the metal layer including the series resistance of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAKATANI et al.: POWER DYNAMIC RANGE AND BACK-OFF EFFICIENCY IMPROVEMENT IN CMOS DIGITALLY CONTROLLED POLAR TRANSMITTERS

Fig. 19. Simple equivalent circuit of proposed transmitter in HP mode.

on-chip balun is . For simplicity, the dc current ( ) is supplied from a buck converter through series resistance ( ) and to which a digital PWM signal with a certain duty cycle is applied. It is assumed that is high enough to generate the envelope current and is selected to make a balance between the clock images and distortion of the RF output spectrum. For simplicity, it is assumed that is identical to of and . From [2], the output power of the proposed transmitter can be expressed as

(A1) where is the transformer balun loss and the drain resistance seen from the buck converter ( ) is calculated from (A2) From[4], the output power of the conventional transmitter can be written as (A3)

ACKNOWLEDGMENT The authors would like to thank Dr. L. E. Larson, Dr. J. Buckwalter, Dr. H. Gheidi, Dr. P. Draxler, Dr. J. Yan, H.-T. Dabag, V. Diddi, and C. Vu for their contributions to this paper. The authors also wish to thank Dr. R. Booth, Dr. G. D. Mercey, and N. Saito for many valuable discussions and assistance. REFERENCES [1] P. Eloranta, P. Seppinen, S. Kallioinen, T. Saarela, and A. Parssinen, “A multimode transmitter in 0.13 m CMOS using direct-digital RF modulator,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2774–2784, Dec. 2007.

11

[2] T. Nakatani, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “0.7–1.8 GHz multiband digital polar transmitter using watt-class current-mode class-D CMOS power amplifier and digital envelope modulation technique for reduced spurious emissions,” Int. J. Microw. Wireless Technol., vol. 5, no. 03, pp. 271–284, Jun. 2013. [3] D. Chowdhury, L. Ye, E. Alon, and A. M. Niknejad, “An efficient mixed-signal 2.4-GHz polar power amplifier in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 46, no. 8, pp. 1796–1809, Aug. 2011. [4] Pornpromlikit, J. Jeong, G. D. Presti, A. Scuderi, and P. M. Asbeck, “A 25-dBm high-efficiency digitally-modulated SOI CMOS power amplifier for multi-standard RF polar transmitters,” in Proc. IEEE RFIC Symp., 2009, pp. 157–160. [5] C. D. Presti, F. Carrara, A. Scuderi, P. M. Asbeck, and G. Palmisano, “A 25 dBm digitally modulated CMOS power amplifier for WCDMA/ EDGE/OFDM with adaptive digital predistortion and efficient power control,” IEEE J. Solid-State Circuits, vol. 44, no. 7, pp. 1883–1896, Jul. 2009. [6] W. Tai et al., “A transformer-combined 31.5 dBm outphasing power amplifier in 45 nm LP CMOS with dynamic power control for back-off power efficiency enhancement,” IEEE J. Solid-State Circuits, vol. 47, no. 7, pp. 1646–1658, Jul. 2012. [7] H. Choi, Y. Lee, and S. Hong, “A digital polar CMOS power amplifier with a 102-dB power dynamic range using a digitally controlled bias generator,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 579–589, Mar. 2014. [8] T. Nakatani, D. F. Kimball, and P. M. Asbeck, “Multiband and wide dynamic range digital polar transmitter using current-mode class-D CMOS power amplifier,” in Proc. CSICS, 2013, pp. 1–4. [9] H.-W. Huang, C.-Y. Hsieh, K.-H. Chen, and S.-Y. Kuo, “Load dependent dead-times controller based on minimized duty cycle technique for DC–DC buck converters,” in Proc. IEEE Power Electron. Specialists Conf., 2007, pp. 2037–2041. [10] G. Maderbacher, T. Jackum, W. Priby, M. Wassermann, A. Petschar, and C. Sandner, “Automatic dead time optimization in a high frequency DC–DC buck converter in 65 nm CMOS,” in Proc. ESSCIRC, 2011, pp. 487–490. [11] J. Xiao, A. V. Peterchev, J. Zhang, and S. R. Sanders, “A 4- A quiescent-current dual-mode digitally controlled buck converter IC for cellular phone applications,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2342–2348, Dec. 2004. [12] T. Nakatani, J. Rode, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Digital-controlled polar transmitter using a watt-class currentmode class-D CMOS power amplifier and Guanella reverse balun for handset applications,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1104–1112, May 2012. [13] M. Nakamura, T. Urushihara, G. Do, M. Igarashi, and K. Ishida, “Accurate UMTS power transition with power alignment loop between two different modulation modes for polar transmitter,” in IEEE MTT-S Int. Microw. Symp. Dig. , 2011, pp. 1–4. [14] R. Bagheri et al., “An 800-MHz-6-GHz software-defined wireless receiver in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2860–2876, Dec. 2006.

Toshifumi Nakatani (M’01) received the B.E. and M.E. degrees in electrical engineering from Kyoto University, Kyoto, Japan, in 1994 and 1996, respectively, and the Ph.D. degree in electrical engineering from the University of California at San Diego (UCSD), La Jolla, CA, USA, in 2013. In 1996, he joined the Matsushita Electric Industrial Company Ltd. (now the Panasonic Corporation), Osaka, Japan, where he was mainly involved with the development of RF integrated circuits (RFICs) for cell phone handset using SiGe BiCMOS technology. From 2008 to 2010, he was with UCSD as a Visiting Schola. In 2010, he was with the Panasonic Corporation of North America, Cupertino, CA, USA. While with both places, he was involved in the development of digitally assisted power amplifier for handset applications. From 2011 to 2012, he was engaged in a millimeter-wave integrated circuit (IC) project with the Panasonic Corporation, Yokohama, Japan. Since 2013, he has been with MaXentric Technologies LLC, La Jolla, CA, USA, where he has been involved in multiple small business innovative research (SBIR) programs for wireless systems. He has authored or coauthored over 40 papers. He holds 34 U.S. patents. His research interests include the development of microwave and millimeter-wave circuits and wireless transceiver systems for communication and satellite applications.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

Dr. Nakatani is a Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Donald F. Kimball (S’82–M’83) was born in Cleveland, OH, USA, in 1959. He received the B.S.E.E. degree (suma cum laude) with distinction and the M.S.E.E. degree from The Ohio State University, Columbus, OH, USA, in 1982 and 1983, respectively. From 1983 to 1986, he was a TEMPEST Engineer with the Data General Corpation. From 1986 to 1994, he was an Electromagnetic Compatibility Engineer/Manager with Data Products New England. From 1994 to 1999, he was a Regulatory Product Approval Engineer/Manager with Qualcomm Inc. From 1999 to 2002, he was a Research And Technology Engineer/Manager with Ericsson Inc. From 2003 to 2010, he was a Principal Development Engineer with Calit2, University of California at San Diego. Since 2010, he has been the Chief Technical Officier (CTO) with MaXentric Technologies LLC, La Jolla, CA, USA. He also still holds a part-time appointment with UCSD. He has authored or coauthored numerous technical papers concerning high-efficiency high-linearity high-power RF amplifiers (HPAs). He holds seven U.S. patents associated with HPAs. His research interests include HPA envelope elimination and restoration techniques, switching HPAs, adaptive digital pre-distortion, memory effect inversion, mobile and portable wireless device battery management, and small electric-powered radio-controlled autonomous aircraft.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, MA, USA, in 1969 and 1975, respectively. He was with the Sarnoff Research Center, Princeton, NJ, USA, and the Philips Laboratory, Briarcliff Manor, NY, USA, where he was involved in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined the Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego, La Jolla, CA, USA, where he is currently the Skyworks Chair Professor with the Department of Electrical and Computer Engineering. He has authored or coauthored more than 350 publications. His research interests are in development of high-performance transistor technologies and their circuit applications. Dr. Asbeck is a Member of the National Academy of Engineering and has been a Distinguished Lecturer of the IEEE Electron Devices Society and of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was the recipient of the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors.

562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

A Fully Integrated 240-GHz Direct-Conversion Quadrature Transmitter and Receiver Chipset in SiGe Technology Neelanjan Sarmah, Janusz Grzyb, Konstantin Statnikov, Stefan Malz, Pedro Rodriguez Vazquez, Wolfgang Föerster, Bernd Heinemann, and Ullrich R. Pfeiffer, Senior Member, IEEE

Abstract—This paper presents a fully integrated direct-conversion quadrature transmitter and receiver chipset at 240 GHz. It is implemented in a 0.13- m SiGe bipolar-CMOS technology. A wideband frequency multiplier ( 16) based local-oscillator (LO) signal source and a wideband on-chip antenna designed to be used with an external replaceable silicon lens makes this chipset suited for applications requiring fixed and tunable LO. The chipset is packaged in a low-cost FR4 printed circuit board resulting in a complete solution with compact form-factor. At 236 GHz, the effective-isotropic-radiated-power is 21.86 dBm and the minimum single-sideband noise figure is 15 dB. The usable RF bandwidth for this chipset is 65 GHz and the 6-dB bandwidth is 17 GHz. At the system level, we demonstrate a high data-rate communication system where an external modem is operated in its two IF-bandwidth modes (250 MHz and 1 GHz). For the quadrature phase-shift keying modulation scheme, the measured data rate is 2.73 Gb/s (modem 1-GHz IF) with bit-error rate of 10 for a 15-cm link. The estimated data rate over the 17-GHz RF bandwidth is, hence, 23.025 Gb/s. Also, higher order modulation schemes like 16 quadrature amplitude modulation (QAM) with a data rate of 0.677 Gb/s and 64-QAM with a data rate of 1.0154 Gb/s (modem 250-MHz IF) is demonstrated. A second application demonstrator is presented where the wide tunable RF bandwidth of the chipset is used for material characterization. It is used to characterize an FR4 material (DE104) over the 215–260-GHz range. Index Terms—Direct conversion receivers (Rxs), microwave and millimeter-wave integrated circuits, millimeter-wave and terahertz components, millimeter-wave terahertz, SiGe HBT circuits, submillimeter waves, transmit–receive modules.

F

I. INTRODUCTION

REQUENCY upscaling of the fully integrated wireless systems above 200 GHz is due to numerous benefits, i.e., better spatial resolution, reduced form-factor, and abundant available bandwidth. These advantages make it well suited for

Manuscript received August 02, 2015; revised November 15, 2015; accepted November 27, 2015. Date of publication December 17, 2015; date of current version February 03, 2016. This work was supported by the European Commission under the DOTSEVEN Project (no. 316755). N. Sarmah, J. Grzyb, K. Statnikov, S. Malz, P. Rodriguez Vazquez, W. Foerster, and U. R. Pfeiffer are with the Institute for High-Frequency and Communication Technology, University of Wuppertal, D-42119 Wuppertal, Germany (e-mail: [email protected]). B. Heinemann is with IHP Microelectronics, D-15236 Frankfurt (Oder), Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504930

various applications, e.g., high data-rate communication, phase imaging, frequency-modulated continuous-wave (FMCW) RADAR and nondestructive material characterization and identification. The implementation of such systems require wideband RF front-end components and wideband on-chip antennas. In general, depending on the application, the usable RF link bandwidth varies, e.g., it is 3 dB for communication while for imaging, RADAR and material characterization it extends to the point where signal-to-noise ratio (SNR) ( 10 dB) for reliable signal processing exists[1]. An important consideration here is the local-oscillator (LO) generation circuitry. A system based on fixed or limited tunable LO together with wideband mixers and amplifiers restricts its usability for communication applications only. On the other hand, applications like material characterization, imaging, and FMCW RADAR require wideband tunable LO sources. Hence, for generic chipsets, a wideband tunable LO is critical. The recent advancement in silicon process technologies [2] have made it a formidable low-cost alternative to the III–V-based monolithic-microwave integrated-circuit (MMIC) systems [3], [4]. Though the feasibility of silicon process technologies have been demonstrated, the implementations have been application specific, i.e., communication [5]–[8], FMCW RADAR [9]–[11], spectroscopy [12]. In general, LO-signal generation above 200 GHz can be realized using two approaches: 1) high-frequency voltage-controlled oscillator (VCO) and 2) frequency multiplier. Frequency multipliers offer significant advantages over the VCO-based sources in terms of higher tuning range, higher usable bandwidth, and flexible phase-noise performance. For VCOs, the varactor parasitics limit the overall tuning range although techniques like inductive tuning [13] can address this to some extent (3.5% at 200 GHz). For VCO-based LO signal sources, the integration of frequency dividers can increase the overall dc-power consumption substantially and is often understated. A free-running VCO restricts it suitability for noncoherent on–off keying (OOK) based communication systems [7], [8]. A detailed discussion on the further merits and demerits of frequency multiplier versus VCO based approaches are presented in [14] and is skipped here for the sake of brevity. For the on-chip antennas, the choice of the radiation mechanism determines the overall bandwidth. For the frontside radiation mechanism, e.g., in patch antennas, the bandwidth is limited by the distance to the ground plane (few m). In contrast,

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

SARMAH et al.: FULLY INTEGRATED 240-GHz DIRECT-CONVERSION QUADRATURE Tx AND Rx CHIPSET IN SiGe TECHNOLOGY

backside radiation through the silicon substrate no longer puts an upper limit on the maximum achievable bandwidth. Also, the form-factor reduction is by a factor of 3.3 ( for silicon), which is 39% less than in the case of front-side radiation ( for silicon–dioxide). Moreover, the ability to mount external silicon lens in the case of backside radiation gives the ability to have flexible application specific directivity depending on the lens size. The lens reduces the influence of surface waves on the radiation efficiency and radiation patterns and inherently delivers a high gain to compensate for the high free-space propagation loss. In this paper, we present a fully integrated wideband direct-conversion quadrature transmitter (Tx) and receiver (Rx) chipset at 240 GHz. It is implemented in a 0.13- m silicon–germanium (SiGe) bipolar-CMOS (BiCMOS) technology with of 300/450 GHz. The high usable RF bandwidth gives the flexibility of using this chipset for applications requiring fixed or wideband tunable LO. The potential applications are high-data rate communication, material characterization, phase imaging, and FMCW RADAR. A wideband LO signal source ( 16) and a wideband on-chip antenna is the key. For bandwidth enhancement and smoother roll-off beyond the 3-dB bandwidth in the LO chain, four cascaded 2 stages are staggered tuned in frequency [15]. The wideband on-chip antennas are designed for backside radiation through the silicon substrate and used with an external replaceable silicon lens. The lens allow flexible directivity based on the link-budget requirements of the application. For the Tx, the peak saturated power ( ) at 236 GHz is 4.4 dBm, the effective isotropic radiated power (EIRP) is 21.86 dBm (lens diameter of 9 mm). For the Rx, the peak conversion gain (CG) at 236 GHz is 10.5 dB, the minimum single sideband (SSB) noise figure (NF) is 15 dB. The usable RF bandwidth for this chipset is 65 GHz ( from 210 to 275 GHz for a 30-cm link) and the 6-dB link bandwidth is 17 GHz. At the system level, we demonstrate two end-to-end systems: 1) high data-rate communication and 2) material characterization using ellipsometry. For communication, an external modem is operated in its two IF bandwidth modes (250 MHz and 1 GHz). At 240 GHz, the maximum measured data rate is 2.73 Gb/s (modem 1-GHz IF) with bit-error rate (BER) of 10 over a 15-cm range for a quadrature phase-shift keying (QPSK) modulation scheme. Hence, the estimated overall data rate is 23.205 Gb/s over the 6-dB RF link bandwidth. For 16 quadrature amplitude modulation (QAM) the data rate is 0.677 Gb/s, and for 64-QAM, it is 1.0154 Gb/s (modem 250-MHz IF). The high usable bandwidth of this chipset is well suited for material characterization. In this paper, we demonstrate for the first time the technique of ellipsometry above 200 GHz using low-cost silicon technology for the extraction of complex dielectric constant ( ) of materials. This proves the feasibility of low-cost silicon technologies as a potential replacement for bulky laser based sources [16]–[18]. As an example, an FR4 material with material designator DE104 from the Isola group is characterized from 215 to 260 GHz. This paper is organized into six sections. Section II presents the Tx and Rx architecture. Section III presents the circuit

563

Fig. 1. Block diagram of the 240-GHz quadrature Tx and Rx chipset with identical on-chip ring antennas. The on-chip quadrature LO generation network consists of an active balun, a 16 multiplier cascaded with a three-stage PA and a differential 90 hybrid.

building blocks for the Tx and Rx. Section IV presents the details of the on-chip antenna and the packaging aspects. Section V presents a discussion on the measurement results from the on-wafer, free-space characterization, and the application demonstrators. Section VI presents the conclusion and the comparison of this work with those reported in the literature. II. Tx–Rx ARCHITECTURE The block diagrams for the quadrature Tx and Rx (homodyne architecture) are shown in Fig. 1. The LO generation network consists of an active balun, a 16 frequency multiplier followed by a three-stage power amplifier (PA), and a differential 90 hybrid. The active balun is used for single-ended to differential conversion of the external (single-ended) low-frequency signal ( 20 GHz) and driving the succeeding 16 stage. A differential 90 hybrid based on two broadside coupled coplanar striplines (CPSs) similar to reported in [19] is used. The 16 frequency multiplier circuit forms the core of the LO generation network and, in [14], the results from the standalone breakout circuit is presented. The 16 stage consists of four cascaded frequency-doubler stages. The individual stages are based on the Gilbert-cell topology due to its inherent differential operation and high CG as compared to the conventional class-B topology [20]–[22]. For frequency doubling, the RF and the LO inputs of the Gilbert-cell mixer can be multiplied in-phase or in-quadrature. In the ideal case, the power at the second harmonic is the same in both the cases, but unlike in the case of in-phase multiplication, no dc offset is generated in the case of quadrature multiplication. However, for quadrature multiplication, 90 hybrids are required, which are lossy and require additional chip area. Hence, in this implementation, in-phase multiplication is used and the dc offset generated is eliminated by using capacitors as part of the inter-stage matching networks.

564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 2. Block diagram of the LO signal source. It is based on an 16 frequency multiplier consisting of four cascaded Gilbert-cell doublers (D1–D4) followed by a wideband three-stage PA.

Fig. 4. Simulated: (a) output power and (b) CG of the individual doubler stages. The doublers D1 and D3 are tuned higher, while D2 and D4 are tuned lower, and this resulted in an overall flat response.

Fig. 3. Circuit schematic for the unit 2 stage of the LO-signal source. The emitter area for Q1–Q6 is 4 (0.96 0.12) m . The matching inductances and are implemented as microstrip lines with lengths and , respectively. is replaced with resistors . For D1 and D2, the base tuning inductance

III. CIRCUIT BUILDING BLOCKS A. Wideband LO-Signal Generation In this section, the detailed design considerations for the wideband LO signal source are presented. The LO signal source consists of the 16 frequency multiplier (four cascaded doubler stages D1–D4) followed by a three-stage PA, as shown in the Fig. 2. The output power from the doubler D4 should be high enough to drive the PA so that the overall LO drive power dBm. 1) 16 Frequency Multiplier: Fig. 3 shows the circuit schematic for the unit 2 stage of the LO-signal source. The inductance and , which are part of the input and the output matching network, are implemented as microstrip lines with lengths and , respectively. The capacitance couples the input signal to the switching quad and the transconductance stage. Since the effect of parasitics is less pronounced at the lower frequencies, the switching quad for the doublers D1 and D2 are biased using resistors to save chip area. For the doubler D4, the maximum transistor size is limited to 4 (0.96 0.12) m as any further scaling will require pH. The accurate synthesis of such a small inductance is difficult. For sufficient interstage drive power requirements, the transistor sizes for the remaining doubler stages were kept constant. Furthermore, for the doublers D1 and D2, larger transistors are beneficial as the required tuning inductance to tune out the parasitic capacitance is smaller. This helps in reducing the chip area to some extent. For wideband operation, the interstage matching is the key. Also, for the overall spectral purity it is required that the higher order even harmonics ( second) are sufficiently attenuated. If the center frequencies of the cascaded doubler stages (D1–D4)

Fig. 5. Interstage matching between the 2 stages of the LO signal source. connected at the collector output is not shown in this The tuning inductance are tabulated in Fig. 3. figure. The values for and

are aligned (i.e., tuned to the consecutive even harmonics), then the overall bandwidth is significantly reduced. This also results in a sharper roll-off beyond the 3-dB bandwidth, which is typically the case with the higher order filters. As an example, if is the input power and CG1–CG4 are the peak CGs for D1–D4, then and . Assuming the relative bandwidth at the output of each stage to be similar, then . This means that the overall 3-dB bandwidth corresponds to the 0.75-dB bandwidth of the individual stages while the 3-dB bandwidth of the individual stages corresponds to the overall 12-dB bandwidth. To mitigate this limitation, in this design we use staggered frequency tuning [15] where the stages are deliberately misaligned in frequency. The doublers D1 and D3 are tuned higher while D2 and D4 are tuned lower and this resulted in a much smoother roll-off beyond the 3-dB point, as shown in Fig. 4. The detailed interstage matching between the individual stages is shown in Fig. 5. For D1–D2 and D2–D3, the interstage matching is such that the optimum impedance is transformed at the output of D1 and D2 at the second harmonic of interest. Additionally, it ensures that the impedance is low at the fourth and eighth harmonics for D1 (passband of D3 and D4 ) and at the fourth harmonic for D2 (passband of D3). For D3–D4, the D3 output and the D4 input were matched to the differential impedance of 100 for the ease of breakout characterization and interfacing with other circuits. The simulated (large signal) output impedance at the output of each doubler taking into account the loading of the succeeding stages is shown in Fig. 6. The low impedance at the undesired harmonics ensures sufficient

SARMAH et al.: FULLY INTEGRATED 240-GHz DIRECT-CONVERSION QUADRATURE Tx AND Rx CHIPSET IN SiGe TECHNOLOGY

Fig. 6. Simulated impedance at the collector outputs of D1–D4 derived from the large-signal s-parameter simulations. This takes into account the loading of the succeeding stages. The output impedance at the higher order harmonics is low. This ensures minimal harmonic generation beyond the desired second harmonic.

Fig. 7. Schematic of the three-stage PA. The transistors Q1–Q4 have an emitter area of 8 (0.96 0.12) m . The measured differential small-signal gain is 7 at 240 GHz is 5 dBm and the dB and the 3-dB bandwidth is 30 GHz. The is 3 dBm [15]. input referred 1-dB compression point

harmonic rejection. The dc-power consumption is 720 mW including the auxillary active balun at the input. 2) PA: For the LO chain, the 16 frequency multiplier is cascaded with a three-stage PA. This PA is similar to the one used in the Tx after the upconversion mixer. Fig. 7 shows the schematic of the PA. The three- and four-stage PAs were characterized separately using breakout structures and the results have been reported in [14]. The design methodology outlined in [23] has been followed for an appropriate loadline match for maximum power delivery. The microstrip line based inductor TL1, capacitor C1, and the coupled microstrip line CLIN2 are part of the output matching, while CLIN1 is part of the input matching. The transistor size for this implementation is 8 (0.96 0.12) m . The measured small-signal gain for the three- and four-stage PA is 7 and 10 dB (not corrected for the 5-dB total loss for the auxillary balun at the output and the input), respectively, with a 3-dB bandwidth of 30 GHz. The measured for the four-stage PA at 240 GHz is 5 dBm and the input referred 1-dB compression point is 3 dBm [14] and the dc power consumption is 280 mW. Fig. 8 summarizes the correlation between the measurement and simulation results for the 16 breakout with and without the PA. For a 15-GHz input signal with phase noise of 105 dBc Hz at 10-kHz offset, the phase noise of the 16 output is 80.88 dBc Hz, which is a degradation of 25 dB.

565

Fig. 8. Measurement and simulation correlation for the standalone breakout structure of the LO-signal source. The measured peak output power is 0 dBm from 243 to 253 GHz (not corrected for the 2.5-dB balun and pad loss). The 3-dB is 30 GHz and for the 16 breakout it is 40 GHz. For bandwidth for 16 simulations, the effect of the output balun was included.

Fig. 9. Schematic of the up-conversion mixer. and are the tuning inductances at the output and the switching quad, respectively. The transistors Q5, Q6, Q11, and Q12 have an emitter area (Ae) of 2 (0.96 0.12) m , while for Q1–Q4 and Q7–Q10, it is 1 (0.96 0.12) m .

B. Tx Circuit Building Blocks 1) Up-Conversion Mixer: Fig. 9 shows the schematic for the up-conversion mixer, which is based on the double-balanced Gilbert-cell topology. The transistors Q1–Q4 and Q7–Q10 of the I and Q mixer, respectively, are driven by quadrature LO signals. The output collector currents from the two mixer cores are combined i.e., Q1, Q3 (I mixer)+ Q7, Q9 (Q mixer) and Q2, Q4 (I mixer)+ Q8, Q10 (Q mixer), and fed to the load inductor . The center tap of the inductors were used for biasing. The biasing inductor connected at the base of the switching quads forms part of the LO matching network. The differential IF signals for the mixer are provided externally. For this implementation, transistors with emitter area of 2 (0.96 0.12) m and 1 (0.96 0.12) m were used for the transconductance stage and the switching quad, respectively. Minimum-sized transistors for the switching quads reduce the parasitic capacitance and enable stronger switching of the transistors for a given LO drive power. At the LO frequency of 240 GHz and a 25-MHz IF, the simulated CG is 11 dB (at a 2.5-dBm LO), and the is 9.34 dBm to a 100- differential load impedance. The dc power consumption is 33 mW.

566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

TABLE I BREAKDOWN OF THE DC POWER CONSUMPTION

Fig. 10. Schematic of the three-stage LNA. The individual stages were matched to a 100- differential impedance at the input and the output. The transistors Q1–Q4 have an emitter area of 2 (0.96 0.12) m .

Fig. 11. Schematic of the down-conversion mixer. Transistors with an emitter area of 2 (0.96 0.12) and 1 (0.96 0.12) m were used in the transconductance stage (Q9–Q10) and the switching quad (Q1–Q4 and Q5–Q8), respectively.

C. Rx Circuit Building Blocks 1) Low-Noise Amplifier: Fig. 10 shows the schematic of the three-stage low-noise amplifier (LNA) used in the Rx. For the individual stages, a cascode topology was used to maximize gain and to provide a better reverse isolation. Transistors with an emitter area of 2 (0.96 0.12) m were used in this design. For input matching, coupled line (CLIN) with a length of 20 m and 60- impedance was used. Transmission line based inductors TL1 (70 m) with 80- impedance and capacitors C1 (6 fF) form part of the output matching network. The individual stages are matched to a 100- differential impedance at the input and the output. The simulated peak gain and NF at 235 GHz is 15 and 14 dB, respectively, and the dc power consumption is 80 mW. 2) Down-Conversion Mixers: Fig. 11 shows the schematic for the down-conversion mixer in the Rx chip, which is based on the double-balanced Gilbert-cell topology. The transconductance stage (Q9–Q10), shared between the I and Q mixers, is fed from the input RF signal through the LNA. The transistors Q1–Q4 and Q5–Q8, switching quads for the I and Q mixer, are driven by the quadrature LO signals. Transistors with an emitter area of 2 (0.96 0.12) and 1 (0.96 0.12) m were used in the transconductance stage and switching quad, respectively. For the mixer, a load resistance of 200 was used. The differential outputs from the IF amplifiers are dc coupled to the buffer amplifiers comprising of transistors Q11–Q12 (I) and Q13–Q14 (Q) with an emitter area of 4 (0.96 0.12) m ,

Fig. 12. Chip micrograph for the quadrature Tx and Rx with on-chip ring antennas. The antenna dimension is 0.25 mm . For on-chip characterization, ring antennas have been replaced with Marchand baluns (not shown here). The total chip area including the pads and antennas for: (a) Tx is 1.695 mm and (b) Rx is 1.568 mm .

and degeneration resistance emitter area of 4 (0.96 0.12) m , and degeneration resistance of 500 . The simulated CG is 2.7 dB (at a 2.5-dBm LO), and the SSB NF is 18 dB at an RF frequency of 240 GHz and a 33-MHz IF. The dc power consumption is 66 mW. Table I summarizes the dc-power consumption for the different circuit components. D. TX and RX Layout Fig. 12 shows the chip-micrograph for the Tx and Rx with the on-chip ring antennas. The circuit is implemented in a 0.13- m SiGe BiCMOS technology SG13G2 from IHP GmbH [2]. The back-end-of-line (BEOL) has five fine-patterned metal layers, and two thick top metal layers (2 and 3 m thick) for RF applications. For the HBTs, the effective emitter width is 120 nm and the for this process technology is 300/450 GHz. IV. ANTENNA AND PACKAGING The linearly polarized on-chip antenna in the Tx and the Rx chipset is topologically similar to the differential wire ring topology [24]–[26]. It consists of two wire semi-rings connected along the center feed. For wideband operation, the feed is nonuniformly tapered using step-wise approximation. It is designed to illuminate a silicon hyper-hemispherical lens through the chip backside. The lens reduces the influence of surface waves on the radiation efficiency and radiation patterns and inherently delivers a high gain to compensate for the high free-space propagation loss. The backside radiation offer significant advantages over the front-side radiation. The bandwidth is

SARMAH et al.: FULLY INTEGRATED 240-GHz DIRECT-CONVERSION QUADRATURE Tx AND Rx CHIPSET IN SiGe TECHNOLOGY

567

Fig. 13. (a) Simulated of the antenna. The antenna provides a differendB over tial impedance of 100- over a very wide bandwidth ( 180–330 GHz). (b) Lens mounted packaged chip. The chip was glued to the silicon lens and then wire bonded onto a low-cost FR4 PCB material. The packaged Rx looks similar and is not shown here.

Fig. 15. Measurement and simulation correlation from the on-chip linearity is 25 dBm and characterization of the following. (a) Tx: the measured is 6 dBm at 240 GHz. (b) Rx: the is 18 dBm at 240 GHz. the These results are measured at the output pad and were not corrected for the pad and balun loss of 2.5 dB. For the simulations, the HICUM models of the HBT were used.

Fig. 14. Setup for the on-wafer characterization. For the Tx, the quadrature signals were provided externally using the 90 and 180 hybrids while for the Rx they were combined using the hybrids. The Tx was characterized at a 25-MHz IF, while the Rx was characterized at a 33-MHz IF.

no longer limited by the distance of the ground plane (few m) as in the case of front-side radiation. The form-factor reduction is by a factor of 3.3 ( for silicon), which is 39% less than in the case of front-side radiation ( for silicon–dioxide). Moreover, the ability to mount external silicon lens of different sizes gives the ability to have flexible application specific directivity. The antenna provides a differential impedance of 100 over a very wide bandwidth ( dB over 180–330 GHz), as shown in Fig. 13(a). The simulated cross-polarization is below 20 dB for differential operation. By providing a low

impedance (4–5 ) for the common mode, radiation from the parasitic common-mode signal is minimized. It is also optimized for the minimization of mode conversion (differential to the common mode) and the simulated mode conversion is below 40 dB. The packaged Tx chip is shown in Fig. 13(b). To minimize back radiation, the printed circuit board (PCB) surface surrounding the chip at the lens side was metallized. The lens extension is chosen to be close to the elliptical position with extension to radius ratio of 34.4%. The overall directivity of the antenna with the lens is 26.4 dBi at 240 GHz. V. MEASUREMENT RESULTS A. On-Wafer Characterization Fig. 14 shows the setup for on-wafer characterization of the Tx and Rx chip. A WR-03 (220–325 GHz) vector network analyzer (VNA) frequency extension module in the receive and transmit mode is used to characterize the Tx and Rx, respectively. The interface to the chip was provided using waveguide

568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 16. Measurement and simulation correlation from the on-chip frequency characterization of the following. (a) Tx: the 3-dB bandwidth is 20 GHz is (230–250 GHz). At 240 GHz, the measured CG is 16 dB and the 6 dBm. (b) Rx: the measured CG and SSB NF is 11 and 16 dB, respectively, and the 3-dB bandwidth is 18 GHz. These results are measured at the output pad and were not corrected for the pad and balun loss of 2.5 dB.

probes with a total insertion loss of 7.5 dB at 240 GHz. The frequency-dependent loss is found using a second-tier de-embedding technique. For an accurate estimation of the CG of the VNA module, two modules were connected back-to-back (one in the Tx mode and the other in Rx mode). The output power of the Tx VNA module was measured a priori using an absolute power meter (Erickson calorimeter PM4). An external lowfrequency LO signal (12–17.5 GHz) with an output power dBm was applied to the input of the 16 based LO-signal generation circuit. For the Tx characterization, a 25-MHz IF signal was provided using an external signal generator, while for the Rx, the RF and LO were chosen to have a 33-MHz IF. The external 90 and 180 hybrids were used to generate (Tx) and combine (Rx) the differential I and Q input IF signals. Fig. 15 summarizes the measurement and simulation correlation for the on-wafer linearity measurement of the Tx and the Rx. For the simulations, the high current model (HICUM) models of the HBT were used. For the Tx, the input referred 1-dB compression point ( ) is 25 dBm at 240 GHz. The fundamental leakage is 30 dBm and for input IF power lower

Fig. 17. Free-space characterization. (a) Tx: at 236 GHz, the is 4.4 dBm and EIRP is 21.86 dBm. The 3-dB RF bandwidth is 18 GHz. (b) Rx: at 236 GHz, CG is 10.5 dB and the SSB NF is 15 dB. The 3-dB RF bandwidth is 15 GHz.

than 30 dBm, the third harmonic signal level is 48 dBm (18 dB below the fundamental signal). For the Rx, the input referred is 18 dBm at 240 GHz. For the Rx linearity measurements, a high-power source capable of delivering up to 5 mW in the 220–260 GHz was used at the RF input. The output power of this source is controlled through an external dc voltage (0–5 V) and was calibrated using an Erickson calorimeter. Fig. 16 summarizes the measurement and simulation correlation for the on-wafer frequency characterization of the Tx and Rx. For the Tx, the 3-dB bandwidth is 20 GHz (230–250 GHz). is 6 dBm At 240 GHz, the measured CG is 16 dB, the measured at the output pad. For the Rx, the 3-dB bandwidth is 18 GHz. At 240 GHz, the measured CG of the Rx is 11 dB and the SSB NF is 16 dB. Since no noise sources were available, the NF is calculated using the direct method [19] and under the assumption that the input noise floor is 174 dBm Hz (thermal noise at room temperature). B. Free-Space Characterization Fig. 17 summarizes the result from the free-space characterization for the external lens-mounted packaged Tx and Rx chips. For the Tx, the peak and the EIRP at 236 GHz is 4.4 dBm and 21.86 dBm and the 3-dB RF bandwidth is

SARMAH et al.: FULLY INTEGRATED 240-GHz DIRECT-CONVERSION QUADRATURE Tx AND Rx CHIPSET IN SiGe TECHNOLOGY

569

Fig. 20. Measurement setup for the high-data rate communication system. The IF interface of the Tx and Rx are connected to an external commercially available modem for SSB modulation. The Tx and Rx are separated by a distance of 15 cm. The external LO input (15 GHz) of the Tx and Rx (not shown in the figure) are fed from the same synthesiser using identical cables.

Fig. 18. Radiation pattern measurement. (a) Measurement setup: a precision alignment 2-D rotational stage controlled by a stepper motor is used. A precalibrated WR-03 Rx module with conical horn antenna was placed at 30 cm for this measurement. (b) At 240 GHz, FWHP is 4 and 4.5 in the and the -plane, respectively. The estimated directivity is 26.4 dBi. Fig. 21. Constellation diagram for the QPSK modulation scheme at the RF carrier frequency of 240 GHz. At 1-GHz IF bandwidth, the measured data rate is 2.73 Gb/s.

18 GHz. For the Rx, the peak CG is 10.5 dB, the SSB NF is 15 dB at 236 GHz, and the 3-dB RF bandwidth is 15 GHz. A precision alignment 2-D rotational stage controlled by a stepper motor is used for measuring the radiation pattern, as shown in Fig. 18(a). Fig. 18(b) shows the - and -plane cuts of the Tx antenna pattern obtained over 30 rotation. The full-width half-power (FWHP) of the lens-integrated Tx antenna is 4 in the -plane and 4.5 in the -plane. This yields an estimated directivity of 26.4 dBi at 240 GHz. To estimate the RF link bandwidth, the Tx and Rx modules were measured back-to-back. The usable RF bandwidth for this chipset is 65 GHz ( from 210 to 275 GHz for a 30-cm link) and the free-space 6-dB link bandwidth is 17 GHz, as shown in Fig. 19. C. High-Speed Data Communication

Fig. 19. Measurement of the RF link bandwidth. (a) Link setup: the Tx and Rx were separated by a distance of 30 cm. (b) Usable RF bandwidth ( dB) is 65 GHz and the 3-dB bandwidth of 17 GHz).

The abundant available RF bandwidth above 200 GHz together with significant form-factor reduction makes it attractive for short-range point-to-point high data-rate communication links. In this section, we demonstrate the feasibility of this chipset towards the realization of such links. Fig. 20 shows the measurement setup. The external LO input (15 GHz) of the Tx and Rx (not shown in the figure) are fed from the same synthesiser using identical cables. The IF interfaces of the chipset are connected to an external commercially available modem. A 90 hybrid is used for SSB modulation. This modem is capable of generating complex modulation schemes with automatic gain control and equalization features. It is also capable of generating

570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 23. Measurement setup for ellipsometry. (a) MUT is placed at the hinge and the Tx and Rx are mounted on rotational stages and fixed on the rails. By orienting the Tx at 45 relative to the plane of incidence, s-parameters are measured using the VNA by keeping the Rx at 0 and 90 . For this measurement, the LO frequency is swept from 215 to 260 GHz and the IF is in the 30–40-MHz bandwidth. (b) Top-level functional diagram. An incident linearly polarized light becomes elliptically polarized after reflection from the MUT. Fig. 22. Constellation diagram for: (a) 16-QAM modulation scheme. The measured data rates is 0.677 Gb/s. (b) 64-QAM modulation scheme. The measured data rates is 1.0154 Gb/s. The RF carrier frequency for this measurement is 240 GHz and the modem IF bandwidth is 250 MHz.

TABLE II SUMMARY OF THE MEASURED DATA RATES

Fig. 24. Measured results for the relative complex of the FR4 material with material designator DE104 from the Isola group using the technique of ellipsometry. Alternatively, by measuring the Brewster angle (63 ), the real part of the dielectric constant is estimated to be 3.85 over this frequency range.

constellation diagrams and performing BER measurements. For this measurement, this modem is operated in its two IF bandwidth modes (250 MHz and 1 GHz). For the modem IF bandwidth of 1 GHz, the measured data rate at 240 GHz is 2.73 Gb/s and the BER is 10 and the constellation diagram is shown in Fig. 21. Hence, the estimated data rate is 23.205 Gb/s for QPSK modulation over the 6-dB RF link bandwidth. Furthermore, this chipset is capable of supporting higher order modulation schemes, e.g., 16- and 64-QAM, as shown in the constellation diagram in Fig. 22. For the modem IF bandwidth of 250 MHz, the measured data rate at 240 GHz is 0.677 Gb/s for 16-QAM (BER of 10 ) and it is 1.0154 Gb/s (BER of 10 ) for 64-QAM modulation. Table II summarizes the measured data rates along with the BER when the modem is operated in two IF bandwidth modes (250 MHz and 1 GHz).

For these measurements, the range is fixed to 15 cm due to the test setup limitations, but a considerable higher range can be achieved. This can be explained by considering the minimum detectable signal (MDS) at the input of the Rx, which can be calculated by using [27], [28] Bandwidth

(1)

Here, is the carrier-to-noise ratio for a required data rate and a given BER performance for a particular modulation scheme. The MDS at the Rx is 37 dBm, which can be calculated by considering an of 20 dB, bandwidth of 17 GHz, and NF of 15 dB. Hence, at a distance of 15 cm, the input power at the Rx is 20 dB higher than the MDS for

SARMAH et al.: FULLY INTEGRATED 240-GHz DIRECT-CONVERSION QUADRATURE Tx AND Rx CHIPSET IN SiGe TECHNOLOGY

571

TABLE III COMPARISON OF Tx, Rx IMPLEMENTATIONS ABOVE 200 GHz WITH ON-CHIP ANTENNAS

QPSK, while it is 15 dB higher for the QAM modulation (5-dB backoff). This can be calculated by considering the Tx power of 4 dBm, an effective antenna gain of 25 dBi (antenna efficiency is 75%), and free-space path loss of 63 dB[29]. Based on these considerations, the maximum estimated range for QPSK is 1.43 m, while for QAM it is 0.85 m. By relaxing the BER, the maximum achievable range can be further enhanced. D. Material Characterization Using Ellipsometry The packaged silicon chispets above 200 GHz offer a viable compact and low-cost alternative to the bulky laser-based optical techniques [16]–[18] for material characterization. In this application, we exploit the high usable RF bandwidth of this chipset for material characterization. For this measurement, the LO is swept over the RF bandwidth while the IF is fixed to a lowfrequency (30–40 MHz). The technique of ellipsometry [30] is used to extract the complex dielectric constant of materials. Ellipsometry is a reflection based contactless and nondestructive technique for material characterization. It has been adapted to the ultra-wideband range for material characterization in hostile scenarios requiring remote measurement [31], [32]. In contrast to the typical through measurement techniques, ellipsometry does not require any information on the material thickness, which is a significant advantage. This is a very sensitive technique and requires high mechanical precision. The basic principle of ellipsometry is based on the fact that an incident linearly polarized light becomes elliptically polarized after reflection from a material. The shape and orientation of the ellipse depends on the dielectric properties of the material-under-test (MUT). The complex can then be extracted using the following ellipsometry equation [31], [32]: (2)

Here, and are the s-parameters corresponding to the parallel and perpendicular component of the reflected light. Fig. 23 shows the measurement setup used for the estimation of the complex of an FR4 material with material designator DE104 from the Isola group. For this measurement, the LO frequency is swept from 215 to 260 GHz and the IF is in the 30–40-MHz range. The Tx and Rx chipsets are mounted on rotational stages and fixed mounted on two rails. The two rails are hinged at one end and the angle of incidence can be adjusted. For s-parameter measurements, the IF interfaces are connected to a VNA. The MUT is placed at the hinge of the rails using a sample holder. The choice of should be such that so as to prevent any direct Tx–Rx feed-through, i.e., the Rx should only receive the signal reflected from the MUT. For this particular measurement, is 50 . The Tx is fixed at an orientation of 45 relative to the plane of incidence so that for the incident light . After this is measured by orienting (relative to the plane of incidence) the Rx at 0 and is measured by orienting the Rx at 90 . The complex can then be extracted using the ellipsometry equation above. In from the 215- to 260-GHz range, the measured real part for the MUT is from the 3 to 4 range, and the imaginary part is from the to range, as shown in Fig. 24. At frequencies up to 5 GHz, the real part of for this material is known to be from the 4.2 to 4.4 range; however, above 200 GHz no data is available in the literature. To validate our measurements from ellipsometry we use an alternative technique of measuring the Brewster angle to estimate the real part of . At the Brewster angle, only the polarization perpendicular to the plane of incidence is reflected while the parallel polarization is transmitted through the MUT. The setup is similar to ellipsometry and instead of connecting the VNA we use a spectrum analyzer to measure the difference in the received power in the parallel and perpendicular polarization’s for different angles of incidence. At an angle of incidence of 45 , the difference between the received parallel and the perpendic-

572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

ular polarization is 5–7 dB, while at 63 it is 20 dB. Hence, the real part of for FR4 is [33] over the 215–260-GHz range. This is in good agreement with the measured values from the ellipsometry technique. VI. SUMMARY AND CONCLUSIONS A fully integrated quadrature Tx and Rx chipset with a low-cost packaging scheme and operating at 240 GHz has been demonstrated in a 0.13- m SiGe BiCMOS technology. The ability to use this chipset for various applications requiring fixed or wideband tunable LO makes it well suited for applications like communication, material characterization, imaging, and FMCW RADAR. A wideband frequency multiplier ( 16) based LO signal source and a wideband on-chip antenna designed to be used with an external replaceable silicon lens is the key. The wideband LO signal source consists of four cascaded frequency doublers, which are frequency staggered for bandwidth enhancement and smoother roll-off beyond the 3-dB bandwidth. The external replaceable silicon lens gives high directivity in a compact form-factor. The flexibility of using lenses of different dimensions allow the overall directivity to be changed based on the application link-budget requirements. Table III summarizes the result of this work along with a comparison of other Tx and Rx circuits with on-chip antennas above 200 GHz. For the Tx, the at 236 GHz is 4.4 dBm, the EIRP is 21.86 dBm (lens diameter of 9 mm). For the Rx, the peak CG at 236 GHz is 10.5 dB, the minimum SSB NF is 15 dB. The usable RF bandwidth for dB from 210 to 275 GHz this chipset is 65 GHz ( for a 30-cm link) and the 6-dB link bandwidth is 17 GHz. At the system level, we demonstrate a high data-rate communication system. The maximum measured data rate at 240 GHz is 2.73 Gb/s (modem 1-GHz IF bandwidth) with a BER of 10 over a 15-cm range for the QPSK modulation scheme. Hence, the estimated overall data rate is 23.205 Gb/s over the 6-dB RF link bandwidth. Furthermore, we exploit the high usable RF bandwidth of this chipset with a second application demonstrator for material characterization. The technique of ellipsometry above 200 GHz using low-cost silicon technology is demonstrated for the first time for the extraction of the complex of materials. As an example, an FR4 material with material designator DE104 from the Isola group is characterized from 215 to 260 GHz. Future enhancements envisaged for this chipset include further enhancement of the bandwidth and the integration of a dual-polarization antenna on the Tx and Rx. For communication systems, two I/Q data streams can be added to the individual polarization for enhancing the data rate. For material characterization using ellipsometry, a dual polarized antenna eliminates the need for mechanical rotation of the Rx as the desired parallel or perpendicular polarization can be selected using an electronic switch. This is highly desirable as ellipsometry requires very high mechanical precision and stability.

ACKNOWLEDGMENT The authors would like to thank M. Alexanderson, Dr. E. Ojefors, Sivers IMA AB, Kista, Sweden, and Dr. T. Schultz, University of Duisburg-Essen, Essen, Germany, for valuable suggestions on the application demonstrators. REFERENCES [1] K. Statnikov, J. Grzyb, B. Heinemann, and U. Pfeiffer, “160-GHz to 1-THz multi-color active imaging with a lens-coupled SiGe HBT chipset,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 520–532, Feb. 2015. [2] H. Rucker, B. Heinemann, and A. Fox, “Half-terahertz SiGe BiCMOS technology,” in IEEE Silicon Monolith. Integr. Circuits RF Syst. Technol. Dig., 2012, pp. 133–136. [3] D. Lopez-Diaz et al., “A subharmonic chipset for gigabit communication around 240 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [4] H. Song, J. Kim, K. Ajito, M. Yaita, and N. Kukutsu, “Fully integrated ASK receiver MMIC for terahertz communications at 300 GHz,” IEEE Trans. Terahertz Sci. Technol., vol. 3, no. 4, pp. 445–452, Jul. 2013. [5] S. Kang, S. Thyagarajan, and A. Niknejad, “A 240 GHz wideband QPSK transmitter in 65 nm CMOS,” in Radio Freq. Integr. Circuits Symp., 2014, pp. 353–356. [6] S. Thyagarajan, S. Kang, and A. Niknejad, “A 240 GHz wideband QPSK receiver in 65 nm CMOS,” in Radio Freq. Integr. Circuits Symp., 2014, pp. 357–360. [7] Z. Wang, P. Chiang, P. Nazari, C. Wang, Z. Chen, and P. Heydari, “A CMOS 210-GHz fundamental transceiver with OOK modulation,” IEEE J. Solid-State Circuits, vol. 49, no. 3, pp. 564–580, Mar. 2014. [8] J.-D. Park, S. Kang, S. V. Thyagarajan, E. Alon, and A. M. Niknejad, “A 260 GHz fully integrated CMOS transceiver for wireless chip-tochip communication,” in VLSI Circuits Symp., Jun. 2012, vol. 2, pp. 48–49. [9] T. Jaeschke, C. Bredendiek, and N. Pohl, “A 240 GHz ultra-wideband FMCW radar system with on-chip antennas for high resolution radar imaging,” in IEEE MTT-S Int. Microw. Symp. Dig., 2013, pp. 1–4. [10] T. Jaeschke, M. Vogt, C. Baer, C. Bredendiek, and N. Pohl, “Improvements in distance measurement and SAR-imaging applications by using ultra-high resolution mm-wave FMCW radar systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [11] T. Jaeschke, C. Bredendiek, and N. Pohl, “3D FMCW SAR imaging based on a 240 GHz SiGe transceiver chip with integrated antennas,” in German Microw. Conf., Mar. 2014, pp. 1–4. [12] R. Han and E. Afshari, “A CMOS high-power broadband 260-GHz radiator array for spectroscopy,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 3090–3104, Dec. 2013. [13] P.-Y. Chiang, O. Momeni, and P. Heydari, “A 200-GHz inductively tuned VCO with 7 dBm output power in 130-nm SiGe BiCMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3666–3673, Oct. 2013. [14] N. Sarmah, B. Heinemann, and U. Pfeiffer, “235–275 GHz ( 16) frequency multiplier chains with up to 0 dBm peak output power and low DC power consumption,” in Radio Freq. Integr. Circuits Symp., 2014, pp. 181–184. [15] N. Sarmah, B. Heinemann, and U. R. Pfeiffer, “A 135–170 GHz power amplifier in an advanced SiGe HBT technology,” in Radio Freq. Integr. Circuits Symp., Jun. 2013, pp. 287–290. [16] J. F. O’Hara, W. Withayachumnankul, and I. Al-Naib, “A review on thin-film sensing with terahertz waves,” J. Infrared, Millim., Terahertz Waves, vol. 33, no. 3, pp. 245–291, 2012. [17] N. Matsumoto, T. Hosokura, T. Nagashima, and M. Hangyo, “Measurement of the dielectric constant of thin films by terahertz time-domain spectroscopic ellipsometry,” Opt. Lett., vol. 36, no. 2, pp. 265–267, 2011. [18] T. Bowman, M. El-Shenawee, and S. G. Sharma, “Terahertz spectroscopy for the characterization of excised human breast tissue,” in IEEE MTT-S Int. Microw. Symp. Dig., 2014, pp. 1–4.

SARMAH et al.: FULLY INTEGRATED 240-GHz DIRECT-CONVERSION QUADRATURE Tx AND Rx CHIPSET IN SiGe TECHNOLOGY

[19] E. Öjefors, B. Heinemann, and U. R. Pfeiffer, “Subharmonic 220- and 320-GHz SiGe HBT receiver front-ends,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1397–1404, May 2012. [20] N. Sarmah, K. Schmalz, W. Winkler, C. J. Scheytt, and S. Glisic, “122 GHz transmitter using frequency doublers,” in IEEE Silicon Monolith. Integr. Circuits RF Syst. Technol. Dig., 2011, pp. 157–160. [21] J. Hung, T. M. Hancock, and G. M. Rebeiz, “High-power high-efficiency SiGe Ku- and Ka-band balanced frequency doublers,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 754–761, Feb. 2005. [22] E. Öjefors, B. Heinemann, and U. R. Pfeiffer, “Active 220- and 325-GHz frequency multiplier chains in an SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 5, pp. 1311–1318, May 2011. [23] N. Sarmah, P. Chevalier, and U. R. Pfeiffer, “160-GHz power amplifier design in advanced SiGe HBT technologies with in excess of 10 dBm,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 2, pp. 939–947, Feb. 2013. [24] J. Grzyb, Y. Zhao, and U. R. Pfeiffer, “A 288-GHz lens-integrated balanced triple-push source in a 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1751–1761, Jul. 2013. [25] J. Grzyb, H. Sherry, A. Cathelin, A. Kaiser, and U. R. Pfeiffer, “On the co-design between on-chip antennas and THz MOSFET direct detectors in CMOS technology,” in Int. Infrared, Millim., Terahertz Waves Conf., 2012, pp. 1–3. [26] J. Grzyb, R. A. Hadi, Y. Zhao, and U. Pfeiffer, “Towards room-temperature all-silicon integrated THz active imaging,” in IEEE Eur. Antennas Propag. Conf., 2013, pp. 1740–1744. [27] J. Zyren and A. Petrick, “Tutorial on basic link budget analysis,” Harris Semiconductor, Appl. Note AN9804, 1998. [28] L. W. Couch, Digital and Analog Communication Systems. New York, NY, USA: Pearson/Prentice-Hall, 2007. [29] C. Balanis, Antenna Theory: Analysis and Design. New York, NY, USA: Wiley, 2005. [30] R. Azzam and N. Bashara, Ellipsometry and Polarized Light. Amsterdam, The Netherlands: North Holland, 1977. [31] B. Friederich, T. Schultze, and I. Willms, “UWB-radar based surface permittivity estimation in hostile and pathless security scenarios,” in IEEE Int. Ultra-Wideband Conf., Sep. 2014, pp. 125–128. [32] R. Salman, T. Schultze, and I. Willms, “UWB material characterisation and object recognition with applications in fire and security,” in IEEE Int. Ultra-Wideband Conf., Sep. 2008, vol. 2, pp. 203–206. [33] S. Ramo, J. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics. New York, NY, USA: Wiley, 1965. [34] S. Zeinolabedinzadeh et al., “A 314 GHz, fully-integrated SiGe transmitter and receiver with integrated antenna,” in Radio Freq. Integr. Circuits Symp., 2014, pp. 361–364. [35] K. Schmalz, R. Wang, J. Borngräber, W. Debski, W. Winkler, and C. Meliani, “245 GHz SiGe transmitter with integrated antenna and external PLL,” in IEEE MTT-S Int. Microw. Symp. Dig., 2013, pp. 2–4.

Neelanjan Sarmah received the Bachelors of Technology degree in electronics and telecommunication engineering from the National Institute of Technology, Silchar, India, in 2003, and the Master of Science degree in electrical engineering (with a specialization in microelectronics) from the Delft University of Technology, Delft, The Netherlands, in 2008. From 2008 to 2010, he was a Research Staff Member with the German federal research institute IHP Microelectronics, where he was involved in the design of transmitter components such as frequency multipliers and power amplifiers at 120 GHz in in-house SiGe:BiCMOS technology. Since 2011, he has been a Research Staff Member of the Institute for High-Frequency and Communication Technology (IHCT), University of Wuppertal, Wuppertal, Germany. He was part of the Research Training Group GRK 1564 “Imaging New Modalities.” His research interests are in the area of sub-millimeter-wave circuit components and systems for high data-rate communication and material characterisation in low-cost silicon technologies.

573

Janusz Grzyb received the M.Sc. degree (cum laude) in electronic engineering (with a major in the synthesis and design of analog CMOS and BiCMOS circuits with an individual study program) from the Integrated Circuits Laboratory, Technical University of Gdansk, Gdansk, Poland, in 1998, and the Ph.D. degree from the Swiss Federal Institute of Technology, Zurich, Switzerland, in 2004. From 1998 to 1999, he was a Research Assistant. In 1999, he joined the Electronics Laboratories, Swiss Federal Institute of Technology, where he performed pioneering work in the area of low-cost large-area panel-processing MCM-based SiP solutions for 60-, 77-, and 94-GHz applications. From 2004 to 2006, he was with the Communication System Design and High-Speed/Optical Packaging Groups, IBM T. J. Watson Research Center, Yorktown Heights, NY, USA, where he developed package-integrated antenna and packaging solutions for millimeter-wave communication systems. From 2006 to 2009, he was with the Research and Development Group, Huber & Suhner, Pfaeffikon, Switzerland, where he brought onto the market one of the world’s first commercially available point-to-point 60-GHz links: the Sensity Link System. Dr. Grzyb was a corecipient of the 2006 Lewis Winner Award for Outstanding Paper of the IEEE International Solid-State Circuit Conference, the 2006 IBM Pat Goldberg Memorial Best Paper Award, and the 2012 Jan Van Vessem Award for the Outstanding European Paper of the IEEE International Solid-State Circuit Conference.

Konstantin Statnikov received the B.Sc. degree in information technology and M.Sc. degree in electrical engineering from the University of Wuppertal, Wuppertal, Germany, in 2004 and 2007, respectively, and is currently working toward the Ph.D. degree at the Institute of High Frequency and Communication Technology, University of Wuppertal. From 2007 to 2009, he was a Research Staff Member with the University of Duisburg, Duisburg, Germany, where he was involved in multiple scientific and industrial projects focused on wireless communication systems. He was part of the Research Training Group GRK 1564 “Imaging New Modalities.” His research interests are SiGe-chip-based 2-D/3-D terahertz continuous wave (CW) and frequency-modulated continuous-wave (FMCW) radar imaging systems for security and quality inspection applications.

Stefan Malz received the Master of Science degree in electrical engineering from the University of Wuppertal, Wuppertal, Germany, in 2012. Since then, he has been with the Institute for High-Frequency and Communication Technology, University of Wuppertal. His main research interests are design and optimization of highly integrated millimeter-wave and sub-millimeter-wave circuits.

Pedro Rodriguez Vazquez received the M.Sc degree in telecommunication engineering from the University of Málaga, Málaga, Spain, in 2013. He is currently with the Institute for High-Frequency and Communication Technology (IHCT), University of Wuppertal, Wuppertal, Germany, as a Research Assistant. His research interest is in the area of material characterization and communications circuits at sub-millimeter-wave frequencies.

574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Wolfgang Förster received the Engineering diploma from the University of Wuppertal, Wuppertal, Germany, in 1979. Since then, he has been a Research Associate with several institutes of the Department of Electrical Engineering, University of Wuppertal. From 1979 to 1994, he was involved with digital image processing as the Chair of Communication Technology. From 1994 to 2010, he was involved with circuit design for digital image transmission as the Chair of Communication Technology. In 2010, he joined the Chair of High-Frequency and Communication Technology, where he has been involved with circuit design for terahertz imaging.

Bernd Heinemann received the Diploma degree in physics from the Humboldt Universität zu Berlin, Berlin, Germany, in 1984, and the Ph.D. degree in electrical engineering from the Technische Universität Berlin, Berlin, Germany, in 1997. In 1984, he joined the IHP (now called Innovations for High Performance Microelectronics), Frankfurt (Oder), Germany. His research activities include the development and characterization of MOS and bipolar devices. From 1984 to 1992, he contributed to the development of an epi-free 0.8- m BiCMOS technology. Since 1993, he has been a member of a team involved with the exploration and technological implementation of SiGe HBTs.

Ullrich R. Pfeiffer (M’02–SM’06) received the Diploma and Ph.D. degrees in physics from the University of Heidelberg, Heidelberg, Germany, in 1996 and 1999, respectively. In 1997, he was a Research Fellow with the Rutherford Appleton Laboratory, Oxfordshire, U.K. In 2000, his research was based on real-time electronics for a particle physics experiment with the European Organization for Nuclear Research (CERN), Geneva, Switzerland. From 2001 to 2006, he was with the IBM T. J. Watson Research Center, where his research involved RF circuit design, power-amplifier design at 60 and 77 GHz, and high-frequency modeling and packaging for millimeter-wave communication systems. In 2007, he led the Terahertz Electronics Group, Institute of High-Frequency and Quantum Electronics, University of Siegen, Siegen, Germany. Since 2008, he has held the High-Frequency and Communication Technology Chair with the University of Wuppertal, Wuppertal, Germany. Dr. Pfeiffer is a member of the German Physical Society (DPG). He was the recipient of a European Young Investigator Award in 2007. He was a corecipient of the 2004 and 2006 Lewis Winner Award for Outstanding Paper of the IEEE International Solid-State Circuit Conference, the 2006 IBM Pat Goldberg Memorial Best Paper Award, the 2008 EuMIC Best Paper Award, the 2009 Best RFIC Oral Paper Presentation, the 2010 EuMC Microwave Prize, and the 2012 Jan Van Vessem Award for Outstanding European Paper of the 2012 IEEE International Solid-State Circuit Conference.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Fully Integrated X-Band Phased-Array Transceiver in 0.13- m SiGe BiCMOS Technology Chao Liu, Student Member, IEEE, Qiang Li, Senior Member, IEEE, Yihu Li, Xiao-Dong Deng, Xiang Li, Haitao Liu, and Yong-Zhong Xiong, Senior Member, IEEE

Abstract—This paper presents the design of an X-band phased-array transceiver core chip in 0.13- m SiGe BiCMOS technology. The system is based on the all-RF architecture and contains switches, low-noise amplifier (LNA), power amplifier (PA), and the common leg 5-bit phase shifter with loss compensation amplifiers. A distributed structure is used in the gain amplifiers design to ease the multi-stage gain roll-off in the transmit (TX)/receive (RX) paths. A distributed LNA is utilized in the RX path to achieve broadband amplification with acceptable noise figure (NF) while a stacked PA is utilized in the TX path to get high output power. In the RX mode, the receiver demonstrates a gain of 25 dB, an average NF of 3 dB, an output of 6 dBm, a root mean square (rms) phase error less than 3.8 and an rms gain error less than 1.2 dB from 9 to 11 GHz; while dissipating 352-mW dc power. In the TX mode, the transmitter 22 dB, an output of 28 dBm, demonstrates a gain of an rms phase error less than 3 , and an rms gain error less than 0.6 dB from 9 to 11 GHz; while dissipating 4.128-W dc power. The whole transceiver occupies 5.2 3 mm chip area including the testing pads. Index Terms—Beam forming, distributed amplifier (DA), lownoise amplifier (LNA), phase shifter, phased array, radar, SiGe BiCMOS, stacked power amplifier (PA).

I. INTRODUCTION

A

CTIVE phased arrays have been widely used in radar and wireless communication systems since they allow faster beam forming and nulling of the interferences from different directions, and thus result in better signal-to-noise ratio (SNR) and higher channel capacity [1], [2]. Traditionally, III–V technologies (InP or GaAs) are utilized to fabricate the active phased array due to their better performance on output power and noise Manuscript received April 21, 2015; revised August 23, 2015 and October 15, 2015; accepted October 18, 2015. This work was supported by the National Natural Science Foundation of China under Grant 61534002 and by the Chinese National Program for Support of Top-Notch Young Professionals (1st Batch). C. Liu is with the Semiconductor Device Research Laboratory, University of Electronic Science and Technology of China, Chengdu 610054, China, and also with the Semiconductor Device Research Laboratory, Terahertz Research Center, China Academy of Engineering Physics (CAEP), Chengdu 611731, China (e-mail: [email protected]). Q. Li is with the Semiconductor Device Research Laboratory, University of Electronic Science and Technology of China, Chengdu 610054, China (e-mail: [email protected]). Y. Li, X.-D. Deng, X. Li, H. Liu, and Y.-Z. Xiong are with the Semiconductor Device Research Laboratory, Terahertz Research Center, China Academy of Engineering Physics (CAEP), Chengdu 611731, China (e-mail: eyzxiong@ieee. org). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2504977

Fig. 1. Typical block diagram of the active electronically scanned phased-array radar system.

figure (NF). However, to perform active electronic scanning, a phased-array system usually needs thousands of transmit/receive (T/R) modules, which are extremely costly [3]. Compared with III–V technologies, silicon-based technologies can provide a higher integration level at much lower cost, although the power-handling ability, NF, and linearity cannot outperform their III–V counterparts. Therefore, it is popular to use III–V technologies to perform low-noise amplifying and high-power delivering and deploy low-cost silicon-based technologies to perform beam forming, as shown in Fig. 1 [4]. X-band phased array has been a hot research area for military radar use [5]–[13]. Utilizing the system structure shown in Fig. 1, the high power amplifiers (HPAs) usually need expensive III–V solutions to deliver high output power ( 5 W). However, with more manageable output powers, a SiGe technology, which can deliver 0.5–2 W of output power at X-band, is a more cost-effective solution [9]. This paper presents the design and fabrication of an X-band phased-array transceiver using 0.13- m SiGe BiCMOS technology. The transceiver integrates switches, low-noise amplifier (LNA), phase shifter, loss compensation amplifiers (LCAs), and power amplifier (PA). In both receive (RX) and transmit (TX) paths, many functional components are in cascade and this will result in a gain roll-off with increased frequency. Therefore, distributed amplifiers (DAs) are designed to ease the situation. In

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 3. Schematic of the X-band SPDT switch.

Fig. 2. Block diagram of proposed X-band phased-array transceiver.

addition, designs of the building blocks are intentionally shifted towards higher frequency to make room for the possible drop of center frequency. In the RX path, the distributed LNA is adopted to obtain both broadband amplification and low noise. In the TX path, a five-stacked PA is designed to gain high output power to provide new possibilities to further lower the cost of phased arrays with reduced stages of HPAs, which is often expensive III–V chips. This paper is organized as follows. Section II presents the system design of the chip. Detailed circuit design of the building blocks is discussed in Section III. The measurements of the chip are then presented in Section IV. Section V draws the conclusion.

Fig. 4. Simulated S-parameters of the X-band SPDT switch ( voltage).

is low

III. CIRCUIT DESIGN OF THE T/R MODULES The main building blocks of the transceiver chip are switches, LCAs, LNA, phase shifter, and PA. MOS transistors are used for the SPDT switches and phase-shifter design, whereas HBTs are used for the amplifier design.

II. SYSTEM DESIGN OF THE TRANSCEIVER CHIP All-RF phased-array architecture (phase shifting performed at RF frequency) has better anti-interference performance than architectures using local oscillator (LO) or IF phase-shifting methods [8]. The output signal after the RF combiner in Fig. 1 has a high pattern directivity and substantially reject an interferer before following receiver units. In this work, the RF phase shifting is realized through switched low-pass/high-pass (LP/HP) topology, which has a relatively high insertion loss due to the single-pole double-throw (SPDT) switches designed by MOS transistors. Fig. 2 shows the block diagram of proposed X-band phased array. The proposed transceiver adopts the common leg topology to make the RX and TX paths share the same phase shifter to save the chip area [5], [6]. Three SPDT switches are used to perform the RX/TX selection. The RX path includes an LNA, a phase shifter, and an LCA, whereas the TX path includes a phase shifter and a PA. The transceiver is fabricated using a 0.13- m SiGe BiCMOS technology with seven metal layers of which two topmost thick layers (3 and 2 m) are for high- passive components design. The SiGe HBTs and MOS transistors are with unit current gain frequencies of 220 and 50 GHz, respectively. All the passive components, such as inductors, transmission lines, and interconnects are simulated using the 3-D electromagnetic (EM) simulator HFSS. The typical quality factors of inductors are within 10–15 at X-band.

A. SPDT Switch Design A well-known tradeoff between insertion loss and isolation exists when designing a series switch with MOS transistors. An effective way to ease this is to adopt the parasitic off-state capacitance of the MOS transistors in the matching networks [14]. The topology of the shunt nMOS SPDT switch is shown in Fig. 3, the control voltages are applied to the gates of nMOS transistors (185 m/0.13 m) through large resistors called (2 k ). When is low, and are off, and are on. In the upper path, the series inductor and the parasitic off-state capacitances of and form a network; , , and also form a matching network. Higher order match in the signal path imitates a transmission line to improve the input and output return loss and, hence, a wideband impedance matching is achieved. In the lower path, since the on-state resistance of and is small, is shorted to ground; therefore, and form a parallel resonator at the designed frequency. Thus, a high impendence is presented to Port1 and high isolation is achieved. Fig. 4 shows the simulated S-parameters of the X-band SPDT switch. Broadband operation is obtained. From 6 to 14 GHz, the insertion loss and isolation are 2.1–3.2 and 41–43 dB, respectively. Good impedance matching is also achieved for both the input and output port. The simulated output of the switch is 12 dBm throughout the X-band.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: FULLY INTEGRATED X-BAND PHASED-ARRAY TRANSCEIVER IN 0.13- m SiGe BiCMOS TECHNOLOGY

3

Fig. 7. Schematic of the distributed LNA. Fig. 5. Schematic of the distributed LCA.

Fig. 8. Simulated S-parameters of the distributed LNA. Fig. 6. Simulated S-parameters of the LCA.

B. Gain Amplifiers DAs have been widely used in broadband applications due to their intrinsic broadband frequency response and good input and output matching [15], [16]. In the proposed X-band transceiver, DAs are used as the LNA and LCAs to ease the gain roll-off caused by the cascaded stages. 1) LCA: The schematic diagram of the LCA is depicted in Fig. 5. A three-stage cascode distributed topology is utilized to realize broadband amplifying. All the transistors are with the emitter size of 6 0.84 0.12 m . – are dc block capacitors, is the dc feed inductor of the main power supply, while and are 50- termination resistors. For the input matching, – separate the base capacitors of , , and to form the input imitated transmission line; for the output matching, – separate the collector capacitors of , , and to form the output imitated transmission line. and serve as the emitter feedback components to suppress the low-frequency gain to balance the frequency response of the amplifier. The LCA consumes 40 mA from a 2.7-V supply. The simulated S-parameters of the LCA are depicted in Fig. 6. A 3-dB gain bandwidth (11–14 dB) from 5 to 40 GHz is observed. Throughout the X-band, 13–14-dB gain is achieved with the input and output return losses better than 13 dB. The simulated output of the LCA is 13 dBm within X-band. 2) LNA: DAs have often been recognized as high-power dissipation components with high NF. Therefore, DAs are often dismissed when designing a LNA. However, rather than aiming for a higher gain bandwidth product [17], [18], DAs can also be candidates for LNA with proper design [19]. It is often assumed that the NF of the DA is high due to the noise from the

input line termination resistor. However, as Aitchison demonstrated in [20], the reverse gain of the DA shields the noise of the input termination resistor from the output, and therefore, the NF is not bounded by a 3-dB floor, except at very low and very high frequencies. Due to the “sinc” shape of the attenuation factor, the input termination resistor noise appears at the output only at very low and very high frequencies, but not in the mid-band frequency. In this work, a distributed LNA is designed with optimized NF and moderate gain. Fig. 7 shows the schematic of the proposed LNA. A two-stage cascode distributed topology is adopted. To get a lower , minimum emitter width (8 0.84 0.12 m ) is used for all the transistors. – are dc block capacitors, is the dc feed inductor, while and are 50- termination resistors. – are separation inductors. serves as the emitter degeneration inductor to match the real part of the input impendence of the transistor. The biasing point of the transistors is properly chosen to obtain lower NF with adequate gain at a current density of 8.68 mA m . The distributed LNA consumes 14 mA from a 2-V power supply. Fig. 8 shows the simulated S-parameters and NF of the proposed LNA. A 3-dB gain bandwidth of 3–16 GHz is obtained with good gain flatness. The output return loss is better than 15 dB within X-band. The input return loss is larger than 8 dB due to the noise matching. Simulated NF shows an average value of 2.76 dB from 6 to 14 GHz. C. X-Band 5-bit Phase Shifter Passive phase shifters are utilized in the proposed design due to its good linearity and wideband phase shift, and high reliability to process, voltage, and temperature (PVT) variations compared to active solutions [11]. The topology contains

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. (a) Diagram of one-stage phase shifer. (b) Block diagram of the compensated X-band 5-bit phase shifter. Fig. 11. Simulated S-parameters of the compensated X-band 5-bit phase shifter.

Fig. 12. Simulated typical phase response of the 5-bit phase shifter.

Fig. 10. Circuit schematic of the LP/HP networks for: (a) 180 and 90 . (b) 45 . (c) 22.5 and 11.25 phase shifter.

a 5-bit switched network and Fig. 9(a) shows the diagram of one stage phase shifter. LP and HP networks are switched through two SPDT switches designed above to perform the relative phase shift. Five phase shift stages—180 , 90 , 45 , 22.5 , and 11.25 —should be cascaded together to realize the 5-bit phase shifter. Stages with small phase shift should often be shielded by stages with large phase shift to ease the loading effect, thus getting better phase performance [21]. At the same time, a high loss is induced by cascading ten SPDT switches and many passive networks in the signal path. To achieve both good NF and precise phase shift without sacrificing the dynamic range, several LCAs are inserted between the phase-shift stages. If the LCAs are placed too close to the front end, higher power-handling ability of the LCAs is needed to maintain linearity; if the LCAs are too close to the back end, the overall NF will be increased; therefore, in order to achieve good phase performance with acceptable NF and linearity, the block diagram of the X-band 5-bit phase shifter is designed as shown in Fig. 9(b) as a tradeoff. The ordering of the phase-shift stages and LCAs are arranged by considering the NF, linearity,

Fig. 13. Simulated rms phase error and rms gain error of the phase shifter.

and phase-shift loading effects with extensive simulation of different arrangement topologies. Since the SPDT switches show good performance from 6 to 14 GHz, as seen from the simulated results, the LP/HP networks become the bottle neck for broadband operation. Detailed design parameters of the LP/HP networks for each phase-shift stage are shown in Fig. 10. For the 180 and 90 stages, as relative large phase shift is needed, the LP network adopts a two-stage -network, while the HP network adopts a one-stage T-network. For the 45 stage, a one-stage T-network is adopted in the LP network, whereas an LC parallel network is deployed in HP network to improve the gain flatness. As for the 22.5 and 11.25 stages, a one-stage T-network is used in the LP network, while a series LC bandpass network is presented in the HP network. Fig. 11 shows the simulated S-parameters of the 5-bit X-band phase shifter with loss compensation. From 8 to 11 GHz, more than 10-dB gain is achieved. Simulated typical phase response

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: FULLY INTEGRATED X-BAND PHASED-ARRAY TRANSCEIVER IN 0.13- m SiGe BiCMOS TECHNOLOGY

5

Fig. 14. Diagram of the proposed X-band stacked PA.

Fig. 17. Simulated S-parameters of the whole PA.

Fig. 18. Simulated output power and PAE performance of the PA.

Fig. 15. Schematic of the post amplifier (five-stacked HBT PA).

Fig. 16. Schematic of the three-stacked driving amplifier.

(180 , 90 , 45 , 22.5 , and 11.25 ) is depicted in Fig. 12. The simulated root mean square (rms) phase error and rms gain error of the phase shifter is depicted in Fig. 13. An rms phase error of less than 5.5 and an rms gain error less than 0.8 dB is achieved from 8.5 to 12.5 GHz. D. PA Designing a PA with high output power in advanced silicon technologies is challenging due to the low breakdown voltages as compared to III–V semiconductors. To address this problem, a typical approach is to increase the transistor size for higher current swing instead of voltage swing. However, this results in very low output impendence, which makes the output impedance match quite difficult. The power efficiency is

Fig. 19. Simulated waveforms of the: (a) collector voltages and current and (b) collector-to-emitter voltages and current of the five-stacked PA at maximum output.

limited due to the high loss matching network. A popular way to overcome the low breakdown voltage problem and also attain good output match is to use the stacked transistor configuration [22], [23]. By appropriately designing the biasing condition and matching network, uniform voltage drop across the stacked

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 20. Photograph of the fabricated X-band phased-array transceiver in 0.13- m SiGe BiCMOS technology. Fig. 23. Measured relative phase shift of the RX path.

Fig. 21. Measured RX gain of the transceiver for different phase-shift states. Fig. 24. Measured rms phase error and rms gain error of the RX path.

Fig. 22. Measured input and output return losses of the RX path for different phase-shift states.

transistors can be obtained [24]. For achieving an X-band PA with the output power higher than 0.5 W, two five-stacked PAs are designed and the output power of which is combined with Wilkinson power dividers. The circuit diagram of the whole PA is shown in Fig. 14. A driving amplifier is designed in cascade to boost the gain. Fig. 15 shows the detailed schematic of the post amplifier, which is the main amplifier to deliver high output power. Five sets of HBTs ( – ) are stacked to realize a high output voltage swing. Each HBT set includes 25 paralleled transistors with each emitter size of 6 0.84 0.12 m . Unlike cascode structure, the bases of the stacked transistors ( – ) are not RF grounded. – and the base-to-emitter capacitors of the transistors form voltage dividers to make the bases of stacked transistors experience RF swings. Ideally, the collector-to-emitter voltage swing of each stacked transistor is equal in amplitude and phase. For relative high-frequency PA design, optimum inter-stage (between adjacent stacked transistors) matching technique is utilized by adding inductors ( , , , and ) to compensate the amplitude and phase mis-

Fig. 25. Measured gain and output power response of RX path (@ 10 GHz).

Fig. 26. Measured NF of the RX path.

match of the stacked stages. is the dc block capacitor. As shown in Fig. 15, transistors are biased in class-AB with baseto-emitter voltage of 0.85 V and collector-to-emitter voltage of 1.5 V. of 7.5 V is supplied through an RF choke inductor, and the bases of stacked stages are biased through resistive dividers from the main power supply. To achieve a better linearity, the bases of the bottom transistors are biased via RF choke in-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: FULLY INTEGRATED X-BAND PHASED-ARRAY TRANSCEIVER IN 0.13- m SiGe BiCMOS TECHNOLOGY

Fig. 27. Measured TX gain of the transceiver for different phase-shift states.

Fig. 28. Measured input and output return losses of the TX path for different phase-shift states.

Fig. 30. Measured rms phase error and rms gain error of the TX path.

Fig. 31. Measured gain and output power response of TX path (@ 10 GHz).

Fig. 32. Measured output Fig. 29. Measured relative phase shift of the TX path.

ductors [25]. Input and output matching networks are as shown in Fig. 15. By stacking stages of parallel transistors, the maximum output power is determined by (1) and stand for the maximum amplitude where of voltage and current [23]. The circuit diagram of the driving amplifier is shown in Fig. 16. Adopting a three-stacked structure, the driving amplifier works in a similar way as the five-stacked post amplifier. The transistors are of the same size of the post amplifier and the detailed parameters are shown in Fig. 16. Fig. 17 shows the simulated S-parameters of the PA; more than 30-dB gain is achieved from 9 to 12.5 GHz. The simulated output power and power-added efficiency (PAE) versus input power at 10 GHz are plotted in Fig. 18; output

7

and

of the TX path.

of 28 dBm and of 30.1 dBm are achieved, respectively; the simulated peak PAE is 17%, then the PAE drops with increased output power due to the increased dc power consumption. At the 1-dB compression point, the collector currents of the post amplifier and driving amplifier are 220 and 112 mA, respectively. To illustrate the working principle of stacked PAs, the simulated waveforms of the collector voltages (labeled as – in Fig. 15) and current ( in Fig. 15) of the post amplifier are depicted in Fig. 19(a). It is observed that the voltage swing is aligned preciously in the time domain for each stacked transistor. Fig. 19(b) shows the collector-to-emitter voltages and current of the stacked transistors, the peak voltage swing across each transistor is below 3.16 V. Thus, reliability is ensured. From (1), the maximum output power of a five-stacked post amplifier is calculated as follows: W (2) which indicates that a perfectly power-combined PA should deliver 1.56 W (31.9 dBm).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I PERFORMANCE COMPARISON OF TRANSCEIVERS FOR X-BAND PHASED-ARRAY SYSTEMS

IV. MEASUREMENT RESULTS AND DISCUSSIONS The X-band phased-array transceiver chip is fabricated in 0.13- m SiGe BiCMOS technology. Fig. 20 shows the photograph of the chip, which occupies 5.2 mm 3 mm including all the pads. The transceiver chip has been measured on wafer with GSG probes. The S-parameters are measured using a Rohde & Schwarz ZVA67 network analyzer. In the RX mode, the measured S-parameters are depicted in Fig. 21, which shows a 3-dB average gain of 25–28 dB from 8 to 11 GHz. For 32 different phase states (5 bit), the gain flatness is about 2.5 dB. Fig. 22 shows the measured input and output return losses of the RX path. The input return loss is mainly determined by the LNA and, thus, more than 8 dB is observed from 6 to 13 GHz. The measured output return loss is better than 15 dB from 6 to 13 GHz. The measured relative phase shift of the RX path is depicted in Fig. 23. It shows a clear resolution of 11.25 without phase overlaps from 8 to 13 GHz. The measured rms phase error and rms gain error of the RX path are illustrated in Fig. 24. From 8 to 13 GHz, the rms phase error is less than 11 and the rms gain error is less than 1.2 dB. From 9 to 12 GHz, the rms phase error is less than 3.8 and the rms gain error is less than 1.2 dB. For the target frequencies—from 9 to 11 GHz—an average gain more than 25 dB, an rms phase error less than 3.8 , and an rms gain error less than 1.2 dB are

achieved. To demonstrate the power performance, Fig. 25 shows the gain and output power response of the RX path measured with a 10-GHz input signal at the reference state of the phase shifter (“00000”). An output of 6 dBm is observed. The NF is also measured with an NF analyzer Agilent N8975A and a noise source N4000A. As shown in Fig. 26, the measured NF of the RX is around 3 dB across the X-band. An average NF of 3 dB is achieved from 9 to 11 GHz. The dc power is 352 mW in the RX mode. In the TX mode, the measured S-parameters are depicted in Fig. 27, which shows a 3-dB average gain of 22–25 dB from 8 to 11 GHz. For 32 different phase states (5 bit), the gain flatness is about 1.3 dB. Fig. 28 shows the measured input and output return losses of the TX. The input loss is better than 15 dB from 7 to 13 GHz, whereas the output loss is better than 15 dB from 8 to 12.5 GHz. For phased-array applications, the measured relative phase-shift performance of the TX path is depicted in Fig. 29, which shows a clear resolution of 11.25 without phase overlaps from 7 to 13 GHz. The measured rms phase error and rms gain error of the TX path are illustrated in Fig. 30. From 8 to 13 GHz, an rms phase error less than 8 and an rms gain error less than 0.75 dB are achieved. From 9 to 12 GHz, rms phase error less than 3 and rms gain error less than 0.6 dB are achieved. Considering both gain and rms phase/gain errors characteristics of the TX path, an average gain more than 22 dB, an rms phase error less than 3 , and an rms gain error

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIU et al.: FULLY INTEGRATED X-BAND PHASED-ARRAY TRANSCEIVER IN 0.13- m SiGe BiCMOS TECHNOLOGY

less than 0.6 dB are achieved from 9 to 11 GHz. To demonstrate the power performance, Fig. 31 shows the gain and output power response of the TX path measured with a 10-GHz input signal at the reference state of the phase shifter (“00000”). An output of 28 dBm and saturated output power ( ) of 29.2 dBm is observed. The TX system results in a total power efficiency of 15.3% and 11.6% at the and output point, respectively. Fig. 32 shows the measured and of the TX path at different frequencies. The output power varies within 0.3 dB from 9 to 11 GHz. It is observed that the saturated output power of TX path is 0.9 dB lower than the simulated result. The authors believe that this is mainly due to the increased temperature when the PA operates at high output power. The total power consumption of the transmitter is 4128 mW in total at the output point. Table I presents the comparison of this work with other published X-band phased-array systems. To better compare with this work, data of a single element is used for phased-array transceivers with multiple elements. In both RX and TX paths, this work presents high gain and high output power with good phase resolution from 9 to 11 GHz. The relative larger rms gain error can be further improved via adding a variable gain amplifier (VGA) in the path to trim the gain variations. The NF of the RX path is the best among the silicon-based phased-array chips and is comparable with some III–V chipsets. V. CONCLUSION An X-band transceiver for phased-array systems was demonstrated using 0.13- m SiGe BiCMOS technology. Adopting the all-RF structure, the transceiver integrates an LNA, a phase shifter, LCAs, switches, and a PA in a single chip. With distributed LNA and stacked PA design, the transceiver realizes low NF in the RX mode and high output power in the TX mode. The receiver demonstrates a gain more than 25 dB, an average NF of 3 dB, an output of 6 dBm, an rms phase error less than 3.8 , and an rms gain error less than 1.2 dB from 9 to 11 GHz, dissipating 352-mW dc power. The transmitter demonstrates a gain more than 22 dB, an output of 28 dBm, an output of 29.2 dBm, an rms phase error less than 3 , and an rms gain error less than 0.6 dB from 9 to 11 GHz, dissipating 4.128-W dc power at the output point. ACKNOWLEDGMENT The authors would like to thank Y. Liang, J-F. Zhou, and W. Du for their support. REFERENCES [1] X. Guan, H. Hashemi, and A. Hajimiri, “A fully integrated 24-GHz eight-element phased-array receivers in silicon,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2311–2320, Dec. 2004. [2] E. Cohen, C. G. Jakobson, S. David, and D. Ritter, “A bidirectional TX/RX four-element phased array at 60 GHz with RF-IF conversion block in 90-nm CMOS process,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1438–1446, May 2010.

9

[3] I. Bahl and D. Conway, “L- and S-band compact octive bandwidth 4-bit MMIC phase shifters,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 293–299, Feb. 2008. [4] G. Rebeiz et al., “Highly dense microwave and millimeter-wave phased array T/R modules using CMOS and SiGe RFICs,” in Proc. IEEE 12th Annu. Wireless Microw. Technol. Conf., Apr. 2011, pp. 1–5. [5] J. Jeong and I. Yom, “X-band high power SiGe BiCMOS multi-function chip for active phased array radars,” Electron. Lett., vol. 47, no. 10, pp. 618–619, Dec. 2011. [6] D. Carosi et al., “A mixed-signal X-band SiGe multi-function control MMIC for phased array radar applications,” in Proc. 39th Eur. Microw. Conf., Oct. 2009, pp. 240–243. [7] M. van Heijninggen et al., “Multi function and high power amplifier chipset for X-band phased array frontends,” in Proc. 1st Eur. Microw. Int. Cir. Conf., Sep. 2006, pp. 237–240. -band 8-element phased-array [8] K.-J. Koh and Rebeiz, “A - and receiver in 0.18 m SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 43, no. 6, pp. 1360–1371, Jun. 2008. [9] J. Comeau et al., “A silicon–germanium receiver for X-band transmit/ receive radar modules,” IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 1889–1896, Sep. 2008. [10] D. Shin and G. Rebeiz, “A high-linearity X-band four-element phased-array receiver: CMOS chip and packaging,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 2064–2072, Aug. 2011. [11] K. Gharibdoust, N. Mousavi, M. Kalantari, M. Moezzi, and A. Medi, “A fully integrated 0.18- m CMOS transceiver chip for X-band phased-array systems,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2192–2202, Jul. 2012. [12] S. Sim, L. Jeon, and J.-G. Kim, “A compact X-band bi-directional phased-array T/R chipset in 0.13 m CMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 562–569, Jan. 2013. [13] D. Shin, C.-Y. Kim, D.-W. Kang, and G. M. Rebeiz, “A high-power packaged four-element X-band phased-array transmitter in 0.13- m CMOS for radar and communication systems,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 3060–3071, Aug. 2013. [14] C. Liu, Q. Li, and Y.-Z. Xiong, “A compact Ka-band SPDT switch with high isolation,” in IEEE Int. Integr. Circuits Symp., Singapore, Dec. 2014, pp. 304–307. [15] R.-C. Liu, T.-P. Wang, L.-H. Lu, and H. Wang, “A 80 GHz travelingwave amplifier in a 90 nm CMOS technology,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2005, pp. 154–155. [16] K. Moez and M. Elmastry, “A 10 dB 44 GHz loss-compensated CMOS distributed amplifier,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 548–549. [17] K. Eriksson, I. Darwazeh, and H. Zirath, “InP DHBT distributed amplifiers with up to 235-GHz bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1334–1341, Apr. 2015. [18] Y. Li, W. L. Goh, and Y.-Z. Xiong, “A cascaded distributed amplifier operating up to 110 GHz using SiGe HBTs,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 10, pp. 713–715, Oct. 2014. [19] F. Zhang and P. R. Kinget, “Low-power programmable gain CMOS distributed LNA,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1333–1343, Jun. 2006. [20] C. Aitchison, “The intrinsic noise figure of the MESFET distributed amplifier,” IEEE Trans. Microw. Theory Techn., vol. MTT-33, no. 6, pp. 460–466, Jun. 1985. [21] M. Morton, J. P. Comeau, J. D. Cressler, M. Mitchell, and J. Papapolymerou, “Sources of phase error and design considerations for silicon-based monolithic high-pass/low-pass microwave phase shifters,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4032–4040, Dec. 2006. [22] S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck, “A watt-level stacked-FET linear power amplifier in silicon-on-insulator CMOS,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 1, pp. 57–64, Jan. 2010. [23] D. Frische, R. Wolf, and F. Ellinger, “Analysis and design of a stacked power amplifier with very high bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3223–3231, Oct. 2012. [24] A. K. Ezzeddine and H. C. Huang, “The high voltage/high power FET,” in IEEE RFIC Symp. Dig., Jun. 2003, pp. 215–218. [25] E. Taniguchi, T. Ikushima, K. Itoh, and N. Suematsu, “A dual bias-feed circuit design for SiGe HBT low-noise linear amplifier,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 2, pp. 414–421, Feb. 2003.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Chao Liu (S’14) received the B.S. degree in microelectronics engineering from the University of Electronic Science and Technology and China (UESTC), Chengdu, China in 2011, and is currently working toward the Ph.D. degree in UESTC. He is affiliated with the China Academy of Engineering Physics during his Ph.D studies. His research interests include CMOS/SiGe integrated circuit (IC) designs for phased-array systems.

Xiao-Dong Deng received the B.S. degree in communication engineering from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 2011, and is currently working toward the Ph.D. degree at NUST. He is currently affiliated with the China Academy of Engineering Physics (CAEP), Chengdu, China, during his Ph.D studies. His current research interests include millimeter- and terahertz-wave on-chip components, antennas, and integrated circuits.

Qiang Li (M’07–SM’13) received the B.Eng. degree in electrical engineering from the Huazhong University of Science and Technology (HUST), Wuhan, China, in 2001, and the Ph.D. degree in electrical and electronic engineering from the Nanyang Technological University (NTU), Singapore, in 2007. He has been involved with analog/RF and mixed-signal circuits in both academia and industry, as an RTP Trainee Engineer (CWC/I2R), a Senior/Research Engineer, a Project Leader (IME) and Technical Consultant (OKI) in Singapore, and a tenured Professor with the Engineering School of Aarhus University, Aarhus, Denmark. He is currently a Full Professor with the University of Electronic Science and Technology of China, Chengdu, China, where he is also the Vice Dean of the School of Microelectronics and Solid-State Electronics. He has authored or coauthored over 70 scientific publications and 2 books. He holds three international patents. His research interests include ultra-low voltage and energy-efficient analog/RF circuits, data converters, and mixed-signal circuits for biomedical and healthcare applications. Qiang Li was mentioned as an author of top 1% highly cited papers in his area worldwide in 2011. He has served on various Editorial Boards for refereed journals and Technical Program Committees for conferences, and as a reviewer for a number of scientific publications and funding agencies. He was a recipient of the National Program for Support of Top-Notch Young Professionals (1st Batch) from the Chinese Central Government. He was also the recipient of the Teaching Excellence Award for Young Faculty Members from UESTC.

Xiang Li received the B.S. degree in electronic information engineering and M.S. degree in communication and information systems from Hohai University, Nanjing, China, in 2010 and 2013, respectively. He is currently with the Semiconductor Device Research Laboratory, Terahertz Research Center, China Academy of Engineering Physics (CAEP), Chengdu, China. His research area includes embedded systems and automatic test equipment (ATE).

Yihu Li received the B.S. degree in electrical and electronic engineering from Nanyang Technological University, Singapore, in 2010, and is currently working toward the Ph.D. degree in electrical and electronic engineering from Nanyang Technological University. He is currently affiliated with the Semiconductor Device Research Laboratory, China Academy of Engineering Physics (CAEP), Chengdu, China, during his Ph.D. studies.

Haitao Liu was born in LianYunGuang, China. He received the B.S. and M.S. degrees from University of Electronic Science and Technology of China, Chengdu, China, in 2011 and 2014, respectively. He is currently with the China Academy of Engineering Physics (CAEP), Chengdu, China. His research interests include RF measurement, signal processing, and integrated circuit (IC) design.

Yong-Zhong Xiong (M’98–SM’02) received the B.S. and M.S. degrees in communication and electronic systems from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 1986 and 1990, respectively, and the Ph.D. degree in electrical and electronic engineering from Nanyang Technological University (NTU), Singapore. From 1986 to 1994, he was with the Department of Electronic Engineering, NUST, where he was involved with microwave systems and circuit design. In 1994, he was a Research Scholar with NTU. From 1995 to 1997, he was a Senior Engineer with the RF and Radios Department, Singapore Technologies. From 1997 to 2001, he was with the Microelectronics Center, NTU. From September 2001, he was with the Institute of Micro-electronics, Agency for Science, Technology and Research, Singapore. In 2012, he was with the China Academy of Engineering Physics (CAEP), Chengdu, China, and is currently a Professor and Director of the Semiconductor Device Research Laboratory, CAEP. He has authored or coauthored over 200 technical papers. His leading research areas include silicon-based monolithic integrated-circuit design and characterization for millimeter-wave and terahertz applications and device RF and noise modeling and characterization. Dr. Xiong was a corecipient of the 2012 Best Paper Award of the IEEE Components, Packaging, and Manufacturing Technology Society.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

585

Concurrent Dual -Band T/R/Calibration Switch Module With Quasi-Elliptic Dual-Bandpass Frequency Response Implementing Metamaterial Transmission Line and Negative Resistance DongHyun Lee, Jaeyoung Lee, Member, IEEE, and Cam Nguyen, Fellow, IEEE

Abstract—A novel dual-band transmit/receive (T/R) switch module with an integrated calibration path, hereafter a T/R/Cali-band array bration switch module, is developed for dual systems, especially digital beam-forming antenna array system, using 0.18- m BiCMOS technology. A unique quasi-elliptic dual-bandpass frequency response is achieved in its reception and calibration paths with the use of a composite right/left-handed metamaterial transmission line structure coupled with the Colpitts-style negative-resistance generation circuit. The proposed T/R/Calibration switch module architecture provides a self-calibration for both transmission and reception from the farthest end of an array transceiver excluding antennas, thereby offering an accurate calibration function for the synthetic aperture radar consequently. The newly proposed T/R/Calibration switch topology enhances the opportunity of standalone operation of multi-band array transceivers by the integrated self-calibration capability, while still fulfilling the low-noise and high-power needed for the transceivers for reception and transmission via bidirectional low-noise amplifier/power amplifier, respectively. Index Terms—Array calibration, built-in self-test, CMOS and BiCMOS switch, CMOS RF integrated circuit (RFIC), composite right/left-handed (CRLH) transmission line (TL), metamaterial, phased-array transceiver, RF switch, synthetic aperture radar (SAR), transmit/receive (T/R) switch.

I. INTRODUCTION

M

ULTI-BAND systems offer a great deal of benefit in modern communication and radar systems. In particular, multi-band antenna-array radar systems with their extended frequency diversity provide numerous advantages in detection, identification, locating, and tracking a wide range of targets, including enhanced detection coverage, accurate target location, reduced survey time and cost, increased resolution, improved reliability, and target information.

Manuscript received May 22, 2015; revised October 14, 2015; accepted December 11, 2015. Date of publication January 18, 2016; date of current version February 03, 2016. This work was supported by the U.S. Air Force Office of Scientific Research. The authors are with the Electrical and Computer Engineering Department, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2512578

Fig. 1. DBF array architecture. Horizontal: H. Vertical: V.

One of the challenging issues in an antenna-array system design is the calibration. Calibration is a critical issue, especially for millimeter-wave systems, due to various uncertainties such as imbalance and incoherence among receiver channels, imbalances in amplitude and phase from components, unavoidable differences between local oscillator (LO) signals, and power-distribution reconstruction errors in signal processing resulting from nonideal situations. Especially for single-chip array systems, the inevitable changes of signal parameters with process, voltage, and temperature variations result in amplitude and phase errors in various constituent on-chip subsystems including the array transceiver. In particular, an accurate calibration is a matter of significant importance for some array systems such as that proposed in Fig. 1 having bidirectional amplifiers for the digital beam forming (DBF) architecture in [1] or a location sensor in [2]. Moreover, in a fully polarimetric sensing system, the need of

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

accurate calibration is critical since the ratios of amplitude and the deviations in phase between the four polarimetric (HH, VV, HV, and VH) signals contain important information for the image synthesis. A failure in accurate calibration may degrade the benefit of utilizing full polarimetry and result in misinterpretation of scattered signals. The accurate calibration can be achieved by system design in conjunction with digital signal processing. Achieving this very strict requirement of calibration is extremely difficult, particularly for systems-on-chip. A periodic calibration operation can help maintain the original performance of an array system by establishing the correction on the magnitude and phase in all the array channels. The internal calibration for the proposed array system in Fig. 1 is composed of two operations, namely, transmit reference calibration and receive calibration. In the transmit reference calibration, the dual-band receivers (VGA, Mixer, and ADC chain) in Fig. 1 are calibrated first. A weak signal from the driver amplifier (DA) will be sent to the Cal.H and Cal.V port and go through the CalH and CalV path in the transmit/receive/calibration (T/R/Calibration) switch module and the corresponding dual-band receiver to compare the output signals. The differences in amplitude and phase are recorded and designated as the receiver errors. Second, the weak signal from the DA will be directed to the Tx.H and Tx.V port to go through the TxH and TxV path in the T/R/Calibration switch module and the power amplifier (PA) path of the bidirectional amplifier in each polarization channel. Third, the coupled signals from the Pol.H and pol.V port will be sent to the Cal.H and Cal.V port, respectively, and go through the corresponding horizontal (H)- and vertical (V)-channel receiver. From the received signals, the designated receiver errors are subtracted to identify the deviations in magnitude and phase between each H- and V-channel, which are essentially the transmit errors. In the transmit mode of system, this transmit error will be adjusted by the calibration subsystem so the transmit signal for each Hand V-polarization can be maintained identical. In the receive calibration, attenuated signals from the RCal.H and RCal.V port in Fig. 1 will be distributed to the Pol.H and Pol.V port, respectively. The injected signal will go through the low-noise amplifier (LNA) path in the bidirectional amplifier, the RxH and RxV path in the T/R/Calibration switch module, and the dual-band receiver. The discrepancies of the amplitude and phase in the received signal between the H- and V-channels will be recorded in a digital signal processor (DSP) block and classified as the gain and phase errors of the entire receiver including the LNA in the bidirectional amplifier, T/R/Calibration switch module, and dual-band receiver. In the reception mode of system, this receiver error will be subtracted from the signals reflected by intended targets. In operation, a potential target is illuminated in the transmission mode with calibrated transmitting signals and the backscattered signal from the target is received through the antennas of all receivers in the reception mode. The digitalized output signals in this mode are essentially the backscattered signals from the target with the inherent gain and phase errors of the receiver channels embedded. The DSP then subtracts the errors recorded in the receive calibration mode from the received signals to extract useful information. This calibration procedure can also be

used as a built-in diagnosis tool to self-check the system’s function [2]. Compare to the RF domain phase-shifting array architectures, which possess a spatial beam-steering function [3], the digital beam former performs multiple beam generation at the DSP. Such an array architecture without a spatial filtering operation is vulnerable to unfiltered strong interference signals, which may saturate receiver channels and burden baseband circuits including ADC with linearity and power consumption issues [1]. Therefore, it would be of a great benefit to reject out-of-band signals to alleviate the burden of both RF and baseband blocks in the DBF array system. This paper presents a novel fully integrated concurrent dual-band 0.18- m BiCMOS T/R/Calibration switch module in - and -band centered at 24.5 and 35 GHz, combining the transmit/receive (T/R) function with the integrated calibration and filter function, for dual-band array systems such as the DBF array shown in Fig. 1 using the Jazz 0.18- m BiCMOS process.1 Possible application of the T/R/Calibration switch module is in a communication or sensing dual-band system, which works in two different bands centered at 24.5 and 35 GHz simultaneously, such as that described in Fig. 1. II. BACKGROUND THEORIES A. Composite Right/Left-Handed Transmission Line There has been a significant interest in the use of metamaterial composite right/left-handed (CRLH) transmission lines (TLs). The artificial combination of right-handed (RH) and left-handed (LH) networks enables the phase delay control of CRLH TLs [4]–[8]. The CRLH TL is characterized by its phase constant and characteristic impedance , which provide conditions to determine the TL’s dispersion, as (1) (2) where , and , are the inductance, capacitance for the right handedness, and left handedness per unit cell of the CRLH TL, respectively. Equations (1) and (2) offer an extra degree of freedom to control the phase delay of a CRLH TL. This property can be utilized to realize quarter-wavelength TLs at two arbitrary design frequencies. In other words, the general dispersive phase-delay relationship of a CRLH TL, as shown in (1), enables the quarter-wavelength characteristic at two arbitrary frequencies upon enforcing the characteristic impedance condition in (2). B. Cascaded Quasi-Elliptic Dual-Bandpass Network One of the major concerns in developing the T/R/Calibration switch module presented in this paper is to create a dual-band filtering function in the receiver path. As briefly explained earlier, the DBF array transceiver shown in Fig. 1 operates without a spatial beam-steering function and, therefore, a rejection of 1Jazz

Semiconductor Inc., Newport Beach, CA 92660 USA.

LEE et al.: CONCURRENT DUAL

-BAND T/R/CALIBRATION SWITCH MODULE

587

Fig. 2. Cascaded quasi-elliptic dual-bandpass network.

Fig. 3. (a)

plots. (b) Pole/zero plot: BPF. (c) Pole/aero plot: CRLH TL. (d) Pole/zero plot: cascaded BPF and CRLH TL.

out-of-band signals is essential. By employing bandpass filtering function in the proposed T/R/Calibration switch module, it was intended to achieve an out-of-band rejection of more than 30 dB below 10.5 GHz [second-order intermodulation distortion (IMD)] and above 59.5 GHz (second-order IMD). Fig. 2 shows the cascaded quasi-elliptic dual-bandpass network, which is the main body of the complete T/R/Calibration switch module, assuming (nonresistive) ideal elements. It can be divided into two separate parts, namely, a bandpass filter (BPF) and a CRLH section, which are separately synthesized to meet certain magnitude and phase-delay specifications, respectively. The BPF is the third-order type-I Chebyshev filter with an additional inductor inserted in the shunt resonator tank to realize an additional rejection-zero at 65 GHz. The rejec-

tion-zero was added to make the high-frequency skirt characteristic sharper. The insertion loss (IL) and pole/zero plots associated with the BPF are presented in Fig. 3(a) and (b), respectively. As shown in Fig. 3(a), the BPF is wideband with a bandwidth of 13.5 GHz centered at 30 GHz. The associated pole/zero plot in Fig. 3(b) shows two zeros at the origin and a complex conjugate pair of zeros at 65 GHz. This BPF network has two poles at 23.28 and 36.78 GHz, which determines its bandwidth. The and pole/zero plot of the CRLH are displayed in Fig. 3(a) and (c), respectively. As explained in Section II-A, this CRLH network creates a quarter-wavelength consecutively to make open at two design frequencies, herein, 24.5 and 35 GHz. It can be seen from Fig. 3(a) that the ILs at the design

588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

frequencies are 0 dB due to this extra ordinary phase delay of this network. Also observed in the plot is a rejection occurring at the transition frequency of 29.28 GHz. In the pole/zero plot for the CRLH network, the overlapped pole/zero pairs on the axis at 24.5 and 35 GHz suggest that this network has no loss at those design frequencies. The transmission zeros in the plot also appears in the pole/zero plot. Along with the poles and zeros on the axis, what should be examined closely are the critical poles of the CRLH network. The critical pole that appears at 29.22 GHz should be of a great concern since its frequency is very close to the zero appearing at 29.28 GHz. Thus, if a certain margin of stability is not guaranteed, the critical pole at 29.22 GHz would start to move toward the axis of the pole/zero plot, and eventually reaching the threshold of an oscillation. The calculated component values for 90 and 90 at the two design frequencies in the paper, 24.5 and 35 GHz, using a single unit cell of the CRLH are listed in Table I. The characteristic impedance for this network is 50 . This CRLH section will be coupled with a negative-resistance generation circuit to be presented later to improve the quality factor at 29.28 GHz. The dual-bandpass filtering function of the entire network in Fig. 2 is realized by cascading the BPF and CRLH sections discussed above. As shown in Fig. 3(a), the BPF section creates a wide passband including the design frequencies of 24.5 and 35 GHz while the CRLH section creates open only at these two design frequencies. The plot of the entire cascaded network is also presented in Fig. 3(a), showing a dual-bandpass filtering characteristic. It can be seen from the pole/zero plot of this entire network in Fig. 3(d) that all the poles and zeros observed in the separate BPF and CRLH networks appear together. By examining the pole/zero locations shown in Fig. 3(d), it can be seen that this network provides quasi-elliptic frequency response. Although the entire network in Fig. 2 may be considered as a dual-BPF, categorizing it as a network with a quasi-elliptic dual-bandpass response, rather than as a dual-BPF, is more reasonable since the dual-band frequency response of the CRLH section is the result of a specific phase delay, not a dual-band filter synthesis based on magnitude specifications per se. C. Dual-Band Quarter-Wavelength Network Coupled With a Negative-Resistance Generation Circuit A difficulty in utilizing the CRLH TL for a dual-bandpass filtering function presented in the previous section is the low quality factors ( ) of on-chip components, especially those of inductors. They significantly deteriorate the frequency response and limit the signal rejection at the transition frequency to around 15 dB. Fig. 4(a) and (b) shows the simulated IL and the phase of the reflection coefficient of two- and one-port single-cell CRLH networks, respectively, using the ideal and actual on-chip components. For the simulation results with on-chip components, the real process design kit (PDK) capacitors and actual electromagnetic (EM)-simulated spiral inductors are used. As shown in Fig. 4(a), the frequency response with the actual on-chip components loses its sharp frequency response due to the components’ low , while still maintaining the intended phase delay at the design frequencies, as seen in Fig. 4(b). As displayed in Fig. 4(b), the phase of

Fig. 4. Simulated: (a) CRLH.

of two-port CRLH and (b) phase of

of one-port

of the one-port CRLH structure crosses zero consecutively at the two design frequencies, thereby providing a sufficient condition to prove that this network creates an open in virtue of the quarter-wavelength at the design frequencies. To overcome the low issue, a Colpitts-style negative-resistance generation circuit is coupled with the CRLH network, as shown in Fig. 5. The original passive CRLH network is shown in Fig. 5(a) and the CRLH network with improved is described in Fig. 5(b). It should be noted that the transition frequency appears at [6] (3) The resonant frequencies of series are

and

and shunt

and (4)

respectively. These resonant frequencies are equal upon enforcing the condition (2), which leads . A Colpitts style negative resistance generation circuit is coupled with the original CRLH network, as shown in Fig. 5(b) at the and section of which resonant frequency is . The input impedances designated in Fig. 5(b) can be expressed as

(5)

LEE et al.: CONCURRENT DUAL

-BAND T/R/CALIBRATION SWITCH MODULE

589

tance in the CRLH network shown in Fig. 5(b) for ment without an oscillation can be derived as

enhance-

(9) By taking the ratio of (8) for the possible oscillation and (9) for the optimum resistance cancellation, the safety margin can be obtained as (10)

Fig. 5. (a) Original passive CRLH network and (b) CRLH network coupled with a Colpitts style negative resistance generation circuit.

(6) and are the total resistance of the CRLH netwhere work shown in Fig. 5(b) and the transconductance of the bipolar junction transistor (BJT) , respectively. The resistance is inserted in (5) to facilitate manifesting a condition for enhancement. For the circuit in Fig. 5(b) to operate properly, it is necessary for it to maintain the same phase delay as in the original network shown in Fig. 5(a). To that end, the capacitor values of and must comply with the condition below, excluding parasitic capacitances, to accommodate the desired phase delay and, hence, the unique dual-band characteristic offered by the CRLH TL, (7) Also, the current level, which determines the transconductance of , should be chosen carefully to prevent a possible instability, which could arise from the existence of a negative resistance. As is seen in (5) and (6), the negative can effectively cancel out the total resistance in the network, thereby enhancing the at the transition frequency. However, an excessive negative resistance at the transition frequency (29.28 GHz) can cause the adjacent critical pole at 29.22 GHz driven to the axis on the pole/zero map, leading the network to an oscillation state or a marginally stable state. The critical pole at 29.22 GHz was already verified with the pole/zero analysis of the CRLH network in Section II-B. The marginal stability condition when the oscillation could possibly occur due to the excessive negative can be derived from (5) and (6). Besides the resistance in the -enhanced CRLH network, there is also additional resistance introduced by external circuits connected to this network. The quantitative expression for a marginal stability can then be written as (8) where and mean the transconductance for an oscillation and resistance introduced by external circuits, respectively. The optimum transconductance to cancel the total resis-

and are the current for oscillation and optimum where resistance cancellation, respectively. From (10), it can be observed that the current for an oscillation is always larger than the current for the optimum resistance cancellation for enhancement. The additional resistance introduced with external circuits hence prevents oscillation from an excessive negative transconductance by adding more loss, which preserves a stable operation. Along with the stability issue with the use of negative resistance, the variation of due to process and voltage changes can affect the frequency response. It should be noted that those problems can be overcome during the design process by including circuits such as bandgap voltage reference. However, in the proposed T/R/Calibration switch module, the main focus is to show the concurrent dual-band multi-path switching operation for array transceivers with newly introduced -enhanced CRLH and, hence, the bandgap reference circuit is not included in the design. III. T/R/CALIBRATION SWITCH MODULE ARCHITECTURE, ANALYSIS, AND DESIGN A. Deep n-well nMOS Transistors Fig. 6 presents a simplified cross-sectional geometry of a deep n-well CMOS transistor and its equivalent-circuit model. Deep n-well transistors have been used in the design of switches [9]–[12]. The deep n-well provides isolated p-well for nMOS devices, thus floating them on a bulk substrate. To prevent the signal loss due to the junction capacitance caused by the deep n-well, it can be biased at 1.8 V while the isolated p-well/p-substrate is biased at 0 V, thus keeping the junction diodes reverse biased all the time. Along with the deep n-well process, a double deep trench, as seen in Fig. 6(a), is also used in the actual layout to prevent the coupling of noise and unwanted signals from adjacent devices. Simplified equivalent-circuit models for the on- and off-state transistors are shown in Figs. 6(c) and (d), respectively. The simulated IL and isolation (ISO) of nMOS transistors with different widths are provided in Fig. 7. The total widths of nMOS transistors and in Fig. 8 were chosen as 76 m (finger width: 3.8 m, number of fingers: 20) and 58 m (finger width: 3.8 m, number of fingers: 15), respectively, based on the simulation results shown in Fig. 7. While it is important to maintain low IL and high ISO for the T/R/Calibration switch module, it becomes particularly critical to maintain high ISO in the proposed array transceiver in Fig. 1, which utilizes the full polarimetry. First in the reception mode, the leakage signals from

590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

the source and drain nodes of nMOS transistors to provide good ISO when switches are turned off. B. Proposed T/R/Calibration Switch Architecture

Fig. 6. (a) Cross-sectional view of nMOS transistor, (b) tts equivalent circuit, , , and simplified on-state and (c) off-state, (d) equivalent circuits. , , and represent the parasitic capacitance between the source and drain, source and body, drain and body, on-state resistance, and equivalent resistance of the substrate, respectively.

The proposed concurrent dual-band T/R/Calibration switch module architecture is presented in Fig. 8. The T/R/Calibration switch is a four-port circuit including antenna, receiver (Rx), transmitter (Tx), and calibration ports. In this T/R/Calibration switch module, a dual-bandpass filtering function as mentioned in Section II is especially implemented in the reception path from the Antenna- to Rx-port to provide out-of-band rejection in all receiver channels, which are useful for many array transceivers including the DBF transceiver architecture. The T/R/Calibration switch works in four operation states to be described later: transmission, reception, calibration, and idle modes of operation. The T/R/Calibration switch can be partitioned into three sections for the ease of a discussion. The first section is composed of identical pairs of parallel nMOS switches and inductors whose resonant frequencies are tuned at the two design frequencies, 24.5 and 35 GHz, as was discussed in Section III-A. When the nMOS switches are on, the parallel switch pairs provide a through path via on-state nMOS devices while, when they are off, the parallel inductance and equivalent capacitance of off-state nMOS devices produce high impedance, thus blocking in-coming signals. The total capacitance of the off-state nMOS transistor can be calculated from the equivalent circuit in Fig. 6(d) as (11) Given the aforementioned consideration in Section III-A, inductors and were connected across the source and drain of and to provide good ISO. These inductors were designed to form parallel resonances at 24.5 and 35 GHz when the switches are turned off according to

Fig. 7. IL and ISO for different nMOS gate widths.

GHz

(12)

the Tx and Calibration port to Rx port should be minimized since unwanted leakage from the internal signal source could deteriorate the reception signal, ultimately degrading the quality of the images attained from the system. In the transmission mode, it is critical to block the high power to both of the Rx and Calibration port in all the array channels to avoid receiver breakdown and a possible leakage feedback to the transmission path, respectively. In the calibration operation, any leakages induced from undesignated paths should be strictly minimized since the undesired addition of signals to the calibration signal would cause deviation in the calibration signal in each of the array channels. In typical RF switch applications, an ISO of 30 dB is considered to be reasonable. However, the proposed T/R/Calibration switch module requires better ISO, particularly in a multi-path signaling setting as mentioned above. Hence, the ISO performance is set to be more than 30 dB in all signaling paths when they are in off-state. In the final switch module architecture as described later, parallel inductors are connected to

GHz

(13)

where and are the total capacitances of the off-state and , as presented in (11), respectively. In the reception mode operation, the off state Tx and calibration path work as shunt resonators, thus distorting the impedance condition in the Rx path. An additional capacitor is included for impedance matching and nMOS series switch is used for generating additional loss for the calibration path since the calibration operation needs only a weak signal. The second section is a Chebyshev bandpass filter integrating switching function to improve the ISO characteristic of the T/R/ Calibration switch in the transmission- and idle-mode operation. The shunt capacitor in the parallel resonator is replaced with an nMOS switch. Based on the capacitance value of the Chebyshev bandpass filter design, the width of transistor is determined to be 89.6 m for the capacitance value of 133.5 fF. The inductor is inserted in the shunt resonator tank simulated by and

LEE et al.: CONCURRENT DUAL

-BAND T/R/CALIBRATION SWITCH MODULE

591

Fig. 8. Proposed concurrent dual-bandpass T/R/Calibration switch module.

to form a rejection pole at 65 GHz to make a better skirt characteristic at a higher rejection band. The third section is the metamaterial CRLH dual-band quarter-wavelength network with improved . The unique dual-band phase delay creates an open at the two design frequencies while the enhanced at the transition frequency enables a high signal rejection between the two design frequencies. The incorporated negative resistance enhances the rejection between the design frequencies, hence overcoming the relatively small frequency ratio of 1.43 between these frequencies and the low- factor of on-chip components. The cascaded network comprised of the second and third section creates a dual-bandpass response, as discussed earlier. The T/R/Calibration switch is operated as follows. In the transmission mode, only the nMOS switch groups in the Tx path of the first section and in the second section are turned on while all other switches are off. The nMOS transistor T4 is turned on to create a short path to the ground, thereby blocking any signal leakage to Rx port. In the reception mode, only the switch group in the Rx path of the first section is turned on while all others are turned off. In the calibration mode, only the switch group in the calibration path is turned on while having all other switches being turned off. A noteworthy feature in this mode of operation is that the frequency response of the on-state calibration, which specifies the IL between the calibration and Rx port, emulates that of the reception-mode frequency response as close as possible with a reduced magnitude, since the calibration and reception path share the second and third sections in common. It is attractive, given that performing a calibration from the very end of a receiver would assure the most accurate calibration results for array receivers. The proposed T/R/Calibration architecture provides a calibration capability from the farthest end of the DBF transceiver. The component values for the switch are provided in Table II. Table III summarizes the operation states and control bits of the T/R module decoder (3:8). The 10-k resistors at the gates of the nMOS switches prevent high-frequency signal leakages. In the actual layout, inverter pairs are connected before all these

Fig. 9. (a) GCPW structure and (b) EM simulation model.

resistors to avoid drops of the control voltages due to lengthy connection lines. C. Grounded Coplanar Waveguide and Comprehensive EM Simulation The entire four-port T/R/Calibration switch is designed with grounded coplanar waveguide (GCPW) structure to completely isolate digital control signals from high-frequency signal [13]. The metal stack-up for the GCPW employed in the proposed T/R/Calibration switch module is shown in Fig. 9(a). The topmost metal M6 is used as the main signal path while M3 is used as the bottom ground plane. All other metal layers used for the ground are interconnected by vias as shown. The control dc voltages for the switch operation are routed using strip lines in M2 layer with M1 and M3 ground planes. Two different GCPW with 50- and 75- characteristic impedance are used and their dimensions are provided in Table IV.

592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 10. Microphotographs of T/R/Calibration switches: (a) one-port CRLH, (b) dual-bandpass SPST switch, (c) T/R/Calibration switch with Tx port termination, (d) T/R/Calibration switch with Calibration port termination, and (e) T/R/Calibration switch with antenna port termination.

The 3-D view of an EM simulation model of a CRLH section is illustrated in Fig. 9(b). The illustration includes all components for a full-wave EM simulation using the GCPW structure shown in Fig. 9(a). The bottom ground plane in the M3 metal layer underneath spiral inductors and metal–insulator–metal (MIM) capacitors is perforated to remove parasitic capacitances to M3, which would degrade the of those elements. The full-wave EM simulations were performed using IE3D HyperLynx 3D EM version 15.2. IV. CONCURRENT DUAL-BAND T/R/CALIBRATION SWITCH MODULE: PERFORMANCE AND DISCUSSION A. Dual-Band Metamaterial CRLH Network The fabricated one-port dual-band CRLH network is shown in Fig. 10(a). Rather than going through a cumbersome de-embedding process to measure the phase response of the dual-band CRLH network, a simpler yet effective approach was made to

make it a one-port circuit as appeared in the photograph. The phase of the reflection coefficient in this case should cross zero at 24.5 and 35 GHz consecutively because the network creates an open circuit due to the quarter-wavelength at these frequencies. As shown in Fig. 11, the simulated phase of crosses 0 at 24.5 and 35 GHz. The measured results show that the phase of crosses 0 at 24.35 and 37.1 GHz, suggesting the upper design frequency is shifted upward by 2.1 GHz. Minor changes in the inductance value by the inevitable process variation caused this frequency shift. The Colpitts-style negative-resistance generation circuit contained in this network consumed 0.85 mA from of 1.8 V, which is the optimum current value to achieve a rejection ratio as high as 50 dB without any sign of an oscillation. B. Dual-Band SPST Switch Fig. 10(b) shows the fabricated dual-band single-pole singlethrow (SPST) switch. It was fabricated to verify the dual-band-

LEE et al.: CONCURRENT DUAL

Fig. 11. One-port CRLH

-BAND T/R/CALIBRATION SWITCH MODULE

.

Fig. 12. Dual-band SPST switch S-parameters.

pass function without Tx and calibration paths in the complete T/R/Calibration switch module. The dual-band SPST was designed by cascading two series switch pairs, a BPF switch and a CRLH network coupled with a negative-resistance generation circuit. The measured IL, ISO, and input and output return losses are shown in Fig. 12. The measured (on-state) IL of the SPST switch is 6.2 and 8.2 dB at the 24.5- and 35-GHz design frequencies, respectively, while the measured (off-state) ISO remains more than 30 dB up to 40 GHz and reaches 60 and 45 dB at 24.5 and 35 GHz, respectively. The input and output return losses are more than 10 dB. The measured and simulated results agree reasonably well. C. Dual-Bandpass T/R/Calibration Switch Module The complete dual-bandpass T/R/Calibration switch is a fourport circuit with the antenna, reception, transmission, and calibration ports. It is operated in four different modes: reception, transmission, calibration, and idle. Fig. 10(c)–(e) shows the dual-bandpass T/R/Calibration switches fabricated with different 50- port terminations for measurement purposes. The dual-BPF function is integrated in both the receiver and calibration paths. The concurrent dual-band frequency response of the reception path is duplicated with a reduced magnitude in the calibration path because these two paths share the same BPF switch

Fig. 13. Reception-mode IL with

593

cell on and off.

and proposed dual-band CRLH network. Table VI shows the labels of the on-chip components. Reception Mode: The reception mode is assessed using the fabricated circuit in Fig. 10(c). The Tx port is terminated with a 50- resistor. Fig. 14(a) shows the IL between the antenna and receiver ports and the ISO from the antenna to other ports. At the design frequencies of 24.5 and 35 GHz, the measured ILs are 9.4 and 9.1 dB, respectively. The measured 3-dB bandwidth is from 23.7 to 28 GHz and from 31.14 to 38.9 GHz for each design frequency, respectively. The lowest measured noise figures in the aforementioned bands are 9.4 and 9.25 dB, respectively. Fig. 13 compares the IL responses with the negative cell turned on and off, which shows the negative resistance effectively compensates the loss of the CRLH TL. When the cell is turned off, the ILs reach 11.9 and 11.55 dB at 24.5 and 35 GHz, respectively, and the rejection at the transition frequency deteriorate only to show 11-dB difference compared to passband ILs. The rejection zero that makes a better skirt characteristic at higher frequency appears at around 65 GHz. The measured ISO between the Tx and Rx port is more than 30 dB up to 67 GHz, reaching as high as 55 dB from 24.25 to 24.75 GHz and 45 dB from 34.75 to 35.25 GHz. The highest ISO of 65 dB is achieved at 22 GHz. The measured ISO between the calibration and receiver ports is more than 45 dB from 20 to 44 GHz and reached 75 dB at about 38 GHz, as presented in Fig. 14(b). The measured return losses are more than 9.3 dB from 24.25 to 24.75 GHz and 13 dB from 34.75 to 35.25 GHz at the antenna port, and more than 8.3 dB from 24.25 to 24.75 GHz and 9.3 dB from 34.75 to 35.25 GHz at the receiver port, as seen in Fig. 14(c). As can be seen, the measured and simulated IL and return loss show reasonably good agreement. Transmission Mode: Fig. 10(d) shows the T/R/Calibration switch with the calibration port terminated with a 50resistor for the transmission-mode operation measurement. Fig. 15(a) shows the IL and ISO. The measured ILs are between 9.1 to 9.6 dB from 24.25 to 24.75 GHz and between 4.8 to 5 dB from 34.75 to 35.25 GHz showing 9.2 and 4.9 dB at the design frequencies of 24.5 and 35 GHz, respectively. The measured ISO between the Tx and calibration ports is more than 55 and 60 dB at 24.5 and 35 GHz, respectively, and more than 40 dB

594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 14. Reception mode performance: (a) IL, (b) ISO, and (c) return loss.

Fig. 15. Transmission mode performance: (a) IL, (b) ISO, and (c) return loss.

Fig. 16. Calibration mode performance: (a) IL, (b) ISO, and (c) return loss.

Fig. 17. Idle-mode performance: ISO between (a) antenna-calibration and Rx-calibration ports, (b) Tx-calibration and antenna-Tx ports, and (c) antenna-Rx and Tx–Rx ports.

from 20 to 50 GHz. The other measured ISO between the Tx and Rx port also remains more than 30 dB up to 67 GHz as well, achieving 50 dB from 24.25 to 24.75 GHz and 45 dB from 34.75 to 35.25 GHz. The measured ISOs are presented in Fig. 15(b). The measured return loss, as displayed in Fig. 15(c), is more than 5.5 dB from 24.25 to 24.75 GHz and 8.5 dB from 34.75 to 35.25 GHz at the antenna port and more than 10 dB

from 24.25 to 24.75 GHz and 17 dB from 34.75 to 35.25 GHz at the Tx port. Calibration Mode: The T/R/Calibration switch with the antenna port terminated with a 50- resistor is shown in Fig. 10(e). As stated earlier, this T/R/Calibration switch architecture enables the calibration from the farthest end of the RF front-end, which would provide the best accurate calibration performance.

LEE et al.: CONCURRENT DUAL

-BAND T/R/CALIBRATION SWITCH MODULE

595

Fig. 18. P1 dB linearity performance of the T/R/Calibration switch. (a) Dual-band SPST. (b) Reception path. (c) Transmission path. (d) Calibration path.

Fig. 16(a) shows the IL between the calibration and Rx ports and the ISO between other ports. Both of the measured ILs at 24.5 and 35 GHz are 12.3 dB. The measured 3-dB bandwidth was from 23.9 to 28.3 GHz and from 31.3 to 38.7 GHz for each design frequency, respectively. The measured ISO between the Tx and Rx ports is more than 70 and 60 dB at 24.5 and 35 GHz, respectively, and more than 40 dB up to 67 GHz. The ISO between the antenna and Rx ports remains more than 55 and 45 dB at 24.5 and 35 GHz, while it is more than 30 dB from dc to 67 GHz. All the measured ISOs appear in Fig. 16(b). The measured return loss, as seen in Fig. 16(c), is more than 10 dB from 24.25 to 24.75 GHz and 14.7 dB from 34.75 to 35.25 GHz at the calibration port, and more than 6.5 dB from 24.25 to 24.75 GHz and 6.5 dB from 34.75 to 35.25 GHz at the receiver port. Idle Mode: An additional mode, namely, the Idle mode, is employed for the nonoperation state of the T/R/Calibration switch. The idle mode is executed with all the paths off when the complete transceiver does not perform any task. Good performance in this mode is essential for practical system operations in order to isolate the nonoperating transceiver from undesired signals. Fig. 17 shows the six ISOs between different ports. The measured ISOs between the antenna and calibration, Rx and calibration, antenna and Tx, Tx and calibration, and antenna and Rx are more than 55, 50, 35, 50, and 40 dB, respectively, from 20 to 40 GHz. The measured ISO between

Tx and Rx ports was more than 60 dB from 23.5 to 27.1 GHz and from 34 to 40.3 GHz. D. Power Handling and Linearity The simulated and measured linearity characteristics via IL and ISO are provided in Fig. 18. For the dual-band SPST switch, the measured input 1-dB compression point was 8.6 and 7.5 dBm at 24.5 and 35 GHz, respectively. The measured ISO remains more than 43 dB with up to 15-dBm input power. For the reception mode of T/R/Calibration switch, both of the calculated and measured IL and ISO are obtained from the antenna to Rx ports of the T/R/Calibration switch. The input 1-dB compression points are measured to be 5.6 and 5.4 dBm at 24.5 and 35 GHz, respectively. The ISO remains more than 45 dB with input power up to 15 dBm at both design frequencies of 24.5 and 35 GHz. The measured linearity performance between the Tx and antenna port, in its on-state, shows the input 1-dB compression points of 11 and 10 dBm at 24.5 and 35 GHz, respectively. The ISO is more than 30 dB with an input power up to 15 dBm for both 24.5 and 35 GHz. Finally, for the calibration mode, the linearity performance is characterized between the calibration and Rx ports. The measured 1-dB power compression in the on-state occurs at the input power of 4.2 and 3.9 dBm at 24.5 and 35 GHz, respectively. The ISO over the same path in its off-state is more than 50 dB with input power

596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 19. IP3 linearity performance of the T/R/Calibration switch. (a) Dual-band SPST. (b) Reception path. (c) Transmission path. (d) Calibration path.

up to 15 dBm. The results of the IIP3 measurement are shown in Fig. 19. The SPST switch shows the IIP3 of 16.5 and 18 dBm at 24.5 and 35 GHz, respectively. For each of the operation modes of the T/R/Calibration switch, the IIP3 were 16.5 and 18.6 dBm for the reception mode, 23.3 and 21.7 dBm for the transmission mode, and 14.9 and 15.3 dBm for the calibration mode, at 24.5 and 35 GHz, respectively. E. Performance and Discussion Table VII summarizes the performance of the proposed T/R/ Calibration switch module. There has been no work reported on four-port dual-band switch modules with integrated dual-band filtering function in -band, which makes it ineffective in comparison of the performance of the proposed four-port dual -band T/R/Calibration switch module. Nevertheless, an indirect performance comparison with other works has been attempted. To that end, the SPDT switch with the best IL performance at 35 GHz [14] and the on-chip BPF [15] on similar silicon processes with similar out-of-band rejection characteristic to that of the proposed T/R/Calibration switch module are used for the IL performance comparison as follows. The SPDT switch in [14] and BPF in [15], which are optimized at 35 GHz, exhibit the IL of 2.6 dB and 4.5 dB, respectively. To make the four-port configuration for comparison,

a back-to-back connection of two SPDTs can be constructed, which exhibits the IL of around 5.2 dB. The cascade connection of the BPF in [15] and the back-to-back connected four-port switch would provide the IL of around 9.7 dB. On the other hand, the proposed T/R/calibration switch module exhibits the ILs of 9.4/9.1 and 9.2/4.9 dB between Ant.-Rx and Tx-Ant. ports at 24.5/35 GHz in the reception and transmission modes, respectively. Excluding the calibration path, which was intentionally designed to have more loss, the proposed T/R/Calibration switch module shows a similar or better IL performance with a dual-band filtering response, not a single-band response, to a similarly configured component consisting of SPDTs [14] and BPF [15]. This is particularly appealing considering the facts that the compared configuration is composed of SPDTs [14] and BPF [15] optimized at the single design frequency of 35 GHz, while the proposed T/R/Calibration module was designed with a bandpass filtering function and works concurrently in two different bands centered at 24.5 and 35 GHz. Also, considering the small frequency ratio between 24.5 and 35 GHz, which would require high -resonance for an agile frequency response, the proposed T/R/Calibration switch module shows a competitive loss and rejection performance. The rejection ratio between 24.5 and 35 GHz reaches as high as 50 dB and the out-of-band rejections are greater by more than 30 dB compared

LEE et al.: CONCURRENT DUAL

-BAND T/R/CALIBRATION SWITCH MODULE

TABLE VII MEASURED PERFORMANCE SUMMARY OF THE

to passbands in the frequency range below 10.5 GHz and above 59.5 GHz both for reception and calibration mode of operation. The measured input 1-dB compression points of 11 and 10 dBm at 24.5 and 35 GHz, respectively, would not cause problems for use in the proposed transceiver shown in Fig. 1 due to the use of a bidirectional LNA/PA, such as that employed in [16], in each channel. The bidirectional LNA/PA works as a PA in the transmission mode and an LNA in the reception mode, enabling high-power and low-noise signals to be obtained in these respective modes. It is recognized that concurrent multi-band and multi-function RFICs are desired for achieving low-cost, compact, and versatile RF systems and, as more functions and different bands are integrated together in a single circuit, some sacrifices in circuit performance may be inevitable. This is the price to pay for achieving more functional RFICs, which is considered reasonable in view of system implementation advantages with multi-function over multiple different frequency ranges for minimum size and cost using integrated circuits, particularly silicon-based RFICs. Besides, the system architecture could be altered to overcome potential performance degradations of employed multi-band and multi-function RFICs such as the proposed transceiver with bidirectional amplifiers shown in Fig. 1. There has been interest in realizing internal calibration functions for antenna array systems. For example, a calibration technique for digital phased-array systems is proposed in [17] and an internal amplitude and phase calibration using passive couplers and switches is presented in [18]. Also, the realization of a calibration function on a silicon-based on-chip receiver system using couplers in millimeter-wave frequency range is proposed in [19]. The proposed digitally controlled T/R/Calibration switch module provides a new internal transmit reference and receive calibration functions for the specific digital beam-former array, as shown in Fig. 1. Furthermore, it provides unique quasi-elliptic dual bandpass filtering responses in

597

-BAND T/R/CALIBRATION SWITCH

the reception and calibration paths complying with complex switching requirements of the antenna array system shown in Fig. 1. In realizing such filtering responses, a new technique utilizing the CRLH TL structure is implemented. While there have been various attempts in realizing bandpass frequency response on silicon chips such as [20] and [21], the proposed T/R/Calibration switch module enables multi-path and multi-port configuration operation with filtering function. This filtering function, however, requires high- response due to the small frequency ratio between the two bands at 24.5 and 35 GHz. Using the -enhanced CRLH network presented in Section II-C resolves this problem and allows the concurrent dual bandpass response to be achieved. Although the CRLH network has been used to realize dual-band components such as filters [22]–[24], only in the proposed T/R/Calibration switch module, the CRLH section is coupled with the Colpitts negative generation circuit for -enhancement, making this proposed idea effectively unique compared to [22]–[24] and other works. Furthermore, it should be noted that this on-chip distributed approach combined with active devices differentiates its novelty from conventional distributed approaches. It should also be noted in general that even though the CRLH TL has been extensively used for more than a decade, its implementation coupled with active -enhancement circuit on silicon die in the proposed work is new. This -enhanced CRLH network can also be integrated with other on-chip components such as amplifiers, mixers, attenuators, etc., which furthers its attractiveness. V. CONCLUSION This paper has presented a novel concurrent dual-band T/R/Calibration switch module fully integrated on 0.18- m BiCMOS with bandpass filtering and integrated calibration function for dual-band -band array systems. A new approach utilizing the metamaterial CRLH TL was introduced

598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

in conjunction with a negative resistance to achieve a unique quasi-elliptic dual-bandpass response. The -enhancement technique via negative resistance coupled with the CRLH TL expands the possibility of exploiting unique dispersion characteristics of metamaterial structures in millimeter-wave integrated-circuit design. This approach also facilitates the reduction of a bulky off-chip filter, thereby fostering single-chip integration more conveniently. Furthermore, the proposed T/R/Calibration switch topology with the integrated calibration path enables an accurate transceiver array calibration and a standalone operation, which is expected to enhance the performance and operation of millimeter-wave array systems. ACKNOWLEDGMENT The authors wish to acknowledge the chip fabrication of TowerJazz Semiconductor. REFERENCES [1] M. I. Skolnik, Introduction to Radar Systems. New York, NY, USA: McGraw-Hill, 2001. [2] Y. Jin, R. Xu, and C. Nguyen, “Theoretical investigation of a novel location sensor,” in Proc. IRS, Wroclaw, Poland, 2008, pp. 1–2. [3] A. Natarajan et al., “A fully integrated 16-element phased-array receiver in SiGe BiCMOS for 60-GHz communications,” IEEE J. SolidState Circuits, vol. 46, no. 5, pp. 1059–1075, May 2011. [4] I. Lin, M. DeVincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 4, pp. 1142–1149, Apr. 2004. [5] M. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 7, pp. 103–106, Jul. 2003. [6] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York, NY, USA: Wiley, 2004. [7] C. Caloz and T. Itoh, “Left-handed transmission lines and equivalent metamaterials for microwave and millimeter-wave applications,” in Proc. 32nd Eur. Microw. Conf., Milan, Italy, 2002, pp. 323–326. [8] C. Caloz, A. Sanada, and T. Itoh, “Microwave circuits based on negative refractive index material structures,” in Proc. 33rd Eur. Microw. Conf., Munich, Germany, 2003, pp. 105–108. [9] M. Yeh, Z. Tsai, R. Liu, K. Lin, Y. Chang, and H. Wang, “Design and analysis for a miniature CMOS SPDT switch using body-floating technique to improve power performance,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 31–39, Jan. 2006. [10] Y. Jin and C. Nguyen, “Ultra-compact high-linearity high-power fully integrated DC-20-GHz 0.18- m CMOS T/R Switch,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 1, pp. 30–36, Jan. 2007. [11] Q. Li and Y. P. Zhang, “CMOS T/R switch design: Towards ultrawideband and higher frequency,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 563–570, Mar. 2007. [12] C. Huynh and C. Nguyen, “New ultra-high-isolation RF switch architecture and its use for a 10–38-GHz 0.18- m BiCMOS ultra-wideband switch,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 345–353, Feb. 2011. [13] A. M. Niknejad, Electromagnetics for High-Speed Analog and Digital Communication Circuits. New York, NY, USA: Cambridge Univ. Press, 2007. -band low-loss and high-isolation [14] B. Min and G. M. Rebeiz, “ switch design in 0.13- m CMOS,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 6, pp. 1364–1371, Jun. 2008.

[15] L.-K. Yeh, C.-Y. Chen, and H.-R. Chung, “A millimeter-wave CPW CMOS on-chip bandpass filter using conductor-backed resonators,” IEEE Electron Device Lett., vol. 31, no. 5, pp. 399–401, May 2010. [16] J. Kim and J. F. Buckwalter, “A switchless -band bidirectional transceiver in 0.12- m SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 47, no. 2, pp. 368–380, Feb. 2012. [17] C. Fulton and W. Chappell, “Calibration techniques for digital phased arrays,” in Proc. IEEE Int. Microw., Commun., Antennas Electron. Syst., Nov. 2009, pp. 1–10. [18] J. Cho, J. Seo, J. Yoo, and J. Chung, “Array antenna calibration method using internal loop-back,” in Proc. ICTC, November 2010, pp. 86–87. [19] S. Kim, O. Inac, C. Kim, and G. M. Rebeiz, “A 76–84 GHz 16-element phased array receiver with a chip-level built-in-self-test system,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., 2012, pp. 127–130. [20] C.-C. Lin, C.-Z. Huang, and C.-Y. Chen, “Compact and highly selective millimeter-wave meandered bandpass filter using 0.18 m CMOS technology,” in Proc. Asia–Pacific Microw. Conf., Dec. 2011, pp. 49–52. [21] Y.-C. Chen, L.-K. Yeh, and H.-R. Chuang, “Design of a compact 77-GHz CMOS on-chip bandpass filter using U-type dual-spiral resonators,” in Proc. Asia–Pacific Microw. Conf., Dec. 2011, pp. 41–44. [22] S. Kahng, E. Shin, G. Jang, J. Anguera, J. Ju, and J. Choi, “A UWB antenna combined with the CRLH metamaterial UWB bandpass filter having the bandstop at the 5 GHz-band WLAN,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Charleston, SC, USA, Jun. 2009, pp. 1–4. [23] S. Ueno, K. M. Hitke, and Y. Horii, “Ultra-wideband band pass filter with built-in notch filter configured by multi-layered CRLH unit cells,” in Proc. Asia–Pacific Microw. Conf., Dec. 2010, pp. 1138–1141. [24] T.-C. Chou, C.-W. Huang, and C.-Y. Chen, “The design and fabrication of a high selectivity bandpass filter based on composite right/lefthanded (CRLH) material,” in Proc. Asia–Pacific Microw. Conf., Dec. 2010, pp. 682–685. DongHyun Lee received the B.S. and M.S. degrees in electrical and computer engineering from Hanyang University, Seoul, Korea, in 2006 and 2008, respectively, and the Ph.D. degree in electrical engineering from Texas A&M University, College Station, TX, USA, in 2015. In 2007, he was a Visiting Researcher with Yokohama National University, Yokohama, Japan. His research interests include RF/millimeter-wave integrated circuits (ICs) and antenna design for phased-array radar and wireless communication systems. Jaeyoung Lee (GSM’12–M’14), photograph and biography not available at time of publication. Cam Nguyen (F’05) is currently the Texas Instruments Endowed Professor of Electrical and Computer Engineering with Texas A&M University, College Station, TX, USA. From 2003 to 2004, he was the Program Director of the National Science Foundation, where he led and managed the Foundation’s research programs in RF and wireless technologies. Over the past 36 years, including 12 years in the defense industry with TRW (now Northrop-Grumman), Hughes Aircraft (now Raytheon), Martin Marietta (now Lockheed-Martin), Aerojet Electrosystems, and ITT Gilfillan, he led numerous RF projects for wireless communications, radar, and sensing up to 220 GHz. He has authored or coauthored 6 books, 6 book chapters, and over 270 papers. His research group at Texas A&M University is currently focused on Si RF integrated circuits (RFICs) and systems for wireless communications, radar, and sensing.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

W-Band Characterizations of Printed Circuit Board Based on Substrate Integrated Waveguide Multi-Resonator Method Yu Jian Cheng (程钰间) , Senior Member, IEEE, and Xiao Liang Liu (刘小亮)

Abstract—This paper provides a broadband measurement method at W-band for printed circuit board (PCB) properties using multiple substrate integrated waveguide (SIW) resonators, i.e., SIW multi-resonator method. Eight SIW resonators are designed in series fed by a rectangular waveguide through coupling slots. All resonators operate at TE101 mode with different resonant frequencies. Multi-resonator Foster form is employed to obtain unloaded resonant frequency of each SIW cavity. After introducing the testing procedure, a number of samples based on different PCBs are fabricated and accurately measured to verify the feasibility of this method. Index Terms—Broadband measurement, dielectric constant, loss tangent, multi-resonators method, printed circuit board (PCB), substrate integrated waveguide (SIW).

I. INTRODUCTION

P

RINTED circuit board (PCB) technology is extensively used in high-frequency applications, especially at millimeter-wave band. At such high frequencies, antennas and systems are highly influenced by electromagnetic characteristics of packaging materials [1], [2]. Accurate knowledge of the complex permittivity of packaging materials is important for the design of such systems. Materials must be accurately characterized at high frequencies because their properties can be appreciably different from those at lower frequencies. Various methods have emerged over the years to obtain material characterizations. These measurement methods can be divided into two parts, i.e., transmission/reflection and resonator methods. Transmission/reflection methods [3]–[5] always provide broadband characterization for substrate materials with relatively low accuracy. Resonator methods [6]–[10] are typically used in accurate measurement at a single frequency.

Manuscript received April 30, 2015; revised June 22, 2015, December 06, 2015 and December 17, 2015; accepted December 17, 2015. This work was supported in part by the Foundation for the Author of National Excellent Doctoral Dissertation of PR China under Grant 201338, in part by the Science Foundation for Distinguished Young Scholars of Sichuan Province under Grant 2015JQO005, in part by the National Basic Research Program of China under Grant 2012CB214900, and in part by Fundamental Research Funds for the Central Universities under Grant ZYGX2014Z008. The authors are with the EHF Key Laboratory of Fundamental Science, School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2511007

Until now, the substrate integrated waveguide (SIW) technique has been used for characterization of PCBs at millimeterwave frequency band. The SIW is a fully screened transmission line and compatible with standard PCB processing techniques [11]–[13], thus it is a good choice in PCB measurement because of its high -factor, low cost, and easy fabrication. Similar to other traditional transmission methods, the SIW transmission method still presents the characterization of a substrate with low accuracy [14]. For this reason, the SIW resonator method is preferred in millimeter-wave high-accuracy material characterization [15]–[18]. However, the conventional resonator method can only measure the tested substrate at one frequency each time, and the SIW resonator method has the same problem. To determine characteristics of PCBs over a wideband, it is necessary to design a number of different SIW resonators and measure many times. To overcome this weakness, we propose a SIW multi-resonator material characterization method. It is appropriate for the measurement of a substrate, the dielectric constant of which is roughly known, but not accurate. This multi-resonator method impels that a series of resonators are designed and fed by one input port in series with infinitesimal mutual coupling. Different resonators are designed at different operating frequencies. This measured method can improve measurement speed and give a broadband characterization of the tested substrate. In this paper, Section II presents the theory of the multi-resonator Foster form and the effect of the copper surface roughness. Section III provides the design of the SIW multi-resonator test structure. Section IV presents the characterization procedure. Examples and experimental results at W-band for different commercial substrates are depicted in Section V. Section VI discusses uncertainties of this measurement. II. THEORY A. Multi-Resonator Foster Form As shown in Fig. 1, several SIW resonators are designed in series fed by a rectangular waveguide shorted at the end. Each resonator works at the mode. To characterize the dielectric constant of the substrate at each resonant frequency, the unloaded resonant frequency is particularly important. Since the feedline leads to frequency shift, the Foster form is needed to eliminate this influence. The conventional Foster form considers that a one-port microwave cavity resonator is fed by a transmission line,

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where represents the coupling susceptance or of the th resonator. and can be obtained from the Smith chart. The selection criterion about the first or the second Foster form is also depicted in [23]. is the loaded resonant frequency of the th resonator. is the unloaded resonant frequency of the th resonator in theory. In this paper, it is calculated by Ansoft HFSS eigenmode simulation. is the external quality factor, which can be calculated by (2) is the loaded quality factor, and where quality factor.

is the unloaded

B. Copper Surface Roughness Effect

Fig. 1. 3-D configuration of multiple SIW cavity resonators in series fed by a rectangular waveguide.

Copper surface roughness effect plays an important role in the loss-tangent characterization. The impact mainly depends on the root mean square (rms) heights of the roughness. Generally speaking, if the rms height of the roughness is much less than the skin depth, the copper surface roughness effect will be negligible. Skin depth is getting smaller with an increase of frequency. At millimeter-wave frequency bands, the skin depth becomes comparable to the copper surface roughness. The influence caused by surface roughness cannot be ignored. An undesirably large surface roughness correction factor is used to evaluate the conductor loss [24]. An amended H-B formula is given to describe the ratio of the power absorption of the rough surface and the corresponding smooth one [25],

(3) where is the skin depth, and connected to the dielectric. Fig. 2. Multi-resonator Foster form equivalent circuit.

is the rms height of the surface

III. MULTIPLE RESONATORS DESIGN

which can be equivalent to the first or the second Foster form [19]–[22]. However, such a Foster form cannot be employed in this design directly. Thus, a multi-resonator Foster form is proposed in this paper. Fig. 2 shows the equivalent circuit of the multi-resonator Foster form. This equivalent circuit is proposed on the condition that the coupling between resonators is negligible. Coupling characteristics will be discussed in Section III. In this equivalent circuit, if a resonant network works, the corresponding switch will be on and other switches will be off. At this time, this equivalent circuit inverts to the first or the second Foster form. When is a series circuit is an RLC parallel resonant circuit, which is the first Foster form. If is a multiple circuit , the load circuit is an RLC series resonance circuit, and this is then the second Foster form. Now, there is (1)

A. Single Resonator Design As shown in Fig. 3, one of the resonators in series is parameterized. In this design, the resonator is designed as a square cavity with its dimension , the spacing of the metallized vias is . can be known from the HFSS eigenmode simulation. A coupling slot with its dimension of transfers the power into the resonator. The distance from the center of the slot to the end of the feeding rectangular waveguide is , which can be obtained from (4) where is the waveguide wavelength at the corresponding frequency, and is the number of the resonator. represents the distance from the center of the resonator to the slot. is the diameter of the vias. To guarantee that the radiation loss is negligible, (5) provides a selection criterion of (5)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHENG AND LIU: W-BAND CHARACTERIZATIONS OF PCB BASED ON SIW MULTI-RESONATOR METHOD

3

Fig. 3. Configuration of a SIW cavity resonator. Fig. 6. Simulated

Fig. 4. Model to investigate the influence of the mutual coupling between two SIW resonators.

versus

with

mm.

the resonant frequency of Resonator_2. With the change of the distance , the resonant frequency of Resonator_1 remains unchanged. This shows that the change of position of Resonator_2 has a negligible effect on the resonant characteristics of Resonator_1. The frequency interval is also important in test structure design. Although Resonator_2 does not affect the operating frequency of Resonator_1, the frequency interval cannot be too close. From the curve mm, as shown in Fig. 5, two resonant frequencies are too close so that we cannot recognize the 3-dB bandwidth for the two resonators. is an important parameter in the characterization procedure in Section IV. For this reason, the distance of two neighboring resonators cannot be too small. From simulation results, 2 GHz is a proper frequency interval at W-band. IV. CHARACTERIZATION PROCEDURE A. Determination of Dielectric Constant

Fig. 5. Simulated mm.

versus Resonator_2 size with

A vector network analyzer (VNA) is used in this material characterization method. The dielectric constant can be obtained by the following steps. 1) Read the measured reflection coefficient from the VNA, and get the loaded resonant frequency , loaded quality factor , and return loss for the first to th cavity resonator. can be determined by (6)

B. Multi-Resonator Design Here, two resonators are used to describe the mutual coupling. As shown in Fig. 4, the dimension of Resonator_1 is unand of Resonator_2 changed and the parameters are changed. According to the simulation results in Fig. 5, Resonator_1 operates at 89.53 GHz with mm. The change in the size of Resonator_2 does not affect the operating frequency of Resonator_1. That means Resonator_2 has a negligible effect on the resonant characteristics of Resonator_1. Fig. 6 shows the simulated results of different positions of Resonator_2, where is the free-space wavelength of

According to culated by

, the coupling parameter

or

can be cal-

(7)

The coupling condition (under- or over-coupled) can then represents the be determined by the Smith chart. over-coupled parameter, and the equation of is chosen the former. represents the under-coupled parameter, and the equation of is chosen the latter.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Photograph of the test structure.

2) Calculate the unloaded quality factor resonator by [26]

for each cavity

(8) using (2). 3) Calculate the external quality factor 4) Judge the first or the second Foster form. From the measured Smith chart data, the parameter ( or ) in (1) can be known. 5) Calculate the actual unloaded resonate frequency by (1). 6) Based on the value of , the dielectric constant at different frequencies can be obtained by checking the results simulated by the HFSS eigenmode.

Fig. 8. Photograph of the measurement setup. TABLE I VALUE OF PARAMETERS OF SIW RESONATORS (UNIT: MILLIMETERS)

B. Determination of Loss Tangent The loss of the SIW includes the radiation loss, the conductor loss, and the dielectric loss. Thus, the unloaded quality factor for the th cavity resonator can be expressed by (9) where is the quality factor according to the conductor loss, is the quality factor according to the dielectric loss, and is the quality factor according to the radiation loss. Generally speaking, the radiation loss of the SIW is negligible if the spacing between adjacent vias is properly designed [27], [28]. Thus, (10) As mentioned before, can be calculated by (8). can be determined by HFSS eigenmode simulation when the dielectric loss is set to be zero. It is worth noting that is not accurate because of the effect of the copper surface roughness. The eigenmode simulation considers that the copper surface is smooth. However, the copper surface is not smooth in reality. The effect of the copper surface roughness affects the conductivity so the equivalent conductivity should be modified using [29] (11) can be obtained from (3). is the equivalent where conductivity. The equivalent conductivity is taken into the eigenmode simulation in order to get a more accurate . In our design, is 5.8 10 S/m according to the material of the foil, which is copper.

Fig. 9. Measured

of one sample.

V. MATERIAL CHARACTERIZATION In this section, we choose eight resonators in series to characterize different materials at W-band shown in Fig. 7. Within the frequency band of 89–105 GHz, the frequency interval is 2 GHz. Table I lists the dimensions of the test structure. Fig. 8 shows the measurement setup. Fig. 9 shows the measured of one sample. Table II illustrates the unloaded resonance frequencies simulated

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHENG AND LIU: W-BAND CHARACTERIZATIONS OF PCB BASED ON SIW MULTI-RESONATOR METHOD

5

TABLE II UNLOADED RESONANCE FREQUENCIES SIMULATED BY HFSS AND CALCULATED RESONANCE FREQUENCIES FOR EACH SAMPLE

Fig. 10. Simulated

by the HFSS eigenmode.

by the HFSS eigenmode and the calculated resonance frequencies for each sample. Fig. 10 illustrates the simulated quality factor for the Rogers 5880 and the Taconic TLY-5 substrates. It should be noted that the conductivity here is the modified conductivity . After calculation using (3) and (11), for the Rogers 5880 and the Taconic TLY-5 are 1.146 10 Siemens/m and 1.139 10 S/m at 98 GHz, i.e., the center frequency of the test band. It is found that the effect of the copper surface roughness has an obvious effect on the conductivity. Figs. 11 and 12 show the measured unloaded quality factor of the two types of materials. Figs. 13 and 14 describe dielectric constants of the Rogers 5880 and the Taconic TLY-5. Fig. 15 shows the average value of for the materials at each frequency. Figs. 16 and 17 present the measured loss tangent for the Rogers 5880 and the Taconic TLY-5. Fig. 18 presents the average value of for materials at each frequency. Here, Rogers RT/Duroid 5880 and Taconic TLY-5 are chosen as test samples at W-band. According to the data sheet, the dielectric constant of the Rogers RT/Duroid 5880 substrate and

Fig. 11. Measured

for the Rogers 5880 substrate.

Fig. 12. Measured

for the Taconic TLY-5 substrate.

the Taconic TLY-5 substrate is 2.2 and loss tangent is 0.0009 at 9.4 GHz. It is hard to find the accurate characterizations of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 13. Measured

for the Rogers 5880 substrate.

Fig. 14. Measured

for the Taconic TLY-5 substrate.

Fig. 15. Average value of strates.

for the Rogers 5880 and the Taconic TLY-5 sub-

Rogers RT/Duroid and Taconic TLY-5 substrates at W-band. However, in [17], the authors measured a PTFE-based material TacLamPLUS at W-band. The measured is 2.0824 close to the specified value, which is 2.1 at 10 GHz and 50 GHz provided in the official data sheet. The result displays the stability of the PTFE material at different frequencies. That means is stable

Fig. 16. Measured

for the Rogers 5880 substrate.

Fig. 17. Measured

for the Taconic TLY-5 substrate.

Fig. 18. Average value of substrates.

for the Rogers 5880 and the Taconic TLY-5

over a wide band and is increased with the frequency. The material measured in our paper is also based on PTFE. The measured results are also close to the specified one and, thus, convincing. Furthermore, the rms heights, , connecting to dielectric of the Rogers RT/Duroid 5880 substrate and the Taconic TLY-5 substrate are 0.4 and 0.41 m, respectively. A standard WR-10 waveguide shorted at the end is used as a feedline.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHENG AND LIU: W-BAND CHARACTERIZATIONS OF PCB BASED ON SIW MULTI-RESONATOR METHOD

Fig. 19.

with and without tolerances at different frequencies for Sample 1.

VI. UNCERTAINTIES ANALYSIS The uncertainty of this method has four parts. They are the processing tolerance ( 1 mil), the HFSS simulation error, the SIW radiation loss, and the VNA measurement error. Firstly, most of the uncertainty comes from the processing tolerance. The processing tolerance consists of two parts, i.e., the dimension tolerance of the resonator and the position tolerance of the resonator. As shown in Fig. 5, the dimension tolerance of the SIW resonator has a major influence on the resonance frequency considering the high operation frequency band. The resonance frequency deviation has a significant effect on the calculating results of the dielectric constant. For example, as shown in Figs. 13 and 16, the measured for Sample 1 is 2.198 and is 0.00114 at 91.436 GHz with mm. Considering the largest fabrication tolerance of 1 mil for the metallic via position, will become 91.832 GHz, will become 2.182, and will become 0.00102. Furthermore, with and without tolerances at different frequencies are presented in Fig. 19. Fig. 20 presents with and without tolerances at different frequencies. As shown in these figures, the maximum error of is less than 0.82%, and the maximum error of is less than 10.83%. On the other hand, the position tolerance of the resonator is small. As shown in Fig. 6, for example, two neighboring simulated dimensions of are and . These two curves have tiny difference between resonance frequencies and coupling. That means a (1.98 mm) position error has a small effect for this method. Therefore, such a tolerance can be neglected. Actually, we cannot improve the accuracy of the PCB processing, but we can reduce the impact of the processing tolerance much more by averaging the values of the measured and . In this paper, several samples were fabricated, measured, and averaged. Secondly, the Ansoft HFSS eigenmode simulation is employed in this measurement. In our opinion, the results obtained by HFSS are more accurate than ones calculated by equivalent formulas, especially at high-frequency bands. The HFSS simulation error is determined by the accurate setup of the simulated model and the convergence accuracy. In this work, the simulation model is established the same as the fabricated

Fig. 20. Sample 1.

7

with and without tolerances at different frequencies for

model. In addition, 0.001 convergence accuracy is set up. Considering 0.001 simulation error, for example, of Sample 1 at 91.436 GHz will change from 2.198 to 2.196, i.e., a 0.091% relative error, while will change from 0.00114 to 0.0011397, i.e., a 0.026% relative error. Thus, the Ansoft HFSS eigenmode simulation error can be ignored. Thirdly, the SIW radiation loss can affect the value of the measured . However, an SIW can be considered as an enclosed waveguide, thus its radiation loss is very weak if these resonators are properly designed. Simulated is larger than 10 within the whole frequency band. For example, is about 380 000 at 91.436 GHz, and is 0.001138. If is ignored, will become 0.001140. The relative error is only 0.17%. Finally, the accurate calibration of the VNA is necessary to eliminate the error from the measurement. The VDI’s VNA extension module is used in the measurement. Its magnitude error is less than 0.15 dB from the official data sheet. In this case, of Sample 1 at 91.436 GHz changes from 0.00114 to 0.00116, i.e., a 1.75% relative error, while of Sample 1 at 91.436 GHz remains almost unchanged. VII. CONCLUSION A multi-resonator method for W-band broadband characterizations of PCBs using SIW resonators has been demonstrated in this paper. A multi-resonator Foster form is applied to determine the dielectric constant. Copper surface roughness effect is considered in the loss-tangent characterization. Afterwards, eight SIW resonators are designed and fed by a short-ended waveguide in series. A number of samples based on Rogers 5880 and Taconic TLY-5 are manufactured to verify the feasibility of this characterization method. This method is able to overcome the drawbacks of traditional resonator methods, i.e., narrowband, and transmission/reflection methods, i.e., inaccuracy. ACKNOWLEDGMENT The authors would like to thank the Taconic Corporation for providing sample substrates.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

REFERENCES [1] L. Wang, Y. J. Cheng, D. Ma, and C. X. Weng, “Wideband and dualband high-gain substrate integrated antenna array for E-band multi-gigahertz capacity wireless communication systems,” IEEE Trans. Antennas Propag., vol. 62, no. 9, pp. 4602–4611, Sep. 2014. [2] Y. J. Cheng, W. Hong, and K. Wu, “94 GHz substrate integrated monopulse antenna array,” IEEE Trans. Antennas Propag., vol. 60, no. 1, pp. 121–128, Jan. 2012. [3] E. J. Vanzura, J. R. Baker-Jarvis, J. H. Grosvenor, and M. D. Janezic, “Intercomparison of permittivity measurements using the transmission/ reflection method in 7-mm coaxial transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 11, pp. 2063–2070, Nov. 1994. [4] L. P. Ligthart, “A fast computational technique for accurate permittivity determination using transmission line methods,” IEEE Trans. Microw. Theory Techn., vol. MTT-31, no. 3, pp. 249–254, Mar. 1983. [5] J. R. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Techn., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [6] J. C. Rautio, R. L. Carlson, B. J. Rautio, and S. Arvas, “Shielded dualmode microstrip resonator measurement of uniaxial anisotropy,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 748–754, Mar. 2011. [7] E. L. Holzman, “Wideband measurement of the dielectric constant of an FR4 substrate using a parallel-coupled microstrip resonator,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 7, pp. 3127–3130, Jul. 2006. [8] C. A. Jones, “Permittivity and permeability measurements using stripline resonator cavities—A comparison,” IEEE Trans. Instrum. Meas., vol. 48, no. 4, pp. 843–848, Aug. 1999. [9] C. M. Weil, C. A. Jones, Y. Kantor, and J. H. Grosvenor, “On RF material characterization in the stripline cavity,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 2, pp. 266–275, Feb. 2000. [10] R. L. Peterson and R. F. Drayton, “A CPW T-resonator technique for electrical characterization of microwave substrate,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 3, pp. 90–92, Mar. 2002. [11] Y. J. Cheng, H. Xu, D. Ma, J. Wu, L. Wang, and Y. Fan, “Millimeter-wave shaped-beam substrate integrated conformal array antenna,” IEEE Trans. Antennas Propag., vol. 61, no. 9, pp. 4558–4566, Sep. 2013. [12] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [13] Y. J. Cheng, P. Chen, W. Hong, T. Djerafi, and K. Wu, “Substrate integrated waveguide beamforming networks and multibeam antenna arrays for low-cost satellite and mobile systems,” IEEE Antennas Propag. Mag., vol. 53, no. 6, pp. 18–30, Dec. 2011. [14] X. Wang and A. Stelzer, “Millimeter-wave material characterization using laminated waveguides,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 8, pp. 1762–1771, Aug. 2014. [15] X. C. Zhu, W. Hong, K. Wu, and H. X. Zhou, “Characterization of substrate anisotropy using substrate integrated waveguide technology,” in Proc. Asia–Pacific Microw. Conf., Kaohsiung, Taiwan, Dec. 4–7, 2012, pp. 860–862. [16] K. Saeed, R. D. Pollard, and I. C. Hunter, “Substrate integrated waveguide cavity resonators for complex permittivity characterization of materials,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 10, pp. 2340–2347, Oct. 2008. [17] D. E. Zelenchuk, V. Fusco, G. Goussetis, A. Mendez, and D. Linton, “Millimeter-wave printed circuit board characterization using substrate integrated waveguide resonators,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3300–3308, Oct. 2012. [18] X. L. Liu, Y. C. Zhong, Y. J. Cheng, and Y. Fan, “W-band characterization of dielectric constant and loss tangent based on substrate integrated non-radiating dielectric guide resonator method,” in Asia–Pacific Antennas Propag. Conf., Harbin, China, Jul. 2014, pp. 910–912. [19] D. Kajfez, Q Factor. Oxford, MS, USA: Vector Fields Ltd., 1994. [20] D. Kajfez and E. J. Hwan, “Q-factor measurement with network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 7, pp. 666–670, Jul. 1984. [21] D. Kajfez, “Linear fractional curve fitting for measurement of high Q-factors,” IEEE Trans. Microw. Theory Techn., vol. 42, no. 7, pp. 1149–1153, Jul. 1994.

[22] D. Kajfez, “Q-factor measurement with a scalar network analyser,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 142, no. 5, pp. 369–372, Oct. 1995. [23] A. J. Canos, J. M. Catala-Civera, F. L. Penaranda-Foix, and E. ReyesDavo, “A novel technique for deembedding the unloaded resonance frequency from measurements of microwave cavities,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 8, pp. 3407–3416, Aug. 2006. [24] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30 to 110 GHz,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [25] H. J. Tang, “Advanced integration technology for millimeter wave frontend,” (in Chinese) Southeast Univ., Nanjing, China, Dec. 2006, pp. 36–38. [26] R. S. Kwok and J. Liang, “Characterization of high resonators for microwave-filter applications,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 1, pp. 111–114, Jan. 1999. [27] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 1, pp. 66–73, Jan. 2005. [28] M. Bozzi and L. Perregrini, “Modeling of conductor, dielectric, and radiation losses in substrate integrated waveguide by the boundary integral-resonant mode expansion method,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3153–3161, Dec. 2008. [29] L. Tsang, H. Braunisch, R. Ding, and X. Gu, “Random rough surface effects on wave propagation in interconnects,” IEEE Trans. Adv. Packag., vol. 33, no. 4, pp. 839–856, Nov. 2010.

Yu Jian Cheng (SM’14) was born in Sichuan Province, China, in April 1983. He received the B.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2005, and the Ph.D. degree (without going through the conventional Master’s degree) at Southeast University, Nanjing, China, in 2010. Since 2010, he has been with the School of Electric Engineering, University of Electronic Science and Technology of China, where he is currently a Professor. From 2012 to 2013, he was a Research Staff Member with the Department of Electrical and Computer Engineering, National University of Singapore. He has authored or coauthored more than 90 papers in journals and conferences. His current research interests include microwave and millimeter-wave antennas, arrays and circuits, as well as microwave high-power techniques. Dr. Cheng is a Senior Member of the Chinese Institute of Electronics. He has served on review boards of various technical journals including the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, IEEE ANTENNAS AND PROPAGATION LETTERS, and IEEE Antennas Propagation Magazine. He was the recipient of the National Program for Support of Top-Notch Young Professionals, the One Hundred Person Project of UESTC Award in 2014, New Century Excellent Talents in University Award in 2013, National Excellent Doctorate Dissertation of China Award in 2012, and the Jiangsu Province Excellent Doctorate Dissertation Award in 2011.

Xiao Liang Liu received the B.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2009, and is currently working toward the M.S. degree at the University of Electronic Science and Technology of China. His recent research interests include planar array antennas and measurement methods for characterizations of printed circuit boards (PCBs).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

607

Measurements on the Effects of Moisture on the Complex Permittivity of High Temperature Ash Thomas C. Baum, Member, IEEE, and Kamran Ghorbani, Member, IEEE

Abstract—An analysis of the effect moisture has on the effective relative complex permittivity of ash particles created within fires has been presented within this paper. The inclusion of moisture within this hydroscopic material has a significant effect on the effective complex permittivity and, hence, its scattering ability. The investigations developed a mixing law model for describing moisture ingress in a 1000 C burnt eucalypt ash sample. Using the measured trend found in the eucalypt samples, the effective complex permittivity with respect to the inclusion of moisture has been modeled with an empirical modification to the tri-phase unified mixing law. Index Terms—Ash particles, complex effective permittivity, mixing laws, radar cross section (RCS), radar measurements.

I. INTRODUCTION

A

SH GENERATED from natural forest fires has, since the 1950s, been detectable by radar systems [1]. Since this time, radar has been used to track plume height, study localized wind conditions, and understand the effects fires have on atmospheric dynamics and pyro-cumulonimbus formations [2]–[15]. Along with radar, similar sensing techniques such as light detection and ranging (LIDAR) and sonic detection and ranging (SODAR) have also been proposed [16]–[18]. Although observations of fires by radar are well documented within the literature, fundamental scattering phenomena are still poorly understood. The complex permittivity is one of the primary constituents of Maxwell’s equations to describe the displacement of a field propagating through a material [19]. When understanding scattering phenomena such as those created from targets observed by radar systems, the complex permittivity, along with its geometric properties, must be considered. These two constituents define what is known as a target’s radar cross section (RCS) [20]. Presently, the effective permittivity of ash has been analyzed under what has been defined as a moisture-free region. This has only been considered for the eucalypt tree species [21]–[23].

The effects moisture absorption has on the permittivity of ash must be considered from two fronts. Firstly, ash is a highly hydroscopic material, meaning it will readily absorb and hold onto water [24]. Smoke particles, on the other hand, are particulates of burnt organic matter that are within the micrometer range and are also known to act as cloud condensing nuclei (CCN). The particles are responsible for reducing the formations of saturated clouds that produce rain [25], [26]. Secondly, the effective permittivity of the ash/water mixture becomes a complex multi-phase mixture. When water interacts with organic materials, it is known on the atomic level to become “bound.” This bound state refers to hydrogen bonding, which occurs with other molecules due to water’s high di-polar moment [27], [31]. Such effects have been noted in bi-liquid mixtures and some types of soils [27], [31]. The bound state of water molecules alters their complex permittivity to the “free” state of water. Other effects include changes in the conductivity of free and bound water in the presence of dissolved salts. Sodium, potassium, and magnesium are all prominent minerals used by plants to grow [32]–[34]. These are also predominantly found within residual solid ash matter. Currently, there is little available data on what effects moisture absorption in ash has on its overall effective permittivity. To the best of the authors’ knowledge, this paper presents for the first time an analysis on what effects moisture has on the effective permittivity of ash particles with respect to their effective exposed temperature. This study on the effects of water on the complex permittivity of ash have been carried out over X-band due to this frequency bands high utilization in highresolution remote sensing radar applications. This analysis is furthered by investigating a new expansion to the unified mixing law, thus allowing for an empirical prediction of the effective permittivity of the ash/air/water tri-phase mixture to be made. Using a high-temperature ash sample and adding water, the effective complex permittivity has been mapped up to 23% of ash volume per volume (% v/v) in high detail; while a secondary data set of higher water concentrations up to 57% v/v have been analyzed. II. MEASUREMENT APPARATUS AND SAMPLE PREPARATION

Manuscript received May 15, 2015; revised August 13, 2015, October 30, 2015, and November 29, 2015; accepted December 07, 2015. Date of publication January 12, 2016; date of current version February 03, 2016. The authors are with the School of Electrical and Computer Engineering, Royal Melbourne Institute of Technology (RMIT University), Melbourne, Vic. 3001, Australia (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2512595

The permittivity has been extracted using the Nicolson–Ross–Weir (NRW) method within a WR-90 waveguide [21], [22], [35], [36]. A waveguide method was chosen as it provides the easiest method for measuring powdered materials. As a full extraction of the complex permittivity and permeability is wanted for this type of measurement, a transmission/reflection method is needed. To maintain high extraction precision, only the coaxial

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

or waveguide method can be considered. A wideband coaxial method is ideal, however; this method becomes problematic when dealing with powdered material. Typically, extraction is carried out in a GPC-7/APC-7 airline. Issues associated with using this type of precision coaxial line include verifying the sample size, maintaining evenness of compaction within the small coaxial core, and degradation in precision spring pins due to powder contamination. As the field is highly concentrated in these lines when compared to a waveguide, any misalignment or degradation in the connectors has a significant influence on the extracted permittivity. For this reason, a waveguide method was chosen, however; the extraction bandwidth is limited. It should be noted that this paper is concerned with the extraction and effect of moisture within ash over X-band (8.2–12.5 GHz) only. This band is important for many high-resolution radar remote sensing applications. An illustration of the NRW setup with the waveguide sample holder is given in Fig. 1. As NRW is a well-established method for extracting the complex permittivity and permeability of a material, the extraction formulas will not be included within this paper. For further information on the NRW method refer to [35] and [36]. The basic measurement setup consists of two WR-90 waveguides connected to a Wiltron 37269A 0.01–40-GHz vector network analyzer (VNA). A single-band thru-reflect-line (TRL) calibration with isolation is carried out on the analyzer. Ideally, the sample holder should be included within this calibration to remove its effects on the final measurements. This, however, cannot be done for this type of measurement. The reason for this is the effects of water concentration on the same ash sample are to be analyzed and, thus, the ash sample cannot be removed from the holder without destroying its original response. Thus, each measurement with moisture cannot be compare to its previous measurement. After each calibration a measurement of a standardized Rogers 5880 sample is taken with a secondary sample holder. The ash sample is measured and the TRL calibration is repeated to help maintain phase stability of the system. Although most VNA measurements are phase stable, the stability of the calibration can be degraded by cable movement. To mitigate this effect of cable movement a waveguide stand is employed (as illustrated in Fig. 1). The phase stability of the calibration (particularly that of the parameter) is important for low dielectric materials. This is because the reflected phase requires higher precision the closer the sample gets to the permittivity of free space. A more detail explanation of the ash measurement procedure is given in Section III. It was assumed that the permittivity at lower or higher frequencies would follow a Debye type dispersion relationship when describing the effects of free water [37]. The baseline dry case for ash has been shown to be identical over X- and Ka-band. An outline of the measurement procedure can be found in [20]. A. VNA Measurement Uncertainties To understand the uncertainties within the TRL calibration and measurement repeatability, an uncertainty analysis has been carried out. This analysis indicated the repeatability of the TRL calibrations and the systematic error induced by removing and

Fig. 1. Outline of the NRW waveguide setup connected for a Wiltron 40-GHz VNA. Also illustrated is the sample holder.

Fig. 2. TRL uncertainties analysis and systematic errors in measurement of a Rogers 5880 sample. Ten measurements of the Rogers 5880 samples were carried out under four independent TRL calibrations.

then refitting the sample holder. To aid in this uncertainty analysis, the Rogers 5880 3.17-mm (0.125-in) substrate standard was analyzed. This sample is low loss and is excellent to analyzing the sensitivity of a network analyzer in extracting its permittivity. The waveguide was firstly calibrated with a TRL calibration. The Rogers 5880 sample was then placed within the sample holder and its S-parameter values measured. The sample was then removed and re-inserted into the waveguide to repeat the measurement. This was carried out ten times to give an indication of the systematic errors seen within the measurement. The TRL calibration was then repeated and the sample was re-measured. A total of four TRL calibrations were conducted to give indications of the repeatability of calibration standards. The result of this analysis is illustrated in Fig. 2. The results of the measurements are within tolerance of the Rogers datasheet and resolution of the VNA. The maximum systematic errors caused

BAUM AND GHORBANI: MEASUREMENTS ON EFFECTS OF MOISTURE ON COMPLEX PERMITTIVITY OF HIGH TEMPERATURE ASH

by small movements of the sample holder after each consecutive measurement was calculated to be approximately 0.0037 on the permittivity and 0.0013 on the loss tangent. This was the maximum systematic error seen at each of the frequency points analyzed within Fig. 2. The maximum uncertainty induced into the measurement due to variation in consecutive TRL calibration was calculated to be approximately 0.0047 for the relative permittivity and 0.0015 for the loss tangent. Nonrepeatable uncertainties from the VNA at the lowest observed are 0.09 dB magnitude and 1.5 phase. In this is 0.22 dB in magnitude and 1.4 in phase. These can found in the 37269A performance specification document. III. MEASUREMENT OF HIGH-TEMPERATURE SAMPLE To analyze the effects of larger moisture content within the ash, a number of permittivity measurements were made using a 1000 C ash sample. This high temperature sample was chosen as it has been shown that the rate of absorption in ash increases with an increase in the effective exposed temperature of biomass [23]. The 1000 C sample was in this case prepared within an electric kiln. The maximum variation in the kiln temperature was recorded to be 1000 C 3 C. (This excludes the initial time taken when opening the kiln door.) After the burning process, ash becomes extremely fragile. It is primarily made up of the remnant cell structures of the biomass while losing almost 95% of its original mass [21], [22]. This poses a number of challenges when considering ways in which measurements within an RF transmission line can take place. If the structure of ash is broken down into a powder and measured, considerations for both the bulk density and depolarization state must be made. Although it is not ideal to break the remnant cell structures down, there are few ways in which permittivity can be extracted for such samples over relatively large bandwidths. It has been shown by the authors in [22] that the depolarization states of the powdered ash particles measured at various densities follow a well-defined trend. This trend plays out within the depolarization constant of Sihvola’s unified mixing law [22], [38]. By taking into account the bulk density of the measured powdered ash material along with an evaluation of the volume fraction (also known as porosity), the effective permittivity of the original remnant cell sample can be deduced. Confirmation of this technique has been made in [23] where it was shown that low-temperature samples that can be measured without destroying their cell structure trend towards the correct prediction of the unified mixing law under the empirically determined depolarization constant. By evaluating the permittivity of a high-temperature sample (known to absorb the highest amount of moisture by weight), a decoupling of the water, air, and ash mixture can be made. An empirical model can then be derived to describe the mixture’s response within the measurement domain. The measurement procedure is as follows. The burnt biomass was lightly broken down and passed through a screen, the powdered ash was then dried on a hotplate at 400 C for 30 min to remove moisture, the hot ash was then compressed into a waveguide at an arbitrary bulk density and weighed (in this case, g/cm , C, ).

609

These first steps of the procedure were critical to avoid the re-absorption of moisture within the sample. To aid in this effort, the sample holder containing ash was placed on a secondary 70 C hotplate while the permittivity measurements were prepared on a VNA. It should also be noted that by compressing the hot ash sample into the sample holder at a higher density than the original remnant cell structure, re-absorption of moisture was restricted due to a reduction in the exposed surface area of the sample. The sample was then taken off the hotplate and its permittivity measured. Once this measurement was completed, the sample was once again weighed to give an indication of the amount of moisture absorbed by the sample. With some trial and error, the moisture content was suppressed to 0.42% of moisture by weight (% w/w), forming part of the correction procedure for the effective response of the ash. De-ionized (DI) water was then added to the sample within the holder to approximately 25.1% w/w. The sample was left to completely saturate. The permittivity was then measured and followed by another measurement of the sample’s mass. The resultant mass loss over the saturation period was approximately 4.65%, reaching the upper limit of 20.4% w/w for these measurements. Following this, the wet sample was then slowly warmed on a low-temperature hotplate to remove a small portion of its water content. The sample was again placed inside the waveguide and its S-parameters measured. Here, a measurement was only recorded once the S-parameters had stabilized. This process was repeated until the mass of the original dry ash sample was reached. Fig. 3 illustrates the measured effective complex permittivity of the powdered ash with respect to the percentage weight of water over the entire X-band frequency range. The measured results of the high-temperature ash with the inclusion of DI water show low variation with change in frequency. As the concentration reached the maximum of 20.4% w/w, the frequency dependency became more prominent. This frequency dependency at higher concentration levels is attributed to the highly dispersive nature of water at these frequencies. The relative permittivity response of water at X-band shows a trend where lower frequencies have a higher real component and a lower imaginary component. This is reversed for the upper band frequencies and is described in full by the Debye model [37]. The measured results of the ash/water mixture indicate that the water content has a dispersive type effect on the effective permittivity of the ash sample. A. Tri-Phase Mixing Laws to Describe Ash The unified mixing law shows good correlation to the measured results obtained directly from burnt biomass [22]. This is, however, limited to a case where moisture content is minimal ( 2%). The validity of the unified mixing law must be investigated to ascertain its ability to predict the effective permittivity of ash when large moisture content is present. The inclusion of moisture introduces a number of complexities that mixing laws need to model. There are four areas where these complexities may cause issues with common dielectric mixing laws [27]–[31]. The first relates to the definition of a particle’s geometry within ash. The second and third relate to ash and its

610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 3. Measurement of the effective complex permittivity of powdered ash with respect to different moisture contents by weight. Bulk density of the dry ash g/cm ( sample within a waveguide holder was C, and ).

interactions between free water and bound water, respectively. The final complexity deals with the interaction of residual salts (i.e., NaCl, KCl) within the ash, with concentrations that can be greater than 1% w/w [32], [33]. The structure of ash, demonstrated by its large depolarization factor , cannot be considered spherical in nature [22]. Furthermore, the depolarized state of ash is expected to change with the inclusion of water. This change is a result of the natural depolarization state of water and how it forms its own geometric shapes

when saturating the ash mixture. At low moisture content, it is expected that the moisture will form predominantly on the outside of the ash structures, effectively increasing the permittivity of the ash under a similar depolarized state. Once the ash is fully saturated, it can be hypothesized that small regions of pure water begin to form between neighboring particles. Due to the surface tension properties of water, these are likely to form spheroidal-type geometric structures. If these structures are formed within the mixture, the depolarization state will trend towards a Maxwell–Garnett (MG) response; or in other words, the depolarization constant in the unified mixing law will trend lower. Due to the high-permittivity value of water compared to ash, it is likely to form the dominant scatter medium. Finally, if the case arises when the mixture is completely saturated with a water/ash mixture, the depolarization factor will trend towards zero for a homogeneous aqueous solution. Further to this is the emergence of what has been termed “bound water” in materials. This has in recent years been attributed to one of the missing links in mixing laws [27]–[31]. Bound water is formed when water, a polar material, interacts with other polar or charged molecules via hydrogen bonds. The relaxation time of water changes as a function of distance from the bonded interface changes [27]–[31]. The net change in the relaxation factor significantly alters the complex permittivity of bound water to that of free water. However, the fundamental forces causing the interactions of bound water within different materials are still being explored [27]–[31]. The existence of bound water in soil, for example, has been noted to be stronger in clay solids when compared to sand and silt [30]. Also linked to bound water is the inclusion of salt ions, which become dissolved solids that directly increase the imaginary component of free water. The dissolved ions will also have weak hydrogen bonds to surrounding water molecules. As little is still known about the permittivity of ash and the fundamental interaction of electromagnetic waves on an atomic level, a full mixing law cannot be implemented. However, using an empirical-based approach, mixing laws can be corrected for the response of material with the inclusion of water. Two mixing laws have been analyzed for their performance in predicting the trend of water inclusion in ash. These mixing laws include a substitute tri-phase unified mixing law [see (1)] and a multiphase MG mixing law [see (2)] [38], shown at the bottom of this page. It is assumed that the tri-phase unified mixing law can be implemented based on multilayered dielectric scatter. This is valid in the case of ash particles, as the water in low concentrations will cover the particles’ outer surfaces. In (1), is the effec-

(1) (2)

BAUM AND GHORBANI: MEASUREMENTS ON EFFECTS OF MOISTURE ON COMPLEX PERMITTIVITY OF HIGH TEMPERATURE ASH

tive permittivity of the mixture, is the permittivity of the host material (air), are the relative complex permittivities of inclusion materials (i.e., ash and water), are the equivalent volume fractions of the inclusion materials, and is the depolarization factor. In the multiphase MG mixing law given in (2), is the effective permittivity of the mixture, is the permittivity of the host material (air), is the effective relative complex permittivity of the nth inclusion material (ash, water, etc.), and is the volume faction of the th inclusion material. It should be noted that the MG mixing law is a special case of the unified mixing law when . The introduction of the depolarization factor into the unified mixing law is what differs it from the traditional MG mixing law. In the MG mixing law, the mixed phases are assumed to have a Rayleigh type scattering mechanism and contribute equally to the polarizability of an incident electromagnetic field. It has been shown that some materials such as dry ash or anisotropic ceramics can display a high degree of polarization, which is partly an outcome of the geometric shape of the particulates [22]. The question arises as to what effects the mixture of a high and low polarizing material will have on the depolarization factor in the unified mixing law. One observation for this type of mixture is that the depolarization factor changes based on the mixing ratio. Analysis of the mixture containing ash (having a high depolarization) and water (having a low depolarization factor) can provide an insight into whether or not this occurs within this medium. Within both the MG and unified mixing laws, every parameter is known, except for the solid permittivity of ash. In previous work by the authors, it was noted that the solid permittivity for eucalypt ash was determined to be , which when substituted into the unified mixing law shows excellent correlation to the measured results up to 600 C, based on a normalized mass loss curve [23]. During the heating cycle of the biomass, it was noted in [23] that the elemental composition of the ash only changed marginally up to 400 C, while at 1000 C the ash was comprised mostly of calcium and oxygen. Although it is expected that the solid permittivity of the ash will change at different temperatures, based on previous dielectric modeling it must remain within the close vicinity of . Carbon, being a partly conductive material, reduces significantly at these higher temperatures. As it contributes highly to the imaginary part of the permittivity, it can be assumed that the loss tangent of the ash may diverge slightly from that seen in [23] at higher temperatures. Table I shows the results of a comparison between measured and predicted effective complex permittivity of the 1000 C eucalypt ash by the unified mixing law under a dry state. As the behavior of the dry ash is known from [22] and [23], the unified mixing law should accurately predict its dry state effective complex permittivity. Based on the measured sample with a volume fraction of , the first comparison in Table I considers the unified mixing law when the original value of the solid permittivity of is substituted. The solution predicted by the unified mixing law shows excellent correlation to the real component. However, the imaginary component is significantly higher than that observed in the

611

TABLE I COMPARISON OF MEASUREMENTS AND UNIFIED MIXING LAW

UM—unified mixing law measurements taken as dry sample with less than 1% w/w unified mixing laws set with , ash air C,

,

measured sample. Using trial and error, the complex component of the solid permittivity can be altered to find an equivalent effective permittivity that matches that measured. This was found to be the case when , as illustrated by the second analysis in Table I. It is postulated that this reduction in the imaginary component is attributed to the reduction in carbon-based molecules at elevated temperatures. This is evident by X-ray diffraction spectroscopy (EDX) scans carried out in [23]. It was shown that the carbon content significantly decreases. If carbon is the main element being removed from the biomass at elevated temperatures, it must have some direct link to the imaginary component of the permittivity. As it is important to know the solid permittivity of the ash when modeling the tri-phase mixture, will be used in this case instead of the original solid permittivity . It can be assumed that the imaginary component from 600 C falls with a linear gradient from to as the effective exposed temperature of the ash trends towards 1000 C. The reason for this linear approximation can be seen by the normalized mass loss between the two temperature ranges. At 600 C, the normalized mass loss was measured to be in the order of 95%, while at 1000 C this rose to approximately 96.5%. It has been noted in other studies of different biomass that the mass loss encounter follows a linear gradient after the inflection point [39]. Finally, the solid density of the ash is also known to change slightly at these higher temperatures. At lower temperatures, it was found that g/cm , which is a similar result for many organic carbon-based structures. With the 1000 C sample, the carbon content is significantly reduced [23]. The primary constituent being calcium oxide has a slightly higher solid density of approximately g/cm compared to carbon, where g/cm . Here, the solid density of the ash has been approximated to be in the order of g/cm . This value is approximated by extrapolating the known trend in the bulk density of dry ash with respect to temperature and the values from the fitted unified mixing law of dry ash presented in [23]. A comparison between the tri-phase unified and MG mixing laws against the measured results of the ash/water mixture from Fig. 3 are illustrated in Fig. 4. There are two measurement data sets shown within this figure. The first data set is that from Fig. 3, given by the subscript . The second data set is of a different sample of identical volume fractions, covering a larger moisture absorption range. This second set is given by the subscript .

612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 4. Performance of the tri-phase unified mixing (UM) law with respect to is measured lowmeasured and predicted effective complex permittivity. volume fraction data (illustrated in Fig. 3), is measured high-volume fraction is an empirically derived data, and is the depolarization factor where regression curve given in (3). Analysis at 10 GHz, 21.5 C.

TABLE II rms ERROR BETWEEN MEASURED AND MIXING LAW

UM—tri-phase unified mixing law MG—MG tri-phase mixing law

There are a total of five mixing laws analyzed within this figure. It is assumed that the relative complex permittivity of water is given by the model proposed in [37] when required by either mixing law under the given frequency and temperature conditions. The first four laws given by the subscript “UM” are those related to variations of the unified mixing law with different depolarization factors . It can be seen that the depolarization factor has a direct effect on the gradient of the mixing law. For the first three depolarization factors of ( and ) at the dry end of the model (when ), it can be observed that only a depolarization factor of gives the best match to the dry ash. This is expected, as it was also shown to be the case in [22]. However, the introduction of water changes the predicted response, indicating that there may be some polarization effects that are not accounted for in the unified law for complex mixtures. The total rms error between the models and the two measured data sets is seen in Table II. Considering the overall variation of the unified law against measured data, the best fitting curves are found when the depolarization factor is lower. Due to the nature of the mixing laws, which are in essence polynomial type expressions, their gradients at low concentration levels are insensitive to large movements. For this reason, a high or low depolarization factor will still have a relatively low rms error. This

is illustrated by a good fit of all three models with a constant when . The depolarization of dry ash is known to be high [23]. Here, however, it is clearly illustrated that there is a divergence away from this high depolarized state when water is introduced into the mixture. From this observation it may be hypothesized that the depolarization factor may vary from a high to low state as the concentration of water increases. The physical reason behind this is unknown and will not be explored within this paper; however, there are a number of molecular interactions that occur in mixtures including highly polar materials such as water. In soil and liquid/liquid mixture measurements, it has been observed that this may be attributed to phenomena called free/bound water interactions. These occurrences relate to how strongly water is attracted to hydrogen bonds available on the surface of a material [27], [31]. Further to this is the way in which an electromagnetic wave physically interacts with the mixture and which phase is most dominant. An example of this is given by two extremity cases, where the ash is covered by small amounts of water ( is small) or the ash is suspended inside water ( is large). Acknowledging that the depolarization state of the ash may change due to the introduction of water, the unified mixing law must take this into account. As the depolarization factor contributes to the shape of the expression, a nonlinear term describing its transitions from a high to low state can be introduced. Through a trial-and-error-based method, an exponential function that relates the depolarization factor to the concentration of water was found to give the best fit to the measured data. This relationship is given by (3) as follows:

(3) The resultant model is illustrated in Fig. 4 by the subscript UM, , and its equivalent rms error illustrated in Table II. As illustrated by the rms error, there is a slight tradeoff in the matching for the imaginary component. However, the real component is almost halved from when is assumed to remain constant. For most practical applications such as radar were the ash is being sensed, the real component is of utmost importance as it is the primary contributor to the intensity of a reflection from an electric field. The new empirical expression for a varying depolarization factor is illustrated in Fig. 5. As shown, the model sharply changes its depolarization state until approximately 20% v/v. Beyond this, the model fits quite well with a depolarization state just shy of . A comparison between the measured data and a traditional tri-phase MG mixing law can be made in Fig. 6. It can be seen that the assumption of a perfectly spherical type inclusions (defined by MG) for the ash/water mixture produces a poor fitting approximation. It may be noted that when , the MG model predicts a slightly lower permittivity value for the ash. To correct this, the solid permittivity of the ash must be slightly higher. Even with such a correction, the MG model is significantly different to that trending by the measured data sets. Finally, understanding how the ash interacts with water allows for a prediction to be made as to the true extent of how moisture interacts with biomass burnt at various temperatures.

BAUM AND GHORBANI: MEASUREMENTS ON EFFECTS OF MOISTURE ON COMPLEX PERMITTIVITY OF HIGH TEMPERATURE ASH

613

Fig. 5. Plot of the change in the depolarization factor with respect to the volume faction of water added to the ash and fitted to the measured data.

Fig. 7. Permittivity and loss tangent evaluated from the substituted unified mixing law for ash at various exposed temperature and moisture contents. Analysis taken for a case at 10 GHz, 21.5 C. Fig. 6. Performance of the substituted MG mixing law with respect to measured and predicted effective complex permittivity. is measured low-volume fraction data (illustrated in Fig. 3) and is measured high volume.

For the sake of convenience, it is more important for the analysis to be carried out using % w/w, rather than volume fraction typically used in mixing laws. This is because % w/w is a tangible quantity that can be measured in the field. As the trend of the permittivity of ash with respect to various exposed temperatures is known to change (based on the authors’ previous work in [23]), a simple substitution of the known of ash can give, for the first time, an insight into the expected effects of moisture content in ash. Here, the of ash is given by (4), where is the normalized mass loss of the ash with respect to an equivalent dry unburnt sample, (4) The absorption of moisture in the ash has been found to differ when the biomass is exposed to different temperatures. This is because the structure of the ash becomes more porous at higher

temperatures, resulting in more of the closed cell structure becoming open to the atmosphere. Applying the tri-phase unified mixing law, Fig. 7 illustrates the predicted effective permittivity of ash at various exposed temperatures with constant moisture absorption. As illustrated by Fig. 7, the permittivity is seen to exponentially decay to a keeling point between 450 C and 500 C. This keeling point is a direct result of the normalized mass loss of the biomass, as measured in [23], and is common for many organic biomass species when exposed to temperature [38]. The introduction of moisture at lower exposed temperatures 400 C has a larger effect on the permittivity. This is because the relative mass of the samples is larger when compared to the high temperature ash, which has lost in the order of 95% of its original mass. Interestingly, the introduction of moisture within the high temperature ash 400 C has little effect on the permittivity. This is because the porosity is very high and its mass is very small. From the color matching technique introduced in [23], to find a statistical distribution for the effective temperature of ash particles it was found that a good proportion of the ash falls within a range between 400 C and 450 C for a low-temperature fire. If

614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

particles are found within this range, moisture will have a significant effect on their scattering. This is seen by a more than 25% increase in the permittivity predicted by the tri-phase unified mixing law (with reasonable moisture content of approximately 15% w/w). Although the model should give a reasonable prediction of the permittivity, the amount of moisture that can be absorbed by weight will be different based on the exposed temperature. For example, it is unlikely that a dry unburnt piece of biomass could naturally absorb more than a few percent by weight of water from the atmosphere. Further investigation into the limitations of moisture absorption seen by ash in the field is required to give a complete depiction of where the model is valid. IV. CONCLUSION This paper has investigated the interaction of high-temperature ash, with the inclusion of moisture to build an empirical mixing law to describe the effective complex permittivity of a tri-phase mixture. Based on the measurements carried out and those obtained from the authors’ previous work, excellent correlation with the modified unified mixing laws was observed. REFERENCES [1] R. F. Jones, “Radar echoes from smoke,” Meteorol. Mag., vol. 79, pp. 89–90, 1950. [2] H. W. Hiser, “Smoke observations on a 10 cm radar,” Bull. Amer. Meteorol. Soc., vol. 42, pp. 621–625, Sep. 1961. [3] R. L. Birch, “Radar observations of smoke,” Aust. Meteorol. Mag., vol. 16, no. 1, pp. 30–31, Feb. 1968. [4] G. D. Reid and R. G. Vines, “A radar study of a smoke plume from a forest fire,” CSIRO Div. Appl. Chem., Melbourne, Vic., Australia, Tech. Paper 2, 1972. [5] R. M. Banta et al., “Smoke-column observations from two forest fires using Doppler lidar and doppler radar,” J. Appl. Meteorol., vol. 31, pp. 1328–1349, Feb. 1992. [6] R. R. Rogers and W. O. J. Brown, “Radar observations of a major industrial fire,” Bull. Amer. Meteorol. Soc., vol. 78, pp. 803–814, Nov. 1996. [7] J. S. Erkelens, V. K. C. Venema, H. W. J. Russchenberg, and L. P. Ligthart, “Coherent scattering of microwaves by particles: Evidence from clouds and smoke,” J. Atmos. Sci., vol. 58, pp. 1091–1102, May 2001. [8] T. Kempka, T. Kaiser, and K. Solbach, “Microwaves in fire detection,” Fire Safety J., vol. 41, pp. 327–333, Apr. 2006. [9] K. Huang et al., “The method of forest fires recognition by using doppler weather radar,” in 8th Fire Forest Meteorol. Symp., Kalispell, MT, USA, Oct. 2009. [10] D. Rosenfeld, M. Fromm, J. Trentmann, G. Luderer, M. O. Andreae, and R. Servranckx, “The Chisholm firestorm: observed microstructure, precipitation and lightning activity of a pyro-cumulonimbus,” Atmos. Chem. Phys., vol. 7, pp. 645–659, Feb. 2007. [11] V. Melnikov, D. Zrnic, and R. Rabin, “Polarimetric radar properties of smoke plumes: A model,” J. Geophys. Res, Atmos., vol. 114, Nov. 2009. [12] P. S. Tsai, S. J. Frasier, S. Goodrick, G. Achtemeier, and M. T. Odman, “Combined Lidar and radar observations of smoke plumes from prescribed burns,” in 4th Lidar Atmos. Appl. Symp., Phoenix, AZ, USA, Jan. 2009, 6 pp. [13] T. A. Jones and S. A. Christopher, “Injection heights of biomass burning debris estimated from WSR-88D radar observations,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 8, pp. 2599–2605, Aug. 2009. [14] T. A. Jones, S. A. Christopher, and W. Petersen, “Dual-polarization radar characteristics of an apartment fire,” J. Atmos. Ocean. Technol., vol. 26, pp. 2257–2269, May 2009.

[15] R. A. Palumbo, W. A. Al-Ashwal, B. Ferguson, C. McCarroll, and D. J. McLaughlin, “Weather and bushfire observation using low cost X-band phased array radars,” in IEEE Int. Radar Conf., Sep. 2013, pp. 309–314. [16] Y. G. Sahin and T. Ince, “Early forest fire detection using radio-acoustic sounding,” Sensors Act. A, Phys., vol. 9, pp. 1485–1498, Mar. 2009. [17] A. B. Utkin, A. V. Lavrov, L. Costa, F. Simões, and R. Vilar, “Detection of small forest fires by lidar,” Appl. Phys. B, Lasers Opt., vol. 74, no. 1, pp. 77–83, Jan. 2002. [18] A. B. Utkin, A. Fernandes, F. Simes, A. Lavrov, and R. Vilar, “Feasibility of forest-fire smoke detection using lidar,” Int. J. Wildland Fire, vol. 12, no. 2, pp. 159–166, Jun. 2003. [19] C. A. Balanis, Advanced Engineering Electromagnetics. New York, NY, USA: Wiley, 1989. [20] V. N. Bringi and V. Chandrasekar, Polarimetric Doppler Weather Radar: Principles and Applications. Cambridge, U.K.: Cambridge Univ. Press, 2001. [21] T. Baum, L. Thompson, and K. Ghorbani, “Complex dielectric measurements of forest fire ash at X-band frequencies,” IEEE Geosci. Remote Sens. Lett., vol. 8, no. 5, pp. 859–863, Sep. 2011. [22] T. Baum, L. Thompson, and K. Ghorbani, “A complex dielectric mixing law model for forest fire ash particulates,” IEEE Geosci. Remote Sens. Lett., vol. 9, no. 5, pp. 832–835, Sep. 2012. [23] T. Baum, L. Thompson, and K. Ghorbani, “The nature of fire ash particles: Microwave material properties, dynamic behavior, temperature correlation,” IEEE J. Sel. Top. Appl. Earth Observ. Remote Sens., vol. 8, no. 2, pp. 480–492, Feb. 2015. [24] M. B. Bodí, J. Mataix-Solera, S. H. Doerr, and A. Cerdà, “The wettability of ash from burned vegetation and its relationship to Mediterranean plant species type, burn severity and total organic carbon content,” Geoderma, vol. 160, no. 3–4, pp. 599–607, Jan. 2011. [25] P. V. Hobbs and L. F. Radke, “Cloud condensation nuclei from a simulated forest fire,” Science, vol. 163, pp. 279–280, Jan. 1969. [26] R. C. Eagan, P. V. Hobbs, and L. F. Radke, “Measurements of cloud condensation nuclei and cloud droplet size distributions in the vicinity of forest fires,” J. Appl. Meteorol, vol. 163, pp. 553–557, Aug. 1974. [27] G. P. De Loor, “Dielectric properties of heterogeneous mixtures with a polar constituent,” Appl. Sci. Res., vol. 11, no. 3–4, pp. 310–320, 1964. [28] M. C. Dobson, F. T. Ulaby, M. T. Hallikainen, and M. A. El-Rayes, “Microwave dielectric behavior of wet soil—Part II: Dielectric mixing models,” IEEE Trans. Geosci. Remote Sens., vol. GE-23, no. 1, pp. 35–46, Jan. 1985. [29] M. T. Hallikainen, F. T. Ulaby, M. C. Dobson, M. A. El-Rayes, and W. Lil-Kun, “Microwave dielectric behavior of wet soil—Part 1: Empirical models and experimental observations,” IEEE Trans. Geosci. Remote Sens., vol. GE-23, no. 1, pp. 25–34, Jan. 1985. [30] D. Boyarskii, V. Tikhonov, and N. Y. Komarova, “Model of dielectric constant of bound water in soil for applications of microwave remote sensing,” Progr. Electromagn. Res., vol. 35, pp. 251–269, 2002. [31] U. Kaatze, “Bound water: Evidence from and implications for the dielectric properties of aqueous solutions,” J. Molec. Liq., vol. 162, no. 3, pp. 105–112, Jul. 2011. [32] F. S. Chapin, III, “The mineral nutrition of wild plants,” Annu. Rev. Ecol. Systemat., pp. 233–260, 1980. [33] M. Yamashita et al., “Determination of potassium and sodium in an ash sample by gamma-ray spectroscopy,” in Proc. Wood Sci. Technol., Jan. 2006, vol. 40, no. 6, pp. 493–499. [34] M. N. Qureshi et al., “Growth and ionic composition of salt-stressed eucalyptus camaldulensis and eucalyptus tereticornis,” Pakistan J. Botany, vol. 40, no. 2, pp. 799–805, 2008. [35] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [36] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [37] P. W. Rosenkranz, “A model for the complex dielectric constant of supercooled liquid water at microwave frequencies,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 3, pp. 1387–1393, Mar. 2015. [38] A. H. Sihvola, “Self-consistency aspects of dielectric mixing theories,” IEEE Trans. Geosci. Remote Sens., vol. 27, no. 4, pp. 403–415, Jul. 1989. [39] M. K. Misra, K. W. Ragland, and A. J. Baker, “Wood ash composition as a function of furnace temperature,” Biomass Bioenergy, vol. 4, no. 2, pp. 103–116, 1993.

BAUM AND GHORBANI: MEASUREMENTS ON EFFECTS OF MOISTURE ON COMPLEX PERMITTIVITY OF HIGH TEMPERATURE ASH

Thomas C. Baum (M’10) received the B.Eng degree in aerospace engineering (Hons.) and PhD. degree in electrical engineering from the Royal Melbourne Institute of Technology (RMIT University), Melbourne, Vic., Australia, in 2009 and 2014, respectively. In 2015, he became a Research Fellow with RMIT University, where he is currently involved with a variety of microwave-related research areas including conformal load-bearing antenna structures, coherent Doppler tomography, and dielectric material characterization. His interests also lie in radar systems, radar absorbing applications, scattering phenomena, microwave imaging, and material characterization.

615

Kamran Ghorbani (M’96) received the B.Eng (Hons.) and Ph.D. degrees from Royal Melbourne Institute of Technology (RMIT University), Melbourne, Vic., Australia, in 1994 and 2001, respectively. From November 1994 to 1996, he was a Graduate RF Engineer with AWA Defence Industries, where he was involved with early-warning radar systems. In June 1996, he joined RMIT University. From December 1999 to March 2001, he was a Senior RF Engineer with Tele-IP, where he was involved with VHF transceivers for commercial aircraft. In 2001, he joined the Department of Communication and Electronic Engineering (now the School of Electrical and Computer Engineering), RMIT University, as a continuing academic. He is currently the Director of the Communication Technologies Research Centre, RMIT University, where he is responsible for strategic planning and management of the research center. His research interests include dielectric measurements, composite material structures, frequency-selective surfaces, metamaterials, RF energy harvesting, radar systems, ferroelectric devices, and multifunctional antennas. Prof. Ghorbani was the Chair of the Asia–Pacific Microwave Conference (APMC2011), Melbourne, Vic., Australia. He was the Co-Chair of the Technical Program Committee, IEEE International Microwave and RF Conference (IMaRC2014), Bangalore, India. He was the Chair of first Australian Microwave Symposium (AMS2014), Melbourne, Vic., Australia. He is the Chair of the Technical Program Committee of the Asia–Pacific Microwave Conference (APMC2016), New Delhi, India. He is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Meetings and Symposia Committee (Administrative Committee (AdCom) Sub-Committee).

616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Diagnostics of Plasma Processes Based on Parallelized Spatially Resolved In-Situ Reflection Measurements Christian Schulz, Graduate Student Member, IEEE, Jan Runkel, Moritz Oberberg, Peter Awakowicz, and Ilona Rolfes, Member, IEEE

Abstract—A parallelized in-situ plasma measurement setup, consisting of two multipole resonance probes (MRP), a passive signal divider, and two coaxial cables with different lengths is presented in this contribution. The combined reflection coefficient of the applied probes is measured, separated in the time domain, and evaluated. Here, each MRP is able to measure the spatially resolved plasma electron density via its resonance behavior precisely and quasi-simultaneously. Furthermore, the return loss (RL) changes with the collision frequency, which can be detected for each probe. The parallelization and the applied signal processing are confirmed by simulations and combined measurements in CST Schematic as well as by in-situ measurements in an argon plasma. The resulting error is below 1% for the resonance frequency and below 8% for the corresponding RL. Hence, the input power and gas pressure of a plasma process can be controlled effectively. Index Terms—Feedback control, multipole resonance probe (MRP), plasma diagnostics, 3-D electromagnetic simulations.

I. INTRODUCTION

P

LASMAS have found their way into numerous industrial fields, such as aerospace, automotive industry, medical engineering, electronics, and optics, years ago. Today, novel plasma diagnostics represent a driving force behind plasmabased key-enabling technologies. Plasma-assisted technologies are crucial for future innovations in research and development. – Pa) are esHence, low-pressure plasma processes ( sential in particular, especially in the field of plasma-assisted deposition, cleaning, and sterilization [1]–[3]. Yet, not only technical evolutions, but also economical possibilities play a significant role in the application of technical plasmas. The resulting product quality is directly affected by plasma-dependent process parameters, including the plasma electron density and collision frequency. Therefore, plasma diagnostics represent an indispensable tool for an effective feedback control loop of these

Manuscript received July 01, 2015; revised August 18, 2015 and November 17, 2015; accepted December 12, 2015. Date of publication January 07, 2016; date of current version February 03, 2016. This work was supported by the Federal Ministry of Education and Research (BMBF) under the frame of the project PluTO+. C. Schulz, J. Runkel, and I. Rolfes are with the Institute of Microwave Systems, Ruhr-University Bochum, 44801 Bochum, Germany (e-mail: christian. [email protected]). M. Oberberg and P. Awakowicz are with the Institute for Electrical Engineering and Plasma Technology, Ruhr-University Bochum, 44801 Bochum, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2510653

processes. The vast amount of requirements is obvious: apart from numerous technical specifications, economical solutions in terms of investment and maintenance are essential for industry compatible diagnostics [4], [5]. Feedback control loops based on plasma parameters are crucial in numerous industrial processes. Due to properties such as size, various numbers of power sources, fractional chambers, or different gas flows, in industrial applications the process can drift differently in different zones of the chamber. Especially in depositing plasmas, the interaction between plasma and film changes the output properties. This makes a control for each area and the different input parameters, such as power and gas flow, necessary [6]. Diagnostics for these feedback control loops are an outstanding part of current and future research projects. Homogeneity and stability are especially two key requirements for an area wide process quality. Current control systems mainly use external parameters and only give indirect information about the process. With regard to the versatile requirements, existing concepts, such as the Langmuir probe (LP) [7], optical emission spectroscopy (OES) [8], and passive plasma resonance spectroscopy (PRS) [9], are insufficient compared to direct measuring concepts utilizing active PRS [10]. According to [11] and [12], LP and OES measurements possess an accuracy of approximately 10%–20%. For an adequate determination of the control parameters, more than a single measurement is required, especially for large reactors or multiple chambers. Furthermore, the measurements should be performed simultaneously in order to detect disruptions of the plasma under investigation. Hence, sequential measuring systems are not suitable and diagnostic systems based on switches are not efficient. To reduce the complexity in terms of active components and to keep the system economical, multiple diagnostic systems are not the first choice. Hence, we decided to apply a passive parallelization reflection measurement approach to evaluate multiple sensors with only one measurement device, as shown in [13]. Here, we presented the basic parallelization concept and a first proof of its suitability with single combined measurements for the evaluation of the plasma density. In this paper, we expand the concept and its suitability in terms of an additional consideration of the collision frequency and we present the applied signal processing in detail. Furthermore, we demonstrate the applicability of our approach with a complete in-situ measurement in an argon plasma. The basic in-situ approach is depicted in Fig. 1, considering and , their two probes. Here, the reflection coefficients

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

SCHULZ et al.: DIAGNOSTICS OF PLASMA PROCESSES BASED ON PARALLELIZED SPATIALLY RESOLVED In-Situ REFLECTION MEASUREMENTS

Fig. 1. Basic in-situ approach based on reflection measurements with two probes placed inside the plasma. The measured parameters can be used to control the input power and the gas pressure of a process.

specific resonance frequency , the difference , and the corresponding return loss (RL) at each resonance frequency can be evaluated for a precise diagnostic of the current plasma state. In the future, this information can be applied to a robust feedback control of the process. Combined with a pulse-based or continuous frequency-modulated wave measurement system, a compact realization can be achieved [14], [15]. This paper is organized as follows. Section II gives an overview on the basic parallelization concept, including 3-D electromagnetic simulations. Furthermore, the applied signal processing is presented in detail. In Section III, we introduce the performed measurements, separated into the setup, single combined measurements, and a complete in-situ measurement inside an argon plasma. Finally, this paper presents a conclusion in Section IV. II. CONCEPT This section describes the basic concept, as shown in [13], with additional information concerning the evaluation of the collision frequency and the applied 3-D electromagnetic simulations. Furthermore, we added a detailed description on the applied signal processing. Due to its explicit resonance behavior, we have chosen the so-called multipole resonance probe (MRP) as a sensor for our parallelization concept. The MRP, as well as its planar realization [i.e., the planar multipole resonance probe (pMRP)], allow for spatially resolved measurements with fast declining fields inside the plasma, as we have shown in [16] and [17]. The assembly of the MRP mainly consists of two soldered symmetrically powered metallic hemispheres and a multilayer printed circuit board as a holder and feeding device. The MRP is fed by a coaxial cable and inserted into a dielectric tube in order to separate the probe and plasma. Due to its high geometrical and electrical symmetry, the MRP yields a local measurement with a clear and simple mathematical model for low-pressure plasmas [18]. Here, the resulting reflection coefficient has one dominant resonance peak at , which can be easily identified, for the following determination of the plasma electron density :

(1)

617

with electron mass , elementary charge , and natural plasma electron frequency [19]. The input power is proportional to the electron density for low-pressure plasmas, as shown in [16]. Hence, the input power is also proportional to the measured resonance frequency. Its RL depends on the collision frequency , which is linked to the gas pressure , as shown in [20]. Consequently, if measured precisely, both effects can be used for the feedback control of the process via input power and gas pressure. Hence, the reflection coefficient and the necessary frequency range only depend on the supervised plasma density. For further investigations, a frequency range between 200 MHz and 3 GHz is taken into account. By placing multiple sensors inside the plasma reactor, the density can be observed individually at each sensor in order to characterize and supervise the complete reactor or different chambers. Furthermore, an evaluation of the RL allows for an assumption of the collision frequency. As long as the distance between two probes measures at least 3 cm, the coupling can be neglected [21]. To fulfill the demands of an economical solution, our concept allows for a complete evaluation of all applied sensors by a single measurement device. Furthermore, the evaluation can be regarded to be quasi-simultaneous. The basic parallelization concept contains a passive signal divider, e.g., a Wilkinson divider [22], [23], two coaxial cables of different lengths, and two MRPs. Due to the reflection behavior of the MRP and the known time delay, the single reflections and of the two MRPs can be measured in one combined reflection measurement and can afterwards be separated in the time domain. Hence, the separation depends on the different cable lengths and the resulting time delay, while the maximum number of probes is only limited by the dynamic range of the applied measurement system.

A. Simulation The basic parallelization concept is depicted in Fig. 2. Apart from the described components, additional coaxial end launch connectors are considered in the setup. In a first step, a simulation setup is realized in CST Schematic and the resulting reflection coefficient is evaluated with MATLAB. The single elements are considered through their scattering matrices— —and their reflection coefficients— and . Both are obtained by 3-D electromagnetic simulations with CST Microwave Studio and numerical models for the coaxial cables. This allows for a detailed investigation and optimization of the divider, which is the most critical part of the concept. Nevertheless, multiple dividers can be combined to increase the number of probes. We have chosen a single divider in a three-stepped realization. Our final three-port divider is realized on Rogers 4003 ( , , and m) and measures 4 9 cm. It covers the demanded frequency range and possesses an RL better than 20 dB with an equal split up of approximately 3.4 dB. The final dimensions are given in Table I for the marked dimensions in Fig. 2 with a linewidth m for the 50- lines.

618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Fig. 2. Depiction of the parallelization concept for the simultaneous determination of the plasma density at two different positions utilizing the MRP.

TABLE I OVERVIEW OF THE FINAL DIMENSIONS OF THE APPLIED WILKINSON DIVIDER

According to [16], we applied the Drude model with varying plasma electron frequencies and collision frequencies for the description of different plasmas. Here, we modeled and simulated four different plasmas with their resulting reflection coefficients . • : GHz with MHz, resulting in a resonance frequency GHz. • : GHz with MHz, resulting in a resonance frequency GHz. • : GHz with MHz, resulting in a resonance frequency GHz. • : GHz with MHz, resulting in a resonance frequency GHz. For the applied Drude model in the simulations, a change of the electron frequency results in a change of the resonance frequency, while the collision frequency only affects the RL of the evaluated resonance peak. According to [24], we applied the frequency solver and a tetrahedral mesh for the simulations. Furthermore, we used an electrical symmetry plane and electrical boundaries to accelerate the simulations. The additional two coaxial lines are considered loss-less and measure 2 and 4 m. Fig. 3 shows the resulting reflection coefficients of the single simulated MRPs for the described definitions, which must be extracted and identified for any combination of in the combined reflection coefficient . B. Signal Processing Using the passive parallelization, all measured probes are . By superimposed in the measured frequency response using an inverse fast Fourier transform (IFFT), each probe can be identified in the time domain. Here, the a priori knowledge of the expected time delays by the deployed cables can be used for an effective identification. For further evaluation, each identified peak can be separated and windowed to suppress disturbing

Fig. 3. Single simulated reflection coefficients for the four defined plasmas.

,

,

, and

signal components. Here, we have chosen a Tukey window, which combines rectangular and cosine characteristics. It is defined as follows [25]:

Expanded to the original length, a fast Fourier transform (FFT) provides the resulting frequency responses and for each probe and the required resonance frequencies and can be determined. Additionally, a loss compensation for the known components, by reference measurements for example, can be applied. Hence, cable losses do not affect the measurement performance for the expected dynamic range of the final system. Therefore, the parallelization concept is only limited by the distance of the single probes in the time domain in order to be able to separate them. Using an additional length of 2 m, resulting in a distance of approximately 40 ns, we are able to easily separate each probe in . The described procedure is comparable to time-gating methods known from vector network analyzer or antenna measurements, as shown in [26]–[28]. While the reduction of occurring signal ripple and the elimination of disrupting reflections are the main purpose in these applications, we use the time-gating method to separate the required reflection coefficient of the single probes.

SCHULZ et al.: DIAGNOSTICS OF PLASMA PROCESSES BASED ON PARALLELIZED SPATIALLY RESOLVED In-Situ REFLECTION MEASUREMENTS

619

In summary, the signal processing of can be separated into the following steps. . 1) IFFT of . 2) Find maximums of 3) Cut out the specific range of two pulses corresponding to and . the MRPs and their signals to and . 4) Applying a Tukey window 5) FFT of both windowed MRP signals. 6) Compensation of known components. and 7) Determination of the two resonance frequencies of and . The corresponding signals for the single-processing steps for the are shown in Fig. 4. Here, we obtained described simulation setup of Fig. 2 for the chosen defiand . Furthermore, nition of Fig. 5 shows the resulting reflection coefficients for two further . A comparison between the single simcombinations of and —and the evaluated parallel ulated probes—with and —shows an excellent agreement. probes—with While an insignificant difference of magnitude can be observed, the resonance frequency is almost identical and can be used for the determination of the plasma density without limitations. Apart from the time delay, caused by the additional lengths, the measurement can be taken as simultaneous. Referred to [29] as worst case, a plasma needs a few 10–100 s for the break down in high-power pulsed-magnetron-sputtering (HPPMS) processes. Hence, our achieved time resolution is sufficient. A drift in the determined resonance frequencies is caused by a change of plasma frequency. The plasma frequency itself is affected by a change of the electron density, which is linked to the input power. Hence, the drift is directly linked to the density, while the RL is linked to the collision frequency and the process can be adjusted through their measurements (2) (3) For a robust determination and evaluation of the resonance frequency, the center of gravity (CG) method can be applied additionally [30]. Therefore, the data vectors of the magnitude for and of the simulated reflection coefficients— for —are analyzed and the discrete points and are defined as points of maximum RL. We assume (4) describes the index of the maximum and describes Here, the distance between two frequency points for the maximum number of points . For the determination of the CG, we consider additional points to both sides of the signal weighted by . Hence, we can calculate the CG

(5)

Fig. 4. Single steps of the applied signal processing for and . (a) Magnitude of the reflection coefficient . (b) Time after IFFT. (c) separated and windowed signals of and signal . (d) and after FFT and compensation.

For arbitrary combinations, excellent results can be observed for the evaluated parallelization. The resulting resonance frequencies with the difference as well as the resulting RL with the difference are shown in Tables II and III, respectively. Additionally, the calculated errors arising by the parallelization and evaluation are indicated. Here, a maximum error

620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

can be induced in the feedback control loop based on this information obtained by only one measurement.

III. MEASUREMENTS For the measurements, the MRP was realized as presented in [16]. Here, the radius of the hemispheres and the thickness of the quartz tube are set to 3 and 1 mm, respectively. We used Rogers 4003 with a thickness of 200 m and 17- m copper cladding as substrate for the MRP and the Wilkinson divider, as well as three Southwest Microwave End Launch Connectors. Furthermore, we applied a Rohde&Schwarz ZVL6 vector network analyzer and measured the complex reflection coefficient. All measurements were performed in a double inductively coupled plasma (DICP) reactor. The reactor has a height of 0.2 m, a diameter of 0.4 m, and its volume measures 25 L [31]. A. Single Combined Measurements

Fig. 5. Calculated reflection coefficients following two combinations: (a) and . (b)

and

according to Fig. 4 for and and

TABLE II OVERVIEW OF THE DETERMINED RESONANCE FREQUENCIES RESULTING ERROR FOR ARBITRARY COMBINATIONS OF

AND THE

TABLE III OVERVIEW OF THE DETERMINED RL AT THE DOMINANT RESONANCE PEAK AND THE RESULTING ERROR FOR ARBITRARY COMBINATIONS OF

below 1%, which lies within the expected fluctuation, as shown in Section III-B, can be seen for the resonance frequency. The maximum error for the RL lies below 8%, which is sufficient for the further evaluation. Hence, the parallelization concept and the applied signal processing are proven to be suitable for further application. Depending on the plasma process, limits can be defined in which the process is stable. Hence, an adequate counteraction

Firstly, for an evaluation of the parallelization concept, all single elements—Wilkinson divider with mounted coaxial end launch connectors, coaxial cables with 2 and 4 m, as well as one MRP in different plasmas—are measured separately and afterwards combined in CST Schematic, as shown in Fig. 6. Hence, we exchanged the simulated components of Fig. 2 with the scattering matrices , , and and with the reflection coefficients and obtained by the performed single measurements. Table IV gives a rough overview of the measured performance in the observed frequency range. The MRP is placed in an argon plasma with a flow rate of 100 sccm, a pressure range between 3–15 Pa, and different excitation powers, which yield different plasma densities and different collision frequencies. Therefore, we achieve different resonance behaviors for our measurements. The excitation power is varied between 150 and 190 W for an excitation frequency of 13.56 MHz. Fig. 7 shows the resulting evaluated reflection coefficients and for the three following different setups in the CST schematic. • Setup (i): at W with Pa and at W with Pa. • Setup (ii): at W with Pa and at W with Pa. • Setup (iii): at W with Pa and at W with Pa. As expected, the same resonance frequencies can be observed for setup (i). Both curves are almost equal, which demonstrates the suitability of the realized divider and the applied signal processing. Setup (ii) shows a frequency drift to higher frequencies affected by the rising excitation power. Hence, on the one hand, a reduction of the excitation power can be derived by this measurement to equal both curves: the drift can be evaluated within a feedback control loop to sustain a homogeneous plasma density. On the other hand, if the curve history is tracked, the stability can be observed effectively. Setup (iii) shows the expected decreasing RL for a rising gas pressure, while the resonance frequency stays almost equal. Hence, pressure variations can also be detected and the plasma state can be controlled effectively.

SCHULZ et al.: DIAGNOSTICS OF PLASMA PROCESSES BASED ON PARALLELIZED SPATIALLY RESOLVED In-Situ REFLECTION MEASUREMENTS

621

Fig. 6. Depiction of the parallelization setup used for the experimental characterization with two MRPs measured at different excitation powers.

TABLE IV OVERVIEW OF THE MEASURED PERFORMANCE SINGLE ELEMENTS SHOWN IN FIG. 6

OF THE

Fig. 8. Simplified setup of the parallelized in-situ measurement, with fixed and movable MRP, and principle density profile inside the DICP reactor.

For various plasma definitions and multiple repetitions, we observe an insignificant shift of 1% and we achieve an excellent accuracy compared to LP or OES. Hence, the simulation results are confirmed without limitations. B. In-Situ Measurements

Fig. 7. Determined reflection coefficients by the parallel setup, utilizing two MRPs for three different setups: (a) setup (i) with constant excitation powers, (b) setup (ii) with varying excitation powers, and (c) setup (iii) with varying gas pressures.

Finally, to prove the suitability of the parallelization concept, a complete parallel in-situ measurement was performed. We used an argon plasma with a flow rate and a constant pressure of 100 sccm and 10 Pa, respectively. The excitation power was set to 180 W. Fig. 8 shows a simplified schematic of the DICP reactor and the MRPs placed inside. It shows a cutting plane, consisting of a cylinder of diameter with top and bottom quartz windows, and two ICP antennas (coils) for the excitation and retention of the plasma [32]. The excitation frequency is 13.56 MHz at 180 W. As presented in [32], the qualitative density profile in a reactor is depicted to illustrate the different expected densities, depending on the measurement position. It is obvious that the density has its maximum in the center region of the reactor, with a small taper towards , and decreases equally over the radius to the edges. Here, we used one fixed placed MRP1 at 10 cm and one movable MRP2 at four different positions. Fig. 9 shows the photograph of the DICP reactor and the movable MRP. The resulting four measured combinations (i)–(iv) and the expected interrelation of and are shown in Table V. Fig. 10 shows the evaluated separated measurement results for MRP1 and MRP2. The expected, constant resonance behavior at MRP1 can be observed for all four measurements with . Here, only a negligible fluctuation of approximately 9 MHz is detected.

622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 64, NO. 2, FEBRUARY 2016

Depending on the application, two parallel probes can be sufficient for an adequate control, while large reactors demand numerous probes. Here, we expect up to 16 probes to be suitable. Apart from the supervision of the current plasma state, one of these probes can be placed in the area of deposition and the resulting drift, affected by the deposition, can be evaluated. IV. CONCLUSION Fig. 9. Photograph of the measurement setup with: (a) DICP reactor with the two applied MRPs and (b) movable MRP used for the measurement at four different positions.

TABLE V RESULTING FOUR COMBINATIONS FOR THE IN-SITU MEASUREMENTS WITH THE EXPECTED INTERRELATION FOR AND

In this paper, we have presented a parallelized in-situ reflection measurement approach for the quasi-simultaneous determination of plasma process critical parameters, such as the plasma electron density and the collision frequency. Utilizing the MRP, spatially resolved measurements can be performed at almost arbitrary positions inside a reactor. Multiple probes can be evaluated by only one measurement utilizing a time separation concept. Compared to our previous work in [13], an expanded and detailed description of the signal processing is included as well as an additional evaluation of the collision frequency, based on the RL at the specific resonance peak. Therefore, simulations and separate measurements are combined in CST Schematic to investigate and verify the parallelization and signal processing. With a maximum error of 8%, the approach is proven to be suitable for different setups. Confirmed by final in-situ measurements with one fixed and one movable MRP inside an argon plasma, the concept can be deployed to adjust the input power and the gas pressure in a feedback control. REFERENCES

Fig. 10. Determined reflection coefficients of MRP1 and MRP2 for the deand (b) evaluated . scribed in-situ setups: (a) evaluated

Furthermore, the expected behavior for the interrelation of position, electron density, and resulting resonance frequency can be seen. For rising densities, a movement to higher frequencies is detected. The observed RL only shows some small fluctuations for the measurements. A comparison between and shows the expected similarity with an error below 8%. Based on these results, their interrelation with the input power and gas pressure, and previously defined process limits, an effective feedback control can be guaranteed.

[1] W. J. Hao and L. Y. Ming, “A comparative analysis on physical and chemical plasma cleaning effects on surfaces,” in Proc. 15th Electron. Packag. Technol. Conf., Dec. 2013, pp. 329–334. [2] O. Yardimci and P. Setlow, “Plasma sterilization: Opportunities and microbial assessment strategies in medical device manufacturing,” IEEE Trans. Plasma Sci., vol. 38, no. 4, pp. 973–981, Apr. 2010. [3] M. Tuszewski, I. Henins, M. Nastasi, W. Scarborough, K. Walter, and D. Lee, “Inductive plasma sources for plasma implantation and deposition,” IEEE Trans. Plasma Sci., vol. 26, no. 6, pp. 1653–1660, Dec. 1998. [4] R. d’Agostino, P. Favia, C. Oehr, and M. R. Wertheimer, “Low temperature plasma processing of materials: Past, present, future,” Plasma Processes Polym., vol. 2, no. 1, pp. 7–15, Dec. 2005. [5] R. F. Bunshah, “Critical issues in plasma-assisted vapor deposition processes,” IEEE Trans. Plasma Sci., vol. 18, no. 6, pp. 846–854, Dec. 1990. [6] S. Berg and T. Nyberg, “Fundamental understanding and modeling of reactive sputtering processes,” Thin Solid Films, vol. 476, no. 2, pp. 215–230, Apr. 2005. [7] L. Tonks and I. Langmuir, “Oscillations in ionized gases,” Phys. Rev., vol. 33, pp. 195–210, Feb. 1929. [8] D. L. Crintea, U. Czarnetzki, S. Iordanova, I. Koleva, and D. Luggenhlscher, “Plasma diagnostics by optical emission spectroscopy on argon and comparison with thomson scattering,” J. Phys. D, Appl. Phys., vol. 42, no. 4, Jan. 2009, Art. ID 045208. [9] R. P. Brinkmann and T. Mussenbrock, “Nonlinear modeling of selfexcited electron resonance spectroscopy,” in Proc. 26th Int. Phenomena in Ionized Gases Conf., Greifswald, Germany, Jul. 2003, pp. 137–138. [10] M. Lapke et al., “The multipole resonance probe: Characterization of a prototype,” Plasma Sources Sci. Technol., vol. 20, no. 4, May 2011, Art. ID 042001. [11] M. Tuszewski and J. A. Tobin, “The accuracy of Langmuir probe ion density measurements in low-frequency rf discharges,” Plasma Sources Sci. Technol., vol. 5, no. 4, pp. 640–647, Nov. 1996. [12] C. Boss and K. Fredeen, Concepts, Instrumentation and Techniques in Inductively Coupled Plasma Optical Emission Spectrometry. Waltham, MA, USA: Perkin Elmer, 1999. [13] C. Schulz, J. Runkel, and I. Rolfes, “Parallelization concept for spatially resolved in-situ plasma measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–3.

SCHULZ et al.: DIAGNOSTICS OF PLASMA PROCESSES BASED ON PARALLELIZED SPATIALLY RESOLVED In-Situ REFLECTION MEASUREMENTS

[14] A. Strobel, R. Eickhoff, A. Ziroff, and F. Ellinger, “Comparison of pulse and FMCW based radiolocation for indoor tracking systems,” in Proc. Future Network and Mobile Summit, Jun. 2010, pp. 1–8. [15] M. Gerding, T. Musch, and B. Schiek, “A novel approach for a high precision multitarget-level measurement system based on time-domain reflectometry,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2768–2773, Jun. 2006. [16] C. Schulz, T. Styrnoll, R. Storch, P. Awakowicz, T. Musch, and I. Rolfes, “The multipole resonance probe: Progression and evaluation of a process compatible plasma sensor,” IEEE Sensors J., vol. 14, no. 10, pp. 3408–3417, Oct. 2014. [17] C. Schulz, T. Styrnoll, P. Awakowicz, and I. Rolfes, “The planar multipole resonance probe: Challenges and prospects of a planar plasma sensor,” IEEE Trans. Instrum. Meas., vol. 64, no. 4, pp. 857–864, Apr. 2015. [18] M. Lapke, T. Mussenbrock, and R. P. Brinkmann, “The multipole resonance probe: A concept for simultaneous determination of plasma density, electron temperature, collision rate in low-pressure plasmas,” Appl. Phys. Lett., vol. 93, no. 5, Aug. 2008, Art. ID 051502. [19] M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing. New York, NY, USA: Wiley, 2005. [20] C. Schulz et al., “The multipole resonance probe: Investigation of an active plasma resonance probe using 3D-electromagnetic field simulations,” in Proc. 42nd Eur. Microw. Conf., Oct. 2012, pp. 566–569. [21] C. Schulz, T. Styrnoll, P. Awakowicz, and I. Rolfes, “Supervision and control of medical sterilization processes utilizing the multipole resonance probe,” in Proc. Int. Microw. Workshop Series RF Wireless Technol. Biomed. Healthcare Appl., Dec. 2013, pp. 1–3. [22] E. Wilkinson, “An -way hybrid power divider,” IRE Trans. Microw. Theory Techn., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [23] H. Oraizi and A.-R. Sharifi, “Design and optimization of broadband asymmetrical multisection wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 2220–2231, May 2006. [24] C. Schulz and I. Rolfes, “Investigation of interactions between plasmas and RF-diagnostics: Challenges of complex 3D-electromagnetic field simulations,” in Proc. Antennas Propag. Soc. Int. Symp., Jul. 2013, pp. 2181–2182. [25] N. Geckinli and D. Yavuz, “Some novel windows and a concise tutorial comparison of window families,” IEEE Trans. Acoust., Speech, Signal Process., vol. ASSP-26, no. 6, pp. 501–507, Dec. 1978. [26] J. Dunsmore, “Gating effects in time domain transforms,” in Proc. Microw. Meas. Symp., Dec. 2008, pp. 1–8. [27] A. Henderson, J. James, P. Newham, and G. Morris, “Analysis of gating errors in time domain antenna measurements,” Proc. Inst. Elect. Eng., vol. 136, no. 4, pt. H, pp. 311–320, Aug. 1989. [28] J. Fordham, “Use of time domain gating in spherical near-field measurements,” in Proc. 14th Int. Antenna Technol. Appl. Electromagn. Symp./Amer. Electromagn. Conf., Jul. 2010, pp. 1–4. [29] K. Sarakinos, J. Alami, and S. Konstantinidis, “High power pulsed magnetron sputtering: A review on scientific and engineering state of the art,” Surf. Coat. Technol., vol. 204, no. 11, pp. 1661–1684, Feb. 2010. [30] A. S. Rybakov, “Improvement of the accuracy of the time position estimation of the gravity center of an analog signal on the basis of discrete samples,” Automat. Contr. Comput. Sci., vol. 45, no. 6, pp. 313–313, Dec. 2012. [31] H. Halfmann, N. Bibinov, J. Wunderlich, and P. Awakowicz, “A double inductively coupled plasma for sterilization of medical devices,” J. Phys. D, Appl. Phys., vol. 40, no. 14, p. 4145, Jul. 2007. [32] B. Denis, S. Steves, E. Semmler, N. Bibinov, W. Novak, and P. Awakowicz, “Plasma sterilization of pharmaceutical products: From basics to production,” Plasma Processes Polym., vol. 9, no. 6, pp. 619–629, May 2012. Christian Schulz (GSM’12) was born in Münster, Germany, in 1984. He received the Dipl.-Ing. degree in electrical engineering from Ruhr-University Bochum, Bochum, Germany, in 2009, and is currently working toward the Ph.D. degree in electrical engineering at Ruhr-University Bochum. Since 2010, he has been a Research Assistant with the Institute of Microwave Systems, Ruhr-University Bochum. He has authored or coauthored more than 40 scientific papers. He holds several patents. His current fields of research are concerned with

623

3-D electromagnetic field simulations, plasma diagnostics, radar systems, and antenna design. Mr. Schulz was a recipient of the IEEE Antennas and Propagation Society Doctoral Research Award and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award in 2014 and 2015, respectively.

Jan Runkel was born in Remscheid, Germany, in 1987. He received the Bachelor of Science and Master of Science degrees in electrical engineering from Ruhr-University Bochum, Bochum, Germany in 2012 and 2014, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Ruhr-University Bochum, Bochum, Germany. Since 2014 he has been a Research Assistant with the Institute of Microwave Systems, Ruhr-University Bochum. His current research interest are the multipole resonance probe, passive high-frequency circuits, and 3-D electromagnetic field simulations.

Moritz Oberberg was born in Essen, Germany, in 1990. He received the M.Sc. degree in electrical engineering from Ruhr-University Bochum, Bochum, Germany, in 2014, and is curently working toward the Ph.D. degree in electrical engineering at Ruhr-University Bochum. Since then, he has been a Research Assistant with the Institute of Electrical Engineering and Plasma Technology, Ruhr-University Bochum. His research includes plasma diagnostics, especially the multipole resonance probe, and its operation in industrial applications for experimental characterization.

Peter Awakowicz received the Dipl.-Ing. degree in electrical engineering from RWTH Aachen, Aachen, Germany, in 1984, and the Dr.-Ing. degree in electrical engineering from the Technical University of Munich (TU Munich), Munich, Germany, in 1990. In 1990, he became a Research Assistant and, in 1999, he finished his habilitation at TU Munich in the field of plasma technique. From 2000 to 2003, he was a Privatdozent in the research field of plasma technology with TU Munich. Since 2003, he has led the Institute for Electrical Engineering and Plasma Technology, Ruhr-University Bochum, Bochum, Germany. Since 2010, he has been a Speaker with the Collaborative Research Center SFB-TR87. His fields of research concern plasma techniques, plasma diagnostics, and multifunctional surfaces.

Ilona Rolfes (M’06) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from Ruhr-University Bochum, Bochum, Germany, in 1997 and 2002, respectively. From 1997 to 2005, she was with the High Frequency Measurements Research Group, Ruhr-University Bochum, as a Research Assistant. From 2005 to 2009, she was a Junior Professor with the Department of Electrical Engineering, Leibniz Universitaet Hannover, Hannover, Germany, where she became the Head of the Institute of Radiofrequency and Microwave Engineering in 2006. Since 2010, she has led the Institute of Microwave Systems, Ruhr-University Bochum. Her fields of research concern high-frequency measurement methods for vector network analysis, material characterization, noise characterization of microwave devices, sensor principles for radar systems, and wireless solutions for communication systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Reconfigurable Resonant Coil for Range Adaptation Wireless Power Transfer Gunbok Lee, Student Member, IEEE, Benjamin H. Waters, Student Member, IEEE, Yeon Gyeong Shin, Joshua R. Smith, Member, IEEE, and Wee Sang Park, Member, IEEE

Abstract—To widen the range of a magnetically coupled wireless power transfer system, we propose a novel reconfigurable resonant coil. This device consists of a series of subcoils that use switches to control the number of turned-on subcoils. With this design, both the coupling coefficient between coils and the quality factor of the proposed coil can be dynamically tuned when the distance between the transmit and receive coils changes. Thus, the proposed coil system increases the efficient power transfer range compared to a conventional resonant system. In this work, we replace the first coil in a four-coil system with the proposed coil. This coil uses six switches to change the number of series subcoils from six to one as the distance between the transmit and receive coils increases. A theoretical analysis demonstrates how to properly design and configure the proposed coil. Experimental results show the range at which the system achieves 70% efficiency or higher increases by 120% compared to the conventional system without the proposed method. The proposed system keeps high efficiency when the load varies from 40 to 300 . Index Terms—Adaptive switching, Class-E, impedance matching (IM), load variation, range adaptation, reconfigurable resonant coil (RRC).

I. INTRODUCTION

T

HE magnetically coupled wireless power transfer (WPT) system has many portable applications including consumer electronics and biomedical devices [1]–[4]. In these applications, maintaining high efficiency over a wide power transfer range is more important than the maximum efficiency at a single distance because the device will likely move around Manuscript received September 27, 2015; accepted November 27, 2015. This work was supported by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2013R1A1A2057828), by the Korea Government—Ministry of Trade Industry and Energy (MOTIE) under a Korea Institute for Advancement of Technology (KIAT) grant (2015 Establishment of GEM, No. H2001-13-1001), and by the Brain Korea 21 Project. This work was supported in part by the National Institutes of Health (NIH) under Grant 5R21HL118611-02, in part by the National Science Foundation (NSF) under Grant EEC-1028725, and in part by Bosch LLC under a gift. G. Lee, Y. G. Shin, and W. S. Park are with the Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang 37673, Gyeongbuk, Korea (e-mail: [email protected]; [email protected]; [email protected]). B. H. Waters was with the Department of Electrical Engineering, University of Washington, Seattle, WA 98105 USA. He is now with WiBotic Inc., Seattle, WA 98195 USA (e-mail: [email protected]). J. R. Smith is with the Department of Computer Science and Engineering and the Department of Electrical Engineering, University of Washington, Seattle, WA 98105 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2510623

the transmitter. Most inductive power transfer systems, such as charging pads, achieve sufficient WPT only when the device is directly on top of the transmitter [5], [6]. Most resonant WPT systems also achieve maximum efficiency at a specific point [7]. In the resonant WPT system, when the transfer distance increases, the coupling coefficient ( ) decreases and the input impedance of the resonators changes. For a nonadaptive WPT system, there will be an impedance mismatch between the resonator impedance and load impedance everywhere, except at a specific point at which the impedances happen to match. Consequently, maximum power will not be delivered to the load for most distances between the resonators. To overcome this inherent problem, adaptive impedance matching (IM) method is required. IM also achieves appropriate operation of a power amplifier (PA), which operates most efficiently only if the load impedance is matched [8]. Therefore, for WPT devices that the user may not always position the receiver in an ideal location, an adaptive IM technique is important. Four adaptive methods have been proposed in prior works. The first method uses adaptive frequency tracking [7], [9], [10]. It overcomes the frequency splitting effect by tracking a frequency that achieves maximum power delivery. However, this method uses a wide bandwidth that is typically outside the narrow industrial, scientific, and medical bands regulated in many countries. Moreover, it does not optimize the system in the under-coupled region and it requires a high-speed controller to find an appropriate frequency promptly. The second method is to physically change the distance between the coils [1], [11], [12]. In [1], the authors proposed an IM technique for the transmitter side only. They verified that the power transfer efficiency is higher when using single-sided matching than when using a non-adaptive matching system. In [11], the method induces critically coupled point at every distance by changing the coupling between the coils to ensure maximum power delivery to the load. In [12], the authors investigated the distances of arbitrary coils for IM. However, physically changing the distance requires mechanical devices, which result in a bulky transmitter and inefficient power consumption so this method is impractical for portable electronic devices. The third method uses an adaptive IM circuit [13]–[15]. It uses a high- LC matching circuit that includes many switches to electrically control circuit impedance adaptively depending on the operating distance. The last method is loop switching [16], [17]. In [16], this method switches between several different single-turn loops to drive the transmit coil, each with a different radius that changes between the transmit loop and coil. In

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the transmitter such as an oscillator and a PA. indicates an equivalent receiver resistance such as a rectifier and a load. The equivalent circuit of the WPT system (Fig. 1) can be expressed by in terms of only and as (1)

Fig. 1. Equivalent circuit of a four-coil WPT system. source resistance; load resistance; inductance; capacitance.

source voltage; parasitic resistance;

[17], it uses various combinations of the parallel multi-loop to change the coupling between the loop and coil. These methods adaptively match the impedance when the transmitter–receiver distance changes. However, the loop switching method or combinations of multi-loops have a limited impedance range because the self-inductance of the switched loops are small; as a result, the factor is low. In this paper, we propose a reconfigurable resonant coil (RRC) which enables the and to be controlled simultaneously by choosing the number of series subcoils. The circuit analysis of four-coil WPT system and IM analysis including double-sided matching and tradeoffs for single-sided matching are explored in Section II. The RRC is introduced in Section III, and experiments of an adaptive matching system are presented in Section IV. Finally, the conclusion is given in Section V. II. CIRCUIT ANALYSIS OF FOUR-COIL WPT SYSTEM

where is the magnitude of the transmission coefficient and is the loaded factor for the th coil [1]. All of the coils are assumed to resonate at the same frequency with and . The square of the magnitude of is the ratio of the power delivered to the load to the power available from the source, and can be used as the power transfer efficiency when the network is matched [19]. Using and to analyze has several advantages over using , , and . First, many different coil shapes and geometries can result in the same . However, not all coil shapes and geometries can be practically realized by a desired combination of , , and . Therefore, the resonator designer can select the specific coil geometries more easily [20]. Second, it is convenient to use the normalized coupling in selecting coil shapes and coil–coil distances than use the unnormalized mutual inductance. Therefore, designing resonators that define specific and is much more convenient than satisfying specific , , , and mutual inductances. Single-sided matching of a four-coil system has been investigated [1]. We introduce double-sided matching that uses impedance determinant factors (IDFs) where the impedance can be controlled on both sides of the transmit and receive resonators. In a four-coil system, the and of coils 1 and 4 are adjusted to change the impedances at both input and output ports. To simplify the analysis, we define the IDFs of coils 1 and 4 as (2)

A. IDFs A four-coil WPT system is composed of four resonators that have the same resonant frequency and can be represented by an equivalent circuit model (Fig. 1). Coils 1 and 2 indicate the transmit coils, whereas coils 3 and 4 indicate the receive coils. All coils are magnetically coupled to each other. To obtain strong inductance, spiral or helical structures are commonly used in a WPT system. These coils can be expressed by a series inductance , capacitance , and resistance circuit model. These component values are determined by coil geometry such as turns, pitch, and radius. Lumped capacitors can be used to tune the desired resonant frequency of the coils in addition to the self-capacitance. The coupling represents the strength of magnetic coupling between coils and , and can be calculated from the mutual inductance and self inductances and : . increases as coil distance decreases, and vice versa [18]. Cross couplings such as , , and are normally ignored because their effects are negligible so we consider only , , and in this section [7]. However, they can result in significant changes when the operating distance is very short and the coil parameters are asymmetric. This effect will be discussed in Section III. and indicate Thevenin equivalent-circuit parameters of

respectively. Substituting the IDFs into the expression for is obtained from (1) and (2) as (3) where is a function of IDFs that describe fully how the parameters of coil 1 or coil 4 should be designed when and are optimized (increasing and increases ). Once IDFs are determined for maximum , the designer can design the physical geometry of the coils that satisfy these IDFs. B. Single-Sided and Double-Sided IM For input port matching[1], the input impedance looking into coil 1 should be the same as the source resistance as (4) where (5)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LEE et al.: RRC FOR RANGE ADAPTATION WPT

The IM condition for , (5) into (4) and solving for

3

can be obtained by applying as (6) (7)

where indicates “single-sided matching.” The output port matching condition in (7) is obtained from (6) since the circuit structure is symmetric (Fig. 1). Using (6) or (7), the design variables for single-sided matching can be obtained. For example, if coils 2 and 3 are designed and is chosen, then can be determined using (6) with a specific for transmitter-side matching only. Corresponding and can then be obtained using (2), which determines design variables of coil 1 such as size, pitch, turns, and coil–coil distance. For double-sided matching, replacing (7) by (6) gives a double-sided matching condition of , and putting into (7) to get yields (8) (9) where

indicates “double-sided matching.” and are composed of parameters of coils 2 and 3, which are the main resonant coils in a four-coil system. Therefore, coils 1 and 4 should be designed based on the parameters of coils 2 and 3. The maximum of the system can be obtained by substituting (8) and (9) into (3),

Fig. 2. Simulated results for values of when and Solid line: double-sided matching; others: single-sided matching. (a) versus . (b) versus .

.

(10) Equation (10) describes the ideal case for the four-coil system and has the same form as the maximum efficiency equation for a two-coil system with an assumption that coils 2 and 3 in the four-coil system are considered as two coils in the two-coil system [21]. This means that the maximum efficiency of a fourcoil system is only dependent on coils 2 and 3 if coils 1 and 4 are used properly for IM. For energy transfer to electronic devices, the receiver typically must be small for portability. If adaptive IM is used for such applications, the additional circuitry required for doublesided IM could increase the overall size of the receive device. Thus, adding the matching system only to the transmit side will be considered. In the single-sided matching case, is fixed and is calculated from (6) for given . As increases, the efficiency curve shifts toward higher ; i.e., operating distance decreases, but the peak efficiency increases [see Fig. 2(a)]. decreases as increases [see Fig. 2(b)]. If small is used to reduce maximum efficiency and extend transfer distance, large is required. However, a large is difficult to design because theoretically the maximum in (2) is limited to when is at its maximum value of 1. Since is a loaded (including ), designing high in a limited area is a difficult task. Thus, small can increase the design

Fig. 3. Concept of RRC.

complexity of coil 1. Therefore, a tradeoff between efficiency, distance, and design complexity is formed by the following relationship: if large is chosen to increase the efficiency, the operating distance decreases; if small is chosen to increase the operating distance, the efficiency decreases and the design complexity increases compared to the double-sided matching system. III. RRC To practically realize the IM techniques presented in Section II, we propose an RRC. To achieve maximum WPT efficiency, IDFs should be designed as in (8) and (9). Since is composed of and for coil 1, and is composed of and for coil 4, both and can be controlled simultaneously

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I MEASURED CIRCUIT PARAMETERS OF THE RRC

Fig. 4. Helical type RRC. subcoil : inner radius mm, turns ; subcoil : mm; subcoil : mm; subcoil : mm; subcoil : mm, .

mm, pitch mm; subcoil : mm,

Fig. 6. Normalized input impedance versus in the range of of state 1. (b) Maximum of state 6. imum

Fig. 5. Simulated versus . (a) Tradeoff curves for single-sided . (b) Curves with six matching positions. The dotted matching in various are: 0.5483, 0.2034, 0.0844, 0.0386, 0.0194, lines were plotted when : the distance between coil 2 and coil 3. 0.0066 (from left to right curves).

to achieve desired IDFs; that is a very useful trait because other methods that control coil parameters consider only . In [1], [11], and [22], and are changed by controlling the physical distance between the coils depending on the transfer distance. In [16], only is controlled by switching various loops of different sizes. The proposed coil allows both and to be adjusted to optimize power transfer. Thus, the coil can control the IDFs effectively. The RRC controls the number of turned-on subcoils, and thereby changes and with another adjacent coil (Fig. 3). The RRC consists of a series of several subcoils that compose a single coil. Each subcoil is connected to a switch to be

. (a) Max-

turned on or off. For example, if the first switch is turned on and other switches are turned off (state 1), only subcoil is connected to the circuit; the other subcoils are floated so and are determined as parameters of subcoil . If the second switch is turned on and other switches are turned off (state 2), the new and are determined as parameters of a series of subcoil and subcoil . If only the last switch is tuned on, all subcoils are connected and and are determined as parameters of a series of all subcoils. According to the subcoil arrangement, the RRC can be designed as either a helical type or a planar spiral type. Each subcoil can have different diameters, pitches, and turns so that it can be fabricated in many different manners. Thus, various design options are available to satisfy the required IDFs. In this paper, we report fabrication of a helical type RRC with the same subcoil-to-subcoil pitch (Fig. 4). Six subcoils with six relays (V23026, AXICOM) that were controlled using a microcontroller unit (MCU) were fabricated on a printed circuit board. Capacitors were used to tune the resonant frequencies for each state to 6.78 MHz. The fabricated RRC for a single-sided matching system were designed as follows. 1) Using parameters of coils 2 and 3, calculate the tradeoff curves and determine [see Fig. 5(a)]. The designs of coils 2 and 3 should be optimized in the allowed space because high and high are always better in (10) [23]. We chose 150-mm diameter for coils 2 and 3. The measured and were 554 and 551. The double-sided matching curve was calculated using (10). For the various , the value of was calculated and applied in (3) to compare the single-sided matching

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LEE et al.: RRC FOR RANGE ADAPTATION WPT

5

Fig. 7. Equivalent circuit of four-coil WPT system including an RRC.

curves with the double-sided matching curve. By considering the tradeoff between distance and efficiency, the appropriate was chosen. If is too small, the required cannot be implemented for short distance. 2) Set the matching positions for each state and calculate the required [see Fig. 5(b)]. Six matching positions (40, 60, 80, 100, 120, 160 mm) were chosen; the corresponding were 0.5483, 0.2034, 0.0844, 0.0386, 0.0194, and 0.0066, respectively. 3) Design subcoils that satisfy for each state (Table I). We set that subcoil is farthest from coil 2 and subcoil is closest from coil 2. The distance between subcoil and coil 2 was chosen as 15 mm. The MATLAB code that calculates and was used to simulate the subcoil structure to achieve the desired . Due to the series connection, subcoil was designed first and subcoil was designed last. The subcoils are separated with 8-mm pitch. The fabricated subcoils all have different shapes. The subcoils can be replaced by other coils that have the same IDFs. As the number of subcoils that are turned on increased, the loaded increases because inductance increases more than does resistance (Table I). The reason that the resonant frequency is different for state 6 is that capacitive compensation is used to minimize the cross-coupling effect. When the transfer distance is too short, the cross coupling , which is ignored in our analysis, significantly affects the peak frequency at which the maximum efficiency occurs to be shifted to the higher frequency. To reduce this effect, more capacitance was added for state 6 to compensate the frequency shift, which results in the decrease of the resonant frequency [24]. The equivalent circuit of the four-coil system with the RRC on the transmitter side can be obtained by replacing coil 1 in Fig. 1 with the RRC (Fig. 7). The input impedance at the transmitter looking to the coil block is obtained by (11) increases (distance decreases), Therefore, if should increase to keep the matching condition. This means that if is large, large is required because the upper bound of is , as shown in (2). Note that is the loaded , and therefore can be changed if the source impedance changes. For example, when the source impedance decreases, increases

TABLE II COIL PARAMETERS USED IN EXPERIMENT

so should be adjusted to maintain the same , or a smaller coil can be designed to get lower . The fabricated RRC has a wide range of from 0.72 to 4.225. The input impedance range for the various states of the RRC (Table I) is compared to the impedance range of a single loop (Fig. 6). The normalized input impedance implies that it is matched when the value is unity. Assuming that the RRC is in state 1 (subcoil only), IM is not possible even when is large such as 0.3–0.6 because the upper bound of is , which is 0.72. This is a limitation of the loop switching method [16], which used only single loops. However, the RRC overcomes this limitation through controlling the number of subcoils. This is the main advantage of the RRC: the IM range widens as the number of turned-on subcoils increases because both and between the RRC and coil 2 increase. IV. EXPERIMENTAL RESULTS A. Scattering Parameter Measurement The RRC can be used as a single-sided matching component on the transmit side only, or if the application allows, an RRC can be placed on both transmit and receive sides in place of coils 1 and 4 respectively, to widen the IM range. The latter method can use the double-sided matching technique, and is therefore an effective way to improve both transfer efficiency and distance. However, this method requires two controllers for both sides, which increases the system complexity. The single-sided method reduces transfer efficiency or range compared to the double-sided method (Fig. 2), but is simpler and more practical for small receive devices. In this paper, the single-sided matching method has been used as an adaptive system using RRC where coil 1 in the conventional four-coil system has been replaced with an RRC (Fig. 7).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 8. versus transfer distance for six states at 6.7 MHz. State 1: off; state 4: on, off; state 5: on, off; state 6: – – . measurement well, with average

To verify that the proposed system shows good IM across a range of coil distances, an experiment including an RRC and three spiral coils (Tables I and II) was conducted. Coils 2 and 3 have 150-mm diameter, 50-mm inner radius, and 5.26-mm pitch, and coil 4 has 100-mm diameter, 35-mm inner radius, and 5.45-mm pitch. All coils are constructed with a 1.5-mm-diameter copper. In the experiment, the distance between coils 3 and 4 was fixed at mm to achieve the desired . However, the distance between the turned-on subcoils of the RRC and coil 2 varied as different states were switched in. From state 1 to state 6: and mm, respectively. All distances were calculated according to a relationship between and distance [18]. To investigate the response, the transfer distance was changed from 30 to 300 mm in 10-mm increments. This procedure was repeated six times for each state of the RRC. For each , the transmission coefficient and reflection coefficient were measured using the N5231A vector network analyzer (NA). The square magnitude of shows the ratio of the power reflection to source power at port 1. If an ideal IM is achieved, . The changes of for each state of the RRC show that each of them has a distinct maximum power transfer distance (Fig. 8). When the RRC is in state 1, the maximum is at 130 mm, and when the RRC is in state 2, the maximum is at 110 mm. As the state number increases, the distance at which the maximum occurs decreases, so state 6 has the maximum at the shortest distance of 50 mm. Also, the maximum for each state increases as the state number increases because matched increases as increases, (10). In the experiment, the effect of adjacent subcoils that were switched off was negligible. The simulated analysis agrees with the experimental results (Fig. 8). The following three different methods were compared (Fig. 9).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

on, on,

on, on, – off; state 2: – off; state 3: – – off. Theory: calculation data used in Fig. 5(b); theory matches

1) Adaptive switching: this is the proposed method with the RRC. Based on the result in Fig. 8, the optimal state for each in the RRC was chosen. For example, at the closest distance, state 6 should be used. With this configuration, decreases as distance increases. If with state 6 is smaller than that with state 5 at certain distance, 60 mm in the experiment, that distance is the optimal distance at which to switch to state 5. In the same way, other states can be switched for the optimal IM. When this method was applied, the switching positions were 60, 80, 90, 110, and 130 mm. 2) Varying Coupling: the varying coupling method introduced in [11] and [22] is to physically optimize the distance between coil 1 (state 3) and coil 2. 3) State 3: coil 1 is fixed with state 3 for all distances; this represents the conventional four-coil system without adaptive matching techniques. The adaptive switching achieved higher at all distances than did the conventional system (state 3). The varying coupling method can only improve in the long-distance range. At short distances between the coils, this method performs poorly because of the small (Section III). The IM range and, consequently, the wireless power range at which high efficiency can be achieved are significantly improved using an RRC configuration. with adaptive switching is 10 dB in the ranges 30–170 mm, which means that the coil impedance is adaptively matched fairly well for most high-efficiency distances. B. Power Efficiency Measurement To demonstrate that the proposed method is well applied in practical systems, we used a PA to measure efficiency. The Class-E PA is a switched-mode amplifier that has 100% theoretical efficiency and that has been used in WPT systems [15], [25]–[27]. We fabricated the Class-E PA used in [25]

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LEE et al.: RRC FOR RANGE ADAPTATION WPT

7

Fig. 11. Power transfer efficiency versus . Network analyzer measurement: NA; realistic power measurement with PA: PA.

Fig. 9. Scattering parameter measurement for three methods. (a) . (b) versus .

versus

Fig. 12. Power transfer efficiency versus load. ciency rectifier was used.

is 100 mm. A 90.4% effi-

The power transfer efficiency was calculated using measured data as (12) is a received power at the signal analyzer, is where the input RF power at the PA, and is the dc power received from the power supply. The efficiency from S-parameter measurement in Fig. 9(a) was calculated using (13) [19], (13) Fig. 10. Photograph of a four-coil adaptive WPT system.

with tuned parameters. The fabricated PA showed 90 power-added efficiency (PAE) at drain voltage V and 91.7% PAE with 2.43-W output power at V (input power: 18 dBm, gate bias voltage: 2 V). A coil system with the fabricated PA was configured (Fig. 10). A function generator (AFG3101, Tektronics) was used to provide an 18-dBm signal, which was amplified by the PA to drive the RRC. The RRC is connected with an MCU board, on which the coil state can be selected by pressing a button. The power captured in coil 4 is delivered to a signal analyzer (N9010A, Agilent) through a 30-dB attenuator. The 30-dB offset was applied to the signal analyzer to compensate for the attenuation.

The efficiency curve obtained using the PA is similar to that obtained using an NA (Fig. 11). The efficiency of adaptive switching shows higher efficiency at all distances than state 3, which represents the conventional system. When adaptive switching was used, the range in which transfer range 70 efficiency increased by 120% compared with the range of state 3; i.e., the proposed method extends the effective power transfer range. At distances 150 mm, the efficiency with the PA closely agreed with the efficiency with the NA multiplied by the PA-only efficiency 91.7 . However, at distances 160 mm, the efficiency with PA was lower than that from the NA multiplied by the PA-only efficiency. This is because the PA-only efficiency decreases when the output impedance of the PA is mismatched [see Fig. 9(b)].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

An experiment with different loads was also conducted. The signal analyzer and the attenuator were replaced by a full-wave bridge rectifier with a smoothing capacitor and a variable load. was fixed at 100 mm and other conditions were the same as in Fig. 10. The efficiency was measured for loads from 20 to 300 , and the output powers were calculated using the measured dc voltages of the load. The curves for the states changed in distinct ways when the load changed (Fig. 12); this difference shows that the optimum load differs among states. However, when adaptive switching was applied, the transfer efficiency was 72 when the load varied from 40 to 300 . Thus, this system can be useful to transfer power wirelessly when the load is variable. The maximum efficiency was 78.2%, which agrees well with the maximum efficiency of 86.3% at 100 mm (Fig. 11) multiplied by the rectifier efficiency, 90.4%. V. CONCLUSION We have proposed an RRC to effectively apply adaptive IM techniques to a WPT system. As a tunable device, the RRC with a maximum number of series subcoils was suitable for short coil separation distances, whereas the RRC with the single subcoil was optimal for large coil distances. The adaptive system with the RRC using only six switches increased the efficient transfer range by 120% compared to the conventional system without an adaptive technique. The proposed method has an improvement over prior adaptive matching techniques such as frequency tracking and varying coupling due to the ability of the proposed technique to control both and of the RRC. This capability leads to an improved efficiency over the entire WPT range. REFERENCES [1] H. Hoang, S. Lee, Y. Kim, Y. Choi, and F. Bien, “An adaptive technique to improve wireless power transfer for consumer electronics,” IEEE Trans. Consum. Electron., vol. 58, no. 2, pp. 327–332, May 2012. [2] K. Na, H. Jang, H. Ma, and F. Bien, “Tracking optimal efficiency of magnetic resonance wireless power transfer system for biomedical capsule endoscopy,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 1, pp. 295–304, Jan. 2015. [3] B. Waters, A. Sample, P. Bonde, and J. Smith, “Powering a ventricular assist device (VAD) with the free-range resonant electrical energy delivery (free-d) system,” Proc. IEEE, vol. 100, no. 1, pp. 138–149, Jan. 2012. [4] D. Ahn and S. Hong, “A transmitter or a receiver consisting of two strongly coupled resonators for enhanced resonant coupling in wireless power transfer,” IEEE Trans. Ind. Electron., vol. 61, no. 3, pp. 1193–1203, Mar. 2014. [5] E. Waffenschmidt and T. Staring, “Limitation of inductive power transfer for consumer applications,” in 13th Eur. Power Electron. Appl. Conf., Sep. 2009, pp. 1–10. [6] Y. Jang and M. Jovanovic, “A contactless electrical energy transmission system for portable-telephone battery chargers,” IEEE Trans. Ind. Electron., vol. 50, no. 3, pp. 520–527, Jun. 2003. [7] A. Sample, D. Meyer, and J. Smith, “Analysis, experimental results, and range adaptation of magnetically coupled resonators for wireless power transfer,” IEEE Trans. Ind. Electron., vol. 58, no. 2, pp. 544–554, Feb. 2011. [8] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design. Englewood Cliffs, NJ, USA: Prentice-Hall, 1997, vol. 2. [9] N. Kim, K. Kim, J. Choi, and C.-W. Kim, “Adaptive frequency with power-level tracking system for efficient magnetic resonance wireless power transfer,” Electron. Lett., vol. 48, no. 8, pp. 452–454, Apr. 2012.

[10] J. Park, Y. Tak, Y. Kim, Y. Kim, and S. Nam, “Investigation of adaptive matching methods for near-field wireless power transfer,” IEEE Trans. Antennas Propag., vol. 59, no. 5, pp. 1769–1773, May 2011. [11] G. Lee, B. Waters, C. Shi, W. S. Park, and J. Smith, “Design considerations for asymmetric magnetically coupled resonators used in wireless power transfer applications,” in IEEE Radio Wireless Symp., Jan. 2013, pp. 328–330. [12] J. Lee, Y. Lim, H. Ahn, J.-D. Yu, and S.-O. Lim, “Impedance-matched wireless power transfer systems using an arbitrary number of coils with flexible coil positioning,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 1207–1210, 2014. [13] A. Sample, B. Waters, S. Wisdom, and J. Smith, “Enabling seamless wireless power delivery in dynamic environments,” Proc. IEEE, vol. 101, no. 6, pp. 1343–1358, Jun. 2013. [14] T. C. Beh, M. Kato, T. Imura, S. Oh, and Y. Hori, “Automated impedance matching system for robust wireless power transfer via magnetic resonance coupling,” IEEE Trans. Ind. Electron., vol. 60, no. 9, pp. 3689–3698, Sep. 2013. [15] Y. Lim, H. Tang, S. Lim, and J. Park, “An adaptive impedancematching network based on a novel capacitor matrix for wireless power transfer,” IEEE Trans. Power Electron., vol. 29, no. 8, pp. 4403–4413, Aug. 2014. [16] J. Kim, W.-S. Choi, and J. Jeong, “Loop switching technique for wireless power transfer using magnetic resonance coupling,” Progr. Electromagn. Res., vol. 138, pp. 197–209, 2013. [17] B.-C. Park and J.-H. Lee, “Adaptive impedance matching of wireless power transmission using multi-loop feed with single operating frequency,” IEEE Trans. Antennas Propag., vol. 62, no. 5, pp. 2851–2856, May 2014. [18] C. Zierhofer and E. Hochmair, “Geometric approach for coupling enhancement of magnetically coupled coils,” IEEE Trans. Biomed. Eng., vol. 43, no. 7, pp. 708–714, Jul. 1996. [19] V. Talla and J. Smith, “An experimental technique for design of practical wireless power transfer systems,” in IEEE Int. Circuits Syst. Symp., Jun. 2014, pp. 2041–2044. [20] D. Kajfez and E. Hwan, “Q-factor measurement with network analyzer,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 7, pp. 666–670, Jul. 1984. [21] M. Zargham and P. Gulak, “Maximum achievable efficiency in nearfield coupled power-transfer systems,” IEEE Trans. Biomed. Circuits Syst., vol. 6, no. 3, pp. 228–245, Jun. 2012. [22] T. P. Duong and J.-W. Lee, “Experimental results of high-efficiency resonant coupling wireless power transfer using a variable coupling method,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 8, pp. 442–444, Aug. 2011. [23] B. Waters, B. Mahoney, G. Lee, and J. Smith, “Optimal coil size ratios for wireless power transfer applications,” in IEEE Int. Circuits Syst. Symp., Jun. 2014, pp. 2045–2048. [24] G. Lee, B. Waters, B. Mahoney, J. Smith, and W. S. Park, “An investigation of cross-coupling for magnetically coupled wireless power transfer,” in Proc. Asia–Pacific Microw. Conf., Nov. 2013, pp. 80–82. [25] J.-R. Yang, J. Kim, and Y.-J. Park, “Class e power amplifiers using high-Q inductors for loosely coupled wireless power transfer system,” J. Electr. Eng. Technol., vol. 9, no. 2, pp. 569–575, 2014. [26] W. Chen, R. Chinga, S. Yoshida, J. Lin, C. Chen, and W. Lo, “A 25.6 W 13.56 MHz wireless power transfer system with a 94% efficiency GaN Class-E power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [27] Z. N. Low, R. Chinga, R. Tseng, and J. Lin, “Design and test of a highpower high-efficiency loosely coupled planar wireless power transfer system,” IEEE Trans. Ind. Electron., vol. 56, no. 5, pp. 1801–1812, May 2009. Gunbok Lee (S’12) received the B.S. degree in electrical and information communications engineering from Chungnam National University, Daejeon, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. In 2012, he was a Visiting Student with the Sensor Systems Laboratory, University of Washington. His research interests are wireless power transfer, metamaterials, RF couplers in powerline communication, radiated emission of LSI, and RF interference.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LEE et al.: RRC FOR RANGE ADAPTATION WPT

9

Benjamin H. Waters (S’10) received the B.A. degree in physics from Occidental College, Los Angeles, CA, USA, in 2010, the B.S. degree in electrical engineering from Columbia University, New York, NY, USA, in 2010, and the M.S. and Ph.D. degrees in electrical engineering from the University of Washington, Seattle, WA, USA, in 2012 and 2015, respectively. He is currently the Chief Executive Office (CEO) of WiBotic Inc., Seattle, WA, USA. As an undergraduate, he was with the Columbia Integrated Systems Laboratory (CISL), Columbia University, where he was involved with research on wireless power transfer. He was an Intern with Network Appliance, Arup, Intel Labs Seattle, and most recently, with Bosch in 2013, where he continued his research on wireless power transfer. As a graduate student, he was with the Sensor Systems Research Group. His research interests lie mostly in the field of wireless power, including near-field antenna design, adaptive maximum power point tracking systems, and applications for these systems including biomedical, military, and consumer electronics. Dr. Waters is a member of Tau Beta Pi and Pi Mu Epsilon.

Joshua R. Smith (M’99–SM’12) received the B.A. degree in computer science and philosophy from Williams College, Williamstown, MA, USA, the M.A. degree in physics from Cambridge University, Cambridge, U.K., and the Ph.D. and S.M. degrees from the MIT Media Labs Physics and Media Group, Cambridge, MA, USA. He is currently an Associate Professor with the Department of Computer Science and Engineering and Department of Electrical Engineering, University of Washington, Seattle, WA, USA, where he leads the Sensor Systems Research Group. He was named an Allen Distinguished Investigator by the Paul G. Allen Family Foundation. He e is the Thrust Leader for Communications and Interface of the NSF Engineering Research Center (ERC) for Sensorimotor Neural Engineering. He is the Theme Leader for Low Power Sensing and Communication with the Intel Science and Technology Center for Pervasive Computing. He is a Co-Founder of WiBotic Inc. and of Jeeva Wireless Inc. In recent years his research has focused on wirelessly powering and communicating with sensor systems in applications such as implanted biomedical electronics, ubiquitous computing, and robotics.

Yeon Gyeong Shin received the B.S. degree in electronic engineering from Ewha Womans University, Seoul, Korea, in 2015, and is currently working toward the M.S. degree in electrical engineering at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. From March 2014 to June 2014, she was an Intern with the Department of Networks, Samsung Electronics. Her research interests are the design of power amplifier for wireless power transfer systems and measurement of radiated emission of LSI.

Wee Sang Park (M’89) received the B.S. degree in electronic engineering from Seoul National University, Seoul, Korea, in 1974, and the M.S. and Ph.D. degrees in electrical engineering from the University of Wisconsin–Madison, Madison, WI, USA, in 1982 and 1986, respectively. From 1986 to 1988, he taught at Wichita State University. In 1988, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Korea, where he is currently a Full Professor with the Department of Electrical Engineering. In 1997, he was on a sabbatical leave at the University of Utah. Since 1995, he has been the Director of the Antenna Laboratory, POSTECH, where he has conducted research on passive microwave devices such as metamaterial mobile antennas, dielectric constant measurement, frequency-selective surfaces, microstrip arrays, wireless power transfer, RF identification (RFID) radiators, RF propagation in power cables, and radiated emission of LSI. Prof. Park is a member of the Microwave Theory and Techniques Society (IEEE MTT-S) and the Antennas and Propagation (SP-S) Society.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Wireless Power Transfer Charging System for AIMDs and Pacemakers Tommaso Campi, Silvano Cruciani, Federica Palandrani, Valerio De Santis, Senior Member, IEEE, Akimasa Hirata, Senior Member, IEEE, and Mauro Feliziani, Senior Member, IEEE

Abstract—This paper deals with the electric and magnetic field (EMF) safety aspects of a wireless power transfer (WPT) system based on magnetic resonant coupling between two coils. The primary coil is assumed to be on-body, while the secondary coil is assumed to be inside the human body and connected to a battery recharge system of an active implantable medical device such as a pacemaker. This study allows us to identify a good preliminary solution of the WPT coil configuration, compensation capacitor topology, and operational frequency. Demonstrative WPT systems operating at two different frequencies are proposed in order to verify the WPT performances. The EMF safety has been finally assessed by numerical dosimetry studies using anatomically realistic human body models revealing no particular concerns about this application. Index Terms—Active implantable medical device (AIMD), magnetic resonant coupling, electric and magnetic field (EMF) safety, numerical dosimetry, pacemaker, wireless power transfer (WPT).

I. INTRODUCTION

A

N ACTIVE implantable medical device (AIMD) is a medical device that is equipped for its functioning with a source of electrical energy and is totally or partially introduced, surgically or medically, into the human body [1]. The AIMD main functions are as follows: • to apply a therapy, usually by delivering electrical signals to some organs or tissues; • to monitor relevant parameters or signals in order to avoid risks to the patient or to optimize his treatment. AIMDs usually are capable of measuring and analyzing electrical and mechanical physiological signals. They transmit this information (monitoring function) or use it as input data for the therapy. Examples of AIMDs are left ventricular assist devices (LVADs), cardiac pacemakers, implantable cardioverter defibrillators (ICDs), etc. One of the major issues with AIMDs is the reduced lifetime of the battery. Therefore, a surgery intervention could be necessary Manuscript received March 05, 2015; revised May 21, 2015, August 16, 2015, and November 27, 2015; accepted December 12, 2015. T. Campi, S. Cruciani, F. Palandrani, V. De Santis, and M. Feliziani are with the Department of Industrial and Information Engineering and Economics, University of L’Aquila, L’Aquila 67100, Italy (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). A. Hirata is with the Department of Computer Science and Engineering, Nagoya Institute of Technology, Nagoya 466-855, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2511011

to replace the AIMD battery. In order to avoid such an issue, a suitable solution could be the use of wireless power transfer (WPT) systems to recharge the battery [2]–[15]. With this kind of technology, the power can be successfully transferred from a transmitting coil to a receiving coil by means of magnetic resonant coupling, but there are several critical aspects in the design of the coil system that require further investigation. One of the most important aspects is the choice of the operational frequency. Lower frequencies will improve the penetration of the magnetic field inside the body, but the WPT performances in terms of efficiency and transferred power will be compromised. On the other hand, higher transmitting frequencies could produce eddy current losses, tissue heating, and generate electromagnetic compatibility (EMC) issues in the electronic circuitry of the AIMD. The applicable frequencies are also regulated by international standards. Unlicensed frequencies are: 133/150 kHz, 13.56 MHz, 868/915 MHz, 2.45 GHz, 5.2 GHz, and 5.8 GHz. In Europe, other frequencies can also be allowed in the range of 9–315 kHz [16], [17]. Considering the aforementioned aspects, a quite low frequency (LF) ( kHz) and a quite high frequency (HF) ( MHz) are hereby compared in terms of WPT performances and electric and magnetic field (EMF) safety aspects. These frequencies have been selected as a good compromise between the efficiency of the WPT system and the field penetration in the human body. Another important aspect in the AIMD design is the recharging time of the battery that must be limited to a maximum of a few hours. It means that the power transferred to the load must be relatively high, leading to a significant value of the coil currents, but it is also required that these currents do not produce excessive heating in the biological tissues due to the power losses in the coil conductors. Therefore, the receiver coil that is in direct contact with the device embedded in the biological tissue must be designed with a low number of turns and with conductors of large cross section for limiting the resistive losses and, thus, the thermal dissipation [18]. The currents are also sources of the electromagnetic field that must preferably be compliant with the safety standard limits even for medical exposure [19]–[21]. In other words, currents and losses must be controlled and limited. The aim of this paper is to develop an adequate simulation procedure to assess the compliance with the EMF safety standards for a pacemaker equipped with a WPT system. Assuming the primary coil to be on-body and the secondary coil to be in-body, different configurations of the WPT coils and pacemaker case with or without the presence of a shield, are analyzed by the co-simulation of a numerical tool for time-har-

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

monic magnetic field calculations and an equivalent circuit to predict coil currents and voltages. On the basis of the co-simulation results, two demonstrative WPT systems operating at the two considered frequencies are then realized and tested. Finally, the EMF safety aspects are investigated by assessing the numerical dosimetry using very sophisticated human body models (HBMs). II. MODELING AND SIMULATION A. WPT Equivalent Circuit The simplified electrical circuit used to model the WPT system is shown in Fig. 1. It consists of two separate coils of self-inductances and coupled by a mutual inductance that models the inductive coupling. and are the equivalent resistances modeling the losses of the primary and secondary coils, respectively. The mutual resistance can be assumed to be negligible at the considered frequencies for the low value of biological tissues conductivity, and it is not represented in Fig. 1(a). The different compensation configurations of and used for the magnetic resonant coupling are as follows: • SS: series-compensated primary coil; series-compensated secondary coil; • SP: series-compensated primary coil; parallel-compensated secondary coil; • PS: parallel-compensated primary coil; series-compensated secondary coil; • PP: parallel-compensated primary coil; parallel-compensated secondary coil. For each configuration, the values of and are adequately chosen to obtain the resonance condition at the considered frequency [3]. The source and the load are represented by simple analogical devices. The primary winding is fed by a time–harmonic voltage source with small internal resistance while the load is modeled by a simple resistance . The efficiency is evaluated as , where is the real power on the resistive load and is the real power at the input port of the primary circuit with and being the primary port voltage and current, respectively, while the symbol is used for the conjugate value. B. Lumped Circuit Parameter Extraction The extraction of the lumped circuit parameters is based on the field distribution in the considered domain, which is obtained by a numerical solution of the time-harmonic magneto quasi-static (MQS) field equations using the finite-element method (FEM) taking into account the frequency-dispersive behavior of biological tissues. After solving the MQS field equations there are several theoretical methods that can be used to extract the lumped self and mutual inductances [14]. Applying Ohm’s law, it is sufficient to inject a time–harmonic current in the primary circuit and to measure the voltage drop when . In particular, the resistance can be obtained from the real part of the input impedance and the self-inductance from the imaginary part as (1a)

Fig. 1. (a) Equivalent circuit of two coupled coils. Compensated terminations: (b) primary circuit series-compensation and (c) parallel compensation; (d) secondary circuit series-compensation and (e) parallel compensation.

(1b) and Secondary coil lumped parameters in a similar way when assuming and The mutual inductance is given by

are calculated . (2)

is the secondary voltage obtained for

where

and

. C. Electro-Geometrical Specifications The coils are designed to maximize the WPT efficiency at the two considered frequencies. The electro-geometrical specifications and constraints, dictated by the external dimensions of the pacemaker case and circuitry, are as follows: • circular planar coils; • internal radius of the primary coil: mm; • external radius of the secondary coil: mm; • separation distance between the stacked coils: mm; • wire section: mm (AWG 22); • source resistance m ; • load power: W; • load resistance: . The secondary coil external diameter is fixed in order to fit the dimensions of a generic pacemaker, while the primary coil is chosen with the inner diameter slightly greater than the outer diameter of the secondary coil to make the system more robust in case of coil misalignments.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAMPI et al.: WPT CHARGING SYSTEM FOR AIMDs AND PACEMAKERS

For the sake of simplicity the coil conductor is assumed to be a solid copper cylindrical wire with negligible interturn spacing. This simple solution permits easy fabrication of the coils at university laboratories even if the coil resistance due to skin and proximity effects could be reduced using different shape conductors, Litz wire at 300 kHz, or optimizing the inter-turn spacing at 13.56 MHz [22]. In our numerical tests, not reported here for the sake of brevity, the increase in the WPT efficiency using inter-turn spacing and/or Litz wires is around 2% for the test case #4. However, the coil currents do not vary significantly and therefore the proposed simple wire/coil configuration can be considered valid for the assessment of the EMF safety compliance, which is the main goal of this study. The load active power W has been chosen in order to allow recharging the battery in a few hours (2–4 h), supposing that the rectified voltage for the Li-ion rechargeable battery is in the range of 3–5 V. Assuming, for the sake of simplicity, V, the load resistance can be calculated as . The load current is obtained as A. The design variable parameters are as follows: • number of turns in the primary coil: – ; • number of turns on secondary coil: – ; • resonance frequencies: kHz or MHz; • capacitance topology (SS, SP, PS, or PP). The maximum number of turns (i.e., ten) in the coils has been chosen for practical reasons (weight, size) in order to obtain more compact primary and secondary coils. The pacemaker case has been modeled as a simple cylinder enclosure with external radius of 25 mm, height of 10 mm, and titanium alloy walls of thickness of 0.5 mm. III. NUMERICAL RESULTS A. Simulation Analyses and Results To analyze the performances of the WPT system applied to a pacemaker, a simplified HBM is considered using a cylinder of multilayer biological tissue (skin/fat/muscle). The thickness of the skin and fat layers are fixed to mm and mm, respectively. The following four different test cases have been considered referred to four different configurations: • #1) both coils are in-air (no biological tissues) [see Fig. 2(a)]; • #2) the primary coil is in-air and the secondary coil is in-body [see Fig. 2(b)]; • #3) the primary coil is in-air and the secondary coil is in-body, in the presence of the pacemaker titanium case behind the secondary coil [see Fig. 2(c)]; • #4) the primary coil is in-air and the secondary coil is in-body in the presence of the titanium case as in test case #3, but the pacemaker case surface in front of the secondary coil is covered by a thin layer of ferrite of 1-mm thickness [see Fig. 2(d)]. A numerical simulation has been carried out using a field-circuit co-simulation method for each considered test case and for each possible WPT configuration varying , , , and capacitance topology [23] when maintaining fixed and . It means that the impedance-matching condition giving the best

3

Fig. 2. Sketch of the 2-D axial symmetric configurations. (a) Test case #1. (b) Test case #2. (c) Test case #3. (d) Test case #4.

performances can be found varying the coil configuration and the frequency , rather than adapting the load to the circuit. The proposed method consists of the extraction of the lumped parameters by the numerical procedure described above using the commercial software COMSOL. The efficiencies have then been calculated by the analysis of the equivalent circuits considering each possible simple configuration of the capacitor compensation (e.g., SS, SP, PS, and PP) at the frequencies of 300 kHz and 13.56 MHz. Note that the efficiency depends only on the topological capacitor configuration of the secondary circuit when is fixed where the active power in obviously being zero. It means that by fixing the efficiencies of the SS and PS configurations are the same, as well as those of the SP and PP configurations. At the end of the simulations, the optimal number of turns that maximizes the efficiency for SS and SP circuit topologies has been found at the frequencies of 300 kHz and 13.56 MHz, as reported in Tables I and II, respectively. In test case #3, the inductive coupling between the two coils is negatively affected by the presence of metallic objects in the surrounding (e.g., the titanium case of a pacemaker). Indeed, the magnetic field produced by the WPT system produces eddy currents in the metallic case modifying the magnetic field behavior and, consequently, the values of the self and mutual inductances [23]–[25]. On the contrary, the ferrite layer in test case #4 acts as a magnetic shield diverting the magnetic flux lines before they meet the metallic pacemaker case [24], [25]. As a consequence, the magnetic field behavior is modified, but the WPT performances are not necessarily lessened since the ferrite shield can be considered as a portion of magnetic core that reduces the total magnetic reluctance. From the observation of the results, the following can be stated: • the efficiency at 13.56 MHz is greater than that at 300 kHz;

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I OPTIMAL CHOICE OF THE NUMBER OF TURNS AT 300 kHz

TABLE IV WPT EFFICIENCY IN TEST CASE #2

TABLE II OPTIMAL CHOICE OF THE NUMBER OF TURNS AT 13.56 MHz

TABLE V WPT EFFICIENCY IN TEST CASE #3

TABLE III CALCULATED CIRCUIT PARAMETERS FOR TEST CASE #4

TABLE VI WPT EFFICIENCY IN TEST CASE #4

• at 13.56 MHz the best performances are obtained for the SS compensation, but with a higher number of secondary coil turns than that used for the SP compensation; • at 300 kHz the best solution is obtained for the SP configuration. Finally, it should be noted that the WPT performances in test cases #1, #2, and #4 are similar. It means that we can design a WPT system for AIMDs considering only the coils in air with good approximation when the coil separation is mm and when a ferrite shield is used to cover metallic case surfaces. The circuit parameters used for evaluation are different for any examined configuration. For brevity, the circuit parameters are reported in Table III only for the most realistic case #4. B. Sensitivity Analysis on Reciprocal Coil Position To quantify the attenuation produced by the biological tissue, a second analysis is carried out. The geometry of the coils has the same configurations reported in Tables I and II for the two considered frequencies, while the separation distance between the stacked on-body coil and the in-body coil is varied in the range of 10–60 mm. This range has been chosen not only for pacemaker applications, but also for other AIMDs that can be deeply embedded in the human body, e.g., miniaturized pacemakers placed inside the chambers of the heart, endoscope capsule, etc., or for overweight (fat) people. Tables IV–VI show the calculated WPT efficiency as a function of the separation distance respectively for test cases #2, #3, and #4 described in Section III-A. The WPT efficiencies for test case #3 are very low for any configuration due to the

presence of the unshielded metallic case (see Table V). The efficiency also decreases rapidly as increases. At 300 kHz, the WPT system with SP compensation is the best configuration for test cases #2 and #4 in terms of efficiency and for the limited value of when has been fixed (see Tables IV and VI). The SP configuration at 300 kHz achieves better results than that at 13.56 MHz for test case #4 when mm. At the frequency of 13.56 MHz, the best solution in terms of efficiency is obtained for the SS configuration. Although the efficiency appears to be not affected by the primary capacitor configuration (series or parallel compensation), the same cannot be said for the source voltage necessary to transfer a power W on the receiving load. The root mean square (rms) values of for test case #4 are reported in Fig. 3(a) and (b), where it is evident that the voltage values for the parallel compensation of the primary circuit are excessively high to be considered for practical applications. Only SS and SP configurations can then be considered. Subsequently, several simulations have also been carried out in order to study the effect of the coil misalignment on the WPT efficiency. This problem is always present in real applications of pacemaker battery recharge and should therefore be taken into account. We have experimentally and numerically examined the variation mainly due to the mutual inductance variation occurring with coil misalignment, as described in [15]. The considered configuration operates at 300 kHz, the planar circular

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAMPI et al.: WPT CHARGING SYSTEM FOR AIMDs AND PACEMAKERS

5

Fig. 3. Voltage (rms) at frequencies of: (a) 300 kHz and (b) 13.56 MHz calculated for test case #4.

coils are both in air with SP compensation. The primary coil has turns and external radius mm while the secondary coil has turns and external radius mm. The load is and the power transferred is W. The two cylindrical coils are initially placed on parallel planes at a separation distance mm between each other. In the first test, the coils are rotated of an angle with respect to the parallel-plane position. In the second test the coils are on parallel planes, but the primary coil is horizontally translated by a distance with respect to the center position. In the third test the coils are on parallel planes, but the primary is vertically shifted increasing the separation between the coils. The results obtained by the field-circuit co-simulations for the considered configurations are reported in Fig. 4 and compared with experimental results [24]. The WPT system is powered by a full bridge inverter that amplifies the square waveform signal generated by the function generator. The dc input voltage is adjusted in order to obtain the same output power in all conditions. The input power is determined measuring the input voltage and the input current with an oscilloscope and a current probe, respectively, while the output power is obtained by measuring the voltage drop on the load resistance. As can be observed, the efficiency is mostly influenced by the separation and by the alignment of the coils, while minor orientation errors produce a minimal effect in terms of efficiency reduction. This is positive for the WPT recharge of pacemakers because in real applications eventual minimal misalignments will not deteriorate the battery charging time. IV. DEMONSTRATOR EXPERIMENTAL RESULTS Based on the results of the sensitivity analysis reported in Tables I–III, the following two different WPT demonstrators have been realized for charging the battery of a transcutaneous pacemaker considering the configuration of test case #4 with mm. 1) kHz; SP compensation; ; .

Fig. 4. Efficiency versus coil misalignment. (a) Coil rotation . (b) Translation with respect to the center of the symmetry axis. (c) Variation of the separation distance between coils.

2) MHz; SS compensation; . The configuration of the WPT coils and the pacemaker case are shown in Fig. 5(a) and (b). To reproduce the presence of biological tissues all the measurements have been carried out immersing the pacemaker and the secondary coil in a saline solution (0.9% solution of sodium chloride and water), while the primary coil is in the air, as shown in Fig. 5(c). This configuration is equivalent from an electromagnetic standpoint to a configuration where the secondary coil is located inside the biological tissues (in-body) and the primary coil is located outside the body (on-body). The circuit parameters have been measured using the Wayne Kerr 4270 RLC meter for the configuration at 300 kHz and the Rohde & Schwarz FSH3 with bridge FSH-Z2 as the vector network analyzer for the configuration at 13.56 MHz, as shown in Fig. 6. The measured and numerically extracted inductances are shown in Table VII for the coils configuration at kHz and in Table VIII for that at MHz. The two experimental setups have been compensated choosing proper capacitors [3]. The sinusoidal excitation signal was given by a function generator with low output impedance to directly drive the WPT system. The load was a 10- precision resistor. The output power, evaluated by measuring the voltage drop across the load resistor, was fixed to 1 W. The active input power is derived measuring the voltage, current,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE VII CALCULATED AND MEASURED INDUCTANCES OF THE COILS AT kHz WITH , FOR TEST CASE #4

TABLE VIII CALCULATED AND MEASURED INDUCTANCES OF THE COILS AT MHz WITH , FOR TEST CASE #4

TABLE IX AND CALCULATED AND MEASURED

Fig. 5. Demonstrator configuration. (a) Fabricated primary coil. (b) Secondary coil and pacemaker case. (c) Measurement setup in saline solution.

FOR

TEST CASE #4

and phase difference by an oscilloscope and a current probe. The measured , , and of the WPT systems were also compared with numerical results exhibiting a good agreement each other, as shown in Table IX. V. EMF SAFETY GUIDELINES COMPLIANCE A. Guidelines and Standards

Fig. 6. Vector network analyzer used to measure coil parameters for the configuration at 13.56 MHz.

According to the International Commission on Non-Ionizing Radiation Protection (ICNIRP) guidelines [19], [20], the basic restrictions (BRs) for human exposure to EMF at 300 kHz are both the internal electric field and the specific absorption rate (SAR). However, it has been shown in [26] and [27] that for frequencies below 1–2 MHz, the dominant BR is the induced -field, while for frequencies above it, is the SAR. The internal -field limit at the frequency of 300 kHz is equal to 40.5 V/m for the general public. The -field values are recommended to be averaged over a small contiguous tissue volume of 2 2 2 mm and then the 99th percentile value should be considered to exclude computational artifacts caused by the discretization [19], otherwise other methods can be used [28]–[30]. The whole-body SAR is not applicable to the proposed application because the power losses are produced by a near-field magnetic source. Thus, the metric of interest is the local averaged SAR for the head and trunk, whose limits are fixed to 2 W/kg for the general public exposure. The IEEE C95.1 BRs are higher (internal ) or equivalent (SAR) than those of the ICNIRP guidelines and are therefore not considered in this work. At the frequency of 13.56 MHz, the metric provided by both ICNIRP 1998 [19] and IEEE C95.1 [21] is the spatial-averaged SAR with the same limit as at 300 kHz.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAMPI et al.: WPT CHARGING SYSTEM FOR AIMDs AND PACEMAKERS

Fig. 7. 99th percentile value of the internal

-field at

7

kHz.

B. Cylindrical Simplified Configuration The simulations for the simplified HBM consisting of a multilayer cylinder, as described in Section III-A, are carried out considering test cases #3 and #4 shown in Fig. 2. Specifically, the lowest values of induced -field at 300 kHz are found for the SP topology and minimum , as shown in Fig. 7. It can also be observed that the SP compensation is the best solution in terms of internal -field and the limits for test case #4 are exceeded only when mm. It should be noted that the induced -field increases with since must be increased to supply with a fixed W. The calculated local SAR averaged over 10 g of contiguous biological tissue is shown in Fig. 8(a) and (b) for kHz and MHz, respectively. The localized SAR for the SP configuration at 300 kHz does not present any practical concern, while the localized SAR for the SS configuration at 13.56 MHz can result critical only for mm for test case #4. It should be noted that the presence of a ferrite shield reduces the EMF safety issues as one can see by comparing the results obtained in test cases #3 and #4.

Fig. 8. Local SAR averaged over 10 g at: (a) 300 kHz and (b) 13.56 MHz.

C. HBMs A dosimetry study is also carried out analyzing two different anatomically based HBMs when using the optimized coil- circuit configurations (SP at 300 kHz and SS at 13.56 MHz) for test case #4. The first HBM is based on the Utah Human Torso [31], and is composed of the torso with lungs, heart, and a partial circulatory system, as shown in Fig. 9. It is ready for FEM analysis since the model can be discretized by irregular tetrahedra. In our application, we have reconstructed a simplified torso model in COMSOL after extracting the stereolithography (STL) format of the original model. The electro-geometrical model of the secondary coils, pacemaker case, and ferrite shield have then been introduced in the human torso region, while keeping the primary coil in free space. The induced fields ( and SAR) are evaluated using COMSOL and the IT’IS database for the dielectric properties of biological tissues [32]. The circuit parameters are then extracted from the FEM numerical simulations and the efficiency is calculated by the analysis of the equivalent circuit. The obtained results are at 300 kHz and at

Fig. 9. 3-D representation of the human torso with internal organs, pacemaker with ferrite shield, and WPT coils.

13.56 MHz, as expected. This is because the presence of biological medium (or difference of HBMs) does not affect the -field distribution. The maps of and SAR in a horizontal surface ( -plane) corresponding to the -coordinate of the cylindrical coil center are shown in Figs. 10 and 11, respectively. The maps show that the unaveraged values of SAR are below the BR limit of 2 W/kg for both considered frequencies. Thus, the evaluation of the 10-g averaged quantities is not needed as compliance with the unaveraged values is already met. The values of the induced -field at 300 kHz are also below the limits, but for a deeper

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 10. Induced

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

-field map in dB normalized to the peak value (7.68 V/m).

Fig. 12. (a) Anatomical model Duke. (b) Zoom of the pacemaker area.

Fig. 13. Induced

Fig. 11. SAR maps in dB normalized to the peak values at: (a) 300 kHz (0.0116 W/kg) and (b) 13.56 MHz (1.004 W/kg). The embedded coils and pacemaker are colored in black.

investigation a more sophisticated HBM should be considered in order to account for tissues inhomogeneities. To this aim, a second anatomical model provided by the IT’IS Foundation, Zurich, Switzerland, and known as the Duke model [33], is adopted. This HBM represents an adult male with more than 80 different biological tissues (see Fig. 12). The Duke model, together with the coil sources, is modeled in Sim4Life, which allows EM field equations solution in LF and HF. The LF formulation is based on the solution of the MQS field equations given in terms of magnetic vector potential. Using the LF solver, it is not possible to introduce metallic objects as the pacemaker case, the shield, and the coils inside the human body. To overcome this inconvenience, we have used the coil currents obtained by the analysis of test case #4 as magnetic sources. This approximation is reasonable as the biological tissue does not significantly alter the magnetic field behavior, at least for the frequencies hereby considered. Furthermore, the presence of the pacemaker case is not so important when it is covered by a ferrite shield, as demonstrated in Section III-A.

-field map in dB normalized to the peak value (8.69 V/m).

The induced -field distribution inside the torso of Duke at the -plane normal to the -coordinate of the cylindrical coils center is shown in Fig. 13. Comparing Fig. 10 with Fig. 13, it can be seen that the -fields induced in the Duke model are similar to the one obtained in the human torso (in terms of peak value and hot-spot location), but the spatial distribution can be significantly different due to the change of conductivity in the more sophisticated model. On the contrary, the use of the human torso allowed us to extract the circuit parameters and to evaluate the WPT performances. VI. CONCLUSION WPT systems based on magnetic resonant coupling at two different frequencies (300 kHz and 13.56 MHz) have been investigated to recharge the batteries of AIMDs while being compliant with the EMF safety standards. Several configurations of compensated WPT coils in the presence of the pacemaker case with or without a magnetic shield have been examined numerically and experimentally, considering also a possible misalignment of the coils. On the basis of our results the best WPT capacitance compensations are the SP configuration at 300 kHz and the SS configuration at 13.56 MHz. The obtained preliminary results can also be improved in the future, reducing the losses due to skin and proximity effects by the optimization of the coil and conductor configurations. A numerical dosimetry study assessing the compliance with EMF safety standards has been carried out revealing that there is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAMPI et al.: WPT CHARGING SYSTEM FOR AIMDs AND PACEMAKERS

no concern about the possible application of WPT technology, at least for the power considered herein and without considering a thermal analysis, eventual EMC issues, and the presence of the leads. These topics will be investigated in future studies. REFERENCES [1] Active Implantable Medical Device. Part 1: General Requirements for Safety, Marking and Information to be Provided by the Manufactures, CEN EN 45502-1, 1997. [2] U.-M. Jow and M. Ghovanloo, “Design and optimization of printed spiral coils for efficient transcutaneous inductive power transmission,” IEEE Trans. Biomed. Circuits Syst., vol. 1, no. 3, pp. 193–202, Sep. 2007. [3] C.-S. Wang, G. A. Covic, and O. H. Stielau, “Power transfer capability and bifurcation phenomena of loosely coupled inductive power transfer systems,” IEEE Trans. Ind. Electron., vol. 51, no. 1, pp. 148–157, Feb. 2004. [4] A. S. Y. Poon, S. O’Driscoll, and T. H. Meng, “Optimal frequency for wireless power transmission into dispersive tissue,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1739–1750, May 2010. [5] U.-M. Jow and M. Ghovanloo, “Modeling and optimization of printed spiral coils in air, saline, and muscle tissue environments,” IEEE Trans. Biomed. Circuits Syst., vol. 3, no. 5, pp. 339–347, Oct. 2009. [6] Y. Yu, H. Hao, W. Wang, and L. Li, “Simulative and experimental research on wireless power transmission technique in implantable medical device,” in 31st IEEE Annu. Int. EMBS Conf., Minneapolis, MN, USA, Sep. 3–6, 2009, pp. 923–926. [7] X. Fang, H. Liu, G. Li, Q. Shao, and H. Li, “Wireless power transfer system for capsule endoscopy based on strongly coupled magnetic resonance theory,” in IEEE Int. Mechatron. Autom. Conf., Beijing, China, Aug. 7–10, 2011, pp. 232–236. [8] T. Hikage, Y. Kawamura, T. Nojima, and E. Cabot, “Numerical assessment methodology for active implantable medical device EMI due to magnetic resonance wireless power transmission antenna,” in Eur. Int. Electromagn. Compat. Symp., Rome, Italy, Sep. 17–21, 2012, pp. 1–6. [9] J. J. Kim and J. Kim, “Modeling method of coil module for wireless power transfer system by two-port S-parameter measurement in frequency domain,” in IEEE Wireless Power Transfer Conf., Jeju Island, Korea, May 8–9, 2014, pp. 251–254. [10] A. K. RamRakhyani, S. Mirabbasi, and M. Chiao, “Design and optimization of resonance-based efficient wireless power delivery systems for biomedical implants,” IEEE Trans. Biomed. Circuits Syst., vol. 5, no. 1, pp. 48–63, Feb. 2011. [11] Z. Xiu, S. L. Ho, and W. N. Fu, “Analysis and optimization of magnetically coupled resonators for wireless power transfer,” IEEE Trans. Magn., vol. 48, no. 11, pp. 4511–4514, Nov. 2012. [12] Z. Xiu, S. L. Ho, and W. N. Fu, “A hybrid optimal design strategy of wireless magnetic-resonant charger for deep brain stimulation devices,” IEEE Trans. Magn., vol. 49, no. 5, pp. 2145–2148, May 2013. [13] L. Chen, S. Liu, Y. C. Zhou, and T. J. Cui, “An optimizable circuit structure for high-efficiency wireless power transfer,” IEEE Trans. Ind. Electron., vol. 60, no. 1, pp. 33–349, Jul. 2013. [14] M. Feliziani and V. De Santis, “Magnetic field analysis and lumped inductance extraction for wireless power transfer in implanted medical devices,” in Asia–Pacific EMC Symp., Jeju Island, Korea, May 16–19, 2011. [15] T. Campi, S. Cruciani, M. Feliziani, and A. Hirata, “Wireless power transfer system applied to an active implantable medical device,” in IEEE Wireless Power Transfer Conf., Jeju Island, Korea, May 8–9, 2014, pp. 134–137. [16] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Radio Equipment in the Frequency Range 9 kHz to 315 kHz for Ultra Low Power Active Medical Implants (ULP-AMI) and Accessories. Part 1: Technical Characteristics and Test Methods, ETSI EN 302 195-1 (2004-03), 2004. [17] Electromagnetic Compatibility and Radio Spectrum Matters (ERM); Radio Equipment in the Frequency Range 9 kHz to 315 kHz for Ultra Low Power Active Medical Implants (ULP-AMI) and Accessories. Part 2: Harmonized EN Covering Essential Requirements of Article 3.2 of the R&TTE Directive, ETSI EN 302 195-2 (2004-03), 2004. [18] T. Campi, S. Cruciani, G. P. Santilli, and M. Feliziani, “Numerical analysis of EMF safety and thermal aspects in a pacemaker with a wireless power transfer system,” in IEEE Wireless Power Transfer Conf., Boulder, CO, USA, May 13–15, 2015, pp. 1–4.

9

[19] Int. Commission Non-Ionizing Radiat. Protection, “Guidelines for limiting exposure to time-varying electric and magnetic fields for low frequencies (1 Hz-100 kHz),” Health Phys., vol. 99, pp. 818–836, 2010. [20] Int. Commission Non-Ionizing Radiat. Protection, “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, pp. 494–522, 1998. [21] IEEE Standard for Safety Levels With Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 kHz to 300 GHz, IEEE Standard C95.1, 2005. [22] G. Smith, “The proximity effect in systems of parallel conductors and electrically small multiturn loop antennas,” Div. Eng. Appl. Phys., Harvard Univ., Cambridge, MA, USA, Tech. Rep. 624, 1971. [23] S. Cruciani and M. Feliziani, “Mitigation of the magnetic field generated by a wireless power transfer (WPT) system without reducing the WPT efficiency,” in IEEE Int. Electromagn. Compat. Eur. Symp., Bruges, Belgium, Sep. 2–6, 2013, pp. 610–615. [24] T. Campi, S. Cruciani, and M. Feliziani, “Magnetic shielding of wireless power transfer systems,” in Int. Electromagn. Compat. Symp., Tokyo, Japan, May 12–16, 2014, pp. 422–425. [25] T. Campi, S. Cruciani, F. Maradei, and M. Feliziani, “Magnetic shielding design of wireless power transfer systems,” in IEEE Appl. Comput. Electromagn., Williamsburg, VA, USA, Mar. 22–26, 2015, pp. 1–2. [26] X. L. Chen, A. E. Umenei, N. Chavannes, V. De Santis, J. Mosig, and N. Kuster, “Human exposure to close-range wireless power transfer systems as a function of design parameters,” IEEE Trans. Electromagn. Compat., vol. 56, no. 5, pp. 1027–1034, Oct. 2014. [27] X. L. Chen, V. De Santis, and A. E. Umenei, “Theoretical assessment of the maximum obtainable power in wireless power transfer constrained by human body exposure safety limits in a typical room scenario,” Phys. Med. Biol., vol. 59, no. 13, pp. 3453–3466, Jul. 2014. [28] V. De Santis and X. L. Chen, “On the issues related to compliance assessment of ICNIRP 2010 basic restrictions,” J. Radiat. Prot., vol. 34, pp. N31–N39, Apr. 2014. [29] X. L. Chen et al., “Analysis of human brain exposure to low- frequency magnetic fields: A numerical assessment of spatially averaged electric fields and exposure limits,” Bioelectromagnetics, vol. 34, pp. 375–384, 2013. [30] I. Laakso and A. Hirata, “Reducing the staircasing error in computational dosimetry of low-frequency electromagnetic fields,” Phys. Med. Biol., vol. 57, pp. N25–N34, 2012. [31] Sci. Inst., “SCIRun: A scientific computing problem solving environment,” Sci. Comput. Imag. Inst. (SCI), 2015. [32] P. A. Hasgall et al., “IT’IS database for thermal and electromagnetic parameters of biological tissues,” IT’IS, Zurich, Switzerland, Jan. 13, 2015, ver. 2.6. [33] A. Christ et al., “The virtual family-development of surface-based anatomical models of two adults and two children for dosimetric simulations,” Phys. Med. Biol., vol. 55, no. 2, pp. N23–N38, Jan. 2010.

Tommaso Campi received the Laurea degree in telecommunication engineering from the University of L’Aquila, L’Aquila, Italy, in 2014, and is currently working toward the Ph.D. degree in electrical engineering at the University of L’Aquila. His research interests include wireless power transfer and electromagnetic compatibility. Mr. Campi was the recipient of the Best Poster Presentation at the IEEE CEFC 2014, Annecy, France.

Silvano Cruciani received the Laurea degree in information and automation engineering and Ph.D. degree in electrical engineering from the University of L’Aquila, L’Aquila, Italy, in 2010 and 2015, respectively. He is currently a Post-Doctoral Researcher with the University of L’Aquila. His main research interests include numerical methods, electromagnetic wave propagation in complex media, and wireless power transfer.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Federica Palandrani received the Telecommunication Engineering Bachelor degree from the University of L’Aquila, L’Aquila, Italy, in 2013, and is currently working toward the Master degree in telecommunication engineering at the University of L’Aquila. Her main research interests are in the field of numerical dosimetry for wireless power transfer (WPT) systems and routing protocols for wireless ad-hoc mobile networks.

Valerio De Santis (M’05–SM’15) received the Laurea degree in telecommunication engineering (summa cum laude) and Ph.D. degree in electrical and computer engineering from the University of L’Aquila, L’Aquila, Italy, in 2006 and 2010, respectively. From April 2011 to May 2013, he was a Project Leader with the Foundation for Research on Information Technologies in Society, IT’IS Foundation, Switzerland. From January to March 2015, he was an Assistant Professor with the Nagoya Institute of Technology, Nagoya, Japan. He is currently a Fellow Researcher with the University of L’Aquila. His current research interests include biological effects of electromagnetic (EM) fields, electromagnetic compatibility (EMC), and numerical methods and techniques. Dr. De Santis is Secretary of IEEE-ICES-TC95-SC6. He was the recipient of the Second Best Student Paper Award of the Bioelectromagnetics Society (BEMS) Annual Meeting, Cancun, Mexico, 2006, the Best Student Paper Award of the IEEE International Symposium on Electromagnetic Compatibility (EMC), Honolulu, HI, USA, in 2007, and the Leo L. Beranek Travel Grant of the IEEE International Symposium on EMC, Detroit, MI, USA, in 2008.

Akimasa Hirata (S’98–M’01–SM’10) received the B.E. and Ph.D. degrees in communications engineering from Osaka University, Suita, Japan, in 1996 and 2000, respectively. In 2001, he joined the Department of Communications Engineering, Osaka University, as an Assistant Professor. In 2004, he joined the Department of Computer Science and Engineering, Nagoya Institute of Technology, Nagoya, Japan, where he is currently a Professor. His research interests are electromagnetics and thermodynamics in biological tissue, electromagnetic compatibility (EMC) and electromagnetic interference (EMI) and computational physics. Dr. Hirata is a Chairperson of the Subcommittee of EMF Dosimetry Modeling of IEEE International Committee on Electromagnetic Safety (ICES). He is a Member of the Main Commission of International Commission on Non-Ionizing Radiation Protection (ICNIRP). He is a member of the Editorial Board of Physics in Medicine and Biology (since 2010). He was an Associate Editor for the IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING (2006–2012). He is a Fellow of the Institute of Physics.

Mauro Feliziani (M’91–SM’00) received the Electrical Engineering degree from the University of Rome “La Sapienza,” Rome, Italy, in 1983. In 1994 he joined the University of L’Aquila, L’Aquila, Italy, as a Full Professor of electrical engineering. He has authored or coauthored more than 100 papers published in the fields of electromagnetic compatibility (EMC) and in electromagnetic (EM) field numerical computation. Prof. Feliziani was an Associate Editor of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY(1995–2000). In 2003, he was the Guest Editor of a Special Issue of the IEEE TRANSACTIONS ON MAGNETICS. In 1994, he cofounded the EMC Europe Symposium. He was the General Chairman of the EMC Europe Symposium, Sorrento, Italy (2002) and of the EMC Europe Workshop, Rome (2005). He was the Technical Program Committee Chair of EMC Europe 2012, Rome, Italy. He is the Chair of the International Steering Committee, EMC Europe Symposium.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Software-Defined Radar for Medical Imaging Jayaseelan Marimuthu, Konstanty S. Bialkowski, and Amin M. Abbosh, Senior Member, IEEE

Abstract—A low-cost reconfigurable microwave transceiver using software-defined radar is proposed for medical imaging. The device, which uses generic software-defined radio (SDR) technology, paves the way to replace the costly and bulky vector network analyzer currently used in the research of microwave-based medical imaging systems. In this paper, calibration techniques are presented to enable the use of SDR technology in a biomedical imaging system. With the aid of an RF circulator, a virtual 1-GHz-wide pulse is generated by coherently adding multiple frequency spectrums together. To verify the proposed system for medical imaging, experiments are conducted using a circular scanning system and directional antenna. The system successfully detects small targets embedded in a liquid emulating the average properties of different human tissues. Index Terms—Microwave imaging, microwave transceiver, software-defined radar (SDRadar).

I. INTRODUCTION

M

ICROWAVE imaging has been investigated over the last few years as an attractive complement to current diagnostic tools for medical applications due to its low-cost, portability, and non-ionization radiation [1]–[17]. The tests to verify the feasibility of microwave imaging systems for medical applications are conducted using a vector network analyzer (VNA) as the microwave transceiver. The VNA is usually bulky and expensive, and thus prevents microwave imaging systems from being low cost and portable. A necessary condition to turn microwave imaging into a mass screening diagnostic tool is to replace the VNA with a low-cost portable unit that can characterize, generate, and transmit and receive signals in different formats across a wideband with a large dynamic range and stability. Tissues in the human body are lossy at microwave frequencies, and hence, microwave signals undergo high attenuation when penetrating the human tissues during the process of imaging. On the other hand, using a wider and higher frequency spectrum provides better resolution. Thus, as a tradeoff between the required signal penetration and image resolution, microwave frequencies within the band of 0.5–4 GHz have been used in many medical applications, such as head, torso, and breast imaging [9]–[17]. Thus, the desired generic microwave transceiver for microwave-based medical imaging should cover

Manuscript received December 05, 2014; revised March 06, 2015, December 02, 2015, December 02, 2015, and December 13, 2015; accepted December 17, 2015. The authors are with the School of Information Technology and Electrical Engineering (ITEE), University of Queensland, Brisbane 4072, Australia (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2511013

this wide frequency band. To ensure accurate detection in a high clutter environment, like medical imaging, the dynamic range of the transceiver should be large. Recently, custom-made wideband RF frontends for automotive radar [18]–[20] and microwave imaging systems using dedicated CMOS integrated circuits have been proposed [21]–[24]. Although those devices enable reducing the overall size of the frontend, the up-front cost of CMOS fabrication circuits is several thousand dollars. While automotive applications have the benefits of economies of scale, and hence, the up-front cost is of little concern, their frequencies (millimeter wave) are not useful for biomedical imaging applications due to their limited penetration. In [25]–[27], an RF frontend based system based on time domain has been proposed. The system uses several individual components, including an arbitrary waveform generator, wideband track-and-hold, and 250-MS/s ADC. All these systems are effective in measurements; however, they are still quite costly due to their custom-made components. To reduce cost, software-defined radio (SDR) is an attractive concept that enables building a radar system with flexible operating parameters. It uses versatile RF hardware, and software, often based on the GNUradio [28]–[32] toolkit to perform the required signal processing. In the literature, several radar systems have been studied based on SDR technology, such as networked radars and sonars [28]–[34], weather surveillance radar [35], aircraft, ship and automotive detection radars, monitoring human movement [36], multifunctional radar sensor and data communication [37], doorway identification [38], portable and high configurable frequency-modulated continuous-wave (FMCW) radar with improved target resolution [39], and precision range measurements [40]. The main common theme in all of those applications is that only the instantaneous spectrum of the SDR is used. This is inadequate to achieve the required resolution for biomedical imaging to ensure accurate detection of any abnormalities in the human body. More recently, SDR has been investigated for biomedical applications by the authors [41]–[43]. Our earlier works [41], [42] focused on the use of GNUradio to develop the transmitter sub-system of the transceiver system. The main contribution was the evaluation of an SDR platform to produce different kinds of wideband frequency sweeps and to evaluate the range of tunability at the transmitter and the variation in the receiver response as measured in a spectrum analyzer. In [43], we also looked at combining frequency spectrums in a non-coherent way. Although feasible, it relies on all measurements being performed in a specific order, which will always be slow. Therefore, this paper proposes generating a virtual ultra-wideband (UWB) time-domain pulse by coherently adding multiple frequency spectrums together. Not being the original purpose of SDR technology, this creates several

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the system operates with a flat transmitted power, the effective number of bits at the receiver can be less due to the varying sensitivity of the receiver, and the dynamic range may be less than 72 dB at certain frequencies. A. Hardware Sub-System

Fig. 1. Architecture of a portable, low-cost, and reconfigurable medical imaging system using SDR.

serious design and programming challenges, which are solved in this paper. Each signal needs to be coherently added, thus requiring a careful calibration procedure due to the nature of the SDR hardware. In addition, signals at multiple locations or from multiple antennas are to be combined by using a fully automated scanning platform. II. PROPOSED SOFTWARE-DEFINED RADAR The proposed software-defined radar (SDRadar) for near-field medical imaging is shown in Fig. 1. It can be divided into two main sub-systems: the software and hardware subsystems. The software subsystem consists of in-house developed code using the GNUradio toolkit (PC/laptop) and the embedded software running inside the bladeRF SDR [41]–[43]. The hardware subsystem includes the bladeRF transceiver (LMS6002D), circulator, and tapered slot antenna. The baseband signal generation, decoding, correlation, and data storage operations are performed in the software subsystem. The correlation operation is performed efficiently using the frequency domain via the fast Fourier transform (FFT). The generated and received signals are sent to/from the SDR platform via USB3, where they are sent via the embedded processor (Cypress CyUSB3014BZX) and field-programmable gate array (FPGA) (Altera Cyclone IV) to the LMS6002D transceiver hardware. The transceiver chip converts the digital baseband signal to analog via a 12-bit digital-to-analog converter (DAC), amplifies it using a power amplifier, and up-converts the frequency to the required value for transmission. In addition, it receives scattered microwave signals, amplifies them via a low-noise amplifier (LNA), down-converts them to baseband, and then digitizes them using a 12-bit analog-to-digital converter (ADC), before sending them to the software sub-system. Due to the bit resolution of the DAC and ADC, the system natively provides up to 72 dB of dynamic range. Given that

The hardware sub-system consists of an LMS6002D transceiver integrated circuit (IC), circulator, switches, calibration load (50 ), and a single tapered slot antenna. The hardware is designed in this case for a monostatic radar approach. If a multi-static approach is required, a multiport switch and an antenna array can be included without difficulty. The LMS6002D is an integrated transceiver with a wide tunable range. It is traditionally designed for modern communication systems that require high bandwidth and full duplex communication using separated frequencies. This means that two phase-locked loops (PLLs) sharing a common clock are used in LMS6002D. Using the PLLs, both transmit and receive chains are implemented as a zero IF (direct conversion) architecture, and a tunable filter can adjust the baseband bandwidth between 1 and 28 MHz. The transmitter includes a low-pass filter (TXLPF), variable baseband gain controller (TXVGA1), mixer/TXPLL, and variable RF power amplifier (TXVGA2). Meanwhile, the receiver includes a low-noise amplifier with variable gain (RXLNA), mixer/RXPLL, two variable gain amplifiers (RXVGA1 and RXVGA2), and low-pass filter (RXLPF). In typical radar frontends, the use of a common PLL for both the transmitter and receiver is recommended for better phase estimation of the target since transmitting and receiving use the same carrier frequency. Our investigation shows that both the TXPLL and RXPLL within the LMS6002D produce precisely the same carrier frequency, but have different phases. Thus, phase estimation, which is a critical issue in medical imaging, is difficult because the relative phase between the transmitter and receiver is non-deterministic. Thus, to fix the relative phase, an electronic switch is used to allow measurement of both a known line-length in addition to the antenna measurement, as shown in Fig. 1. The utilized switch has three ports; one of them is the common port and is connected to the circulator, whereas the other two are connected to a matched load and an antenna, respectively. The matched load helps in estimating the initial phase and amplitude of the transmitted signal, whereas the antenna transmits the signal and captures the reflected signals. B. Software Sub-System The control of operation, processing, and imaging are performed using the software subsystem. It consists of in-house developed software in Python using the GNUradio toolkit. Initial results on the transmitter side of the SDRadar for nearfield medical applications by the authors have been reported in [41]–[43]. A block diagram of this sub-system is shown in Fig. 2. The signal processing part is developed using the GNUradio companion (GRC), where the signals are inputted and outputted from the bladeRF receiver and transmitter interfacing blocks, respectively. Each block is designed to process baseband-equivalent signals at frequency and sampling

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MARIMUTHU et al.: SDRADAR FOR MEDICAL IMAGING

3

teger number of frequency bins quency offset,

. This gives an absolute fre-

(1) , and the baseFor example, if the FFT uses length band frequency bin is for MS/s, the frequency offset will be kHz. To further improve the quality of the received signal, 100 samples of the magnitude and phase are averaged before data storage. Averaging is a well-known method to further increase the dynamic range of a receiver when the measurement scenario is relatively static. The Python controller shown in Fig. 2 consists of a carrier frequency tuner, switch controller, and data storage. To achieve UWB performance as needed in radar-based medical imaging, the carrier frequencies of TXPLL and RXPLL must be selected with appropriate gain levels, which are sent to the bladeRF interface of GRC via XMLRPC, as shown in Fig. 2. For the case of the bladeRF, the carrier frequencies can range from 0.3 to 3.8 GHz with a step size of 1 MHz. For a specific carrier frequency for TXPLL and RXPLL, the gains are assigned based on the investigations explained in Section III. The switch controller blocks, as shown in Fig. 2, are designed to control the switch (S1/S2) via a Netduino-plus 2 microcontroller via Ethernet. For each carrier frequency , the switch controller switches between the calibration (S1) and measurement (S2). Finally, the data storage block receives the magnitude and phase of the baseband signal via XMLRPC for both measurements and storage in the MongoDB database. Fig. 2. Software subsystem of the proposed SDRadar.

rate . The hardware interface up-converts this signal using a carrier frequency , generated by TXPLL and gain parameters and . For receiving, the hardware interface down-converts the signal using a carrier frequency generated by RXPLL and gain parameters , , and to produce a baseband signal of frequency . To reduce the noise figure of the received signal, RXVGA2 is kept fixed on its lowest setting. The next stage of processing is to correlate the transmitted and received signal to find the reflection coefficient and thus the phase and magnitude change required for imaging. Since has a single frequency with fixed phase and magnitude, this is simply performed by taking the Fourier transform of the received signal and finding the magnitude and phase at the same baseband frequency . Being a direct-conversion receiver, a dc offset may exist between the ADC and down-converter blocks. Therefore, the baseband frequency is selected at an offset from the dc component to avoid a potential overlap between the dc component and the baseband signal with frequency . The receiver uses the FFT to convert a given length, , of time-domain samples to a set of frequency bins. The length of the transform decides the frequency spacing between the bins. To make processing easier, the frequency offset is set to an in-

III. PROPOSED CALIBRATION TECHNIQUE For accurate medical imaging, the proposed SDRadar should be calibrated. From our investigations, the magnitude and phase response varies with frequency in both of the transmitter and receiver sections. Although the frequency is well synchronized, the relative phase between the receiver and transmitter is nondeterministic. Moreover, there are several uncertainties due to antenna, circulator, switches, and coaxial cables within the proposed SDRadar. A. Signal Calibration In GRC a complex baseband signal of frequency is generated with sampling rate . The in-phase (I) and quadrature (Q) components of the baseband signal are (2) (3) (4) and is magnitude of the baseband signal. where For transmission, the baseband signal is amplified and up-converted to microwave frequencies (5) , , where introduced by TXPLL at carrier frequency

is the phase , and is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the total gain of the transmitter involving both TXVGA1 and TXVGA2. For the purposes of calibrating the phase difference between TXPLL and RXPLL, a reference measurement is performed by setting the switch to S1, which is connected to a 50- matched load. Following this measurement, the switch is set to the other port, S2, which is connected to a 50- matched load. Following this measurement, the switch is set to the other port, S2, which is connected to the antenna. Referring to Fig. 1, both paths have common delays involving the circulator, RF-frontend (filters, amplifiers, mixers) and cables. It should be noted that the circulator has both a transmitted path delay and an isolation path delay. The second is considered to be the leakage signal and from terminal 1 of the circulator to the receiver via terminal 3 (see Fig. 1). With the proper choice of the circulator that has high isolation, this leaked signal is much less than the received signal. As the matched load fully absorbs power, the common delay from the circulator is only the leakage signal. For the upcoming analysis, these delays are referred to as and the total change in amplitude . Using a VNA measurement of the switch in both S1 and S2 positions showed that they have the same phase and magnitude over the frequency band of the system (0.3–3.8 GHz) and are given by and at frequency . When the switch (Fig. 1) is set to S1, which is for the 50matched load, the received RF signal at the RX port of the bladeRF is given by (6) is the total phase offset introduced by the system where when the switch is in position S1 at carrier frequency index . This phase only includes the phase of common components . Similarly, the total amplitude change is . Similarly, after the switch is set to S2, the received RF signal at the RX port of the bladeRF is given by

Fig. 3. (a) Transmitted and received I of the received baseband signal for

Q components and (b) FFT response kHz and MS/s.

The baseband signal (8) and (9) are sent to GRC for signal processing where the FFT is used to obtain the phase and amplitude of the baseband signals. Fig. 3 shows the complex time domain of the transmitted and received baseband signals, and the frequency domain of the received signal with frequency kHz and a sampling rate MS/s. Extracting only the frequency of interest, the phases of the baseband signals from switch S1 and switch S2 can be found using (8) and (9), (10) (11) and the magnitudes of the baseband signals from switch S1 and switch S2 are (12) (13)

(7) is the total phase offset introduced by the system where when the switch is in position S2 at carrier frequency index . This can be expressed as , . and are the amplitude response of the target and antenna system at frequency . Meanwhile and are the phase delay response of the target and antenna system at frequency . Both RF signals in (6) and (7) are down converted to baseband after passing through the receiver path of the bladeRF. After down conversion, the baseband signals of the system when in switch positions S1 and S2 are (8)

where is the FFT vector size, and is set to 128. To obtain the phase response due to the target and antenna, the phase from switch S1, as in (10), is subtracted from the phase from switch S2, as in (11), (14) which only includes the phases due to the target and antenna system. Finally, amplitudes of the received signal from switch S1 and S2 depend on the transmitted baseband signal amplitude , gain ( ) of the transmitter and receiver amplifiers within the bladeRF, and antenna system and target responses, (15)

(9) (16) where RXPLL at frequency amplifiers.

, and .

, is the phase offset of is the total gain of the receiver

where is the overall gain of the proposed system for specific carrier frequency . The final amplitude response of the antenna system and target is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MARIMUTHU et al.: SDRADAR FOR MEDICAL IMAGING

SYMBOLS

5

TABLE I AND DESCRIPTION

(17) Using the above proposed calibration techniques, an automated self-calibrating system can be realized. The detailed symbol descriptions are given in Table I. B. Transmitter Calibration The transmitter calibration is required to obtain uniform illumination at each frequency. Based on our studies, the amplitude ( ) of the baseband signal linearly controls the output power of the bladeRF as in Fig. 4(a). For example, when changes from 0.5 to 1, the output power increases by 6 dB over the entire frequency band. However, the RF frontend has a varying frequency response over the frequency band. By varying the TXVGA1 and TXVGA2 gains, a flat frequency response can be achieved at each . Our analysis of the transmitted signal indicates that while the second harmonic is weak, the third harmonic is not negligible, as shown in Fig. 4(b). Thus, the proposed system can be used without any problem when the required band extends across a certain frequency to three times that frequency, i.e., 1:3. This requirement is met by most medical imaging applications, such as breast imaging [13], head [16], and torso [17]. However, if a wider band is required, a tunable bandpass filter should be used at the output of the transmitter. It should be noted that the received baseband signal does not suffer from the effect of those harmonics due to the use of a direct conversion receiver and a low-pass filter RXLPF before the baseband ADC, as seen in Fig. 1. Based on our experiments, TXVGA1 and power amplifier gain controller TXVGA2 show linearity for output power levels from 35 to 4 dB and from 0 to 25 dB, respectively. Based on this, the system can be designed and configured to have constant

Fig. 4. Output power for baseband signal frequency kHz with dB, dB, and MS/s. (a) Output power of the fundamental frequency . (b) Output power at the second and third harmonics.

and stable output power without saturating the TXVGA1 and TXVGA2 and it thus avoids clipping the baseband signal. C. Receiver Calibration Based on the above, a stable and consistent transmitted power can be achieved for any given operating band within 0.3–3.8 GHz without harmonics. To achieve the full hardware dynamic range on the receiver, the signal power level needs to be adjusted carefully. A too high power level causes clipping due to saturation of the DAC, whereas a too low level limits the dynamic range and reduces the accuracy of target detection. Hence, to maximize the dynamic range, a study is done to identify the maximum input power at which no clipping occurs. This is shown in Fig. 5. The maximum received power varies between 26 to 7 dBm in the band of 0.3–3.8 GHz. As part of the system, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Maximum allowed power at the receiver to avoid clipping. kHz, MS/s, , and .

received power is always less than the transmitted power. This means that by transmitting a flat 20-dBm output power in the 1.0–2.5-GHz band, the receiver can obtain a baseband signal without any clipping. The receiver response at various carrier frequencies when using constant transmitted power is studied. A simple stepped frequency continuous wave (SFCW) transmitter of baseband signal with , kHz, and MS/s of 20 dBm is designed by choosing the values and for different . The transmitted power is then fed directly to the receiver port of the bladeRF via coaxial cable. The receiver gains , , and are set to 0. The received RF signal is down converted and the amplitude is measured via the software subsystem. Fig. 6 shows both of the transmitter power and received baseband signal magnitude. For constant input power, the baseband signal magnitude varies with . The experiment is repeated for other values of input power, as depicted in Fig. 6. Using these values, a magnitude response at each frequency is found and an exponential equation is fitted to it for the purpose of calibration.

Fig. 6. Received power before down conversion and achieved amplitude (FFT) by the baseband signal after the down conversion for baseband frequency kHz and MS/s with , .

Fig. 7. Photograph of the proposed SDRadar hardware.

IV. EXPERIMENT SETUP A. Measurement Process A complete automated stepped-frequency continuous wave (SFCW) SDRadar is designed, as shown in Figs. 7 and 8. The system includes the calibration algorithms as explained earlier. In this case, since the system is designed for head imaging, the selected band is 1.2–2.14 GHz with step frequency of 10 MHz (95 steps) and a constant transmit power of 20 dBm. It should be noted that the system can be reconfigured to other bands by using a proper circulator for that band. To automate the measurement procedure, a MYCOM fivephase dc stepper motor is used to rotate a platform that carries the imaged object. The motor is controlled by the Netduinoplus2 microcontroller to rotate the platform so that measurement can be taken at 20 positions around the imaged object. A Python script running on a PC controls the measurement system. The

Fig. 8. Block diagram of the automated imaging system.

PC is connected to the bladeRF SDR via a USB cable, and to a Netduino-plus2 microcontroller via an Ethernet cable, which controls the JFW SP8T switch (S1 and S2) and MYCOM motor driver INS500-120. The JFW 50S-1217+12-SMA switch operates from dc up to 18 GHz with a switching speed of 15 ms and an isolation of 70 dB. The utilized tapered slot antenna is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MARIMUTHU et al.: SDRADAR FOR MEDICAL IMAGING

7

phase of the received signal. In the normal operation, the magnitude and phase are recorded. After obtaining the initial values of magnitude and phase using S1 (calibration kit), the switch is set to S2. At this stage, the Python controller instructs the motor to rotate to position . When the position is acknowledged, the magnitude and phase of the received baseband signal is calculated by averaging the magnitude and phase using 100 measurements. This procedure has the added benefit of giving a processing gain of 20 dB. The motor then moves to a different position, and the process is repeated until returning back to the initial position. Following this, the carrier frequency is changed according to the selected step size and the whole process is repeated until the entire frequency band is covered. To ensure both accurate and correct results, the phase stability check is critical. Meanwhile, the proposed averaging technique increases the overall dynamic range of the system. B. Image Processing

Fig. 9. Flowchart of the system’s operation.

placed 87 mm from the center of the rotating circular platform. This antenna has the dimensions of 9 cm 11 cm and has more than 10 dB of return loss across the used band [14]. A broadband circulator (UIYBCC6466A) with less than 0.5 dB of insertion loss, more than 18 dB of return loss, and more than 17 dB of isolation across the utilized frequency range is used to connect the antennas and matched load to the transceiver. Fig. 9 shows a flowchart of the system’s operation from calibration, stability check, and data acquisition. Initially, the software creates and receives a baseband signal with carrier frequency using gain values (TXVGA1, TXVGA2, RXLNA, and RXVGA1). The Python controller then sweeps the carrier frequency of the bladeRF via XMLRPC. The Python controller selects appropriate gain parameters to produce a flat 20-dBm transmitted power at each of the carrier frequencies ( ) using a lookup table. For each carrier frequency, the phase difference is calibrated by setting the switch to S1. To ensure that the PLL is locked, the stability of the phase of the received signal is monitored. In the rare occurrence that the phase varies, the system simply retunes onto the same frequency. The monitoring is performed via the variance of the magnitude and

Upon collecting the magnitude and phase at each of the 95 measured frequency points and after performing the aforementioned calibration, the inverse discrete Fourier transform is used to convert the data into 1500 time-domain sampling points. This represents time from 0 to 3 ns. An imaging domain is established as the area inside the imaged object. The propagation model assumes that there is free space surrounding the antenna. Inside the imaging domain, there is a medium filled with a certain dielectric constant, which is the average dielectric constant of that medium. For each point in the imaging domain, the time of flight is calculated by using a two-part propagation path. The first part is from the antenna to the boundary of the medium, and the second is from the boundary of the imaged domain to the point of interest inside that domain. The point on the boundary is selected to be the one with the shortest propagation time. The signal at each antenna is compensated using the calculated time of flight, providing that antenna’s contribution to that point in the imaging domain. Each of the contributions are summed together thereby producing a delay and sum (confocal) imaging technique. C. Experimental Results Following the explained procedure, several experiments were performed. The sampling rate was selected to be MS/s. To avoid aliasing, the RXLPF was set to filter at 2 MHz. The baseband frequency was selected such that it does not interfere with the dc voltage, which is a common effect in a direct conversion receiver. The experiments were conducted using a baseband signal with frequency kHz ( ), kHz ( ), kHz ( ), and kHz ( ). Fig. 10 shows the phase of the calibrated received signal for various baseband frequency for an antenna at position #1. For kHz, with , the FFT peak is close to the dc component, and thus accurate detection is difficult. The calibrated phase for kHz with , as shown in Fig. 10(a), shows discontinuity and is random in nature even after calibration. As the baseband frequency increases, the quality of the calibrated signal phase improves and shows

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 10. Phase of the calibrated data. (a) kHz ( ), (c) kHz ( ).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

kHz ( kHz (

), (b) ), and (d)

Fig. 12. Reconstructed image using: (a) proposed SDR and (b) VNA of water mm) in canola oil ( ). target (

Fig. 11. Time-domain received signals from 20 antennas using SDR receiver, mm) in canola oil ( ). water target (

less discontinuity and randomness. When kHz ( ), a clear phase pattern without any discontinuity values is obtained. Therefore, the baseband frequency of kHz is used for further experiments. Next, a set of measurements was performed using a plastic container (wall thickness mm, radius mm, height mm) filled with canola oil ( ). The container was placed at the center of the rotating platform. In the first experiment, an off-centered volume ( mm) of water ( ) target was placed within the canola oil. The water target was placed at a distance of 13 mm from the edge of plastic container. The gains of the receiver were configured to adjust the sensitivity of the system. The receiver gains of and dB prevent clipping and ensure the signal is far from saturating the baseband signal. The collected virtual UWB time-domain data at different antenna positions is shown in Fig. 11. The obtained image shown in Fig. 12(a), which uses this data, indicates that the target is successfully detected. The experiment was repeated using a VNA. The used VNA (Agilent Fieldfox N9916A) was configured to use the same frequencies range and step size, as well as the same transmitted power of 20 dBm. The obtained image from those recorded

data is shown in Fig. 12(b). Comparing Fig. 12(a) to (b), the images are very similar, indicating a similar performance of the built SDR-based system compared with a commercial VNA. It should be noted that the 0.95 intensity region illustrates the exact location and size of the target. The challenging imaging scenarios in medical imaging are the cases where there is low contrast between the electrical properties of the target and the surrounding healthy tissues. To verify the reliability of the designed transceiver in that scenario, another set of experiments was performed. To that end, a mm volume of water ( ) was embedded in different types of high dielectric constant liquids using a dehydrated SPEAG sugar-based liquid, and using a SPEAG DBGE-based liquid. The above reported dielectric constants are in the frequency range of interest (1.2–2.14 GHz), and were measured using an Agilent 85070E dielectric probe. The distance between the plastic container outer wall and the antenna was set 5 mm. After applying the explained procedure, it was found that excessive sidelobes were present in the time-domain data when the dielectric contrast between the background (liquid) and the target is low. To mitigate this effect, a hamming windowing function was used. This greatly reduced the ringing effect, but also slightly increased the size of the target region. The resulting images of the tested scenarios are shown in Fig. 13, which indicates the possibility to detect the target successfully in different scenarios. As the dielectric contrast between the target and the surrounding medium decreases, the intensity contrast in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MARIMUTHU et al.: SDRADAR FOR MEDICAL IMAGING

9

the presented system includes its full calibration to support a tunable range from 0.3 to 3.8 GHz with power levels ranging from 40 up to 0 dBm, and hardware dynamic range of up to 72 dB. To verify the proposed system for medical imaging, experiments were conducted using a circular scanning system and directional antenna. The obtained images, which have a similar quality to those achieved using a commercial VNA, indicate that the system successfully detects small targets embedded in a liquid emulating the average properties of different human tissues. The presented results indicate the possibility of using the proposed system in the mass production of portable medical imaging tools that are based on microwave techniques. That target should have a huge impact on the lives of many people who do not have access to the traditional expensive tools, such as an MRI or a CT-Scan. REFERENCES

Fig. 13. Reconstructed images of a target in the different indicated media.

the image between the detected target and the background also decreases. Since the proposed SDRadar has the operational band 0.3–3.8 GHz, it can be reconfigured for various applications, such as heart-failure detection (0.5–1.0 GHz) [17] and breast tumor detection (0.5–3.5 GHz) [13]. The proposed monostatic system with a single antenna and rotating platform currently takes 45 min to complete the whole measurement for a frequency band of 1.2–2.14 GHz with a step size of MHz (95, carrier frequency) and 20 antenna positions. Each measurement takes 64 s (based on a sampling rate of 2 MS/s), and is averaged 100 times for all 20-antenna positions and 95 frequencies. The oscillator settling time is small (20 s) in comparison with other time delays within the system. This means that measurement-only time (with instantaneous switching) of 20 antennas can be less than 15 s. In the current system, most of the measurement time is spent on movement and waiting for motion to completely stop. By replacing the rotating platform with an array of 20 antennas using a suitable switching network, the complete automated system can operate in less than 1 min. Thus, the proposed system can be fast, efficient, portable, and suitable for medical imaging. V. CONCLUSION An SDRadar for medical imaging has been presented. The proposed system enables building portable, low-cost, and safe microwave-based medical diagnostic tools. The design of

[1] M. Benedetti, A. Martini, and M. Pastorino, “An innovative microwave-imaging technique for non-destructive evaluation: Applications to civil structures monitoring and biological bodies inspection,” IEEE Trans. Instrum. Meas., vol. 55, no. 6, pp. 1878–1884, Dec. 2006. [2] X. Li, E. J. Bond, B. D. Van. Veen, and S. Hagness, “An overview of ultra-wideband microwave imaging via space-time beam forming for early-stage breast-cancer detection,” IEEE Antennas Propag. Mag., vol. 47, no. 1, pp. 19–34, Feb. 2005. [3] M. Klemm, J. A. Leendertz, D. R. Gibbins, I. J. Craddock, A. W. Preece, and R. L. Benjamin, “Radar-based breast cancer detection using a hemispherical antenna array—Experimental results,” IEEE Trans. Antennas Propag., vol. 57, no. 6, pp. 1692–1704, Jun. 2009. [4] E. Fear, X. Li, S. Hagness, and M. Stuchly, “Confocal microwave imaging for breast cancer detection: Localization of tumors in three dimensions,” IEEE Trans. Biomed. Eng., vol. 49, no. 8, pp. 812–822, Aug. 2002. [5] H. B. Lim, N. T. T. Nhung, E.-P. Li, and N. D. Thang, “Confocal microwave imaging for breast cancer detection: Delay-multiply-and-sum image reconstruction algorithm,” IEEE Trans. Biomed. Eng., vol. 55, no. 6, pp. 1697–1704, Jun. 2008. [6] A. Abbosh and S. Crozier, “Strain imaging of the breast by compression microwave imaging,” IEEE Antennas Wireless Propag. Lett., vol. 9, pp. 1229–1232, Jan. 2010. [7] A. D. Droitcour, O. Boric-Lubecke, and G. T. A. Kovacs, “Signal-tonoise ratio in Doppler radar system for heart and respiratory rate measurements,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2498–2507, Oct. 2009. [8] L. Chioukh, H. Boutayeb, D. Deslandes, and K. Wu, “Noise and sensitivity of harmonic radar architecture for remote sensing and detection of vital signs,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 1847–1855, Sep. 2014. [9] S. Mustafa, B. Mohammed, and A. Abbosh, “Novel pre-processing techniques for accurate microwave imaging of human brain,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 460–463, Apr. 2013. [10] M. Hossain, A. Mohan, and M. Abedin, “Beamspace time-reversal microwave imaging for breast cancer detection,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 241–244, Mar. 2013. [11] D. Ireland and A. Abbosh, “Modeling human head at microwave frequencies using optimized Debye models and FDTD method,” IEEE Trans. Antenna Propag., vol. 61, no. 4, pp. 2352–2355, Apr. 2013. [12] N. Celik, R. Gagarin, H. Youn, and M. Iskander, “A noninvasive microwave sensor and signal processing technique for continuous monitoring of vital signs,” Antennas Wireless Propag. Lett., vol. 10, pp. 286–289, Apr. 2011. [13] Y. Wang, A. Abbosh, B. Henin, and P. Nguyen, “Synthetic bandwidth radar for ultra-wideband microwave imaging systems,” IEEE Trans. Antennas Propag., vol. 62, no. 2, pp. 698–705, Mar. 2014. [14] B. J. Mohammed, A. M. Abbosh, S. Mustafa, and D. Ireland, “Microwave system for head imaging,” IEEE Trans. Instrum. Meas., vol. 63, no. 1, pp. 117–123, Jan. 2014. [15] D. Ireland, K. Bialkowski, and A. Abbosh, “Microwave imaging for brain stroke detection using born iterative method,” IET Microw. Antennas Propag., vol. 7, no. 11, pp. 909–915, May 2013.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[16] A. T. Mobashsher, A. M. Abbosh, and Y. Wang, “Microwave system to detect traumatic brain injuries using compact unidirectional antenna and wideband transceiver with verification on realistic head phantom,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 1829–1836, Sep. 2014. [17] S. A. Rezaeieh, K. S. Bialkowski, and A. M. Abbosh, “Microwave system for the early stage detection of congestive heart failure,” IEEE Access, vol. 2, pp. 921–929, Aug. 2014. [18] K. Bon-Hyun, O. Inac, M. Chang, Y. Hyun-Ho, and G. M. Rebeiz, “A high-linearity 76–85-GHz 16-element 8-transmit/8-receive phased array chip with high isolation and flip-chip packaging,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2337–2356, Oct. 2014. [19] K. Bon-Hyun et al., “A 77–81-GHz 16-element phased-array receiver with 50 beam scanning for advanced automotive radars,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2823–2832, Nov. 2014. [20] T. Kai-Wen, L. An-Hsun, C. Ta-Shun, and S. S. H. Hsu, “A K-band reconfigurable pulse-compression automotive radar transmitter in 90-nm CMOS,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1380–1387, Apr. 2015. [21] M. Bassi, M. Caruso, M. S. Khan, and A. Bevilacqua, “An integrated microwave imaging radar with planar antennas for breast cancer detection,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2108–2118, May 2013. [22] M. Bassi, M. Caruso, A. Bevilacqua, and A. Neviani, “A 65-nm CMOS 1.75–15 GHz stepped frequency radar receiver for early diagnosis of breast cancer,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1741–1750, Jun. 2013. [23] B. Schleicher, I. Nasr, A. Trasser, and H. Schumacber, “IR-UWB radar demonstrator for ultra-fine movement and vital-sign monitoring,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2076–2085, May 2013. [24] M. Bassi, A. Bevilacqua, A. Gerosa, and A. Neviani, “Integrated SFCW transceivers for UWB breast cancer imaging: Architectures and circuit constraints,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 6, pp. 1228–1241, May 2012. [25] Y. Yang and A. E. Fathy, “Development and implementation of a real-time see-through-wall radar system based on FPGA,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1270–1280, Apr. 2009. [26] X. Zeng, A. Fhager, Z. He, M. Persson, P. Linner, and H. Zirath, “Experimental investigation of the accuracy of an ultrawideband time-domain microwave-tomographic system,” IEEE Trans. Instrum. Meas., vol. 60, no. 12, pp. 3939–3949, Nov. 2011. [27] X. Zeng, A. Fhager, Z. He, M. Persson, P. Linner, and H. Zirath, “Development of a time domain microwave system for medical diagnostics,” IEEE Trans. Instrum. Meas., vol. 63, no. 12, pp. 2931–2939, Nov. 2014. [28] T. Debatty, “Software defined RADAR a state of the art,” in 2nd Int. Cognitive Infor. Process. Workshop, Brussels, Belgium, Jun. 2010, pp. 253–257. [29] J. Ralston and C. Hargrave, “Software defined radar: An open source platform for prototype GPR development,” in Proc. 14th Int. Ground Penetrating Radar Conf., Shanghai, China, Jun. 2012, pp. 172–177. [30] A. Mubashir, J. Khalid, O. A. Zeyad, and S. A. Humaidi, “A multi-band multi-beam software-defined passive radar Part I: System design,” in Proc. IET Int. Radar Syst. Conf., Glasgow, U.K., Oct. 2012, pp. 1–4. [31] S. Costanzo et al., “Potentialities of USRP-based software defined radar systems,” Progr. Electromagn. Res. B, vol. 53, pp. 417–435, Aug. 2013. [32] A. B. Suksmono, “A simple solution to the uncertain delay problems in USRP based SDR-radar system,” in Proc. CoRR, Bali, Indonesia, Sep. 2013, pp. 1–4. [33] J. L. Kernec, O. Romain, and P. G. Denoulet, “Empirical comparison of chirp and multitones on experimental UWB software defined radar prototype,” in Proc. Design Architectures for Signal Image Process. Conf.2012, Karlsruhe, Germany, Oct. 2012, pp. 1–8. [34] J. L. Kernec and O. Romain, “Empirical performance analysis of linear frequency modulated pulse and multitones on UWB software defined radar prototype,” in Proc. IET Int. Radar Conf., Xi’an, China, May 2013, pp. 1–6. [35] J. Meier, R. Kelley, B. M. Isom, M. Yeary, and R. D. Palmer, “Leveraging software-defined radio techniques in multichannel digital weather radar receiver design,” IEEE Trans. Instrum. Meas., vol. 61, no. 6, pp. 1571–1582, Mar. 2012. [36] J. Park et al., “Software defined radar studies of human motion signatures,” in Proc. IEEE Radar Conf., Atlanta, GA, USA, May 2012, pp. 596–601.

[37] K. Kauffman, D. Garmatyuk, and J. Schuerger, “Multifunctional software-defined radar sensor and data communication system,” IEEE Sensors J., vol. 11, no. 1, pp. 99–106, Jan. 2011. [38] B. Jameson, Y. T. J. Morton, D. Garmatyuk, and R. Ewing, “Doorway identification and classification using software-defined UWB OFDM radar,” in Proc. IEEE Nat. Aerosp. Electron. Conf., Dayton, OH, USA, Jul. 2012, pp. 250–254. [39] S. Costanzo, F. Spadafora, A. Borgia, H. O. Moreno, A. Costanzo, and G. Di??Massa, “High resolution software defined radar system for target detection,” J. Electr. Comput. Eng., vol. 2013, pp. 1–7, Aug. 2013. [40] H. Zhang, L. Li, and K. Wu, “Software-defined six-port radar technique for precision range measurements,” IEEE Sensors J., vol. 8, no. 10, pp. 1745–1751, Sep. 2008. [41] J. Marimuthu, K. S. Bialkowski, and A. M. Abbosh, “Stepped frequency continuous wave software defined radar for medical imaging,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Memphis, TN, USA, Jul. 2014, pp. 1909–1910. [42] J. Marimuthu, K. S. Bialkowski, and A. M. Abbosh, “Reconfigurable software defined radar for medical imaging,” in Proc. 1st Australian Microw. Symp., Melbourne, Vic., Australia, Jun. 2014, pp. 15–16. [43] K. S. Bialkowski, J. Marimuthu, and A. M. Abbosh, “Biomedical imaging system using software defined radio,” in IEEE Antennas Propag. Soc. Symp., Vancouver, BC, Canada, Jul. 2015, pp. 542–543.

Jayaseelan Marimuthu received the B.Sc. degree in physics from University Malaya, Kuala Lumpur, Malaysia, the M.Eng. (Electrical) from the Universiti Teknologi Malaysia, Johor Bahru, Malaysia, and is currently working toward the Ph.D. degree at the School of Information Technology and Electrical Engineering (ITEE), University of Queensland, Brisbane, Qlds., Australia. He was a Lecturer with the Faculty of Engineering of Multimedia University, Cyberjaya, Malaysia, and as a Dean of the Faculty of Engineering and Computer Technology, Asian Institute of Medicine, Science & Technology (AIMST) University, Bedong, Malaysia, and the HELP College of Arts and Technology, Kuala Lumpur, Malaysia. His research interests include the implementation of low-cost and reconfigurable microwave-based imaging systems. Konstanty S. Bialkowski received the dual B.Eng. /BSc degree (with first-class honors) and Ph.D. degree in wireless communications from the University of Queensland, Brisbane, Qld., Australia, in 2003 and 2008, respectively. He was a Research Engineer with National ICT Australia (NICTA), prior to joining the School of Information Technology and Electrical Engineering (ITEE), University of Queensland, in 2009, where he is currently a Research Fellow. His research interests include near-field and passive radar systems and wireless communications. Dr. Bialkowski was the recipient of the Early Career Researcher Award of the 2011 Australian Communication Theory Workshop and Best Presentation of the 2005 Australian Symposium on Antennas. Amin M. Abbosh (SM’08) received the M.Sc. degree in communication systems and Ph.D. degree in microwave engineering from Mosul University, Mosul, Iraq, in 1991 and 1996 respectively, and the Grad Cert degree in higher education and Doctor of Engineering (DEng) from the University of Queensland, Brisbane, Qld., Australia, in 2008 and 2013, respectively. He is currently a Leader of the Microwave Group and the Director of Postgraduate Studies, School of Information Technology and Electrical Engineering (ITEE), University of Queensland. He has authored more than 300 papers concerning wideband passive microwave devices, planar antennas, and microwavebased imaging systems. Dr. Abbosh is an Associate Editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS, and IET Electronics Letters.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Fast Frequency-Based Multistatic Microwave Imaging Algorithm With Application to Brain Injury Detection Ali Zamani, Student Member, IEEE, Amin M. Abbosh, Senior Member, IEEE, and Ahmed Toaha Mobashsher, Student Member, IEEE

Abstract—A multistatic microwave imaging technique is presented for fast diagnosis of medical emergencies pertaining to brain injuries. The frequency-based imaging method utilizes Bessel functions to estimate the scattered power intensity inside the imaged region from measured multistatic scattered signals outside the imaged region in a quasi-real-time manner. A theory is used to prove that the relation between the scattered fields outside the imaged object (the head) and the internal scattering profile follows the first order of first type Bessel function. To reconstruct the internal scattered power intensity accurately, the average-trace subtraction method is used to remove the skin reflections and clutters. The presented algorithm is verified using realistic numerical simulations and experimental measurements, which are performed using a radar-based head imaging system that includes an antenna array containing eight elements, microwave transceiver, and switching network. To emulate different brain injuries, realistic head phantoms are utilized. The obtained results using frequency steps that meet Nyquist criterion confirm the reliability of the proposed method in the successful detection of different sizes and locations of injuries inside the head phantom in a fast and consistent way. In comparison with existing multistatic time-domain methods, the presented approach is faster and more accurate. Index Terms—Brain injury detection, head imaging system, microwave imaging, multistatic radar.

I. INTRODUCTION

M

ICROWAVE imaging is an attractive technique for medical applications that has the potential to create a visual representation of the interior of the human body in a cost-effective and safe manner. However, there are still numerous challenges to be overcome. For example, in case of brain injuries, fast diagnosis is essential to save the patient. Severe brain injuries include traumatic and acquired brain injuries, which are, respectively, caused by external forces (like a fall or accident) or internal incidences (like a stroke and tumors) [1]. It is well known that a patient with a brain

Manuscript received April 10, 2015; revised August 07, 2015, December 03, 2015, and December 22, 2015; accepted December 26, 2015. The authors are with the School of Information Technology and Electrical Engineering (ITEE), University of Queensland, St Lucia, 4072 Brisbane, Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2513398

injury requires immediate medical attention. From the onset of the brain injury, millions of brain’s cells die every second causing permanent damage, which can even lead to death [1], [2]. Thus, a portable diagnosis system is required on the spot for rapid diagnosis of brain injuries. The underlying motivation of this paper is to demonstrate the feasibility of utilizing microwave-imaging techniques in the diagnosis of bleeding due to traumatic brain injuries. The images from the proposed system in addition to physical, cognitive, and behavioral symptoms enable paramedics to confirm the existence of the injury and give proper medication in time on site. This method can also be helpful as a preliminary guide to head surgery. There are mainly two types of microwave-based imaging techniques; tomography [3]–[5] and radar [6], [7]. In radar-based imaging, which is the topic of this paper, significant scatterers’ profile of the imaging domain is mapped on a 2-D or 3-D image. This method is more applicable when using ultra-wide bands for fine resolution due to its relatively simpler and faster processing than tomography. Current radar imaging methods utilize processing techniques based on delay-and-sum (DAS) [7]–[11], which might be susceptible to outer layer reflections and internal layer refractions that consequently result in false detection. To that end, the space-time (MIST) beamforming technique, which uses time windowing and finite-impulse response filters to discriminate between target and clutter/noise signals, was developed [12]. The applicability of space-time methods in wideband and multistatic approaches is questionable [13]. Beamforming techniques such as ultra-wideband [13], quasi-multistatic [14], and multistatic adaptive microwave imaging (MAMI) [15] are proposed that use multiple filter banks and data adaptive processing to mitigate clutters. However, those multi-stage techniques are complex and time consuming. In another approach, an algorithm based on machine learning and statistical classification was adopted [16]. However, that detection method requires a significant computational power and time and is still in improvement to accurately localize the target. The previously presented time-domain methods [8]–[15] were tested mostly in breast imaging, which has a simpler imaging domain compared with the head imaging domain that contains skin, skull, fat, and muscle tissues around a complex distribution of different brain tissues. Applying time windowing and spatial filtering on head imaging cannot properly reject clutter from backscattered signals because of the time-domain

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

overlap of received signals. In addition, variation of penetration ability of signals at different frequencies through the head affects the effectiveness of those filters and, consequently, accuracy of the imaging procedure. In order to address the issues mentioned above, the authors [17] proposed a frequency-domain algorithm based on Mathieu functions. It is demonstrated that the frequency-based calculations can properly mitigate multiple reflections by considering the frequency-dependent propagation effects without the need to rely on wave path estimations. However, the approach presented in [17] needs data from a dense antenna array with high sampling frequency, which makes it unsuitable for practical on-thespot brain injury detection. The current work applies Bessel functions [18]–[23] in the frequency domain for fast image processing that maps regions of high dielectric contrasts of the imaged domain in a 2-D plane. In this algorithm, the Nyquist concept is adopted to reduce the number of sampling frequency utilizing only eight antennas to lower the computational complexity effectively while attaining correct detections. Moreover, the average trace subtraction is applied to mitigate the skin reflections and construct accurate images. This paper is organized as follows. Section II contains the explanation of the proposed algorithm. In Section III, the proposed method is tested through realistic simulations and experiments to verify its efficacy in cancelling the clutters and locating targets inside human head. The procedure to accelerate the algorithm is explained in Section IV. In Section V, the proposed method is compared favorably with two important multistatic time-domain imaging methods (multistatic delay-andsummation (MDAS) and MAMI). Finally, a summary is given in Section VI. II. PROPOSED ALGORITHM A. Elimination of Background Clutter in Frequency Domain Low-frequency microwave signals (around 1–2 GHz) have higher penetration depths inside lossy head tissues. Nevertheless, the difference between the dielectric properties of the skin tissue layer and air interface causes strong reflections in the received signals. Furthermore, the signals are deteriorated by the multiple reflections from different outer layers (skin, skull, fat, and muscle) due to the dielectric constant between different tissues. To explain this issue, the impulse response function of a simplified model of multilayer lossless homogeneous dielectric medium can be estimated by [24]

(1) where states the th layer’s dielectric constant with a thickness of , the separation between the antenna and the skin is presented by , the speed of propagating waves is denoted by , the radian frequency is represented by , and (2)

Fig. 1. Reflected waves from outer head tissue layers. (a) Simplified diagram. (b) Simulation results [24].

The second exponential part in (1) represents the delay in wave propagation through the different layers. For electromagnetic propagation in a multilayered structure, different wave modes are trapped inside and thus delayed by the layers because of the boundary conditions imposed on the wave by different electromagnetic properties of layers (see Fig. 1). The full electromagnetic simulation in CST Microwave Studio is used to verify the concept of Fig. 1(a). To that end, a 3-D numerical human head phantom containing realistic tissue distributions based on magnetic resonant imaging (MRI) scans with frequency dispersive dielectric properties [11] is utilized in CST Microwave Studio software. The numerical phantom is created by 256 256 128 voxels having a resolution of 1.1 1.1 1.1 mm . A Gaussian pulse is sent to the head model and the electric field propagated into the phantom is calculated. The results shown in Fig. 1(b), which shows the distribution of the field at different time steps, demonstrates the wave reflections between skin and skull layers. These reflections are typically strong enough to mask the target responses (see Fig. 2). Hence, reflections from the outer head layers should be removed or considerably mitigated for any chance to detect any target within the head such as a traumatic brain injury. One solution to the strong interface reflections is to use a suitable matching medium to fill the air gap between the antennas and the imaged object to improve signal penetration through that object. However, this solution is not preferable due to added practical complications and an added interface that may contribute to reflections and losses, especially in patients with dense hair. There are different methods to remove the reflection in radar imaging approaches, like Average trace subtraction [25], spatial filtering [26], subspace projection [27] and differential approach [10], [28]. Considering a constant distance between the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZAMANI et al.: FAST FREQUENCY-BASED MULTISTATIC MICROWAVE IMAGING ALGORITHM WITH APPLICATION TO BRAIN INJURY DETECTION

Fig. 2. Scattered signals from a head model with and without clutter removal and – GHz with 6-MHz sampling interval. for

position of the antennas and the skin layer and uniform thicknesses for the outer layers of the head (skin, skull, fat, and muscle), the contributions of clutter and reflections to all of the antennas are similar. To that end, the reflections can be separated by removing a constant value from the received signals. The average-trace subtraction technique, which subtracts the calculated average value from the received signals, is a simple method that can effectively mitigate this type of reflections. The technique is applied on the reflected and transmitted signal separately. The average of all the received signals in each type is deducted from corresponding signal of the antenna at each frequency step

(3) and in (3) represent the receiver and transmitter indices, respectively. This method estimates the reflection by averaging the signal along antenna locations instead of through inverse scattering. In addition, applying trace subtraction in every frequency step enables this method to consider the variation of penetration capability of microwave waves at different frequencies. To inspect the effectiveness of the mentioned clutter removal technique, the Fourier transformation is applied on the received signals to convert them into the spatial domain

3

Fig. 3. Incident and scattered electric fields in the imaged domain.

B. Frequency-Domain Processing By eliminating the boundary reflections, the imaged domain can be considered as a homogeneous medium. Thus, the scattered power intensity in the imaged region is calculated by solving time-dependent Maxwell’s equations. Lastly, the final image is produced by stacking of the estimated power intensities over all frequency samples and antenna positions. The aim of the presented system is to image a cross section of the 3-D head, located at the phase center of the antenna. Due to the unidirectivity of the utilized antennas, the majority of the radiated power propagates through that cross section in front of the antenna. Thus, the scattering profile of that cross section can be estimated by considering 2-D electromagnetic approaches. The considered imaging domain is shown in Fig. 3, where an incident electromagnetic wave propagates from the transmitter to the head cross section that has an effective dielectric constant , which is assumed to be 42 based on the average dielectric constant of human brain tissues. The scattered field from different point scatterers inside the imaged domain is then measured by an observer, , outside the boundary. The scattered electric field, is calculated by estimating the back-propagated signal from the measured field at distance from the observer [29]. To that end, the target response at each receiving antenna is correlated with the incident field and synthetically propagated to the imaged domain. The scattered field is calculated by (5)

(4) where

Using data from the aforementioned CST simulation environment, the received reflected signals with and without clutter removal are shown in the spatial domain in Fig. 2. The plotted signals are the results of the simulation data in which the target is about 75 mm away from the skin of a multilayer head structure, including skin, skull fat, and skin. It is obvious that the reflections at the raw collected signals are much stronger compared to the reflection of the target and, consequently, can overshadow the target response. The processed data after clutter removal for healthy (without target) and unhealthy cases demonstrate the ability of the proposed method to properly mitigate any strong clutter and reveal the target response without creating any false target in healthy cases.

is the 2-D Green’s function of the point scatterer and is the conjugated received fields at the th receiver due to an excitation at th transmitter and propagation via the point scatterer at the th frequency sample. The location of the th transmitter and th receiver defines the parameters and (see Fig. 3). The scattered field at a far-field distance ( , where is diameter of the point scatterer and is the effective wavelength inside the imaged domain [30]) behaves as a spherical wave. Considering a cross section of the wave in the – plane, the conjugated field at one frequency sample can be specified by (6)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

represents the complex scattering parameter, which contains the wave properties at the location of scatterer. The Maxwell’s equation corresponding to the scattering parameter is

where the Bessel function nomial approximation [32],

(7) where is the wavenumber. Using a variables separation technique, the scattering parameter can be separated as where two single variables of and can be solved using

can be defined by its poly-

(17) Since S-parameters are usually measured, the desired quantity is power density. Hence, the magnitude of the scattering power flux density is calculated by

(8) (9) where

is a constant value. The solution for (8) is (10)

Since there should be only one value for the scattered field in one point and according to the shape of the cylindrical wave, is single valued for and periodic with . Hence, is the only value that satisfies the boundary condition, which makes (10) an equation of ellipse, (11) demonstrates how the From the physical point of view, scattered wave varies with , while the constants and represent the observed electromagnetic fields over . Assuming the imaged region to be homogeneous and the back-propagated field as a cylindrical wave, and are identical. This can be explained by the fact that a point scatterer scatters the wave uniformly to all directions [31]. In other words, the magnitudes of the fields from different point scatterers at the same observer’s distance are equal, but their phases change with the observation angle . Thus, the solution for can be calculated by assuming ,

(18) and (19) where is the average wave speed in the medium. The total power density at an arbitrary location inside the cross section is estimated by the summation of the calculated powers from different angles, , corresponding receiver positions, ( to ) around the head [30],

(20) To compensate for the lack of discrete observation points, different scattered profiles from different transmitters and frequencies are superposed and presented as the final image,

(12) On the other hand, by assigning the produced value for from (8) in (9), it can be written as (13) Following the approximation used in microwave medical imaging algorithms [9]–[15] in ignoring the effect of losses (imaginary part of ), (13) is the differential equation for the first-kind first-order Bessel function . Consequently, is (14) and the Green’s function can be written as (15) The point-scatterer field can then be calculated by assigning the conjugated field from (15) in (5), (16)

(21) where and are accordingly number of antennas and frequency samples. The reconstructed image illustrates the intensity of significant scatterers by the summation of all discrete powers that emphasizes substantial powers and diminishes negligible powers. It should be mentioned that this procedure does not provide the detailed image of the internal powers and shows only regions of contrasts in the dielectric properties, which is enough for detection purposes. Obviously, the proposed procedure differs considerably from microwave tomography, which usually struggles to find the electrical properties of the tissues due to the need to solve ill-posed inverse problems. In comparison to the time-domain methods (confocal, space-time beamforming MIST, and adaptive beamforming imaging algorithms), the proposed method performs all the calculations in the frequency domain, and thus it is more immune against multiple reflections produced by multilayer structures and faster to process.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZAMANI et al.: FAST FREQUENCY-BASED MULTISTATIC MICROWAVE IMAGING ALGORITHM WITH APPLICATION TO BRAIN INJURY DETECTION

5

Fig. 5. Reflection coefficient of the antenna.

Fig. 4. Designed antenna: (a) top and (b) side views (dimensions in millimeters).

III. ALGORITHM VALIDATION In order to verify the imaging algorithm, an integrated imaging system is needed. Therefore, a multistatic antenna array and a 3-D human head phantom is used in full-wave electromagnetic simulations. Moreover, an experimental setup is then built and used to verify the method. A. Simulation Results In multistatic imaging, the realizable angular space between antennas, final image resolution, mutual coupling limitations, hardware complexity, and image acquisition time are important factors that define number of antennas and frequency samples. Synthesizing a multistatic array with all the aforementioned requirements is challenging. However, according to the size and coupling limitations of the utilized antenna, which is a modified version of the antenna presented in [33], two elliptical shape arrays consisting of 12 and 8 compact ultra-wideband antennas are investigated. The used antenna is depicted in Fig. 4. It has the dimensions of 7 3 1.5 cm , which is equivalent to , where is the wavelength of lowest operating frequency. From the mechanism perspective, the antenna’s structure includes a dipole and folded parasitic structure. The dipole is responsible for the upper band of operation. The folded parasitic structure along with the dipole forms a loop-like geometric structure, which assists the antenna to attain the lower operating frequencies. The top printed layer of the antenna contains two T-shaped slots along the -axis. These slots increase the effective current paths and serve in achieving relatively lower frequencies of operation with the same space. This antenna is revised to operate in an elliptical shape array surrounding the head with improved performance in terms of bandwidth and directionality compared to the previously reported antenna [33]. The performance of the antenna is simulated and measured. As illustrated in Fig. 5, the modified antenna operates from 1 to 3.2 GHz with the return loss of more

Fig. 6. Simulation setup using: (a) 12-antenna and (b) 8-antenna arrays.

than 10 dB in free space. An extended measurement of the radiation patterns shows that over the whole operating band, the antenna is unidirectional and steadily radiates along the -axis ( , ) with an average gain of 3.5 dBi. The mutual coupling between any two neighboring array antennas is less than 20 dB, which shows the limited impact of array elements on each other. To build a realistic simulation environment, a 3-D numerical human head phantom containing realistic tissue distributions based on MRI scans with frequency dispersive dielectric properties [11] is used. The ranges of permittivity values for various head tissues over the operating frequency range are illustrated in Fig. 6. The brain injury (bleeding) is emulated by inserting a block 2 2 2 cm of blood inside the head phantom. To verify the possibility of using a small number of antenna elements in the proposed method, two configurations are used in the simulations, as depicted in Fig. 6. The first one uses 12 antenna elements to surround the head, whereas the other uses 8 antenna elements. In both cases, a signal covering the frequency band of 1.1–3.2 GHz is transmitted by one antenna, while the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I IMAGE QUALITY IN THE TWO SIMULATED CONFIGURATIONS

tions. However, some insignificant ghost targets appear in the reconstructed image [see Fig. 7(d)], which are attributed to the residual skin reflections due to the assumption of uniform thicknesses of the outer head layer. To demonstrate the capability of the method in distinguishing between healthy and unhealthy cases, images of a healthy scenario before and after the clutter removal using the eight-antenna array are depicted in Fig. 7(e) and (f). It is clear that the image before a clutter removal includes suspected false targets, whereas the image after the clutter removal indicates a healthy case with very low-intensity areas. In order to investigate the quality and accuracy of the reconstructed images, three quantitative functions, which are presented in [33], are computed. The first parameter is the average target to clutter ratio

(22) which calculates the contrast of the target with respect to the whole imaging domain by dividing the average intensity of the target region, , over the average intensity of the rest of the head. is an acceptance value for a high contrast detection. The second parameter is the maximum target to clutter ratio (23) Fig. 7. Reconstructed images from the simulation environment for unhealthy scenario with 12-antenna array: (a) before and (b) after clutter removal; 8-antenna array, (c) before and (d) after clutter removal; healthy scenario with 8-antenna array, (e) before and (f) after clutter removal. Squares in (a)–(d) show the exact location of the brain injury.

backscattered signal is received by all the antennas. This process is repeated for all of the antennas and the received signals from 365 frequency samples are recorded and processed using the proposed algorithm. The total image reconstruction time of the proposed algorithm using a general-purpose PC having 3.4-GHz CPU and 16-GB RAM is about 13 and 25 s for 8- and 12-element antenna arrays, respectively. Fig. 7 shows the imaging results from the two configurations before and after clutter removal. It is to be noted that the reconstructed images are normalized to the maximum intensity within the imaged area. Fig. 7(a) and (c) demonstrates that the target can be masked by strong background reflections. From Fig. 7(b) and (d), it can be seen that the average subtraction method can properly mitigate the effect of the strong clutter. In these figures, the exact location of bleeding is indicated by a square. The effectiveness of the proposed method in detection and localization of the injury can be realized from these reconstructed images, though with a slight shift in position, the target in the two configura-

which compares the maximum intensity of the target region, with the maximum intensity at any other place within the whole imaging region, . means that the target is accurately detected, means a wrong detection, and means there is a clutter as strong as the target. The last parameter, , is the difference between the actual center of the target, , and the calculated one, , (24) represent better-reconstructed images and Low values of means an ideal case. Knowing the assumed bleeding size and location, the aforementioned metrics are analyzed for the reconstructed images in Fig. 7, and are listed in Table I. Since , the resultant images for both of the two configurations enable the correct detection. The , , and values in this table show that the 12-antenna configuration has obviously higher contrast and is more accurate than the eight-antenna structure. However, the eight-antenna configuration has acceptable metrics values and even presents a higher contrast than the reported values in [33], which uses the same simulation environment with even larger number of antennas (72 monostatic antennas) and confocal imaging method.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZAMANI et al.: FAST FREQUENCY-BASED MULTISTATIC MICROWAVE IMAGING ALGORITHM WITH APPLICATION TO BRAIN INJURY DETECTION

Fig. 8. Measurement platform indicating: 1) realistic head phantom, 2) antenna array, 3) measuring platform, 4) microwave transceiver, 5) switch matrix, and 6) PC.

Based on the resultant values and due to the simplicity and fast data acquisition and image reconstruction processes, the challenging eight-antenna array is selected for the experiments.

7

Fig. 9. Photograph of the internal structure of the fabricated 3-D realistic human head [11]. 1) Exterior section, 2) spinal cord 3) cerebellum, 4) white matter, 5) Dura, 6) gray matter, and 7) CSF.

B. Experimental Results In this section, the measurement setup and experimental results of brain-injury detection using an eight-antenna array and the proposed imaging method is described. To collect multistatic data, the antenna array is integrated with an imaging system including an Agilent N7081A microwave transceiver, which has a maximum dynamic range of 80 dB, a USB-8SPDT-A18 Mini-Circuits microwave switch matrix, and an adjustable measuring platform. Fig. 8 shows the construction of the system hardware. A realistic human head phantom (Fig. 9) is utilized in the experimental system in order to emulate the actual scenario of a patient with bleeding. The head phantom is anatomically realistic along with the realistic electrical properties of the actual human head. The realistic anatomical structure of the phantom is confirmed as the exterior and the internal casts of the phantom is based on MRI-scanned data of a real human head. The details of the composition and the resulting electrical properties of the fabricated tissues are described in [34]. The exterior part of the phantom represents the combined effect of fat, skin, muscular parts, and skull. The eye cavity is filled with the eye representing materials. The interior portion has tissue-imitating properties of the main brain tissues. Blood emulating materials are placed inside the head as the brain-injury target. To investigate the performance of the imaging algorithm, two different sizes of bleeding are inserted in two different locations of the head phantom. In the first case, a 2 2 2 cm target is placed inside the gray matter in the frontal position of the head and in the second scenario, a smaller target 2 1 1 cm is located at the backside of the phantom inside the white matter. After an initial procedure of microwave sensor calibration using the standard open-short-matched load steps, the phantom is located in front of the array. All the antennas of the array are located 1 cm away from the head. In each step, a microwave signal across the band from 1.1 to 3.2 GHz is transmitted by each antenna, whereas the scattered signals are recorded in all the antennas including the transmitting antenna. The received

Fig. 10. Experimental results of: (a) 2 2 2 cm and (b) 2 1 1 cm bleeding in the head phantom. Black rectangles show exact location of target.

signals are recorded in every 6-MHz step and, thus, 365 frequency samples are recorded. With eight antennas, 36 independent signals are captured in 2 s. These data sets are imported in the imaging program and the final images are generated for the two scenarios, as illustrated in Fig. 10. According to Fig. 10, the proposed technique can successfully detect the targets in both of the two scenarios. Fig. 10(b) shows that the reduction in the size of the target has affected the accuracy of the image. Although there is a slight error in the localization of the smaller target, the calculated accuracy metric keeps it in the acceptance range. The calculated quality metrics and for the experimental results with 365 samples show a better contrast than the simulation results ( and ) using the same number of antennas, frequency samples, and target’s size. It is also evident from the reconstructed images from simulations [see Fig. 7(d)] and measurements (Fig. 10) that less ghost targets appear in the experimental results than the simulations. The better performance of the algorithm in the measurements is due to the different residual reflections originated from different simulation and measurement environments. The head model in the simulations consists of all the individual realistic layers of skin, skull, fat, and muscles, while, due to the unavailability of equivalent 3-D printing materials, these layers are modeled by one combined layer in the fabricated phantom [34]. However, the ghost targets do not significantly affect the image quality

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

and the positions of the brain injuries can be easily detected in both of the investigated scenarios. IV. ALGORITHM ACCELERATION The total computational time for the general-purpose computer (3.4-GHz CPU, 16-GB RAM) to generate the image with 365 samples is 13 s for both of the simulations and experiments, which makes the system a quasi-real-time detection and monitoring tool. One of the possible ways to speed up the algorithm is to decrease the number of frequency samples. It can be done by increasing the samples intervals. Thus, it is critical to find the minimum number of frequency samples that produces an image without any deficiency in the quality. To that end, the Nyquist theorem is applied in the frequency domain to find the maximum frequency step (and thus, minimum number of frequency samples) for a reconstructive sampling. It is worthwhile pointing out that this theory is applied in the frequency domain because of the time-limited feature of the received signals. According to the Nyquist theorem, to theoretically be able to recover the whole data, the sampling step should be less than , where is the time width of the time-limited signal. If the Nyquist criterion is not satisfied (under-sampling), a portion of the data will be missed, causing overlapping of the reconstructed signals and resulting in an image with wrong and/or multiple targets. Oversampling (sampling with higher rate than the Nyquist rate) improves resolution and helps avoid overlapping, but requires additional time for measurements and processing. By considering the time width of the received signals equal to the data acquisition time, which is roughly around 8 ns in the considered imaging domain, the sampling step is MHz and according to the signal bandwidth of samples is GHz MHz

(25)

, the minimum number

Fig. 11. Resultant images from experiments using: (a) 360, (b) 100, (c) 60, (d) 34, (e) 25, and (f) 15 frequency samples. Black squares are exact location of bleeding. TABLE II EFFECT OF NUMBER OF SAMPLES ON THE IMAGE QUALITY

(26)

To examine the effect of number of samples on the reconstruction time and image quality, the proposed method is applied on the case depicted in Fig. 10(a) using different numbers of frequency samples. The obtained images are depicted in Fig. 11, whereas their quantitative metrics are presented in Table II. It is clear from Fig. 11 and Table II that reducing the number of frequency samples surely reduces the quality of the obtained image. However, Fig. 11(d) shows that using a minimum of 34 frequency samples (Nyquist rate) can successfully enable the detection of the target in short time deeming the approach quasi-real-time. To, further, demonstrate the adequacy of the proposed number of frequency samples, the proposed algorithm is applied to the case of small target [see Fig. 10(b)] and the simulation data [see Fig. 7(d)] using 34 samples. The obtained images in Fig. 12 confirm the successful detection of brain injuries with a limited number of samples in experiment with small sized injuries and in simulations with more complicated environment.

The total computational time to generate the images with 34 samples is less than 2 s, which makes the algorithm seven times faster than using the full data set (365 samples). V. COMPARISON For comparison purposes, two multistatic time-domain methods (MDAS [9] and MAMI [15]) are used to process the same simulated and measured datasets. The MDAS and MAMI methods use data-independent weight vectors to estimate the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ZAMANI et al.: FAST FREQUENCY-BASED MULTISTATIC MICROWAVE IMAGING ALGORITHM WITH APPLICATION TO BRAIN INJURY DETECTION

9

TABLE III PERFORMANCE COMPARISON BETWEEN PROPOSED, MDAS, AND MAMI METHODS

Fig. 12. Reconstructed image of the indicated brain injury for: (a) measured data and (b) simulation using 34 frequency samples.

MDAS methods fail to detect the target as with a relatively large values for . For the processing time, it is clear that the proposed method is much faster than MDAS and MAMI, which is quite slow due to the need to apply different stages of beamforming. In summary, the proposed method achieves images that are more accurate in a faster way than the existing multistatic time-domain algorithms. VI. CONCLUSION

Fig. 13. Comparison of reconstructed images using: (a) MDAS and (b) MAMI. The images on the left side are for measured data and images on the right are for simulated. Black squares illustrate the actual location of the target.

backscattered energy from the focal point inside the imaging region. In comparison to MDAS, MAMI uses two steps robust capon beamforming to compensate the dispersive effects. Fig. 13 shows the obtained images using the aforementioned methods to process the simulated and measurement data. It is obvious from Fig. 13 that both MDAS and MAMI methods can detect the target in the measured scenario, though with some error in localization. However, those methods cannot detect the target in the simulated scenario, which has the realistic complex environment with all the head’s tissues. The presence of maximum values on the edge of the depicted images implies that those methods cannot completely cancel the multiple tissues’ reflections and, thus, the target is buried in the clutter. In comparison, the proposed method can accurately detect the target in the same simulated environment [see Fig. 7(d)]. The images’ metrics (left images in Fig. 13), which show a detected target, obtained using MDAS and MAMI with their processing time, are calculated and compared with the proposed method counterparts as shown in Table III. The MAMI and

A frequency-based multistatic processing and image reconstruction algorithm aimed at brain injuries detection has been presented. In the first step, the algorithm uses the average trace subtraction to remove the strong skin interface and background reflections. The second step of the algorithm involves using the first kind of first-order Bessel function in the frequency domain to calculate power distributions inside the head using the measured multistatic data. Thus, the presented approach removes the need to solve time-domain or nonlinear inverse problems. The fast computation, simplicity, and stability of the results are the main advantages of the proposed algorithm over the conventional ones. The proposed approach has been validated using realistic simulation and experimental environments. It has been shown that using data from only an array of eight antennas and 34 frequency samples, which meets the Nyquist limit, within the 1.1–3.2-GHz band, can successfully detect different sizes of brain injury at different locations. The proposed approach can be considered a quasi-real-time imaging technique as its computation time using a general-purpose computer is less than 2 s. It has also been shown that the proposed frequency-domain method is faster, more accurate with better clutter rejection capability than existing multistatic time-domain methods. REFERENCES [1] N. D. Zasler, D. I. Katz, and R. D. Zafonte, Brain Injury Medicine: Principle and Practice, 2nd ed. New York, NY, USA: Demos Medical, 2013. [2] R. Raghupathi, “Cell death mechanisms following traumatic brain injury,” Brain Pathol., vol. 14, no. 2, pp. 215–222, 2004. [3] S. Y. Semenov, “Microwave-tomographic imaging of the high dielectric-contrast objects using different image-reconstruction approaches,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 7, pp. 2284–2294, Jul. 2005. [4] A. H. Golnabi, P. M. Meaney, and K. D. Paulsen, “Tomographic microwave imaging with incorporated prior spatial information,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2129–2136, May 2013. [5] M. Jalilvand, W. Chuanren, J. Schmid, and T. Zwick, “Quantitative imaging of numerically realistic human head model using microwave tomography,” Electron. Lett., vol. 50, no. 4, pp. 255–256, Feb. 2014. [6] M. Pastorino, Microwave Imaging. Hoboken, NJ, USA: Wiley, 2010.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[7] Q. H. Liu, Z. Q. Zhang, T. Wang, J. A. Bryan, G. A. Ybarra, L. W. Nolte, and W. T. Joines, “Active microwave imaging—I: 2-D forward and inverse scattering methods,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 1, pp. 123–133, Jan. 2002. [8] X. Li and S. C. Hagness, “A confocal microwave imaging algorithm for breast cancer detection,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 130–132, Mar. 2001. [9] R. Nilavalan, A. Gbedemah, I. J. Craddock, X. Li, and S. C. Hagness, “Numerical investigation of breast tumour detection using multi-static radar,” Electron. Lett., vol. 39, no. 25, pp. 1787–1789, Dec. 2003. [10] S. Mustafa, B. Mohammed, and A. M. Abbosh, “Novel preprocessing techniques for accurate microwave imaging of human brain,” IEEE Antennas Wireless Propag. Lett., vol. 12, no. 1, pp. 460–463, 2013. [11] A. T. Mobashsher, A. M. Abbosh, and Y. Wang, “Microwave system to detect traumatic brain injuries using compact unidirectional antenna and wideband transceiver with verification on realistic head phantom,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 1826–1836, Sep. 2014. [12] X. Li, E. J. Bond, B. D. Van Veen, and S. C. Hagness, “An overview of ultra-wideband microwave imaging via space-time beamforming for early-stage breast-cancer detection,” IEEE Antennas Propag. Mag., vol. 47, no. 1, pp. 19–34, Feb. 2005. [13] D. Byrne and I. Craddock, “Time-domain wideband adaptive beamforming for radar breast imaging,” IEEE Trans. Antennas Propag., vol. 63, no. 4, pp. 1725–1735, Apr. 2015. [14] M. O’Halloran, E. Jones, and M. Glavin, “Quasi-multistatic MIST beamforming for the early detection of breast cancer,” IEEE Trans. Biomed. Eng., vol. 57, no. 4, pp. 830–840, Apr. 2010. [15] Y. Xie, B. Guo, L. Xu, J. Li, and P. Stoica, “Multistatic adaptive microwave imaging for early breast cancer detection,” IEEE Trans. Biomed. Eng., vol. 53, no. 8, pp. 1647–1657, Aug. 2006. [16] M. Persson et al., “Microwave-based stroke diagnosis making global prehospital thrombolytic treatment possible,” IEEE Trans. Biomed. Eng., vol. 61, no. 11, pp. 2806–2817, Nov. 2014. [17] A. Zamani, A. T. Mobashsher, B. J. Mohammed, and A. M. Abbosh, “Microwave imaging using frequency domain method for brain stroke detection,” in IEEE IMWS-Bio, London, U.K., 2014, pp. 1–3. [18] N. Ghavami, G. Tiberi, D. J. Edwards, and A. Monorchio, “Microwave imaging through a mode-matching bessel functions procedure,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2753–2760, Aug. 2013. [19] A. Zamani and A. M. Abbosh, “Fast multi-static technique for microwave brain imaging,” in IEEE APS/URSI, Vancouver, BC, Canada, 2015, pp. 536–537. [20] M. Chiappe and G. L. Gragnani, “An analytical approach to the reconstruction of the radiating currents in inverse electromagnetic scattering,” Microw. Opt. Technol. Lett., vol. 49, no. 2, pp. 354–360, 2007. [21] A. Zamani, S. A. Rezaeieh, and A. M. Abbosh, “Lung cancer detection using frequency-domain microwave imaging,” Electron. Lett., vol. 51, no. 10, pp. 740–741, 2015. [22] A. M. Abbosh, A. Zamani, and A. T. Mobashsher, “Real-time frequency-based multistatic microwave imaging for medical applications,” in IEEE IMWS-Bio, Taipei, Taiwan, 2015, pp. 127–128. [23] S. A. Rezaeieh, A. Zamani, K. S. Bialkowski, A. Mahmoud, and A. M. Abbosh, “Feasibility of using wideband microwave system for noninvasive detection and monitoring of pulmonary oedema,” Sci. Rep., vol. 5, no. 14047, pp. 1–11, 2015. [24] A. Zamani and A. M. Abbosh, “Hybrid clutter rejection technique for improved microwave head imaging,” IEEE Trans. Antennas Propag., vol. 63, no. 11, pp. 4921–4931, Nov. 2015. [25] R. Solimene and A. Cuccaro, “Front wall clutter rejection methods in TWI,” IEEE Geosci. Remote Sens. Lett., vol. 11, no. 6, pp. 1158–1162, Jun. 2014. [26] A. Zadehgol and A. C. Cangellaris, “Isotropic spatial filters for suppression of spurious noise waves in sub-gridded FDTD simulation,” IEEE Trans. Antennas Propag., vol. 59, no. 9, pp. 3272–3279, Sep. 2011. [27] T. Tu, C. Chen, and C. Chang, “A noise subspace projection approach to target signature detection and extraction in an unknown background for hyperspectral images,” IEEE Trans. Geosci. Remote Sens., vol. 36, no. 1, pp. 171–181, Jan. 1998. [28] M. Dehmollaian, M. Thiel, and K. Sarabandi, “Through-the-wall imaging using differential SAR,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1289–1296, May 2009.

[29] P. Kosmas and C. Rappaport, “Time reversal with the FDTD method for microwave breast cancer detection,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 7, pp. 2317–2323, Jul. 2005. [30] A. Ishimaru, Wave Propagation and Scattering in Random Media. New York, NY, USA: Academic, 1978. [31] R. Kress, “Inverse obstacle scattering with modified or reduced data,” in Scattering Theory and Biomedical Engineering Modelling and Applications, Dassios, Ed. et al. Singapore: World Sci., 2000, pp. 10–18. [32] R. P. Millane and J. L. Eads, “Polynomial approximations to Bessel functions,” IEEE Trans. Antennas Propag., vol. 51, no. 6, pp. 1398–1400, Jun. 2003. [33] A. T. Mobashsher and A. M. Abbosh, “Slot-loaded folded dipole antenna with wideband and unidirectional performance for L-band applications,” IEEE Antennas Wireless Propag. Lett., vol. 13, no. 1, pp. 798–801, 2014. [34] A. T. Mobashsher and A. M. Abbosh, “Three-dimensional human head phantom with realistic electrical properties and anatomy,” IEEE Antennas Wireless Propag. Lett., vol. 13, no. 1, pp. 1401–1404, 2014.

Ali Zamani (S’14) received the B.S. degree in electronic engineering from Isfahan University, Isfahan, Iran, in 2008, the M.S. degree in electrical engineering from the Iran University of Science Technology, Tehran, Iran, in 2012, and is currently working toward the Ph.D. degree at the University of Queensland, St. Lucia, Brisbane, Australia. In 2014, he joined the Microwave Research Group, University of Queensland. His research interests include computational electromagnetics, signal processing, and microwave imaging. Mr. Zamani was a recipient of the Australia Endeavour Postgraduate Scholarship in 2014. He has also singled out for The Maude Walker Scholarship 2014 from The University of Queensland.

Amin M. Abbosh (SM’08) received the B.Sc degree in electrical engineering, M.Sc degree in communication systems, Ph.D. degree in microwave engineering, Graduate Certification in higher education, in 2008, and the Doctor of Engineering (DEng) degree from the University of Queensland in 1984, 1991 and 1996, 2008, and 2013, respectively. He is currently the Microwave Group Leader and Director of postgraduate studies with the School of Information Technology and Electrical Engineering (ITEE), University of Queensland, St. Lucia, Brisbane, Australia. He has authored more than 300 papers on wideband passive microwave devices, planar antennas, and microwave-based imaging systems. He is an Associate Editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS and IET Electronics Letters.

Ahmed Toaha Mobashsher (S’12) received the B.Sc. degree in electrical and electronic engineering from the Chittagong University of Engineering and Technology, Chittagong, Bangladesh, in 2008, the M.Sc. degree in electrical, electronic, and systems engineering from the Universiti Kebangsaan Malaysia, Bangi Selangor, Malaysia, in 2011, and is currently working toward the Ph.D. degree at the School of Information Technology and Electrical Engineering, University of Queensland, St. Lucia, Brisbane, Australia. . He has authored or coauthored over 50 scientific publications on antennas for ultra-wideband, RF identification, and biomedical systems. His current research interest is focused on wideband microwave imaging systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Opto-Electronic Oscillator With Quality Multiplier Luka Bogataj, Matjaž Vidmar, and Boštjan Batagelj

Abstract—This paper presents an opto-electronic oscillator (OEO) with a regenerative electronic circuit that increases the selectivity of the oscillator’s loop. The regenerative circuit works as a multiplier of the bandpass filter’s quality factor. This makes it possible to realize very narrow bandwidths and thus increase the side-mode suppression ratio of the OEO. Our measurements show an almost 20-dB increase in the suppression of the side modes at the expense of an increase in the phase noise by approximately 4 dB at a 1-kHz frequency offset. Index Terms—Feedback, opto-electronic oscillator (OEO), phase noise, q-multiplier, quality factor, regenerative circuit, short-term stability, side mode, suppression.

I. INTRODUCTION

T

HE opto-electronic oscillator (OEO) is a well-known solution for generating high-frequency signals with low phase noise, first described by Yao and Maleki in 1995 [1]. Since the invention, an extensive research has been carried out by different researchers [2]. The main design issues are improving the long-term stability [3], [4], reducing the power of the side modes [5]–[12], reducing the number of electrical components [13]–[17], and optimizing the phase-noise performance [18]–[20]. In this paper we focus on how to increase the side-mode suppression ratio (SMSR). We propose a method in which an additional electrical circuit is used to decrease the bandwidth of the bandpass filter used in the OEO’s loop. The reason for adding an electrical circuit to the filter is because of the physical limitations when designing very narrow bandpass filters. Different authors have already suggested a number of solutions for overcoming the filter’s bandwidth problem. In addition to an increase in the SMSR, the effect of a particular method on the phase noise is also important. To increase the SMSR of an OEO the addition of a second optical path is suggested in [5]–[7]. A more than 30-dB improvement in the SMSR is reported in [5] because of the dual-loop configuration. In [6], the authors used an optical-only dual-loop configuration and achieved a 60-dB increase in the SMSR. An additional loop-gain control was suggested in [7] for an OEO

Manuscript received March 26, 2015; revised June 29, 2015, November 28, 2015, and December 15, 2015; accepted December 17, 2015. L. Bogataj and M. Vidmar are with the Faculty of Electrical Engineering, Radiation and Optics Laboratory, University of Ljubljana, 1000 Ljubljana, Slovenia (e-mail: [email protected]; [email protected]). B. Batagelj is with the Faculty of Electrical Engineering, Radiation and Optics Laboratory, University of Ljubljana, 1000 Ljubljana, Slovenia, and also with the Centre of Excellence for Biosensors, Instrumentation and Process Control, SI-5270 Ajdovščina, Slovenia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2015.2511755

with an optical-only dual loop to provide an additional 20-dB increase in the SMSR. The use of a dual-injection-locked opto-electronic oscillator (DIL OEO) was also suggested as a way of increasing the SMSR [8], [9]. An SMSR higher than 140 dB is reported in [8], while in [9] an SMSR of approximately 130 dB is reported. In [10], we described a method where additional phase modulation of the OEO’s loop was used to increase the SMSR. A 5-dB improvement was achieved. In [11], the authors achieved a 40-dB increase in the SMSR for a coupled OEO with the use of an RF interferometer. The authors of [12] used an ultra-high finesse etalon as a photonic filter. With its bandwidth of 15 kHz they managed to suppress the side modes below the phase noise for a 10-GHz carrier signal. To increase the SMSR in a single-loop OEO we herein propose a microwave bandpass filter with a quality multiplier (FQM). In contrast to our previously proposed method with additional phase modulation [10], where suppression ratio is increased with the usage of extracted side modes, quality multiplier (QM) increases SMSR with a decreased loop bandwidth. The QM was introduced after the invention of a regenerative receiver. It is not clear who was the first to invent the regenerative circuit, but it is usually attributed to Armstrong [21]. The QM is a positive feedback loop that increases the selectivity and the gain of a related circuit [22]. If the QM is added to a bandpass filter, both its bandwidth and the insertion loss decrease. We used a single-loop OEO for reasons of simplicity, performance, and the fact that the number of components is reduced to a minimum. A dual-loop OEO uses two optical delay lines and a DIL OEO uses at least twice as many components as a single-loop OEO. Some authors have also reported stability problems with injection locking [8], and it has also been reported that a short loop in the dual-loop OEO increases the phase noise compared to a long loop [5]. Despite the fact that some authors suggest replacing an electronic amplifier with an optical one [13], or with an optical link with gain [14], we decided to use microwave amplifiers in our experiment to introduce a further simplification and a reduction in the cost. To avoid electrical amplifiers, a class-E analog fiber-optic link was also proposed for an OEO, and an SMSR of 62 dB was achieved for carrier frequencies around 70 MHz [15]. An all-electronic solution for additional side-mode suppression is suggested because of the easily obtainable electrical components. Optical components, such as optical filters, are very specialized and not widely available. Such an example is the already-mentioned ultra-high finesse Fabry–Perot etalon [12]. Another reason for an all-electronic solution is the performance of the optical filters. For example, the open-loop band-

0018-9480 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Schematic diagram of a FQM, custom built in our laboratory and used in the experiments. Two microwave amplifiers, a variable phase shifter and a variable attenuator represent the QM and the positive feedback to a bandpass filter.

width of an OEO with a photonic filter based on phase-modulation to intensity-modulation conversion using a phase-shifted fiber Bragg grating was reported to be around 20 MHz [16]. An SMSR of 95 dB was achieved for a free spectral range (FSR) of 400 kHz. However, this was not sufficient for our research. The trend for replacing electrical components with optical ones can be seen in the literature. Such an example is an OEO with a resonant tunneling diode oscillator that is integrated with a photodetector [17]. The authors achieved an SMSR of 36 dB. The operating frequency was 1.4 GHz and the FSR was 154 kHz. Despite a major reduction in the size and the number of components, the SMSR performance of this solution cannot be compared to a dual-loop OEO or a DIL OEO, as previously mentioned. In Section II, we explain the basic structure of an FQM. The experimental OEO’s structure and the tuning of an OEO with a FQM are explained in Section III. In the same section, phasenoise measurements are also presented. In Section IV, the results from our experiments are compared to different known methods, which were already briefly described in this section. II. QM Our experimental FQM is shown in Fig. 1, and in Fig. 2 there is a photograph. Two microwave amplifiers, a variable attenuator and a variable phase shifter represent the QM and the positive feedback. A Wilkinson power combiner and divider are used for dividing a portion of the FQM’s output signal to the QM and combining the QM’s output signal with the FQM’s input signal. The variable attenuator and the variable phase shifter are used to tune the FQM. The transmission parameter of the FQM from Fig. 1 can be described with (1). in (1) represents the transmission coefficient of the bandpass filter alone at its central frequency . is a transmission coefficient of the input Wilkinson combiner from the FQM’s input port to the input of a bandpass filter. A detailed explanation of the transmission coefficients is presented in Fig. 3. is a transmission coefficient of the output Wilkinson divider from the output of the filter to the FQM’s output. represents the filter’s loaded quality factor and is the FQM’s multiplication factor. The factor can be expressed with (2). In (2), and represent the transmission coefficients of the Wilkinson dividers, where port 2 is the port that

Fig. 2. Photograph of the constructed FQM. The bandpass filter is enclosed in a thermally nonconductive foam with an attached thermo-electric cooler and thermistor. This explains the electric wires, which are seen coming out of the filter. This feature of the bandpass filter was not used in the experiments, as explained in this paper.

Fig. 3. Detailed explanation of the transmission coefficients of an input-power combiner and an output-power divider used in an experimental FQM.

is connected to the QM in both cases, as shown in Fig. 3. is a combined transmission coefficient of the QM, (1) (2) From (1) it is clear that the loaded quality factor of the bandpass filter is multiplied by the factor . Additionally, the combined transmission coefficient of the filter and both the Wilkinson power dividers are also multiplied by . In our experimental case the factor from (2) depends only on the transmission coefficient of QM . , , and have constant values. The factor depends only on the QM gain if the FQM’s loop phase equals a multiple of at the bandpass filter’s central frequency. In this case the FQM has an optimum response. The latter was achieved with a variable phase shifter in the QM. For the purposes of the experimental measurements, an FQM, the configuration of which is shown in Fig. 1, was built

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BOGATAJ et al.: OEO WITH QM

Fig. 4. Measurements of the custom-built FQM. (a) Dependence of the mulon the loop gain. (b) Comparison of the bandpass filter’s tiplication factor bandwidth with the FQM bandwidth (FQM 1). The bandwidth of the FQM is nine times narrower (40 kHz instead of 360 kHz). The curves FQM 2 and FQM 3 represent the frequency response when the FQM is not in the optimum regime.

in our laboratory. A photograph is shown in Fig. 2. A dielectric loaded cavity resonator was used for the bandpass filter with a bandwidth of 360 kHz and a 10-dB insertion loss. The cavity’s -factor was approximately 8300 at 3 GHz, which was also the frequency of the operation. We used two commercial amplifiers in a feedback loop. The first (a low-noise pseudomorphic HEMT (pHEMT) amplifier) had a saturation power of 20 dBm and gain of 8 dB. The saturation power of the second one (a pHEMT power amplifier) was 31 dBm and the gain was 11 dB. All these values were measured. A continuously variable attenuator was required to change the gain of the QM and, therefore, the factor . If the attenuator was adjusted below a certain value of the attenuation, the FQM started to oscillate. In this case the gain of the FQM’s loop was greater than 1. This had to be avoided for the proper operation of our constructed FQM. The FQM shown in Fig. 1 was measured with a network analyzer. The factor of the FQM was measured as a function of the FQM’s loop gain and is shown in Fig. 4(a). It is clear that the factor increases with the loop gain. Fig. 4(b) shows the FQM’s transmission coefficient when the factor equals 9 and for three different settings of the variable phase shifter in the QM. The bandpass filter alone is shown with a solid line. The vertical axis in Fig. 4(b) is normalized to a bandpass-filter insertion loss. The curve FQM 1 shows the FQM’s when the FQM has an optimum response. It is shown that the QM reduces the insertion loss by 4 dB. In this case the -factor increases to 75 000. The filter’s bandwidth of 360 kHz is reduced to 40 kHz. The curves FQM 2 and FQM 3 represent when the FQM’s loop phase is of the optimum response. An undesirable side effect of a QM is the increase in the electronic noise temperature. The effects of the quality increase and

3

Fig. 5. Experimental OEO with the implemented FQM.

the noise increase cancel out in Lesson’s equation [23], making a QM useless in an all-electronic oscillator. The electronic noise temperature is intrinsically much higher in an OEO due to the noisy electrooptical delay line. In the latter case, a QM adds a small amount of electronic noise, compared to a delay line, therefore making the use of a QM the rational choice. The FQM’s noise figure also increases with the factor . If the loop gain is increased from 12 to 2 dB, the factor is multiplied by almost 9, as shown in Fig. 4(a). For the same loopgain range, a 3-dB increase in the noise figure was measured for the FQM. The flicker noise was not measured. The authors of [24] report that the flicker noise of the regenerative amplifier increases with the gain of the feedback loop. Since the FQM can be considered as a regenerative amplifier, we therefore assume that the higher the multiplication factor, the higher the flicker noise. III. EXPERIMENTAL OEO In our experimental configuration the FQM from Fig. 2 was implemented in a 3-GHz OEO with 15 km of G.652D singlemode optical fiber, shown in Fig. 5. A photograph of the constructed OEO is shown in Fig. 6. The FSR of the constructed OEO was 12.4 kHz. We are aware that at 3 GHz the OEO does not exhibit superior performance compared to well-known solutions such as a quartz oscillator with a multiplier. With a quartz-crystal oscillator at 100 MHz it is possible to achieve a phase noise of 140 dBc/Hz at a 100-Hz frequency offset [25]. If this is multiplied to a frequency of 3 GHz, the phase noise increases by approximately 30 dB, as a result of the multiplication. At a 100-Hz offset the phase noise of the 3-GHz signal is then 110 dBc/Hz. As is seen latter in this section, this is better than our oscillator. The 3-GHz frequency was chosen because of the measurement equipment’s limitations and because of the availability of the hardware in our laboratory. In addition, we believe it is more practical to develop a concept at lower frequencies. Another important factor that led us to this

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Available spectra for two different settings of the phase shifter in the OEO’s loop. The difference between the curves available spectrum 1 and available spectrum 2 is in a phase change in the OEO’s main loop.

Fig. 6. Photograph of the measurement setup and the constructed OEO with FQM. It is possible to observe that an isolator is added before the FQM and a variable attenuator after the FQM. These two elements do not affect the performance of the OEO, which is presented in this paper, and are therefore not necessary. The reason for additional elements is that exactly the same setup was used for a number of measurements.

decision was the independence of the OEO phase noise from the operating frequency [1]. Directly modulated, a semiconductor distributed-feedback laser with a wavelength of 1550 nm was used as the optical source and an InGaAs p-i-n photodiode was used for the signal detection. For the photodiode signal’s pre-amplification, a commercial single bipolar junction transistor in class A was used. A commercial monolithic microwave integrated circuit (MMIC) was used for the additional amplification. In [18] it was shown that the phase noise of an OEO is a result of the Brillouin and Rayleigh scattering, among other reasons, such as the laser’s relative intensity noise and the photodetector’s flicker noise [19]. The authors of [18] suggested using an additional laser-frequency modulation. In [20], guided-entropy-mode Rayleigh scattering (GEMRS) was investigated as a source of noise in an analog optical transmission line. Phase modulation was suggested to decrease this type of noise. In our experiment, the laser diode was additionally intensity modulated as this was the only way to modulate a commercial laser module. Due to the direct laser modulation, its frequency was modulated as a side effect. An additional 0-dBm 20-MHz modulation signal was chosen by experimentation. We found that frequencies higher than 20 MHz and powers lower than 0 dBm do not decrease the phase noise as well as the lower frequencies, such as 20 MHz and powers higher than 0 dBm. There was also no noticeable decrease in the phase noise for frequencies below 20 MHz or power levels above 0 dBm compared to a 20-MHz and 0-dBm modulation signal. Therefore, we chose the highest frequency and the lowest power. An additional modulation signal was led to the laser’s input through a combiner of high- and low-frequency signals, which was custom made in our laboratory. The estimated noise figure of our electrooptical delay line is around 40 dB, with all our noise-reduction countermeasures in place. For the phase-noise measurement, the signal source analyzer with a two-channel cross-correlation was used. It was connected

to the 3-dB power divider located before the laser’s modulation input. For monitoring the signal spectrum and the FQM’s output power, a spectrum analyzer was used, connected to a 10-dB coupler. Before the FQM in the OEO’s loop, another 10-dB coupler was used to measure the FQM’s input power with a power probe. The measurement equipment is shown in Fig. 6. Our experimental OEO from Fig. 5 is similar to the self-injection-locked oscillator presented in [26]. The electrical cavity oscillator in [26] has an almost identical structure to our FQM. The main difference is that the FQM has a loop gain, which is lower than 1 and therefore does not oscillate. The oscillation is provided by the electrooptical loop. In [26] the oscillation is provided by the electrical cavity oscillator, and injection locking with an electrooptical loop is used to lower its phase noise. A. FQM Tuning When the FQM is inserted into the OEO, the OEO’s loop impedances affect the FQM’s properties compared to the matched impedances of the network analyzer. Therefore, the FQM has to be tuned when already implemented into an OEO. There are two mechanisms for adjusting the frequency in an OEO with an implemented FQM. One is to change the oscillator’s loop delay time. In our experimental case, this was achieved with a variable phase shifter, located in the oscillator’s loop, as shown in Fig. 5. The second mechanism is to vary the QM’s phase in the FQM itself. By changing the loop delay time, it is possible to achieve a continuous change of the frequency. When the phase in the QM is altered, the frequency change is not continuous. There are regions where the oscillation stops. This is shown in Fig. 7, where the oscillator’s signal is shown as being measured with a spectrum analyzer using the “max-hold” function while changing the phase in the QM. The peaks in Fig. 7, which represent the available spectrum (AS), are spaced apart by the FSR. The max-hold spectrum was recorded for two different settings of the phase shifter in the oscillator’s loop. The difference in the phase for these two settings is . The two ASs are marked as available spectrum 1 and available spectrum 2 in Fig. 7. As is known from [1], the change in frequency for an FSR means an exactly phase change in the OEO’s loop. This is one of the reasons for the repetitive character of the AS along with the Barkhausen amplitude criterion and the phase interactions between the FQM and the OEO’s loop. The AS is wider if

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BOGATAJ et al.: OEO WITH QM

5

TABLE I FQM SIGNAL LEVELS AND BANDWIDTH

TABLE II COMPARISON OF OEOS WITH DIFFERENT STRUCTURES

Fig. 8. Phase-noise comparison between the OEO with and without the FQM.

the amplifiers in the OEO’s loop are deeper in terms of saturation. To tune the OEO with the FQM for optimum loop conditions (a narrower FQM bandwidth), the phase shifter in the OEO loop has to be set to a value where there will be an odd number of peaks in the AS. In Fig. 7, this is shown as available spectrum 2. The phase in the QM then has to be set to a value where the OEO will oscillate at the middle peak in the AS. B. Phase Noise In Fig. 8 the phase noise of the OEO with the FQM is compared to the OEO without the FQM. The latter was also constructed and measured in our laboratory. Single-mode operation was achieved with the same bandpass filter as is shown in Fig. 2 for the FQM. To compensate for the insertion loss of the passive bandpass filter, additional amplifiers were added in the loop. It is clear that the FQM increases the phase noise. The increase at the 10-Hz offset was approximately 2 dB, and at 1 kHz it was 4 dB. The lowest phase noise before the first side mode was 140 dBc/Hz at 8 kHz in an OEO without an FQM. When the FQM was added, the phase noise increased to a value of 135 dBc/Hz at 7 kHz. This means an increase in the phase noise by 5 dB. The decrease in the frequency is due to the increased delay time in the OEO’s loop because of the FQM. Despite the phase-noise increase due to the FQM, it increases the SMSR by 18 dB for the first side mode. For the second and third side modes, the increase in the SMSR equals 20 dB. In Table I, the power levels at the input and output of the FQM are shown. The input and output power levels are approximately 10 dBm. This means that the FQM gain is 0 dB and that the reduction factor of the filter’s bandwidth equals 8. In this case the OEO’s open-loop bandwidth was 45 kHz instead of 360 kHz. IV. COMPARISON AND DISCUSSION In Table II, the performance parameters of the DIL OEO [8], the dual-loop OEO [6], and the OEO with an optical filter

(Fabry–Perot etalon) [12] are compared to the OEO with the FQM. These three configurations of OEO were chosen because they have the closest SMSR to our experimental OEO of all the configurations mentioned in Section I. It can be seen that the DIL OEO and the OEO with an optical filter are better than the OEO with the FQM and the dual-loop OEO with respect to the SMSR. The DIL OEO [8] has by far the best SMSR presented in the literature to the best of our knowledge. The OEO with an optical filter had an open-loop bandwidth of 15 kHz, which was three times narrower than our FQM. The dual-loop OEO seems to perform worse. As is clear from Table II, the authors in [6] report that they managed to suppress the side modes below the phase-noise level, which was at 110 dBc/Hz at the FSR offset. It should be noted that the SMSR in the dual-loop OEO and the OEO with the optical filter could be higher if the authors were able to achieve a lower phase noise. The authors of the dual-loop OEO presented in [5] achieved a phase noise of approximately 140 dBc/Hz for offset frequencies higher than 10 kHz (without considering any unwanted peaks). However, the SMSR of their OEO was still higher than that of the dual-loop OEO from Table II. The DIL OEO and the OEO with the optical filter have a better SMSR than the OEO with the FQM. The main advantages of the OEO with the FQM are a smaller number of components than the DIL OEO and more easily obtainable components than the OEO with the optical filter. The DIL OEO requires two OEOs. The OEO with an optical filter uses a Fabry–Perot etalon, which is a very specialized component. This considered, the OEO with the FQM represents a more economical solution. Besides that, the OEO with the FQM in our experiment had the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

longest fiber and thus the lowest FSR. A low FSR increases the SMSR. Our experimental OEO had a lower operating frequency than the other configurations, as can be seen from Table II. To achieve similar results at higher frequencies the FQM’s multiplication factor should increase because of the wider bandpass filter. This would affect the phase-noise performance, but should maintain the SMSR ratio. However, further investigations are necessary. A fair comparison of the phase-noise performance is not possible at this stage because of the different frequencies and the different fiber lengths.

V. CONCLUSION The OEO with the FQM represents an effective low-cost solution to increase the SMSR. The phase noise is increased as an unwanted side effect, probably due to the residual phase noise of the FQM, as a result of the increased flicker noise and the noise figure of the FQM. Experiments at higher frequencies are planned in the future to additionally evaluate the properties of the OEO with the FQM for a comparison with other solutions.

ACKNOWLEDGMENT The authors would like to thank InLambda BDT d.o.o. for their help with opto-electronics design.

REFERENCES [1] X. S. Yao and L. Maleki, “A light-induced microwave oscillator,” JPL, Pasadena, CA, USA, TDA Progress Rep. 42-123, 1995. [2] B. Batagelj, L. Bogataj, and M. Vidmar, “Key properties and design issues for an opto-electronic oscillator,” in 17th Int. Transport. Opt. Netw. Conf. , Budapest, Hungary, 2015, pp. 1–4. [3] D. Eliyahu, K. Sariri, M. Kamran, and M. Tokhmakhian, “Improving short and long term frequency stability of the opto-electronic oscillator,” in Proc. IEEE Int. Freq. Control Symp./PDA Exhib., 2002, pp. 580–583. [4] L. Bogataj, M. Vidmar, and B. Batagelj, “A feedback control loop for frequency stabilization in an opto-electronic oscillator,” J. Lightw. Technol., vol. 32, no. 20, pp. 3690–3694, Oct. 2014. [5] D. Eliyahu and L. Maleki, “Low phase noise and spurious level in multi-loop opto-electronic oscillators,” in Proc. IEEE Int. Freq. Control Symp./PDA Exhib., 2003, pp. 405–140. [6] J. Yang, Y. Jin-Long, W. Yao-Tian, Z. Li-Tai, and Y. En-Ze, “An optical domain combined dual-loop optoelectronic oscillator,” IEEE Photon. Technol. Lett., vol. 19, no. 11, pp. 807–809, Jun. 2007. [7] J. Cho, H. Kim, and H. Sung, “Reduction of spurious tones and phase noise in dual-loop OEO by loop-gain control,” IEEE Photon. Technol. Lett., vol. 27, no. 13, pp. 1391–1393, Jul. 2015. [8] W. Zhou and G. Blasche, “Injection-locked dual opto-electronic oscillator with ultra-low phase noise and ultra-low spurious level,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 3, pp. 929–933, Mar. 2005. [9] O. Okusaga et al., “Spurious-mode suppression in optoelectronic oscillators,” in Proc. IEEE Int. Freq. Control Symp., 2010, pp. 539–543. [10] L. Bogataj, M. Vidmar, and B. Batagelj, “Improving the side-mode suppression ratio and reducing frequency drift in an opto-electronic oscillator with a feedback control loop and additional phase modulation,” J. Lightw. Technol., Dec. 2015, to be published. [11] X. S. Yao, L. Davis, and L. Maleki, “Coupled optoelectronic oscillators for generating both RF signal and optical pulses,” J. Lightw. Technol., vol. 18, no. 1, pp. 73–78, Jan. 2000.

[12] M. Bagnell, J. Davila-Rodriguez, and P. Delfyett, “Millimeter-wave generation in an optoelectronic oscillator using an ultrahigh finesse etalon as a photonic filter,” J. Lightw. Technol., vol. 32, no. 6, pp. 1063–1067, Mar. 2014. [13] P. S. Devgan, V. J. Urick, J. F. Diehl, and K. J. Williams, “Improvement in the phase noise of a 10 GHz opto-electronic oscillator using allphotonic gain,” J. Lightw. Technol., vol. 27, no. 15, pp. 3189–3193, Aug. 2009. [14] C. W. Nelson, A. Hati, D. A. Howe, and W. Zhou, “Microwave optoelectronic oscillator with optical gain,” in Proc. IEEE Int. Freq. Control. Symp., 2007, pp. 1014–1019. [15] W. D. Jemison, T. A. Wey, and A. Paolella, “A new optoelectronic oscillator topology based on a class E analog fiber optic link,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 736–739. [16] W. Li and J. Yao, “A wideband frequency tunable optoelectronic oscillator incorporating a tunable microwave photonic filter based on phasemodulation to intensity-modulation conversion using a phase-shifted fiber Bragg grating,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1735–1742, Jun. 2012. [17] B. Romeira, K. Seunarine, C. N. Ironside, A. E. Kelly, and J. M. L. Figueiredo, “A self-synchronized optoelectronic oscillator based on an RTD photodetector and a laser diode,” IEEE Photon. Technol. Lett., vol. 23, no. 16, pp. 1148–1150, Aug. 2011. [18] O. Okusaga, J. Cahill, W. Zhou, A. Docherty, G. M. Carter, and C. R. Menyuk, “Optical scattering induced noise in RF-photonic systems,” in Joint Conf. IEEE Int. Freq. Control Symp./Eur. Freq. Time Forum, 2011, pp. 1–6. [19] D. Eliyahu, D. Seidel, and L. Maleki, “RF amplitude and phase-noise reduction of an optical link and an opto-electronic oscillator,” IEEE Trans. Microw. Theory. Techn., vol. 56, no. 2, pp. 449–456, Feb. 2008. [20] J. P. Cahill, O. Okusaga, W. Zhou, C. R. Menyuk, and G. M. Carter, “Optimization of modulation techniques for suppression of GEMRS in frequency transfer systems,” in IEEE Freq. Control Symp., 2014, pp. 1–3. [21] D. G. Tucker, “The history of positive feedback: The oscillating audion, the regenerative receiver, other applications up to around 1923,” Radio Electron. Eng., vol. 42, no. 2, pp. 69–80, Feb. 1972. [22] E. H. Armstrong, “Some recent developments of regenerative circuits,” Proc. IRE, vol. 10, no. 4, pp. 244–260, Aug. 1922. [23] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [24] R. Boudot and E. Rubiola, “Phase noise in RF and microwave amplifiers,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 59, no. 12, pp. 2613–2624, Dec. 2012. [25] T. McClelland, C. Stone, and M. Bloch, “100 MHz crystal oscillator with extremely low phase noise,” in Proc. Joint Meeting Eur. Freq. Time Forum/IEEE Int. Freq. Control Symp., 1999, pp. 331–334. [26] L. Zhang, A. Poddar, U. Rohde, and A. Daryoush, “Analytical and experimental evaluation of SSB phase noise reduction in self-injection locked oscillators using optical delay loop,” IEEE Photon. J., vol. 5, no. 6, Dec. 2013, Art. ID 6602217. Luka Bogataj received the B.Sc. degree in electrical engineering from the University of Ljubljana, Ljubljana, Slovenia, in 2011, and is currently working toward the Ph.D. degree at the University of Ljubljana. Since 2011, he has been a Junior Researcher with the Faculty of Electrical Engineering, Radiation and Optics Laboratory, University of Ljubljana. From 2012 to 2014, he was a Research Assistant with the Centre of Excellence for Biosensors, Instrumentation and Process Control, Ajdovščina, Slovenia. His research includes opto-electronics and low-noise oscillators. Matjaž Vidmar received his the B.Sc., M.Sc., and Ph.D. degrees in electrical engineering from the University of Ljubljana, Ljubljana, Slovenia, in 1980, 1983, and 1992, respectively. He currently teaches undergraduate and postgraduate courses in electrical engineering at the University of Ljubljana. His research interests include microwave and high-speed electronics ranging from avionics to optical fiber communications. Boštjan Batagelj received the Ph.D. degree in electrical engineering from the University of Ljubljana, Ljubljana, Slovenia, in 2003. He is currently an Assistant Professor with the Faculty of Electrical Engineering, University of Ljubljana. His research interests include RF and optical transport systems.

Digital Object Identifier 10.1109/TMTT.2016.2524499

Digital Object Identifier 10.1109/TMTT.2016.2524979

Digital Object Identifier 10.1109/TMTT.2016.2524978

Digital Object Identifier 10.1109/TMTT.2016.2524980

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail: [email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL32611USA E-mail: [email protected] or [email protected]fl.edu

Information for Authors The IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications_standards/publications/authors/auth_names_native_lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications_standards/publications/graphical_abstract.pdf Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2016.2524379