[Journal] IEEE Transactions on Microwave Theory and Techniques. Vol. 63. No 12 [1]

Citation preview

DECEMBER 2015

VOLUME 63

NUMBER 12

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS PAPERS

EM Theory and Analysis Techniques Circular Mode Converter Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A Universal Solution to -to. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. H. Yu, J. L. Deng, S. M. Li, W. P. Cao, X. Gao, and Y. N. Jiang Planar Distributed Full-Tensor Anisotropic Metamaterials for Transformation Electromagnetics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Nagayama and A. Sanada Integral-Equation Formulation for the Analysis of Capacitive Waveguide Filters Containing Dielectric and Metallic Arbitrarily Shaped Objects and Novel Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. D. Quesada Pereira, A. Romera Perez, P. Vera Castejón, and A. Alvarez Melcon Devices and Modeling RF Linearity Performance Potential of Short-Channel Graphene Field-Effect Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. U. Alam, K. D. Holland, M. Wong, S. Ahmed, D. Kienle, and M. Vaidyanathan Consistent Modeling and Power Gain Analysis of Microwave SiGe HBTs in CE and CB Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Álvarez-Botero, R. Torres-Torres, and R. S. Murphy-Arteaga Passive Circuits Automated Design of Common-Mode Suppressed Balanced Wideband Bandpass Filters by Means of Aggressive Space Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Sans, J. Selga, P. Vélez, A. Rodríguez, J. Bonache, V. E. Boria, and F. Martín Compact Multi-Band Bandpass Filters With Mixed Electric and Magnetic Coupling Using Multiple-Mode Resonator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Xu, W. Wu, and G. Wei High Rejection, Self-Packaged Low-Pass Filter Using Multilayer Liquid Crystal Polymer Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Cervera and J. Hong Novel Coupling Matrix Synthesis for Single-Layer Substrate-Integrated Evanescent-Mode Cavity Tunable Bandstop Filter Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Saeedi, J. Lee, and H. H. Sigmarsson Mechanical Tuning of Substrate Integrated Waveguide Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Mira, J. Mateu, and C. Collado Triple-Mode Dielectric Resonator Diplexer for Base-Station Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-W. Wong, Z.-C. Zhang, S.-F. Feng, F.-C. Chen, L. Zhu, and Q.-X. Chu A Configurable Coupling Structure for Broadband Millimeter-Wave Split-Block Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Koenen, U. Siart, T. F. Eibert, G. D. Conway, and U. Stroth

3845 3851 3862

3874 3888

3896 3909 3920 3929 3939 3947 3954

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Design of High-Directivity Wideband Microstrip Directional Coupler With Fragment-Type Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Wang, G. Wang, and J. Sidén Exact Synthesis of Full- and Half-Symmetric Rat-Race Ring Hybrids With or Without Impedance Transforming Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P.-J. Chou, Y.-W. Lin, and C.-Y. Chang Design of a Traveling-Wave Slot Array Power Divider Using the Method of Moments and a Genetic Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. R. Rengarajan and J. J. Lynch An Isolated Radial Power Divider via Circular Waveguide -Mode Transducer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Q.-X. Chu, D.-Y. Mo, and Q.-S. Wu Reliability Analysis of Ku-Band 5-bit Phase Shifters Using MEMS SP4T and SPDT Switches . . . . S. Dey and S. K. Koul Wideband Balanced Network with High Isolation Using Double-Sided Parallel-Strip Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W. Feng, C. Zhao, W. Che, and Q. Xue Expedited Geometry Scaling of Compact Microwave Passives by Means of Inverse Surrogate Modeling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Koziel and A. Bekasiewicz High-Performance Coplanar Waveguide to Empty Substrate Integrated Coaxial Line Transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Belenguer, A. L. Borja, H. Esteban, and V. E. Boria Design and Validation of Microstrip Gap Waveguides and Their Transitions to Rectangular Waveguide, for Millimeter-Wave Applications . . . . . A. A. Brazález, E. Rajo-Iglesias, J.-L. Vázquez-Roy, A. Vosoogh, and P.-S. Kildal Hybrid and Monolithic RF Integrated Circuits Highly Efficient Concurrent Power Amplifier With Controllable Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Sun, X.-W. Zhu, J. Zhai, L. Zhang, and F. Meng A Post-Matching Doherty Power Amplifier Employing Low-Order Impedance Inverters for Broadband Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Pang, S. He, C. Huang, Z. Dai, J. Peng, and F. You Analysis of Far-Out Spurious Noise and its Reduction in Envelope-Tracking Power Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Kim, D. Kim, Y. Cho, D. Kang, B. Park, K. Moon, and B. Kim A 40-nm CMOS E-Band 4-Way Power Amplifier With Neutralized Bootstrapped Cascode Amplifier and Optimum Passive Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Zhao and P. Reynaert A Prototype SAW-Less LTE Transmitter With a High-Linearity Modulator Using BPF-Based I/Q Summing and a Triple-Layer Marchand Balun . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Nakamura, N. Kitazawa, K. Kohira, and H. Ishikuro A CMOS Spectrum Sensor Based on Quasi-Cyclostationary Feature Detection for Cognitive Radios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Sepidband and K. Entesari Instrumentation and Measurement Techniques Investigating the Broadband Microwave Absorption of Nanodiamond Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. A. Cuenca, E. Thomas, S. Mandal, O. Williams, and A. Porch Load Modulation Measurements of X-Band Outphasing Power Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Litchfield, T. Reveyrand, and Z. Popovi´c RF Systems and Applications Nonlinear Communication System With Harmonic Diversity . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Cheong, K. Wu, and K.-W. Tam Passive Microwave Substrate Integrated Cavity Resonator for Humidity Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. El Matbouly, N. Boubekeur, and F. Domingue Wearable RF Sensor Array Implementing Coupling-Matrix Readout Extraction Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W.-T. S. Chen, K. M. E. Stewart, C. K. Yang, R. R. Mansour, J. Carroll, and A. Penlidis Active Detuning of MRI Receive Coils with GaN FETs . . . . . . . . . . . . . . . . . . . . M. Twieg, M. A. de Rooij, and M. A. Griswold Low-Loss Ultrawideband Programmable RF Photonic Phase Filter for Spread Spectrum Pulse Compression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-J. Kim, A. Rashidinejad, and A. M. Weiner

3962 3971 3981 3988 3997 4013 4019 4027 4035

4051 4061 4072 4083 4090 4098

4110 4119 4130 4150 4157 4169 4178

LETTERS

Comments on “Fractional Derivative Based FDTD Modeling of Transient Wave Propagation in Havriliak–Negami Media” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I. T. Rekanos Authors’ Reply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Mescia, P. Bia, and D. Caratelli

4188 4191

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $25.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE T. LEE, President A. ABUNJAILEH S. BARBIN

R. HENDERSON, Secretary

K. WU, President Elect

T. BRAZIL M. GOUKER

R. GUPTA W. HONG

J. LASKAR G. LYONS

A. JACOB S. KOUL

M. MADIHIAN S. PACHECO

Honorary Life Members T. ITOH R. SPARKS

G. PONCHAK S. RAMAN

M. GOUKER, Treasurer J. RAUTIO S. REISING

M. SALAZAR-PALMA A. SANADA

D. SCHREURS J. WEILER

Distinguished Lecturers

P. STAECKER K. TOMIYASU

R. CAMERON R. H. CAVERLY G. CHATTOPADHYAY

T.-W. HUANG M. JARRAHI J. J. KOMIAK

E. MCCUNE A. MORTAZAWI T. OHIRA

D. WILLIAMS

Past Presidents J. PAWLAN J. C. PEDRO A. STELZER

J. YAO H. ZIRATH T. ZWICK

R. WEIGEL (2014) M. GUPTA (2013) N. KOLIAS (2012)

MTT-S Chapter Chairs Albuquerque: E. FARR Argentina: A. M. HENZE Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: I. AHMAD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: S. MALYSHEV Benelux: G. VANDENBOSCH Boston: C. GALBRAITH Bombay/India: M. V. PITKE Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: C. SEABURY Buffalo: M. R. GILLETTE Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: C. G. XIE Central & South Italy: L. TARRICONE Central No. Carolina: Z. XIE Central Texas: J. PRUITT Centro-Norte Brasil: M. V. ALVES NUNES Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: A. O’BRIEN Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. VOVES Dallas: R. SANTHAKUMAR Dayton: A. TERZUOLI Delhi/India: A. BASU

Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: V. VIIKARI Florida West Coast: J. WANG Foothills: M. CHERUBIN France: D. BAJON Germany: G. BOECK Greece: R. MAKRI Gujarat/India: S. CHAKRABARTY Harbin: Q. WU Hawaii: K. MIYASHIRO Hong Kong: H. WONG Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: H. SCHANTZ Hyderabad/India: S. R. NOOKALA India: D. BHATNAGER India/Kolkata: S. SANKARALINGAM Indonesia: E. T. RAHARDJO Israel: S. AUSTER Japan: N. SUEMATSU Kansai: T. ISHIZAKI Kingston: S. PODILCHAK Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: B. LEVITAS Long Island/New York: S. PADMANABHAN Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO

Macau: C. C. PONG Madras/India: S. SALIVAHANAN Malaysia: M. K. M. SALLEH Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Mexican Council: R. M. RODRIGUEZ-DAGNINO Milwaukee: S. G. JOSHI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: J. BAE Nanjing: W. HONG Nanjing, Hangzhou: L. SUN New Hampshire: E. H. SCHENK New Jersey Coast: J. SINSKY New South Wales: Y. RANGA New Zealand: A. WILLIAMSON North Italy: G. OLIVERI North Jersey: A. K. PODDAR Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAN Northern Nevada: B. S. RAWAT Norway: M. UBOSTAD Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: K. KARNATI Ottawa: Q. ZENG Philadelphia: A. S. DARYOUSH Phoenix: S. ROCKWELL

DOMINIQUE SCHREURS KU Leuven B-3001 Leuven, Belgium

Editorial Assistants MARCIA HENSLEY USA ENAS KANDIL Belgium

Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: D. MCPHERSON Taegu: Y.-H. JEONG Tainan: H.-H. CHEN Taipei: C. MENG Thailand: C. PHONGCHAROENPANICH Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: C. FULLER UK/RI: A. REZAZADEH Ukraine, East: N. K. SAKHNENKO Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: K. TAVZARASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO United Arab Emirates: N. K. MALLAT Uttar Pradesh/India: M. J. AKHTAR Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: T. IVANOV Western Saudi Arabia: A. SHAMIM Winnipeg: P. MOJABI Xian: X. SHI

Associate Editors

Editors-In-Chief JENSHAN LIN Univ. of Florida Gainesville, FL32611-6130 USA

Pikes Peak: K. HU Poland: W. J. KRZYSZTOFIK Portugal: J. CALDINHAS VAZ Princeton/Central Jersey: W. CURTICE Queensland: K. BIALKOWSKI Rio de Janeiro: J. R. BERGMANN Rochester: M. SIDLEY Romania: T. PETRESCU Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: M. D. PROKHOROV Russia, Saint Petersburg: S. P. ZUBKO Russia, Siberia: V. V. SUHOTIN Russia, Tomsk: D. ZYKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: S. EBADI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIĆ Shanghai: J. MAO Singapore: Z. YANG South Africa: A. LYSKO South Australia: T. KAUFMANN South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: A. U. A. W. GUNAWARDENA St. Louis: D. BARBOUR

NUNO BORGES CARVALHO Universidade de Aveiro Aveiro, Portugal

J.-C. CHIAO Univ. of Texas at Arlington Arlington, TX USA

JIASHENG HONG Heriot-Watt Univ. Edinburgh, UK

LUCA PERREGRINI Univ. of Pavia Pavia, Italy

OLGA BORIC-LUBECKE Univ. of Hawaii at Manoa Manoa, HIUSA

GILLES DAMBRINE Univ. of Lille Lille, France

T.-W. HUANG Nat. Taiwan Univ. Taipei, Taiwan

CARLOS SAAVEDRA Queen’s Univ. Kingston, ON, Canada

SHENG-FUH R. CHANG Nat. Chung Cheng Univ. Chiayi County, Taiwan

ROBERTO GOMEZ-GARCIA Univ. Alcala Madrid, Spain

JON MARTENS Anritsu Morgan Hill, CA USA

MARTIN VOSSIEK Friedrich-Alexander Univ. Erlangen-Nuremburg Erlangen, Germany

FRANCISCO MESA Universidad de Sevilla Seville, Spain

X. CHEN Nat. Univ. Singapore Singapore

A. RIDDLE, Editor-in-Chief, IEEE Microwave Magazine J. PAPAPOLYMEROU, Editor-in-Chief, IEEE Microwave and Wireless Component Letters HOWARD E. MICHEL, President BARRY L. SHOOP, President-Elect PARVIZ FAMOURI, Secretary JERRY L. HUDGINS, Treasurer ROBERTO DE MARCA, Past President

P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology R. MIYAMOTO, Web Master

IEEE Officers

SAURABH SINHA, Vice President, Educational Activities SHEILA HEMAMI, Vice President, Publication Services and Products WAI-CHOONG WONG, Vice President, Member and Geographic Activities BRUCE P. KRAEMER, President, Standards Association VINCENZO PIURI, Vice President, Technical Activities JAMES A. JEFFRIES, President, IEEE-USA WILLIAM W. MOSES, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration CHERIF AMIRAT, Information Technology ELENA GERSTMANN, Corporate Activities PATRICK MAHONEY, Marketing DOUGLAS GORHAM, Educational Activities CECELIA JANKOWSKI, Member and Geographic Activities EILEEN M. LACH, General Counsel & Corporate Compliance Officer MICHAEL FORSTER, Publications SHANNON JOHNSTON, Human Resources KONSTANTINOS KARACHALIOS, Standards Activities CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities

IEEE Periodicals Transactions/Journals Department

Senior Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $160.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee of $31.00 is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2015 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2015.2503946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3845

A Universal Solution to -toCircular Mode Converter Design Xin Hua Yu, Ji Liang Deng, Si Min Li, Wei Ping Cao, Xi Gao, and Yan Nan Jiang

Abstract—A universal solution to -tomode converters in highly oversized circumferentially corrugated circular waveguides is proposed based on the nonuniform rational B-spline technique. A 35-GHz -tomode converter of radius 16 mm is designed by the method and predicted to have a conversion mode conversion efficiency is bandwidth of 7.9% when the over 98.5%. The output mode content is extremely close to that of the ideal mode. The hot and cold tests show good property of the mode converter. The method offers universal solutions to the problems of the corrugated circular waveguide mode converters design at arbitrary radius and frequency. Index Terms—High conversion, high power, mode content, nonuniform rational B-spline (NURBS) technique, -tomode converter.

I. INTRODUCTION

T

HE mode consists of approximately 85% mode and 15% mode in power, respectively, and there is a phase difference of approximately 180 between these two modes [1]. This hybrid mode is almost perfectly linearly polarized and gives an almost Gaussian-like radiation pattern [2]. Therefore, this mode is used in a variety of applications of high-power millimeter waves, such as deep-space millimeter-wave radar and electron cyclotron resonance multiply charged heavy ion sources (ECRISs) with high-power gyrotrons [3], [4]. However, a high-power microwave source cannot output the mode directly, thus the mode is usually transformed from gyrotron modes [5] by the following two mode conversion sequences [6], [7]: 1) and 2) . In the meantime, direct -tomode converters have been developed [8], [9], Manuscript received May 19, 2015; revised September 07, 2015; accepted September 13, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported in part by the National Natural Science Fund Committee of China under Grant 61161002, Grant 61561013, Grant 61361005, and Grant 61461016, by the Guangxi Natural Science Fund of China under Grant 2015GXNSFAA139305, Grant 2014GXNSFAA118283, and Grant 2014GXNSFAA118366, and by the Graduate Innovation Projects founded by the Guilin University of Electronic Technology under Grant GDYCSZ201463. X. H. Yu, J. L. Deng, W. P. Cao, X. Gao, Y. N. Jiang are with the Key Laboratory of Cognitive Radio and Information Processing, Guilin University of Electronic Technology, Ministry of Education, Guilin, Guangxi 541004, China (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). S. M. Li is with the School of Electrical and Information Engineering, Guangxi University of Science and Technology, Liuzhou, Guangxi 545006, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490680

but these mode converters did not indicate the ratio of and mode in power, and the output hybrid mode was not the ideal mode. Moreover, it is difficult to fabricate such mode converters because direct -tomode converters use corrugated waveguides with a serpentine axial profile. Until now, the first conversion sequence has been followed by most authors [10]–[12]. In the first sequence, the polarized transition mode is used, which allows all converters made without bends and results in easily altering the polarization plane just by spinning the serpentine mode converter around its axis. However, in the second scheme, the mode acts as the polarized intermediate mode, which makes efficient -toconverters made considerably shorter than the corresponding -tomode converters. Moreover, because the -toconverter bandwidth is inherently narrower than that of the -toconverter, the -toconverter bandwidth, in contrast to that of the -toconverter, is also reduced. At present, the designs of -tomode converters mostly use parabolic tapering of the slot depth in corrugated waveguides to achieve mode from mode [13], [14] (with different degrees of the parabola, depending on the grade that the converters were oversized). The converter in [7] with a parabolically tapered corrugation profile also has a conversion efficiency of 98.5%. However, mode converters with a parabolic varied profile have some shortages in certain radius and frequency, as a result of which the mode converters are long or the power ratio of the and mode cannot meet the requirements. In [15], at the end of the -tomode converter, the hybrid mode, with approximately 20% in the mode, and 80% in the mode, was obtained. This ratio is not the desirable characteristics of the mode. Mode contents are important for characterizing the mode conversion efficiency of a given geometry [16]. The purpose of this paper is to propose a method based on the nonuniform rational B-spline (NURBS) technique [17] for synthesis of a compact -tomode converter with high efficiency and wide bandwidth in an arbitrary radius and frequency. Meanwhile, the output mode content is close to that of the ideal mode. In this paper, Section II describes the structure characteristics of the -toconverter and the generation of the slotdepth profile by the NURBS technique. Section III expatiates optimization processes for designing the -tomode converter. The main ideas are as follows. 1) The NURBS representation of the slot-depth profile. 2) The commercial software CST Microwave Studio (CST MWS) is called to model and simulate the -to-

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

radius and frequency. Therefore, we propose the nonparabolic profile type. B. Constructing Profile of the Slot Depth by the NURBS Technology In order to get a nonparabolic curve, which acts as the varying slot depth profile of the mode converter inner wall, we employ the NURBS technique [17]. A th-degree NURBS curve can be defined as Fig. 1. Schematic drawing of the -tomode converter. The slot depth profile of the corrugation starts from zero at the input port and increases gradually to approximately one-quarter wavelength at the final port.

mode converter. CST MWS is used as a program to provide the optimal objective, which is the efficiency of -tomode. 3) The Nelder–Mead simplex optimization algorithm is employed to find the optimal slot-depth contour. Calculations and simulations are presented in Section IV. In addition, contrasting different results with different methods are given in this section. The fabrication and experimental results of the mode converter are shown in Sections V and VI, respectively. Conclusions are summarized in Section VII. II. DESIGN TECHNIQUES OF THE MODE CONVERTER A. Mode Converter From

-TO-

to

-tomode conversion can be obtained in straight circumferentially corrugated waveguides with a gradually increased depth of the annular slot [18]. The annular slot depth of the mode converter is successively augmented from a start value of zero to an end value of about one-quarter free-space wavelength, as depicted in Fig. 1, where and are the waveguide inner radius, length of the mode converter, slot depth, width, and corrugated period, respectively. When the diameter of a corrugated waveguide is much larger than the wavelength and the period of the annular slot is small as compared to the wavelength, space harmonics can be neglected. In this case, the resulting mode conversion can be analyzed through surface impedance formalism [19]. The surface reactance can be approximately expressed as [20] (1) where is the free-space wavenumber, the meaning of the other letters has been given in the above. These former designs of the -tomode converters mostly used parabolic tapering of the slot depth to achieve mode from mode (with a different degree of the parabola, depending on the grade that the converters were oversized). The slot depth profile distribution function can be described by the following expression: (2) where is the degree of the parabolic profile. However, as was mentioned in Section I, mode converters with a parabolic varying profile have some shortages in certain

(3) is the th control point, is the correwhere , sponding weight, and is the th degree b-spline basis function defined at the nonperiodic knot vector (4) From the NURBS theory, there is a unique NURBS function time continuation , which satisfies of the boundary conditions (5) (6) where (5) and (6) can be realized by -coordinates of the start and end control points meeting the requirement that the depth of the annular slots is increased gradually from 0 to 1 quarterwavelength. Herein, we will construct the corrugation profile with its two ends being at the -axis. This requires that the control points’ serial looks like

(7) where the control points must be limited to a certain value to ensure that the varied slot depth profile can be conform to surface impedance formalism. III. OPTIMIZATION OF



MODE CONVERTER

For optimization of the -tomode converter, control points of the slot depth profile and length of the converter are set as parameters to be optimized, and conversion efficiency of the mode is set as the object to be optimized. In our method, CST MWS is controlled by MATLAB, i.e., CST MWS acts as the server and MATLAB as the client. CST MWS is a fully featured software for electromagnetic analysis and design in the high-frequency range. In modeling, we have considered the ohmic losses of the mode converter. The flowchart of the optimization process is shown in Fig. 2. The main ideas are: in the MATLAB environment, 1) a set of control points is provided by the Nelder–Mead simplex optimization algorithm [21] and these are used to generate the slot depth profile along the converter’s guiding axis by the NURBS technique; 2) CST MWS is called to model the converter by the profile, and then to simulate the model; and 3) the – mode conversion efficiency is retrieved from the CST simulation, and then the optimization algorithm will judge whether the optimization value, i.e., the optimal conversion efficiency,

YU et al.: UNIVERSAL SOLUTION TO

-TO-

CIRCULAR MODE CONVERTER DESIGN

3847

Fig. 3. Optimum profile of the 35-GHz -tomode converter. Dashed line indicates the nonanalytical slot depth profile. Solid lines indicate the wall of the mode converter in which the inner radius is 16 mm, length of the converter is 415.5 mm, and corrugated period is 3 mm.

Fig. 4. Drawing of the mode converter. Dashed line and solid lines indicate the guiding axis and the wall of the mode converter design, respectively.

Fig. 2. Flowchart of the implemented optimization process in MATLAB environment.

is met. If yes, the optimization process ceases and the corresponding profile data set is the output. Otherwise, another set of control points is given by the optimization algorithm, and steps 1)–4) are repeated. Moreover, the initial control points are on a straight line whose starting and ending two control points are and , respectively, and the slope of the straight line is with being the length of the converter. IV. MODEL AND SIMULATION Based on Section III, a -tomode converter operating at 35 GHz is optimized. The optimum geometric parameters of the optimal converter are: 1) inner radius of 16 mm; 2) length of 415.5 mm; and 3) corrugated period of 3 mm. The optimum corrugated profile is shown in Fig. 3. Such a profile can be explained using the eigenvalue plot of the corresponding corrugated waveguide (35 GHz, radius mm, corrugation period mm) as shown in [7, Fig. 6]. Drawing of the optimal design of the -tomode converter is given in Fig. 4. The dashed line and the solid lines in the drawing indicate the guiding axis and the wall of the optimal converter design, respectively. The converter was modeled in CST MWS and HFSS for the purpose of verification after the optimal profile was obtained by the optimization. The relative power distributions of the and modes, and that of the mode as a function of frequency, are presented in Figs. 5 and 6, respectively. One can find from Fig. 5 that relative powers of the mode and the mode are held approximately 14.5% and 84.5%. From Fig. 6, one can then see that the simulated bandwidth of the mode

Fig. 5. Fractional power of and versus frequency at the output of -tomode converter with nonparabolic profile. Solid the 35-GHz mode and the lines and dashed line indicate the fractional power of the mode, respectively.

converter is about 7.9% when the conversion efficiency is kept over 98.5%. The mode conversion efficiency is as high as 99.1% at 35 GHz. Simulated results from CST agree well with HFSS. Furthermore, the simulated distributions (by CST MWS) of the electric fields at both the input and output ports of the mode converter are illustrated in Fig. 7(a) and (b), respectively. One can see from these two figures that the mode is transformed into a good mode pattern. In addition, the -tomode converter operating at 35 GHz is designed with a parabolic profile type. The degree and the length of the parabolic profile type are 1.6094 and 497 mm, respectively. One can find from Fig. 8 that the relative power of the mode is more than 86% and relative power of the mode is less than 13%. The power ratio of the and mode cannot meet the requirements. The results are compared with nonparabolic profile type results (see Table I). Table I lists the mode contents for the ideal mode and the output mode of the two type mode converter. The ideal

3848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I SIMULATION MODE CONTENTS OF TWO TYPE MODE CONVERTER ATTACHED. MODE COMPOSITION IS SHOWN IN THE SECOND ROW FOR THE IDEAL COMPARISON. ALL NUMBERS IN THIS TABLE ARE EXPRESSED AS PERCENTAGES

Case 1: The ideal mode. Case 2: The output modes of the parabolic profile type Case 3: The output modes of the non-parabolic profile type.

.

Fig. 6. mode conversion efficiency versus frequency of the 35-GHz -tomode converter. Solid line and dashed line indicate the mode fractional power of CST and HFSS, respectively.

Fig. 7. Simulated electric field distributions on the input and output ports of -tomode converter by the software CST. (a) Input port the mode. (b) Output port mode.

Fig. 9. Photograph of the machined parts of the -tomode converter. Letter A indicates a single slot tooth machined; B denotes all the slot teeth machined; C shows the cylindrical pipe used for holding all the slot teeth machined; D indicates one of the flanges used to fix the slot teeth in the pipe.

Fig. 8. Fractional power of and versus frequency at the output -tomode converter by parabolic profile type. Solid of the 35-GHz mode and the lines and dashed line indicate the fractional power of the mode, respectively.

mode can be expressed as a mixture of the TE and TM modes from smooth waveguide solutions comprising 84.496% , 14.606% , 0.082% , 0.613% , and 0.00358% , as shown in Table I. The output hybrid mode in the nonparabolic profile type is extremely close to an ideal mode, relative to that of the parabolic profile type. V. FABRICATION The 35-GHz -tomode converter design is fabricated by a numerically controlled machine tool. The machined parts, indicated by upper case letters A, B, C, and D of the -tomode converter are given in Fig. 9. In the figure, the letter A indicates a single slot tooth, B indicates all the slot teeth, C indicates the metallic cylindrical pipe used for holding these slot teeth, and D indicates one of the flanges that keeps the

Fig. 10. Photograph of the its machined parts.

-to-

mode converter assembled from

slot teeth all in the cavity of the cylindrical pipe. The mode converter assembled from all the needed parts is show in Fig. 10. VI. HOT TEST EXPERIMENTAL RESULTS A. Hot Test A hot test of the designed mode converter was done. The scenario photograph of the test is shown in Fig. 11. In the figure, all the key elements in the test are marked by the letters A, B, C, and D. Among them, the letter A indicates the output port of the klystron; B marks the -tomode converter, which transforms a mode into a mode; C indicates the -tomode converter designed here; and D stands for a piece of heat-sensitive paper. During the hot test, the port of the designed mode converter is connected to a -tomode converter whose port is mounted to the klystron, which outputs a mode. In front of the output port of the designed mode

YU et al.: UNIVERSAL SOLUTION TO

-TO-

CIRCULAR MODE CONVERTER DESIGN

3849

in Fig. 7(b). Therefore, the good property of mode conversion from the mode in the designed mode converter is verified. B. Cold Test After the hot test, a cold test of the -tomode converter was done. During the cold test, the port of the mode converter was mounted to a mode generator, which was fed by an analog signal generator through a piece of coaxial line. The - and -plane patterns of the output of the conversing chain are given in Fig. 13. One can see a good pattern. Thus the good property of the mode converter is verified. Fig. 11. Scenario photograph of the hot test. Letter A indicates the output port -tomode converter; C denotes the deof a klystron; B shows a -tomode converter; D points to a piece of heat-sensitive signed paper.

VII. CONCLUSION A universal method of synthesis of a -tomode converter has been proposed based on the NURBS technique. This method has been verified by design and testing of a – mode converter operating at 35 GHz. The -tomode converter designed by the proposed method, compared with the available method, has the advantages of high conversion efficiency and broad bandwidth, and moreover the output mode content of the mode converter is extremely close to that of the ideal mode. REFERENCES

Fig. 12. Photograph of the burned spot done by the radiation from the output -tomode converter. of the 35-GHz designed

Fig. 13. Tested pattern of the output of the

-to-

mode converter.

converter, a piece of heat-sensitive paper, which is 20 mm away from the port, is put. A burned spot done by the electromagnetic field from the port is given in Fig. 12. One can see a good agreement between the burned spot and the pattern

[1] D. Wagner, J. Pretterebner, and M. Thumm, “Eigenmode mixtures in circumferentially corrugated waveguides,” in Proc. 8th Joint Electron Cyclotron Emission/Electron Cyclotron Resonance Heating Workshop, 1992, pp. 575–585. [2] P. J. B. Clarricoats and A. D. Olver, “Corrugated horns for microwave antennas,” in IEE Waves Series 18. London, U.K.: Peregrinus, 1984. [3] M. Thumm, V. Erckmann, G. Janzen, and W. Kasparek, “Generation mode from gyrotron mode mixtures of the Gaussian-like at 70 GHz,” Int. J. Infrared Millim. Waves, vol. 6, no. 6, pp. 459–470, Jun. 1985. [4] M. Thumm, “Recent developments on high-power gyrotrons—Introduction to this special issue,” Int. J. Infrared Millim. Waves, vol. 32, no. 3, pp. 241–252, Mar. 2011. [5] C. Lyneis, J. Benitez, A. Hodgkinson, and B. Plaum, “A mode converter to generate a Gaussian-like mode for injection into the VENUS electron cyclotron resonance ion source,” Rev. Sci. Instrum., vol. 85, no. 2, pp. 1–5, Feb. 2014. (Gaussian-like) [6] J. L. Doane, “Mode converters for generating in a circular waveguides,” Int. J. Electron., vol. 53, mode from pp. 573–585, Dec. 1982. [7] M. Thumm, “High-power mode conversion for linearly polarized hybrid mode output,” Int. J. Electron., vol. 61, no. 6, pp. 1135–1153, Jun. 1986. [8] E. G. Henle, W. H. Kumric, H. Li, and M. Thumm, “Direct mode converters in corrugated circular waveguide with periodic curvature perturbations,” in 15th Int. Infrared Millim. Waves Conf., Orlando, FL, USA, 1990, pp. 440–442, SPIE 1514. [9] Y. Shiwen, L. Hongfu, and Q. Ji, “Study of 8 mm high power mode converter,” High Power Laser Part. Beams, vol. 8, no. 4, pp. 611–615, Nov. 1996. [10] J. L. Doane, “Polarization converters for circular waveguide mode,” Int. J. Electron., vol. 61, no. 6, pp. 1109–1133, Jun. 1986. [11] M. Thumm, “High-power millimeter-wave mode converters in overmoded circular waveguides using periodic wall perturbations,” Int. J. Electron., vol. 57, no. 6, pp. 1225–1246, Dec. 1984. [12] X. Yu, J. Deng, W. Cao, S. Li, X. Gao, and Y. Jiang, “Method for – mode converter for Gyrotron by the NURBS synthesis of technique,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 326–330, Feb. 2015. [13] M. Thumm, “Computer-aided analysis and design of corrugated to mode converters in highly overmoded waveguides,” Int. J. Infrared Millim. Waves, vol. 6, no. 7, pp. 577–597, Jul. 1985.

3850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[14] G. L. James, “Analysis and design of -tocorrugated cylindrical waveguide mode converters,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 10, pp. 1059–1066, Oct. 1981. – mode converter [15] J. L. Doane, “A 34.5 GHz 200 kW CW for gyrotron applications,” in IEEE AP-S Int. Symp., Oct. 1994, vol. 3, no. 10, pp. 20–24. [16] S. Liao, “Miter bend mirror design for corrugated waveguides,” Progr. Electromagn. Res. Lett., vol. 10, pp. 157–162, Nov. 2009. [17] L. Piegl and W. Tiller, “Rational B-spline curves and surface,” in The NURBS Book, 2nd ed. Berlin, Germany: Springer Verlag, 1997, pp. 118–127. [18] H. Li and M. Thumm, “Mode coupling in corrugated waveguides with varying wall impedance and diameter change,” Int. J. Electron., vol. 71, no. 5, pp. 827–844, May 1991. [19] N. P. Kerzhentseva, “Conversion of wave modes in a waveguide with smoothly varying impedance of the walls,” Radio Eng. Electron. Phys., vol. 16, no. 2, pp. 24–31, Jan. 1971. [20] M. Thumm, A. Jacobs, and M. Sorolla, “Design of short high-power – mode converters in highly overmoded corrugated waveguides,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 2, pp. 301–309, Feb. 1991. [21] J. C. Lagarias and J. A. Reeds, “Convergence properties of the Nelder–Mead simplex method in low dimensions,” SIAM J. Optim., vol. 9, no. 1, pp. 112–147, Jan. 1998. Xin Hua Yu was born in Henan Province, China, in August 1969. He received the M.S. and Ph.D. degrees in physical electronics from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2005 and 2010, respectively. In 2010, he joined the Antenna and RF Center Group, School of Information and Communication, Guilin University of Electronic Technology (GUET), Guilin, China, where he is currently an Associate Professor. His current research interests include electromagnetic wave transmission lines and microwave antennas.

Ji Liang Deng was born in Guangxi Province, China, in August 1989. He received the B.S. degree from the Guilin University of Electronic Science and Technology, Guilin, China, in 2012, and is currently working toward the M.S. degree at the School of Information and Communication, Guilin University of Electronic Science and Technology. In 2011, he joined the Antenna and RF Center Group, School of Information and Communication, Guilin University of Electronic Technology. His current research interests include electromagnetic wave transmission and mode-conversion techniques.

Si Min Li received the B.S. degree in wireless communication engineering from the Nanjing University of Posts and Telecommunications, Jiangsu Province, China, in 1984, and the M.S. and Ph.D. degrees in electronics engineering from the University of Electronic Science and Technology of China, Chengdu, Sichuan Province, China, in 1989 and 2007, respectively. From 1984 to 1986, he was an Engineer Assistant with the Optical Communication Department, Wuhan Post-Telecommunications Science and

Research Institute. From 1989 to 2005, he was a Lecturer, Assistant Professor, and Professor with the School of Information and Communication respectively, Guilin University of Electronic Technology (GUET). He is currently the President and a Professor with the Guangxi University of Science and Technology (GUST), Liuzhou, Guangxi, China. His current research interests lie in the design of electrically small antennas, antenna arrays for HF communication systems, and wireless sensor networks (WSNs).

Wei Ping Cao received the B.S. degree from Hunan Normal University, Hunan Province, China, in 1995, and the M.Sc. and Ph.D. degrees in electromagnetic field and microwave technology from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2003 and 2012, respectively. From 1999 to 2002, he was an Assistant Professor with the Millimeter Wave Laboratory, 10th Research Institute, China Electronic Technology Group Corporation (CETC). From 2010 to 2011, he was a Visiting Professor with the Department of Electrical and Computer Engineering, University of Manitoba. In 2002, he joined the Department of Communication and Information Engineering, Guilin University of Electronic Technology (GUET), Guangxi, China, where he is currently an Assistant Head and a Professor. His current research interests concern computational electromagnetics, broadband electrically small antennas, smart antennas, and RF/microwave circuits.

Xi Gao was born in Hunan Province, China, in October 1976. He received the M.S. and Ph.D. degrees from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2006 and 2009, respectively. Since 2010, he has been with the Guilin University of Electronic Technology (GUET), Guangxi, China. He is an Associate Professor with GUET, and also a Visiting Scholar with Southeast University, Nanjing, China. His research interests include antennas and artificial electromagnetic materials.

Yan Nan Jiang was born in Henan Province, China, in September 1982. He received the M.S. degrees in earth exploration and information technique from the Chengdu University of Technology (CDUT), Chengdu, China, in 2005, and the Ph.D. degree in radio physics from Xidian University, Xi’an, China, in 2009. Since 2009, he has been an Associate Professor with the School of Information and Communication, Guilin University of Electronic Technology (GUET), Guilin, China. His current research interests include antennas, electromagnetic radiation and scattering, and the finite-difference time-domain (FDTD) method.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3851

Planar Distributed Full-Tensor Anisotropic Metamaterials for Transformation Electromagnetics Tsutomu Nagayama, Student Member, IEEE, and Atsushi Sanada, Member, IEEE

Abstract—Planar distributed full-tensor anisotropic metamaterials for cloaks of invisibility based on transformation electromagnetics are proposed. The proposed metamaterials are composed of nonresonant transmission lines and are advantageous in full control of the off-diagonal components of the permeability tensor as well as broadband and low-loss characteristics. The explicit design formulas for the metamaterials are given based on the equivalent circuit derived directly from Maxwell's equations. A carpet cloak of invisibility is designed and the validity of the design theory is confirmed by circuit simulations. In addition, the carpet cloak is implemented in microstrip line technology and its performance is demonstrated experimentally at microwave frequencies. Index Terms—Carpet cloaks of invisibility, metamaterials, transformation electromagnetics.

I. INTRODUCTION

C

ONCEPTS to realize cloaks of invisibility have been presented based on transformation electromagnetics [1]–[23], surface cloaks [24]–[29], and the like [30]–[33] by using metamaterials composed of small constituents compared with the wavelength of operation. A cylindrical cloak of invisibility based on the transformation electromagnetics has been implemented by using split-ring resonators (SRRs) and its operation has been first demonstrated at microwave frequencies [3]. However, the cloak exhibits narrow-band and high-loss characteristics due to its intrinsic resonant property. On the other hand, non-resonant wideband and low-loss carpet cloaks [5] to conceal an object under the curved reflecting surface have been implemented based on quasi-conformal coordinate transformation [6]–[12]. However, the cloaks cannot fully control the off-diagonal components of the permittivity tensor, and the implementation technique is limited to the quasi-conformal transformation. Therefore, the cloaks can hide only small objects with gentle variation in shape. In contrast, the transmission-line approach [34]–[38] has been introduced in cloak implementation for easy design feasibility as well as wideband and low-loss characteristics Manuscript received February 19, 2015; revised May 11, 2015; accepted September 28, 2015. Date of publication November 02, 2015; date of current version December 02, 2015. This work was supported in part by MEXT KAKENHI Grant-in-Aid for Scientific Research on Innovative Areas under Grant 22109002. The authors are with the Department of Electrical and Electronic Engineering, Yamaguchi University, Yamaguchi 755-8611, Japan (e-mail: t-nagayama@ieee. org; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2487275

[13]–[17]. The approach has been extended, and equivalent circuit models for full-tensor anisotropic materials are proposed [18]–[20]. The circuit models are the direct projection of Maxwell's equations and provide physical insight and a rigorous design formula with perfect control of anisotropy. The validity of the circuit models has been confirmed by various circuit simulations [18]–[23]. However, the circuit models have not been implemented and their performance has not been experimentally demonstrated so far due to their complex circuit configurations. In this paper, an implementation method of the equivalent circuit model for full-tensor anisotropic metamaterials based on transformation electromagnetics presented in [20] is proposed. The implemented unit cell is a simple network of transmission-line sections whose characteristic impedances and electrical lengths can be obtained directly from the lumped element values in the original equivalent circuit model without any constraints on individual control of anisotropic tensor parameters. In the following, theory of the implemented distributed anisotropic metamaterial is presented and its performance is demonstrated. In Section II, the circuit model in [20] is first recalled concisely for completion of the paper. In Section III, the proposed distributed anisotropic metamaterials are introduced, and the equivalence of the proposed metamaterials to the circuit model is shown. Rigorous design formulas are also derived theoretically. Then, in order to investigate the validity of the theory, a carpet cloak is designed with the proposed metamaterials in Section IV. In Section V, the operation of the carpet cloak is verified by circuit simulations. Finally, the designed carpet cloak is implemented in microstrip-line technology and its cloaking operation of invisibility is experimentally demonstrated in Section VI. II. EQUIVALENT CIRCUIT MODEL For completion of the paper, we recall the equivalent circuit model presented in [20] which is the basis of the proposed distributed full-tensor anisotropic metamaterials. Fig. 1 shows the equivalent circuit models for full-tensor anisotropic materials. For simplicity, a square unit cell is assumed. Here, and branches have the self-inductances and , respectively, and these branches are magnetically coupled with a mutual inductance ( is assumed). Note that Fig. 1(a) and (b) are isomer circuits depending on the magnetic coupling methods between the branches in the - and -directions. is the capacitance to the ground. Defining the node voltages ( , , , and ) and the currents ( , , , and ) as in Fig. 1, we can obtain the

0018-9480 © 2015 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/ redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

B. Equivalence to the Circuit Model Let us first consider the -parameters of the transmission-line network of Fig. 3(a). Defining the voltage vector as ] and the current vector as ], we can obtain the -parameters and

Fig. 1. Equivalent circuit models for full-tensor anisotropic materials [20]. case. (b) For the case. (a) For the

relation among the currents and the voltages by Kirchhoff's laws. The relations are summarized in the left column in Table I. In the table, ( ) denotes per-unit-length quantities in the model, i.e., , , , and . On the other hand, Maxwell’s equations for -polarized TE waves in an anisotropic material can be written as in the right column in Table I. Comparing these equations with the infinitesimal limit , the relations among the circuit parameters and the material parameters are obtained as

(3)

theoretically by Kirchhoff's voltage and current laws. Note that all of the directions of the currents in the vector are defined as the directions flowing into the network. From the network analysis, we can find the following identities:

(4)

(5) The concrete formulas for the matrix elements are summarized in the Appendix. On the other hand, obtaining the -parameters of the circuit model of Fig. 1(a) as

(1) and

(2) Here, the upper and lower signs of the double signs are for Fig. 1(a) and (b), respectively. These formulas reveal the physical insight of the circuit model, i.e., the diagonal permeability tensor components, and , correspond to the self-inductances per-unit-length and , respectively, and more importantly, the off-diagonal permeability tensor components, and , correspond to the mutual inductance per-unit-length . In addition, permittivity corresponds to the capacitance per-unit-length .

(6)

we can also find the following identities (see the Appendix):

(7) (8) It is noted that (4) and (7) are consistently equivalent for any network parameters in Fig. 3(a), whereas (5) and (8) are not. However, if the condition

III. PROPOSED DISTRIBUTED ANISOTROPIC METAMATERIALS (9) A. Distributed Anisotropic Metamaterials In order to implement the anisotropic metamaterial models of Fig. 1(a) and (b), we first rigorously transform the models into Fig. 2(a) and (b) by using a T-circuit expression of an ideal transformer. Then, we introduce the transmission line networks of Fig. 3(a) and (b) by replacing the inductance elements with transmission-line sections. We will refer to these networks as distributed anisotropic metamaterials in the following. Here, , , and are the characteristic impedances, and , , and are the electrical lengths of the transmissionline sections (see Fig. 3 for the definition). Obviously, the transmission-line networks in Fig. 3 do not always fully correspond to the equivalent circuits in Fig. 1 just by replacing the inductance elements with the transmission line sections. Therefore, the equivalence will be discussed in the following subsection.

is given, all of the parameters in (5) become identical, i.e., , and (5) and (8) become equivalent. In this case, holds, and equivalence of the transmission-line network of Fig. 3(a) to the circuit model of Fig. 1(a) can be guaranteed, i.e., under the condition of (9), the transmission-line network can be definitely expressed by the equivalent circuit model. Similarly, the equivalence between the other isomer transmission-line network of Fig. 3(b) and the circuit model of Fig. 1(b) can also be shown with the same manner. C. Design Formula Under the condition of (9), we can safely obtain the design formulas for determining the transmission-line parameters of the proposed distributed anisotropic metamaterials. By equating

NAGAYAMA AND SANADA: PLANAR DISTRIBUTED FULL-TENSOR ANISOTROPIC METAMATERIALS FOR TRANSFORMATION ELECTROMAGNETICS

3853

TABLE I CIRCUIT EQUATIONS AND MAXWELL’S EQUATIONS

Fig. 2. Transformed equivalent circuits by using T-circuits. (a) For the case. (b) For the case.

Fig. 3. Proposed distributed anisotropic metamaterials. (a) For the case. (b) For the case.

(4) to (7) and (5) to (8), the relations between the circuit parameters , , , and and the transmission-line parameters , , , , and can be obtained as

(10) (11)

of freedom, i.e., one of the transmission-line parameters can be chosen arbitrary. It is noted that these design formulas can be applied to both cases of and by choosing an appropriate isomer network of either Fig. 3(a) or (b), i.e., if , Fig. 3(a) with ( ) should be used, and if , Fig. 3(b) with ( ) should be used. It is also noted that the transmission-line networks in Fig. 3 essentially operate as the lumped element circuits in Fig. 1 at lower frequencies down to dc . For instance, when the frequency approaches to zero in (10), by approximating , it can be shown that approaches to the frequency independent value as

(12) (14)

(13)

where and are the equivalent inductance and capacitance of the transmission line section with the characteristic impedance in Fig. 3. Similarly, by approximating and in (11)–(13), it can also be shown that , , and approach to frequency independent values as: (15)

By solving (10)–(13) simultaneously, the transmission-line parameters of , , , , and are determined from given circuit parameters of , , , and with one degree

(16) (17)

3854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. Concept of a carpet cloak of invisibility. (a) Carpet cloak mimicking specular reflections by a flat floor. (b) Scattering by a bump to be suppressed. (c) Specular reflection by a flat floor to be mimicked.

Fig. 5. Coordinate transformation for the carpet cloak design. (a) Original coordinate system. (b) Transformed non-conformal coordinate system.

where and are the inductance and capacitance values of the corresponding transmission line sections with the characteristic impedance of and , respectively, in Fig. 3. Equations (14)–(17) imply that the transmission-line networks in Fig. 3 equivalently operate as the original lumped element circuits in Fig. 1 as the frequency becomes lower. As a result, the bandwidth is limited only by the upper frequency of operation.

Fig. 6. Transmission-line parameters of the distributed anisotropic metamaterials in Fig. 3 for the carpet cloak design. The unit cell of Fig. 3(a) is used , and Fig. 3(b) is used for the half area of . for the half area of . (b) Normalized characteristic (a) Normalized characteristic impedance . (c) Normalized electrical length . (d) Normalized impedance . electrical length

B. Distributed Anisotropic Metamaterial Parameters We first calculate the material parameters according to the material interpretation [1], [2], [5], i.e., the coordinate transformation can be mimicked by an inhomogeneous anisotropic material with the tensor parameters

(20) (21)

IV. CARPET CLOAK DESIGN In order to confirm the validity of the design theory of the proposed distributed anisotropic metamaterials, we design a 2-D carpet cloak of invisibility [5]–[12] hiding objects under the carpet (see Fig. 4). The design consists of two stages: the coordinate transformation determination and the distributed anisotropic metamaterial parameter calculation.

First, we determine an appropriate coordinate transformation. Let us consider the area with height and width shown in Fig. 5(a) including a bump with height to be hidden. We will now transform the area of Fig. 5(a) in the Cartesian coordinate system into the area of Fig. 5(b) in the non-conformal coordinate system with the relations

(18) (19) and and

(22) , , Then, the equivalent circuit parameters Fig. 1 are readily determined by (1) and (2) as

A. Coordinate Transformation

where and cloak with

where and are the permeability and permittivity of the area to be transformed, and is the metric given with the Jacobian transformation matrix as

, therefore, . In the following, we design the .

, and

in

(23) (24) (25) (26) ( is the Choosing the parameter wave impedance in the original area) as a degree of freedom, we determine the other transmission line parameters of , , , and in Fig. 3 from the calculated circuit parameters, , , , and by solving (10)–(13) simultaneously. Fig. 6(a) and (b) show obtained and normalized by , and Fig. 6(c) and (d) show and normalized by , respectively, where is the wavenumber in the original area. Here, for the half area of , the unit cell of Fig. 3(a) is used since according to (20). Similarly, for the other half area of , Fig. 3(b) is used, since .

NAGAYAMA AND SANADA: PLANAR DISTRIBUTED FULL-TENSOR ANISOTROPIC METAMATERIALS FOR TRANSFORMATION ELECTROMAGNETICS

3855

Fig. 8. Unit cell for the isotropic area.

Fig. 9. Isotropic area with 20 10 cells to be placed into the cloak area in Fig. 7(b) for the flat floor simulation. Fig. 7. Configuration of circuit simulations. (a) Cloak area of 20 10 cells 50 mm). (b) Configuration for the normal incidence case ( ( ). The number of cells is , . Fifty in-phase voltage ) are connected at the nodes in the center of the top row. sources (

Incidentally, the permittivity of the values in Fig. 6.

is implicitly determined by all

V. CIRCUIT SIMULATIONS In order to validate the cloak design, circuit simulations are carried out using a SPICE simulator. We first prepare a node list of 20 10 cells for the cloak area shown in Fig. 7(a). Transmission line parameters for each unit cell are given according to the values in Fig. 6(a)–(d). The transmission line is dealt as an ideal transmission line in the node list. Then, we put the cloak at the bottom center of a uniform isotropic area discretized with 150 cells as shown in Fig. 7(b). The refractive index and the wave impedance of the isotropic area are chosen to be ( is the wavenumber in vacuum) and 63.6 , respectively. In the node list, the outside isotropic area is expressed by the periodic array of the unit cells shown in Fig. 8. The characteristic impedance and the electrical length for both the - and -branches are and , respectively, taking into account the effect of 2-D transmission-line networks [35], [36], [38]. Fifty in-phase voltage sources ( ) with the internal impedance of 62 ,which is reasonably close to the wave impedance 63.6 ,are connected at the nodes in the center of the top row so that the sources illuminate the bump with a normal incident beam ( 0 deg). The amplitudes of the voltage sources are set to form the Gaussian beam with the beam waist of . Nodes on the bottom boundary of Fig. 7(b) are short-circuited including the bump area. The other nodes on the top row and the side columns are terminated by resistors with 62 that will be used in experiments. The

complex voltage distributions of the center nodes in the unit cells are computed in the calculation. For comparison, two additional simulations are also carried out for: 1) a similar configuration as Fig. 7(b) except for the situation where the cloak area is replaced by a square isotropic area shown in Fig. 9 and 2) another similar configuration as Fig. 7(b) except for the situation where the cloak interior is replaced by isotropic unit cells with the same parameters as those of the outside area. The former corresponds to a simulation for a flat floor, and the latter corresponds to a simulation for a bump without the carpet cloak. Fig. 10 shows calculated complex voltage distributions for the simulation results for (a) the carpet cloak, (b) a flat floor, and (c) a bump without the carpet cloak. The wavelength is chosen as in the calculation. By comparing Fig. 10(a) and (b), it is seen that the carpet cloak mimics the flat floor well. Besides, by comparing Fig. 10(a) and (c), it is clearly seen that scattered waves by the bump are suppressed considerably by the carpet cloak. Fig. 11(a)–(c) show similar results with the shorter wavelength, . From these results, it is also seen that the carpet cloak mimics the flat floor, though the level of scattered waves is slightly increased (compare Fig. 11(a) with Fig. 10(a)). Incidentally, it is expected for the cloak to work also at lower frequencies down to DC as discussed in Section III-C. In order to further confirm the operation of the carpet cloak, circuit simulations with the oblique incident waves 30, 45, and 60 deg are carried out. Fig. 12 shows the scheme for the simulations for the case with 45 deg, for instance. In this case, the calculated area is 300 150 cells, and a hundred in-phase voltage sources ( ) with the Gaussian amplitude distribution are connected at the nodes of the staircase boundary in the top-right corner. For the cases with 30 and 60 deg, the calculated areas are chosen as 172 120 cells and 240 86 cells, respectively, and the sources are

3856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 12. Configuration of circuit simulations for the oblique incidence case 45 deg). The number of cells is , . One hun( ) are connected at the nodes of the dred in-phase voltage sources ( staircase boundary in the top-right corner.

Fig. 10. Calculated complex voltage distributions ( ). (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak. Left: amplitude. Right: phase.

cloak are shown from the top to bottom. The wavelength is chosen as . It is clearly seen from all of these figures that the carpet cloak well suppresses the scattered waves and mimics the flat floor even with the oblique incident angles. From these results, the validity of the design theory is confirmed. VI. EXPERIMENTS Here, the carpet cloak designed in Section IV is implemented with microstrip-line technology, and its cloaking operation of invisibility is verified by near-field measurements. A. Microstrip-Line Implementation

Fig. 11. Calculated complex voltage distributions ( ). (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak. Left: amplitude. Right: phase.

configured with similar staircase approximations to illuminate the cloak centers. Fig. 13 shows the calculated complex voltage distributions for the cases of (a) 30 deg, (b) 45 deg, and (c) 60 rm deg. In the figure, the cases with the carpet cloak, with a flat floor, and with the bump without the carpet

With the designed transmission line parameters of Fig. 6(a)–(d), the carpet cloak is implemented with microstrip-line technology. The schematics of the anisotropic unit cells for the implementation are shown in Fig. 14. Fig. 14(a) and (b) are for and , respectively. Each of the cells consists of five transmission-line sections of three different kinds of parameters , , and . The length of each line section is controlled by the curvature of the right angle sector. The total lengths , , and are defined along the line center. The substrate is backed by a metallic ground plane. An ARLON DiClad880 with permittivity , thickness 0.254 mm, and dielectric loss is chosen as a substrate. The width and the height of the cloak area are set to 100 mm and 50 mm, respectively. The cloak is discretized with 5 mm. The total number of unit cells in the cloak area is, therefore, 20 10 unit cells. Then, we carefully choose the effective impedance 63.6 and the phase velocity ( is the speed of light and ) of the medium in the original coordinate system to be mimicked considering the fabrication constraints in which the minimum line width is 0.1 mm and all of the lines have to be accommodated in the unit cell. The parameters of , , , , and are calculated from the impedances and the electrical lengths of Fig. 6 by assuming . Fig. 15(a)–(d) show the calculated , , , and . Here, for the half areas of and , the

NAGAYAMA AND SANADA: PLANAR DISTRIBUTED FULL-TENSOR ANISOTROPIC METAMATERIALS FOR TRANSFORMATION ELECTROMAGNETICS

Fig. 13. Calculated complex voltage distributions for oblique incidence cases ( Amplitude. Right: Phase.

). (a)

30 deg. (b)

45 deg. (c)

3857

60 deg. Left:

Fig. 16. Implemented carpet cloak. Fig. 14. Schematics of the unit cells of the anisotropic metamaterials implemented on a dielectric substrate with the microstrip-line technology. (a) For the case. (b) For the case. h

h

0.4

0p

0 x (m)

p

h

0.2

(mm)

0p

p

h

y (m)

0p

0 x (m)

p

4.5

0.1

2.2

(mm) ( ) y (m)

6.7

0 x (m)

(mm)

y (m)

(mm) y (m)

0.8

0p

0 x (m)

p

0

Fig. 15. Line widths and lengths of the implemented anisotropic unit cells in Fig. 14 for the carpet cloak design. The unit cell of Fig. 14(a) is used for the half , and Fig. 14(b) is used for the half area of . (a) Line width area of . (b) Line width . (c) Line length . (d) Line length .

unit cells of Fig. 14(a) and (b) are used, respectively. The parameter 0.231 mm is determined from .

Fig. 17. Schematic for the prototype.

Fig. 16 shows the implemented carpet cloak. As seen in Fig. 16, the cloak is symmetrical with the center of . At the interface on , the branches of the unit cells are connected smoothly maintaining the electrical length. B. Prototypes Fig. 17 shows the schematic of the carpet cloak for fabrication. The carpet cloak area designed in Fig. 16 is placed at the bottom center of a uniform isotropic area consisting of an array

3858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 18. Unit cells of the isotropic area outside of the cloak area. (a) For the left-half area of Fig. 17. (b) For the right-half area of Fig. 17.

of unit cells shown in Fig. 18. Although Fig. 18(a) and (b) are electrically identical, they are used in the left- and right-half of the isotropic area, respectively, to match the cloak geometry. The unit cell parameters for the outside isotropic area are chosen as 0.264 mm and 5.75 mm to have the refractive index of and the wave impedance of 63.6 . The total area of Fig. 17 is 280 200 mm ( 56 40 cells). Fig. 19(a) shows the fabricated prototype for the carpet cloak. For comparison, another two prototypes with a flat floor [Fig. 19(b)] and with a bump without the carpet cloak [Fig. 19(c)] are also fabricated, as introduced in the simulations in Section V. For all the prototypes in Fig. 19, the nodes on the bottom boundary including the bump area is short-circuited by throughhole vias with the diameter of 0.3 mm. The other boundaries are terminated by chip resistors with 62 through metallic lands with 0.8 0.5 mm . C. Measurement System Fig. 20 shows the near-field measurement system used in the experiments. A prototype is fixed with an adhesive sheet on an aluminum plate to avoid warp. The prototype is excited by a coaxial cable soldered at the center node on the top row, and distributions of the -component of the electric near-field approximately 0.5 mm above the prototype surface are measured by using a coaxial probe with a computer controlled -stage. The total measured area is 275 190 mm . The complex electric field data are acquired in every 1.25 mm both in the - and -directions, and the total number of the measurement points is 221 153. In order to suppress the direct coupling between the excitation coaxial cable and the electric probe, the differential measurement technique is used, in which the complex field distributions on two slightly different planes are differentiated. The distance between the two planes is chosen as 1.5 mm. D. Measured Near-Field Distributions Fig. 21 shows the measured amplitude and phase distributions at 2.20 GHz ( ) for (a) the carpet cloak, (b) a flat floor, and (c) a bump without the carpet cloak. It is seen from Fig. 21(a) for the carpet cloak that the wave front of the reflected wave by the cloak is flattened outside the cloak area

Fig. 19. Prototypes. (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak.

Fig. 20. Near-field measurement system.

and the total field distribution reflects well the scattered field by the flat floor shown in Fig. 21(b). In contrast, in Fig. 21(c) for a bump without the coordinate transformation, the incident wave

NAGAYAMA AND SANADA: PLANAR DISTRIBUTED FULL-TENSOR ANISOTROPIC METAMATERIALS FOR TRANSFORMATION ELECTROMAGNETICS

Fig. 21. Measured complex electric near-field distributions ( at 2.20 GHz). (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak. Left: amplitude. Right: phase.

Fig. 22. Calculated complex voltage distributions ( at 2.20 GHz). (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak. Left: amplitude. Right: phase.

is scattered by the bump to the left and right and the wave front is bent according to the bump shape. For comparison, circuit simulations for the same configurations as the experiments are carried out with the same manner as in Section V. The results for (a) the carpet cloak, (b) a flat floor, and (c) a bump without the carpet cloak are shown in Fig. 22. By comparing Fig. 22 with the measured results of Fig. 21, they

3859

Fig. 23. Measured complex electric near-field distributions ( at 4.55 GHz). (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak. Left: amplitude. Right: phase.

Fig. 24. Calculated complex voltage distributions ( at 4.55 GHz). (a) Carpet cloak. (b) Flat floor. (c) Bump without the carpet cloak. Left: Amplitude. Right: Phase.

agree well with each other reflecting the fact that the carpet cloak well suppresses the scattered waves by the bump. Fig. 23 shows measured electric field distributions at a higher frequency 4.55 GHz ( ) for (a) the carpet cloak, (b) a flat floor, and (c) a bump without the carpet cloak. By comparing Fig. 23(a) and (b), it is seen that the carpet cloak sufficiently suppresses the scattered waves by the bump and mimics the flat floor. In contrast, in Fig. 23(c), it is clearly seen that the incident wave is strongly scattered by the bump in the oblique

3860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

directions with the angles of approximately 30 deg, which is distinct from Fig. 23(a) for the case with the carpet cloak. Fig. 24 shows similar circuit simulation results at 4.55 GHz ( ) for (a) the carpet cloak, (b) a flat floor, and (c) a bump without the carpet cloak. It is seen from the figure that the simulated results agree well with the corresponding measured results in Fig. 23(a)–(c), and the validity of the measured results are confirmed. From these results, it can be concluded that the validity of the theory of the proposed distributed full-tensor anisotropic metamaterials as well as the operations of the carpet cloak are confirmed experimentally.

and

(A2)

VII. CONCLUSION Distributed full-tensor anisotropic metamaterials for transformation electromagnetics have been proposed. First, equivalence of the proposed metamaterials to the circuit models for the full-tensor anisotropic material has been shown, and the design formulas have been derived. Then, a carpet cloak has been designed. Circuit simulations have revealed the validity of the design as well as the broadband operation. In addition, the designed carpet cloak has been implemented on a dielectric substrate with microstrip-line technology. By the near-field measurements, it has been experimentally shown that the carpet cloak well suppresses scattered waves by the bump and mimics the flat floor. Therefore, the validity of the theory of the proposed distributed full-tensor anisotropic metamaterials has been confirmed. This approach can be useful for implementing novel planar circuit devices based on the transformation electromagnetics. For instance, the idea can be applied to a coordinate transformed 2-D resonator whose resonant frequencies are exactly the same as those of the original resonator including higher harmonics regardless of its physical shape. The concept and implementation could also be exploited to Rotman lenses or other novel devices and circuits based on 2-D wave propagation. Although the proposed implementation method is limited to 2-D cases, 3-D extensions will be demanded for the next stage. A possible approach is extending the proposed transmission line network in the symmetrical condensed node presented in the 3-D TLM approach.

(A3) (A4) (A5)

(A6) where

(A7) The -parameter components of (6) for the equivalent circuit model in Fig. 1(a) are given as

APPENDIX

(A8)

The -parameter components of (3) for the transmission-line network in Fig. 3(a) are given as

(A9) (A10)

(A11) REFERENCES

(A1)

[1] J. B. Pendry, D. Schurig, and D. R. Smith, “Controlling electromagnetic fields,” Science, vol. 312, no. 5781, pp. 1780–1782, Jun. 2006. [2] D. Schurig, J. B. Pendry, and D. R. Smith, “Calculation of material properties and ray tracing in transformation media,” Opt. Exp., vol. 14, no. 21, pp. 9794–9804, Oct. 2006.

NAGAYAMA AND SANADA: PLANAR DISTRIBUTED FULL-TENSOR ANISOTROPIC METAMATERIALS FOR TRANSFORMATION ELECTROMAGNETICS

[3] D. Schurig, J. J. Mock, B. J. Justice, S. A. Cummer, J. B. Pendry, A. F. Starr, and D. R. Smith, “Metamaterial electromagnetic cloak at microwave frequencies,” Science, vol. 314, no. 5801, pp. 977–980, Nov. 2006. [4] B. Kanté, D. Germain, and A. de Lustrac, “Experimental demonstration of a nonmagnetic metamaterial cloak at microwave frequencies,” Phys. Rev. B., vol. 80, no. 20, Nov. 2009, Art. ID 201104. [5] J. Li and J. B. Pendry, “Hiding under the carpet: A new strategy for cloaking,” Phys. Rev. Lett., vol. 101, no. 20, Nov. 2008, Art. ID 203901. [6] J. Valentine, J. Li, T. Zentgraf, G. Bartal, and X. Zhang, “An optical cloak made of dielectrics,” Nature Mater., vol. 8, pp. 568–571, Apr. 2009. [7] R. Liu, C. Ji, J. J. Mock, J. Y. Chin, T. J. Cui, and D. R. Smith, “Broadband ground-plane cloak,” Science, vol. 323, no. 5912, pp. 366–369, Jan. 2009. [8] J. H. Lee, J. Blair, V. A. Tamma, Q. Wu, S. J. Rhee, C. J. Summers, and W. Park, “Direct visualization of optical frequency invisibility cloak based on silicon nanorod array,” Opt. Exp., vol. 17, no. 15, pp. 12922–12928, Jul. 2009. [9] L. H. Gabrielli, J. Cardenas, C. B. Poitras, and M. Lipson, “Silicon nanostructure cloak operating at optical frequencies,” Nature Photon., vol. 3, pp. 461–463, Aug. 2009. [10] T. Ergin, N. Stenger, P. Brenner, J. B. Pendry, and M. Wegener, “Threedimensional invisibility cloak at optical wavelengths,” Science, vol. 328, no. 5976, pp. 337–339, Apr. 2010. [11] H. F. Ma and T. J. Cui, “Three-dimensional broadband ground-plane cloak made of metamaterials,” Nature Commun., vol. 1, p. 21, Feb. 2011. [12] D. Shin, Y. Urzhumov, Y. Jung, G. Kang, S. Baek, M. Choi, H. Park, K. Kim, and D. R. Smith, “Broadband electromagnetic cloaking with smart metamaterials,” Nature Commun., vol. 3, p. 1213, Nov. 2012. [13] P. Alitalo, O. Luukkonen, L. Jylhä, J. Venermo, and S. Tretyakov, “Transmission-line networks cloaking objects from electromagnetic fields,” IEEE Trans. Antennas Propagat., vol. 56, no. 2, pp. 416–424, Feb. 2008. [14] P. Alitalo and S. Tretyakov, “Broadband microwave cloaking with periodic networks of transmission lines,” in Proc. Metamaterials, Sep. 2008, pp. 392–394. [15] P. Alitalo, F. Bongard, J.-F. Zürcher, J. Mosig, and S. Tretyakov, “Experimental verification of broadband cloaking using a volumetric cloak composed of periodically stacked cylindrical transmission-line networks,” Appl. Phys. Lett., vol. 94, no. 1, Jan. 2009, Art. ID 014103. [16] P. Alitalo, F. Bongard, J.-F. Zürcher, J. Mosig, and S. Tretyakov, “Broadband electromagnetic cloaking of long cylindrical objects,” Phys. Rev. Lett., vol. 103, no. 10, Sep. 2009, Art. ID 103905. [17] X. Liu, C. Li, K. Yao, X. Meng, W. Feng, B. Wu, and F. Li, “Experimental verification of broadband invisibility using a cloak based on inductor-capacitor networks,” Appl. Phys. Lett., vol. 95, no. 19, Nov. 2009, Art. ID 191107. [18] M. Zedler and G. V. Eleftheriades, “2D transformation optics using anisotropic transmission-line metamaterials,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 33–36. [19] A. Sanada and T. Nagayama, “Transmission line approach for transformation electromagnetics,” in Proc. URSI Int. Symp. Electromagn. Theory, May 2013, pp. 336–337. [20] T. Nagayama and A. Sanada, “Physical equivalent circuit model for 2D full-tensor anisotropic metamaterials,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [21] T. Nagayama and A. Sanada, “Specular reflection from a sinusoidal periodic boundary by a carpet cloak of invisibility,” in Proc. Asia–Pacific Microw, Conf., Nov. 2013, pp. 1209–1211. [22] A. Sanada and T. Nagayama, “Transmission line approach to 2D full-tensor anisotropic metamaterials for transformation electromagnetics,” in Proc. Int. Conf. Electromagn. Advanced Appl., Aug. 2014, pp. 804–805. [23] A. Sanada and T. Nagayama, “Transmission line metamaterials for transformation electromagnetics,” in Proc. Eur. Microw. Conf., Oct. 2014, pp. 965–967. [24] A. Alù, “Mantle cloak: Invisibility induced by a surface,” Phys. Rev. B., vol. 80, no. 24, Oct. 2009, Art. ID 245115. [25] Y. R. Padooru, A. B. Yakovlev, P.-Y. Chen, and A. Alù, “Analytical modeling of conformal mantle cloaks for cylindrical objects using subwavelength printed and slotted arrays,” Appl. Phys. Lett., vol. 112, no. 3, Aug. 2012, Art. ID 034907.

3861

[26] M. Selvanayagam and G. Eleftheriades, “An active electromagnetic cloak based on the equivalence principle,” IEEE Antennas Microw. Wireless Propag. Lett., vol. 11, no. 10, pp. 1226–1229, Oct. 2012. [27] M. Selvanayagam and G. Eleftheriades, “Discontinuous electromagnetic fields using orthogonal electric and magnetic currents for wavefront manipulation,” Opt. Exp., vol. 21, no. 12, pp. 14409–14429, Jun. 2013. [28] M. Selvanayagam and G. Eleftheriades, “Experimental demonstration of active electromagnetic cloaking,” Phys. Rev. X, vol. 3, no. 4, Jun. 2013, Art. ID 041011. [29] R. S. Schofield, J. C. Soric, D. Rainwater, A. Kerkhoff, and A. Alù, “Scattering suppression and wideband tenability of a flexible mantle cloak for finite-length conducting rods,” New J. Phys., vol. 16, no. 6, Jun. 2014, Art. ID 063063. [30] U. Leonhardt, “Optical conformal mapping,” Science, vol. 312, no. 5781, pp. 1777–1780, Jun. 2006. [31] M. G. Silveirinha, A. Alù, and N. Engheta, “Parallel-plate metamaterials for cloaking structures,” Phys. Rev. E, vol. 75, no. 3, Mar. 2007, Art. ID 036603. [32] B. Edwards, A. Alù, M. G. Silveirinha, and N. Engheta, “Experimental verification of plasmonic cloaking at microwave frequencies with metamaterials,” Phys. Rev. Lett., vol. 103, no. 15, Oct. 2009, Art. ID 153901. [33] R. Schittny, M. Kadie, T. Biickmann, and M. Wegener, “Invisibility cloaking in a diffusive light scattering medium,” Science, vol. 345, no. 6195, pp. 427–429, Jul. 2014. [34] G. Kron, “Equivalent circuit of the field equations of Maxwell-I,” Proc. IRE, vol. 32, no. 5, pp. 289–299, May 1944. [35] P. B. Johns and R. L. Beurle, “Numerical solution of 2-dimensional scattering problems using a transmission-line matrix,” Proc. Inst. Electr. Eng., vol. 118, no. 9, pp. 1203–1208, Sep. 1971. [36] W. J. R. Hoefer, “The transmission-line matrix method–theory and applications,” IEEE Trans. Microw. Theory Techn., vol. MTT-33, no. 10, pp. 882–893, Oct. 1985. [37] P. B. Johns, “A symmetrical condensed node for the TLM method,” IEEE Trans. Microw. Theory Techn., vol. MTT-35, no. 4, pp. 370–377, Apr. 1987. [38] C. Christopoulos, The Transmission-Line Modeling Method: TLM. New York, NY, USA: IEEE, 1995.

Tsutomu Nagayama (S’13) received the B. E. and M. E. degrees in electrical and electronics engineering from Yamaguchi University, Yamaguchi, Japan, in 2011 and 2013, respectively, where he is currently working toward the Ph.D. degree at the Graduate School of Science and Engineering. His research is concerned with transformation electromagnetics and metamaterials. Mr. Nagayama is a student member of the Institute of Electronics, Information and Communication Engineers (IEICE).

Atsushi Sanada (M’95) received the B. E., M.E., and Ph.D. degrees in electrical engineering from Okayama University, Okayama, Japan, in 1989, 1991, and 1994, respectively. In 1999, he joined the Faculty of Engineering, Yamaguchi University, Yamaguchi, Japan, where he is now a Professor. He was a Visiting Scholar with the University of California at Los Angeles in 1994–1995 and 2002–2003. He was also a Visiting Scholar with the Advanced Telecommunications Research Institute International in 2004–2005 and the Japan Broadcasting Corporation in 2005. His research is concerned with material science and technologies including transformation electromagnetics and metamaterials, high- superconducting and magnetic materials. Dr. Sanada is a member of the European Microwave Association (EuMA) and the Institute of Electronics, Information and Communication Engineers (IEICE). He is currently serving as an IEEE Microwave Theory and Techniques Society (MTT-S) AdCom member.

3862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Integral-Equation Formulation for the Analysis of Capacitive Waveguide Filters Containing Dielectric and Metallic Arbitrarily Shaped Objects and Novel Applications Fernando D. Quesada Pereira, Member, IEEE, Antonio Romera Perez, Pedro Vera Castejón, and Alejandro Alvarez Melcon, Senior Member, IEEE

Abstract—This paper presents an integral-equation formulation specialized for the analysis of capacitive waveguide circuits, which include arbitrarily shaped conducting and homogeneous magnetic/dielectric objects. The technique benefits from the symmetry of the structure by formulating a 2-D scattering problem with oblique angle of incidence, combined with the use of the parallel-plate Green’s functions. As practical applications, the paper proposes novel low-pass filter designs loaded or coated with dielectric and magnetic homogeneous materials. If the filter is properly designed, the use of these materials could improve the filter response, selectivity, or out-of-band performance, and power-handling capabilities. Some novel filter design implementations, showing these kinds of benefits, are presented for the first time in this paper. For validation, a commercial full-wave simulator is employed, showing the validity, accuracy, and computational efficiency of the novel software tool. Index Terms—Dielectrics, Green’s functions, integral equations, low-pass filters, method of moments (MoM), microwave filters.

I. INTRODUCTION

T

HE electromagnetic modeling of RF circuits remains a very important activity in microwave engineering. The development of powerful software tools that can predict the electrical behavior of complex microwave circuits is very desirable. With these tools it is possible to explore new properties of devices and circuits, for instance, by adding new elements and functionalities to existing components. In addition, efficiency is also a very important issue in the modeling activity. With high efficiency, analysis tools could be used for the design of complex components, eliminating or at least reducing the experimental work at the laboratory. Consequently, these tools can effectively reduce time and cost associated to the development of complex microwave subsystems.

Manuscript received February 27, 2015; revised May 21, 2015 and September 18, 2015; accepted September 28, 2015. Date of publication October 16, 2015; date of current version December 02, 2015. This work was supported by CICYT Project TEC2013-47037-C5-5-R, by European Feder fundings, and by Fundacion Seneca 19494/PI/14. The authors are with the Information and Communications Technology Department, Technical University of Cartagena, E-30202 Cartagena, Spain (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490073

A very useful class of microwave components is based on waveguide technology. Although these components are bulky, they are still often used in high-power applications, or when low losses are needed. Two very popular examples are in the front-ends of satellite and radar systems. Among the waveguide components for these applications, low-pass filters based on capacitive discontinuities are very desirable to reject interferences and harmonic bands of bandpass filters [1], [2]. Important considerations for these devices that need to be improved are the power-handling capability and the spurious-free range [3]–[5]. In the above context, this paper focuses on the development of an efficient software tool for the analysis of waveguide components based on capacitive discontinuities including dielectric and magnetic homogeneous objects, and on the use of this tool to explore new microwave devices with enhanced capabilities. A very comprehensive review of analysis techniques that can be applied to this class of microwave components can be found in [6]. Among the useful available numerical methods, this paper uses an integral-equation formulation to develop a new software tool for the efficient analysis of this class of components. Recently, an integral-equation formulation for this purpose was proposed in [7] and [8]. The efficiency of the method relies on the reduction of the structure to a 2-D scattering problem with an oblique angle of incidence. Consequently, the analysis of full 3-D structures is avoided. Note that other techniques based on the finite-element method (FEM) could also avoid the analysis of complete 3-D structures, by applying similar transformations to reduce the geometry to a 2.5-D problem [9]. With respect to these previous works [7], [8], this paper proposes the extension of the formulation to treat arbitrarily shaped dielectric and/or magnetic objects inside capacitive waveguide structures. Once the software tool is built, it is used to explore new low-pass filter structures with enhanced capabilities by introducing dielectric or magnetic objects as integral parts of the components. Preliminary ideas on how to improve the responses of waveguide low-pass filters using magnetic materials were reported in [6]. In this paper we extend the initial results reported in [6]. In particular, different low-pass filter designs including dielectric coated conducting posts are proposed for the first time as an alternative for improving the performance of traditional filters used in space communication applications [3], [4], [10].

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

QUESADA PEREIRA et al.: INTEGRAL-EQUATION FORMULATION FOR THE ANALYSIS OF CAPACITIVE WAVEGUIDE FILTERS

This paper is organized as follows. First, for the sake of simplicity, Section II-A presents the proposed novel surface integral-equation technique theory for a generic problem containing two isolated material and conducting capacitive posts inside a rectangular waveguide. After that, Section II-B explains the application particularities of the integral-equation technique for the analysis of dielectric coated metallic posts for two different situations: a coating dielectric material separated from the top and bottom waveguide sidewalls, and second when the dielectric is touching both waveguide walls. Once the relevant theory is exposed, three different novel low-pass filter designs are presented in Section III. The first one is a low-pass filter composed of separated conducting irises next to separated magnetic rectangular posts. The proper combination of these different kinds of posts allows the implementation of transmission zeros in the insertion-loss response of the low-pass filter. In fact, the magnetic material makes the capacitive irises become resonant, thus introducing the additional transmission zeros. This can be used to improve the selectivity of the filter. The second design example is a low-pass filter including dielectric coated elliptical conducting posts with the intention of improving the multipactor power threshold for space applications by increasing the critical gaps that are usually present in this type of structures. Finally, the last example is another low-pass filter where rectangular conducting posts have been completely covered by a homogeneous dielectric of rectangular shape that is touching the top and bottom rectangular waveguide walls. In this way, the free electron movement in the critical gaps is completely avoided, thus reducing the multipactor phenomenon risk to the less likely one-sided multipactor case. This paper concludes with two Appendices, which provide important information on how to compute the method of moments (MoM) matrix elements [11] and the Green’s functions employed in the integral-equation kernel. II. THEORY In this section we present the basic integral-equation formulation. First, the formulation will be introduced for a generic structure containing one metallic and one dielectric post. Second, how to deal with special cases when metallic posts are coated by dielectric objects will be explained. A. Formulation for Isolated Posts The basic generic structure to be studied in this paper is shown in Fig. 1, where one metallic post and one dielectric/magnetic post are considered inside a rectangular waveguide. The structure is excited with the fundamental mode of the waveguide, giving rise to the exciting electric and magnetic fields . The geometry is considered to be invariant along the -axis. However, the problem is not 2-D due to the dependence of the excitation field with this coordinate. The process to reduce the structure to a 2-D scattering problem with oblique angle of incidence was reported in [7] and [8]. With respect to those works, we introduce now the treatment of dielectric and magnetic materials through the use of the surface equivalence principle [12]–[14]. The chosen formulation

3863

Fig. 1. Structure studied in this paper. It is composed of capacitive metallic and dielectric or magnetic material posts inside a rectangular waveguide.

Fig. 2. External equivalent problem. The homogeneous material body has been replaced by equivalent magnetic and electric surface current densities oriented along the transverse and longitudinal axes. The conducting post is characterized by only an electric current surface density with and longitudinal components. Equivalent currents are both transverse inside a parallel-plate waveguide region modeling the rectangular waveguide.

for the imposition of the boundary conditions for the fields is the so called Poggio–Miller–Chang–Harrington–Wu–Tsai (PCHMWHT) integral-equation formulation [15], [16]. This formulation is free from the internal resonance problem associated with some integral-equation operators used for the analysis of material bodies, such as the ( -field) or ( -field) formulations [17], [18], at or close to certain frequencies. When the internal resonance phenomenon occurs, the direct solution by the MoM yields to ill-conditioned systems of linear equations. For the conducting posts, an electric field integral equation (EFIE) or a combined field integral equation (CFIE) is used depending on the frequency range where the response of the microwave device is evaluated, and on the geometry of the discontinuity. For open and closed conducting posts, an EFIE can be used within the frequency range of the fundamental mode propagation of the rectangular waveguide employed for most practical microwave devices. To obtain the out-of-band response, a CFIE is preferred for the analysis of closed conducting posts in order to avoid the internal resonance problem [18], whereas an EFIE is the only possible choice for open conducting discontinuities. The use of auxiliary electric and magnetic current densities defined on the surface of the material body allows to formulate two simpler equivalent problems, as illustrated in Figs. 2 and 3. In this case, the electric and magnetic current surface densities have transverse and longitudinal

3864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 3. Internal equivalent problem. Auxiliary currents are in an infinite homo. geneous medium with constitutive parameters

Hankel functions (see Appendix A for details). Also, note that the modeling of the excitation is more complex if free-space Green’s functions are used in the external problem. This is because the connection with the waveguide ports has to be done with additional auxiliary electric and magnetic currents at the corresponding interfaces. The situation is different in the internal problem shown in Fig. 3. This problem is formulated inside the material body, considering an infinite unbounded medium. Only the auxiliary surface currents defined on the material body are present , leading to total electromagnetic fields in this problem of the form (2a)

components. This situation is more complex than the integral-equation analysis of inductive microwave circuits presented in [19], where only the transverse component of the equivalent magnetic current surface density and the longitudinal component of the equivalent electric current surface density are needed. This circumstance represents a higher computational effort for the analysis of capacitive circuits as compared to the inductive counterpart in spite of being able to reduce the numerical solution to a 2-D problem. In fact, the number of unknowns needed in the resulting integral equation is twice for the capacitive problem as compared to the inductive counterpart. Also, the metallic body is replaced by the induced electric current . This induced electric current surface density also has transverse and longitudinal components. The total electromagnetic fields in the external equivalent problem shown in Fig. 2 are due to all these auxiliary currents, plus the excitation fields associated to the incident dominant mode, obtaining (1a) (1b) Note that, in the external problem, the currents are inside a parallel-plate region modeling the rectangular waveguide. Consequently, an interesting choice for the formulation of the integral equation is to select the 2-D Green’s functions of a line source inside a parallel-plate waveguide (details are included in Appendix A). In this way a waveguide with infinite walls is modeled, and the walls need not be discretized in the final numerical solution of the problem. If this approach is followed, it is important to compute the parallel-plate Green’s functions in an efficient way. Otherwise the numerical solution of the problem through the application of the MoM may become very slow. In order to keep efficiency, the parallel-plate Green’s functions are evaluated with the techniques reported in [19], including combinations of the Kummer and Ewald methods. Note that there are other possible valid choices that could be used to formulate this external problem. An obvious choice is to select the free-space Green’s functions. In this case, however, the induced currents on the walls of the waveguide need also to be considered in the formulation. Consequently, this approach will lead to more unknowns during the numerical solution of the problem. On the contrary, the calculation of the Green’s functions will be very fast since they are formulated as simple

(2b) For the interior problem the natural choice of Green’s functions is the one corresponding to a 2-D infinite line source in a homogeneous medium, which are formulated with Hankel functions [18] (see also Appendix A). The solution of the problem is completed through the imposition of the boundary conditions for the electromagnetic fields in the structure. In this way, three coupled integral equations are formulated in terms of the equivalent surface electric and magnetic currents on the dielectric body contour, of the induced surface electric current on the metallic conductor, and using the corresponding parallel-plate or homogeneous medium Green’s functions, as explained before. The first integral equation in (3) enforces the continuity of the tangential electric field component on the dielectric post surface , whereas the second one (4) imposes the continuity of the tangential magnetic field components on the surface of the same dielectric object. Finally, the third integral (5) corresponds to the nullity of the tangential electric field on the surface of the perfect conducting post ,

on (3)

QUESADA PEREIRA et al.: INTEGRAL-EQUATION FORMULATION FOR THE ANALYSIS OF CAPACITIVE WAVEGUIDE FILTERS

3865

the expansion of the unknown currents in basis functions leads to

(6a) (6b)

(6c)

on (4)

on (5) Note that the separation of the problem in a transverse plane and in a longitudinal direction can be used to express the unknown currents also in terms of transverse (along the contour of the object -direction, as shown in Figs. 2 and 3) and longitudinal ( -direction) components. According to this notation,

As already mentioned, in the problem at hand all these components of the induced currents play an important role in the analysis. This requires more computational effort as compared to inductive waveguide problems, where only longitudinal for electric or transverse for magnetic components of the currents are present [19]. The other difficulty associated with integral-equation formulations is the appropriate treatment of the Green’s functions singularities. In the proposed implementation we need to take care of weak singularities due to the use of mixed potentials combined with the PCHMWHT formulation [20]. In this context, the weak singularities of logarithmic type are extracted and analytically integrated following standard procedures [18]. In addition, the hyper-singularities due to the curl operators are solved using the Cauchy principal values of the corresponding integrals [18]. The final current expansions are expressed in terms of subsectional triangular basis functions defined on the dielectric and conductor contours. In this case, and are the basis functions for the equivalent electric current surface density along the longitudinal and transverse axes, respectively, whereas and are the corresponding basis functions for the magnetic current surface density. On the other hand, and are the basis functions for longitudinal and transverse components of the induced electric current surface density on the conducting posts. The application of the MoM algorithm [11] using this discretization scheme leads to the linear system presented in (7) at the bottom of this page. In this notation, superscripts denote the electric or magnetic field, indicates the electric or magnetic current, and denotes a field or current directed along the longitudinal -axis or along the object contour ( direction). When they are used in between brackets ,

(7)

3866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

, , or , they represent, respectively, the position of the observation and the source (conductor or dielectric/magnetic body). Finally, represent the known term vector of the linear system, which can be computed using the electromagnetic fields associated to the exciting of the rectangular waveguide. The different submatrices in (7) represent the coupling between different current components on the same object (self-interactions) or between components on separate objects (mutual interactions). The final expressions of these submatrices and of the known term vector, are presented in Appendix B. It is worth mentioning that the coupled integral equations finally solved by the MoM in (7) are written in the spectral domain corresponding to the spatial frequencies . These spatial frequencies are those corresponding to the decomposition of the mode exciting the structure into two different plane waves. These plane waves propagate with oblique mirrored incident angles with respect to the reference rectangular waveguide, as described in [8]. The integral equation only need to be solved for one of the spatial frequencies since the unknown expansion coefficients are directly related for the two harmonics. Full details of this treatment can be found in [8]. Note that an alternative approach would be to combine directly the two plane waves into a sine or cosine variation for each component of the unknown currents. However, this may be less intuitive since one has to take care of the correct variation (sine or cosine) for each component of the equivalent currents to fulfill the right boundary conditions at the waveguide walls. Once the integral equation is solved, the electrical response can be obtained for the input and output ports defined in Fig. 1, following a similar procedure to that described in [21]. Moreover, the electromagnetic fields inside the structure can be computed by means of mixed potentials expressions [20], written in the spectral domain for each harmonic . Once more, it is only necessary to compute the electromagnetic fields for one of the spatial frequencies since the results are directly related for both harmonics [8]. B. Dielectric Coated Metallic Posts In this section two different useful special dielectric and conducting bodies configurations are characterized in terms of their coupled equivalent problems. The first situation corresponds to a perfect conducting post coated by a dielectric or magnetic material, which does not touch the upper or lower rectangular waveguide walls, as can be observed in Fig. 4. In this case, the outer equivalent problem is modeled by surface electric and magnetic currents on the dielectric body contour . This outer problem is excited by the rectangular waveguide fundamental mode, whereas the electromagnetic fields in the region inside the dielectric are assumed to be null. The whole waveguide is filled with the external constitutive parameters , taking into account the rectangular waveguide influence by means of the parallel-plate Green’s functions (see Fig. 5). For the internal equivalent problem, the equivalent magnetic and electric surface currents on the dielectric contour and

Fig. 4. Conducting post coated by a dielectric/magnetic homogeneous material.

Fig. 5. Equivalent external problem for the structure in Fig. 4.

Fig. 6. Equivalent internal problem for the structure in Fig. 4.

the induced surface electric current on the conductor radiate within an homogeneous infinite medium filled with constitutive parameters (see Fig. 6). The electromagnetic fields outside the original dielectric body and inside the conductor are null ( , ). Both equivalent problems are coupled by enforcing the continuity of the tangential electric and magnetic fields on the boundary contours. A second special case, very interesting for microwave circuit design, is composed of a dielectric material rod touching the rectangular waveguide walls, while at the same time entirely covering a metallic post (see Fig. 7). The equivalent problems for formulating the final coupled integral equations are similar to those explained for the first configuration in this section, but some important differences must be taken into account for a proper electromagnetic characterization. The resulting structures are shown in Figs. 8 and 9 for the external and internal problems, respectively. One of the main differences with respect to the previous analysis is that in this second structure the Green’s functions corresponding to a parallel-plate waveguide are used for both the internal and

QUESADA PEREIRA et al.: INTEGRAL-EQUATION FORMULATION FOR THE ANALYSIS OF CAPACITIVE WAVEGUIDE FILTERS

Fig. 7. Conducting post coated by a dielectric homogeneous material touching the waveguide upper and bottom sidewalls. Terminations of the different basis functions components touching the rectangular waveguide walls are also shown.

Fig. 8. Equivalent external problem for the structure in Fig. 7.

Fig. 9. Equivalent internal problem for the structure in Fig. 7.

external problems. The only difference is that for the external problem the medium filling the parallel-plate region is the vacuum , while for the internal problem it is the one corresponding to the homogeneous medium with constitutive parameters . Note that the situation is notably different as compared to the previous geometries, where the free-space Green’s functions were used for the internal problem. The use of the parallel-plate Green’s functions, also for the internal problem in this case, is needed in order to automatically impose the boundary conditions for the fields at the edges of the material body touching the waveguide walls. An alternative to the described approach would be to use the unbounded homogeneous medium Green’s functions for the internal problem. In this case all sides of the material body will have to be discretized, including the sides touching the waveguide walls. Authors have verified that this approach leads to illconditioned MoM systems. The problem is that the unknowns

3867

defined on the sides touching the waveguide walls are short circuited in the external problem by the parallel-plate Green’s functions employed there. Full details of these issues can be found in [22] in the context of the analysis of inductive waveguide circuits. The second important difference is the procedure for expanding the equivalent electric and magnetic surface currents when the object touches the upper and lower waveguide walls. In this case, a procedure similar to that discussed in [22] for an inductive dielectric post placed on a rectangular waveguide wall has been followed. In this work we present the extension of that procedure for the analysis of capacitive circuits. The oriented equivalent electric current component on the dielectric rod contour is short circuited by its electrical image on the conducting waveguide top and bottom walls. The nullity of this component is imposed by terminating the mesh of the corresponding segment by a full triangular basis function that imposes zero current at the extremes, as can be seen in Fig. 7. On the contrary, if the -oriented component is considered, the image with respect to the wall introduces an additive contribution, which models a current flow from the dielectric rod contour to the waveguide walls. In this case, this effect is modeled by means of additional special half-triangle basis functions defined on the mesh segments touching the waveguide walls (see Fig. 7). A dual reasoning can be followed for the equivalent magnetic surface current, which only requires additional half-triangle basis functions for its -components. It is interesting to observe that the same strategy could be applied to consider a coating material object touching the waveguide walls not perpendicularly. In this case the image theory applied still holds so the mesh of the segments should be terminated in the same way as described for perpendicular segments. Also, the case of a material object touching only one of the waveguide walls can be easily considered. In this case the internal problem is characterized with the Green’s functions of an unbounded medium with a perfect electric wall replacing the waveguide wall touching the object. The Green’s functions for this problem can be easily calculated using image theory. More details about this approach can be found in [22]. III. RESULTS AND DISCUSSIONS In this section we propose to explore new capacitive low-pass filters in waveguide technology by incorporating dielectric or magnetic elements to their basic structure. For this purpose we have used a software tool that implements the theory presented in Section II. Whenever appropriate, results obtained with the new software tool will be compared with the commercial software HFSS to serve as validation. Also, computational time needed for the analysis of the different examples will be given to show the efficiency of the proposed technique. A. Iris Containing Magnetic Posts The first proposed example is the design of a sixth-order lowpass filter, where the traditional metallic inverters of the structure are modified by placing adjacent rectangular posts made of magnetic material, as shown in Fig. 10. The filter has been designed with the technique reported in [5] using impedance

3868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I SIMULATION TIMES NEEDED FOR THE ANALYSIS OF THE STRUCTURE IN FIG. 10 FOR A DIFFERENT NUMBER OF BASIS FUNCTIONS. THE TOTAL TIME IS DIVIDED IN FILLING TIME, INVERSION TIME, AND POST-PROCESSING TIME

Fig. 10. Sixth-order low-pass filter composed of seven mixed conducting-magnetic material impedance inverters. The filter has been designed in a WR-187 , mm). The dimensions of its waveguide ( , , , conducting irises in millimeters are , and . The distances between irises in millime, , and . On the other hand, the ters are , magnetic material rectangular posts dimensions in millimeters are . The relative permeability of these posts is and are mm. separated from the conducting irises

Fig. 11. Scattering parameters of a sixth-order low-pass filter shown in Fig. 10 composed of rectangular irises with adjacent rectangular magnetic material posts. The integral-equation results are compared to those provided by HFSS.

inverters composed of the mixed metallic and magnetic posts shown in Fig. 10. It has been observed that the interactions between the magnetic bodies and the metallic capacitive posts make the irises resonant at certain frequencies. At these resonant frequencies, all energy is reflected back, therefore producing transmission zeros in the insertion-loss response of the filter. The location of these transmission zeros can be adjusted to improve the selectivity or even the out-of-band response of the structure. These features are illustrated with the response of the structure, shown in Fig. 11. Two transmission zeros close to the passband can be observed, which are produced by the resonant irises created due to the interaction between the magnetic posts placed near the metallic capacitive posts. These transmission zeros could be used to improve the filter selectivity, as compared to other filters composed only of metallic irises, where transmission zeros are not present [1]. The behavior of this novel structure, calculated with the integral equation presented in this paper, is confirmed by the results obtained with the commercial tool HFSS.

In order to check the convergence behavior of the software tool, we have performed a detailed study for this structure with varying number of basis functions used in the discretization of the unknown currents. We have observed that good numerical convergence is achieved when the segments of the structure are discretized using from 10 to 15 segments . Here, for the metallic posts, and for the magnetic posts. Another important aspect is the efficiency of the developed software tool. When evaluating the efficiency it is important to differentiate between the different simulation times involved in the integral-equation solver. The most important parameters are the time needed to fill the MoM matrix, the time needed to invert the linear system, and the post-processing time (which is the time needed to compute the scattering parameters of the device). In Table I, we detail all these computational times when the number of basis functions is increased. The test was done in an HP-Z600 workstation having Intel Xeon processors of 2.13 GHz. As expected all times grow with the number of basis functions. However, the time needed to invert the system grows more rapidly than the filling time, when the number of basis functions increases. The time needed to fill the matrix is larger than the time needed to invert the system when a medium number of basis functions is used in the analysis (in this case, 2576). While the use of the parallel-plate Green’s functions slows down the matrix filling time, the overhead is not dramatic, due to the use of the acceleration techniques reported in [19]. We have observed that the number of modes needed in the modal series used in the calculation of the parallel-plate Green’s functions is very small to achieve convergence (typically 5–10 modes), when these acceleration techniques are employed. In fact, convergence is faster than in the inductive case since the height of the waveguide involved in this analysis is usually half of the width (used in the analysis of inductive circuits). B. Dielectric Coated Metallic Posts The second example is a sixth-order low-pass filter composed of seven elliptical metallic capacitive posts coated by a dielectric elliptical layer with relative permittivity , as shown in Fig. 12. The design of the structure is again carried out with the technique described in [5], which can handle impedance inverters of arbitrary shapes. The response of this structure is shown in Fig. 13, together with validations obtained with the FEM code HFSS. We can observe very good agreement between the developed integral equation and the commercial HFSS software tool. The number of unknowns employed in the integral-

QUESADA PEREIRA et al.: INTEGRAL-EQUATION FORMULATION FOR THE ANALYSIS OF CAPACITIVE WAVEGUIDE FILTERS

Fig. 12. Sixth-order low-pass filter composed of seven elliptic conducting mm elliptic dielectric layer. posts coated by a constant thickness mm, The filter has been designed in a WR-75 waveguide ( mm). The dimensions of the elliptic posts major axes in millimeters , , , and . The are mm . The distances minor axes width is the same for all the posts , , and between their centers are in millimeters: . The relative permittivity of all coating layers is .

3869

Fig. 14. Sixth-order low-pass filter composed of seven conducting rectangular posts coated by rectangular dielectric sections touching the waveguide walls. , The filter has been designed in a WR-187 waveguide ( mm). The dimensions of the conducting and dielectric posts in , , , , millimeters are , and . The distances between sections are: , , and . The relative permittivity of all coating dieletric . rectangular layers is

C. Metallic Posts Covered by Dielectric Material

Fig. 13. Sixth-order dielectric coated low-pass filter response including validation with the commercial software HFSS. Comparison with a fully conducting low-pass filter implementation based on metallic elliptical posts is also included.

equation solver was 800 with a CPU time of 2.1 s for each frequency point in the same HP-Z600 workstation. To assess the performance of this filter, a similar filter with only metallic elliptical posts was designed with the same spurious-free range. The response of this filter is also shown in Fig. 13. We can observe from the results that both filters have very similar responses, both inside the passband and in terms of the spurious free range. From the point of view of power handling, the most convenient filter will have larger critical gaps along the structure. We have verified that the dielectric coated structure exhibits slightly larger critical gaps as compared to the all-metallic filter. In this example, the dielectric coated structure has a critical gap of mm, while in the all-metallic filter the critical gap is of mm. This slight improvement in the critical gap of the dielectric coated structure could be combined with dielectric materials of appropriate secondary emission yield (SEY) characteristics [23] to improve power breakdown thresholds. In fact, the possibility of using new coating materials in the filter design process, as shown in this example, brings new degrees of freedom in the development of space hardware for high-power applications.

In spite of the obtained improvement in the previous example, a more adequate solution for improving the power-handling capability would be to cover whole metallic posts of the filter with a dielectric material touching the waveguide walls. In this case, free electron movement is completely eliminated in the critical gaps of the structure. This idea is illustrated with the filter shown in Fig. 14. It is important to note that by using this structure, the electrons movement inside small gaps is completely eliminated. As already discussed, these small gaps normally appear in conventional capacitive low-pass filters, especially when wide spurious-free ranges are required, and represent a considerable limitation for power handling. In spite of this advantage of the dielectric coated filter, it should be mentioned that multipactor can still occur in the transmission-line regions connecting two adjacent inverters. However, the gaps in these regions are usually larger as compared to the gaps produced in the inverters themselves. Also, fringing fields responsible for the multipactor are only strong close to the discontinuities, and they are much weaker along the transmission-line regions. Therefore, multipactor risk is expected to be lower in these areas. As before, the synthesis of the filter has been carried out following the procedure described in [5]. The response for this structure, including validation with the commercial software HFSS, is shown in Fig. 15. As can be seen in Fig. 15, the agreement obtained by both techniques is again very good. The number of unknowns employed in the integral-equation solver was 1108, with a CPU time of 5.1 s for each frequency point in the same HP-Z600 workstation. As has been shown in the previous sections, the addition of dielectric and magnetic materials to traditional fully metallic low-pass filter implementations could improve several characteristics such as the power-handling capabilities for space communication applications, the selectivity, or even the out-of-band response (for instance, by using additional transmission zeros to reject harmonic bands). These filter examples include complex materials, and fabrication procedures and practical implementations should be the subject of future research activities. However, this paper has

3870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE II GREEN’S FUNCTIONS COMPONENTS NEEDED IN THE ANALYSIS OF THE CAPACITIVE WAVEGUIDE PROBLEM. SINCE ELECTRIC AND MAGNETIC SOURCES ARE EMPLOYED TO REPRESENT MATERIAL BODIES, WE NEED BOTH TYPES OF GREEN’S FUNCTIONS

Fig. 15. Scattering parameters of the sixth-order low-pass filter shown in Fig. 14 composed of rectangular metallic posts completely covered by rectangular dielectric material layers. The integral-equation results are validated with the software HFSS.

used to formulate the external problem of Fig. 2. In the spectral domain, the following series of modal functions are obtained:

(8a) shown interesting validations using the worldwide accepted commercial software tool HFSS. This tool implements a completely different numerical technique based on finite elements, and yet the agreement obtained is good. This should provide strong evidence for the correctness of the theory and numerical implementation performed in the new analysis tool. IV. CONCLUSIONS This paper has presented an integral-equation formulation for the analysis of capacitive waveguide circuits. The main advance with respect to previous works is in the treatment of arbitrarily shaped dielectric and magnetic posts inside these structures. Different useful combinations of dielectric and conducting posts and their equivalent electromagnetic problems have been discussed. A new software tool implementing the theory presented has been developed. This has allowed to investigate for the first time new low-pass filter configurations incorporating dielectric and magnetic posts as integral parts of the components. In particular, the use of magnetic materials can be incorporated to implement transmission zeros in the insertion loss response of low-pass filters. The utilization of conducting posts coated by dielectric materials could increase the power thresholds for triggering high-power phenomena in low-pass filters for space communication applications. In all cases, the theory has been extensively validated by comparison of simulated results to those provided by a commercial FEM code (the worldwide well-accepted HFSS software). Validations include structures that incorporate conductors and dielectric and magnetic materials. In all cases very good agreement was obtained, maintaining good computational efficiency. APPENDIX A GREEN’S FUNCTIONS FOR THE CAPACITIVE PROBLEM In this appendix, we collect the expressions of the 2-D Green’s functions produced by a line source inside a parallel-plate waveguide, including an oblique angle of incidence along the longitudinal -axis. The basic Green’s function is

and (8b) where

,

, and

are trigonometric

functions, and is a constant depending on the constitutive parameters of the medium. Details on how the longitudinal wavenumber is defined can be found in [8]. The Green’s functions components needed in the analysis of metallic posts are given in [8]. In Table II, we list all Green’s functions components needed in the analysis of dielectric or magnetic posts. The Green’s functions for the internal problem are those corresponding to a free-space 2-D problem filled with the constitutive parameters of the material body and taking into account the spatial harmonic . Therefore, these Green’s functions are written in terms of second-kind zeroth-order Hankel functions in the following way: (9) where

and

for

for , for . APPENDIX B MoM SUBMATRICES

for

,

, and

This appendix presents the expressions of the elements of the MoM submatrices presented in (7). These submatrices are obtained after replacing the integral-equation unknown current densities, by their corresponding expansions [see (6)], and the application of the testing procedure with the same set of functions employed for the expansion, following a Galerkin approach. These equations are particularized for the general problem of a dielectric/magnetic post isolated from a conducting post inside the parallel-plate region, as described in Section II-A (see Fig. 1). It is to be noted that the expressions are written in the spectral domain for harmonic . For the special cases presented in Section II-B (see Figs. 4 and 7), these

QUESADA PEREIRA et al.: INTEGRAL-EQUATION FORMULATION FOR THE ANALYSIS OF CAPACITIVE WAVEGUIDE FILTERS

expressions can easily be adapted by taking into account different external and internal Green’s functions for the equivalent problems discussed in this paper. In the following relations, and represents the tangential unit vectors to the discretization source and observation segments. On the other hand, and are the observation and source integration domains on the material body or the conducting post contour where the triangular test and basis functions are defined. These functions are defined on two adjacent discretization segments. Two different kinds of Green’s functions are used for computing the submatrices elements. First, the parallel-plate Green’s functions corresponding to the external problem (see Fig. 2), and second, the free-space Green’s functions with constitutive parameters . The first submatrices (10) are those corresponding to self interactions in the dieletric, considering the -electric field component produced by an -oriented electric current density, and the -magnetic field component produced by an -oriented magnetic current density

3871

, , and have the Submatrices same form as (11), but only considering the Green’s functions of the parallel-plate external problem and changing the source and observation domains. The meaning of the next submatrix (12) can be easily deduced following the previously explained notation (12) and are also null, but Next, submatrices again with different observation and source regions. The next element in the MoM matrix takes the following form:

(13) and have the same exSubmatrices pression as (13), but only considering the parallel-plate Green’s functions of the external problem and taking into account the different source and observation domains. The meaning of (14) can be easily inferred by applying the same notation as previously explained,

(10) , , and have the Submatrices same expression as (10), but in this case only the Green’s functions of the external problem (parallel-plate GFs) are taken into account for the mutual interactions and for the conducting post self-interaction. Moreover, for the first submatrix, the source is on the conductor and the observation on the dielectric, while for the second one the source and observation are reversed. Finally, for the last one, the source and observation are on the conductor. The next submatrices represent the self interaction in the dielectric for an -electric field component produced by a -oriented electric current or an -magnetic field component radiated by a -oriented magnetic current density

(11)

(14) , , and In this case, submatrices possess the same form as (14), but only considering the parallel-plate Green’s functions of the external problem, and taking into account the different source and observation domains. The next elements in the MoM matrix are

3872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

global excitation array and represents the projection with the different electric and magnetic fields components. The first subarray corresponds to the electric and magnetic field excitation oriented along on the dielectric/magnetic post or on the conducting post (15)

(18)

Again, in this case, , , and have the same form as (15), but only with parallel-plate Green’s functions of the external problem, whereas the source and observation domains are in different regions. The next element in the MoM matrix is

The second submatrix represents the exciting magnetic field -oriented component on the dielectric/magnetic post surface (19) The last submatrix is also due to the magnetic field excitation on the dielectric/magnetic post surface, but this time for the oriented component (20)

REFERENCES (16)

The submatrices and possess the same expression as (16), but only with the parallel-plate Green’s functions of the external problem, and taking into account the different source and observation domains. The next element in the MoM matrix is

(17)

and have the same form as Submatrices (17) with only the parallel-plate Green’s functions of the external problem and taking into account the different source and observation domains. This completes all needed entries of the MoM matrix. The last step is the calculation of the excitation vector. This is obtained from the rectangular waveguide fundamental mode assuming amplitude . The mode is split in two plane waves, and is integrated with the test functions on the dielectric and conducting post contour ( , , and ). The next subarrays are part of the

[1] R. Levy, “Tapered corrugated waveguide low-pass filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-21, no. 8, pp. 526–532, Aug. 1973. [2] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems. New York, NY, USA: Wiley, 2007, pp. 379–386. [3] I. Arregui et al., “A compact design of high-power spurious-free low-pass waveguide filter,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 11, pp. 595–597, Nov. 1972. [4] I. Arregui et al., “High-power low-pass harmonic filters with higherorder and non-mode suppression: Design method and multipactor characterization,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4376–4386, Dec. 2013. [5] P. Vera Castejon, D. Correas Serrano, F. D. Quesada Pereira, J. Hinojosa Jimenez, and A. Alvarez Melcon, “A novel low-pass filter based on rounded posts designed by an alternative full-wave analysis technique,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 10, pp. 2300–2307, Oct. 2014. [6] P. Vera Castejón, F. D. Quesada Pereira, A. Martinez Ros, J. L. Gomez Tornero, and A. Alvarez Melcon, “Novel integral equation formulation for the analysis of capacitive waveguide filters containing dielectric objects,” in IEEE AP-S Int. Symp., Spokane, WA, USA, Jul. 3–8, 2011. [7] F. D. Quesada Pereira, P. Vera Castejón, A. Alvarez Melcon, B. Gimeno Martínez, and V. E. Boria Esbert, “An efficient integral equation technique for the analysis of arbitrarily shaped capacitive waveguide circuits,” in Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 236–239. [8] F. D. Quesada Pereira, P. Vera Castejón, A. Alvarez Melcon, B. Gimeno Martínez, and V. E. Boria Esbert, “An efficient integral equation technique for the analysis of arbitrarily shaped capacitive waveguide circuits,” Radio Sci., vol. 46, no. RS2017, pp. 1–11, Apr. 2011. [9] G. G. Gentili and L. Accatino, “A 2.5D FEM analysis of E-plane structures,” in Int. Numer. Electromagn. Modeling Optim. RF, Microw. THz Appl. Conf., Pavia, Italy, May 14–16, 2014, pp. 1–3. [10] I. Arregui et al., “Multipactor prediction in novel high-power low-pass filters with wide rejection band,” in Eur. Microw. Conf., Rome, Italy, Sep. 2009, pp. 675–678. [11] R. F. Harrington, Field Computation by the Moment Methods. New York, NY, USA: IEEE Press, 1968, p. 44. [12] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York, NY, USA: McGraw-Hill, 1961. [13] C. A. Balanis, Advanced Engineering Electromagnetics. New York, NY, USA: Wiley, 1989. [14] K.-M. Chen, “A mathematical formulation of the equivalence principle,” IEEE Trans. Microw. Theory Techn., vol. 37, no. 10, pp. 1576–1581, Oct. 1989. [15] A. J. Poggio and E. K. Miller, Integral Equation Solutions of Threedimensional Scattering Problems. Oxford, U.K.: Pergamon, 1973.

QUESADA PEREIRA et al.: INTEGRAL-EQUATION FORMULATION FOR THE ANALYSIS OF CAPACITIVE WAVEGUIDE FILTERS

[16] S. Yan, J.-M. Jin, and Z. Nie, “A comparative study of Calderon preconditioners for PMCHWT equations,” IEEE Trans. Antennas Propag., vol. 58, no. 7, pp. 2375–2383, Jul. 2010. [17] J. R. Mautz and R. F. Harrington, “Electromagnetic scattering from a homogeneous body of revolution,” Syracuse Univ., Syracuse, NY, USA, Tech. Rep. TR-77-10, Nov. 1977. [18] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ, USA: IEEE Press, 1998, p. 451. [19] F. Q. Pereira et al., “Efficient analysis of arbitrarily shaped inductive obstacles in rectangular waveguides using a surface integral equation formulation,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 4, pp. 715–721, Apr. 2007. [20] J. R. Mosig, “Arbitrarily shaped microstrip structures and their analysis with a mixed potential integral equation,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 2, pp. 314–323, Feb. 1988. [21] Y. Leviatan, P. G. Li, A. T. Adams, and J. Perini, “Single post inductive obstacle in rectangular waveguide,” IEEE Trans. Microw. Theory Techn., vol. MTT-31, no. 10, pp. 806–812, Oct. 1983. [22] F. J. Perez Soler, F. D. Quesada Pereira, D. Canete Rebenaque, J. Pascual Garcia, and A. Alvarez Melcon, “Efficient integral equation formulation for inductive waveguide components with posts touching the waveguide walls,” Radio Sci., vol. 42, pp. 1–9, Nov. 2007. [23] J. Vaughan, “A new formula for secondary emission yield,” IEEE Trans. Electron Devices, vol. 36, no. 9, pp. 1963–1967, Sep. 1989. Fernando D. Quesada Pereira (S’05–M’08) was born in Murcia, Spain, in 1974. He received the Telecommunications Engineer degree from the Technical University of Valencia (UPV), Valencia, Spain, in 2000, and the Ph.D. degree from the Technical University of Cartagena (UPCT), Cartagena, Spain in 2007. In 1999, he joined the Radiocommunications Department, UPV, as a Research Assistant, where he was involved in the development of numerical methods for the analysis of anechoic chambers and tag antennas. In 2001, he joined the Communications and Information Technologies Department, UPCT, initially as a Research Assistant, and then as an Assistant Professor. In 2005, he spent six months as a Visiting Scientist with the University of Pavia, Pavia, Italy. In 2009, he was an Invited Researcher for five months with the Technival University of Valencia (iTeam), Valencia, Spain. In 2011, he became an Associate Professor with UPCT. His current scientific interests include integral equation (IE) numerical methods for the analysis of antennas and microwave devices.

Antonio Romera Perez was born in Lorca, Spain, in 1989. He received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2014, and is currently working toward the Ph.D. degree at UPCT. In 2014, he joined the Telecommunication and Electromagnetic Group, UPCT, as a Research Assistant. He is involved in the development of new filtering structures for satellite systems. His current scientific interest include the design of microwave circuits for space applications.

3873

Pedro Vera Castejón was born in Murcia, Spain, in 1968. He received the Telecommunication Engineer degree from the Technical University of Valencia, Valencia, Spain, and is currently working towards the Ph.D. degree at the Technical University of Cartagena (UPCT), Cartagena, Spain. Since 2000, he has been an Associate Professor with the UPCT. He then joined the Research Group of Electromagnetism Associated to Telecommunications (GEAT), UPCT. His interests are focused on the resolution of electromagnetic problems inside cavities and waveguides.

Alejandro Alvarez Melcon (M’99–SM’07) was born in Madrid, Spain, in 1965. He received the Telecommunications Engineer degree from the Technical University of Madrid (UPM), Madrid, Spain, in 1991, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1998. In 1988, he joined the Signal, Systems and Radiocommunications Department, UPM, as a Research Student, where he was involved in the design, testing, and measurement of broadband spiral antennas for electromagnetic measurements support (EMS) equipment. From 1991 to 1993, he was with the Radio Frequency Systems Division, European Space Agency (ESA/ESTEC), Noordwijk, The Netherlands, where he was involved in the development of analytical and numerical tools for the study of waveguide discontinuities, planar transmission lines, and microwave filters. From 1993 to 1995, he was with the Space Division, Industry Alcatel Espacio, Madrid, Spain, and was also with the ESA, where he collaborated on several ESA/European Space Research and Technology Centre (ESTEC) contracts. From 1995 to 1999, he was with the Swiss Federal Institute of Technology, École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he was involved with microstrip antennas and printed circuits for space applications. In 2000, he joined the Technical University of Cartagena, Cartagena, Spain, where he currently develops his teaching and research activities. Dr. Alvarez Melcón was the recipient of the Journée Internationales de Nice Sur les Antennes (JINA) Best Paper Award for the best contribution to the JINA’98 International Symposium on Antennas and the Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award for the best Ph.D. thesis in basic information and communication technologies.

3874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

RF Linearity Performance Potential of Short-Channel Graphene Field-Effect Transistors Ahsan Ul Alam, Kyle David Holland, Michael Wong, Sabbir Ahmed, Diego Kienle, and Mani Vaidyanathan, Member, IEEE

Abstract—The radio-frequency (RF) linearity performance potential of short-channel graphene field-effect transistors (GFETs) is assessed by using a nonlinear small-signal circuit model under the first approximation of ballistic transport. An intrinsic GFET is examined to reveal the key features of GFET linearity, and extrinsic parasitics are then included to assess the overall RF linearity. It is shown that short-channel GFETs can be expected to have a signature behavior versus gate bias that includes a constant-linearity region at low gate bias, sweet spots of high linearity before and after the gate bias for peak cutoff frequency, and poor linearity at the gate bias corresponding to the peak cutoff frequency. It is otherwise found that a GFET offers overall linearity that is comparable to a MOSFET and a CNFET, with the exception that the amount of intermodulation distortion in a GFET is dominated by the drain-injected carriers, a unique outcome of graphene's lack of a bandgap. Qualitative agreement with experiment in the signature behavior of GFET linearity supports the approach and conclusions. Index Terms—Contact resistance, device modeling, device physics, FET devices and circuits, FET modeling, GFET, graphene, graphene transistor, harmonic balance, intermodulation distortion, linearity, nanoelectronics, nonlinear device modeling, radio-frequency performance, solid state devices, third-order input-intercept point, transistor modeling.

I. INTRODUCTION

G

RAPHENE is a two-dimensional sheet of carbon, in which the atoms are arranged in a honeycomb lattice. The unique electrical and physical properties of graphene have sparked much interest in determining its potential uses in electronics. Although the lack of a bandgap has been problematic for the use of graphene in digital applications, the high values of unity-current-gain frequency and unity-power-gain frequency , combined with a high carrier mobility, continue to make graphene a promising candidate for analog high-frequency, or radio-frequency (RF), electronics. A key figure-of-merit for RF applications is linearity, which measures Manuscript received December 28, 2014; revised May 12, 2015 and August 17, 2015; accepted October 11, 2015. Date of publication November 11, 2015; date of current version December 02, 2015. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada, in part by the Queen Elizabeth II Graduate Scholarship, in part by Alberta Innovates, and in part by Alberta Advanced Education and Technology. A. U. Alam, K. D. Holland, M. Wong, S. Ahmed, and M. Vaidyanathan are with the Department of Electrical and Computer Engineering, University of Alberta, Edmonton, AB T6G 2V4, Canada (e-mail: [email protected]). D. Kienle is with the Theoretische Physik I, Universität Bayreuth, 95440 Bayreuth, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2496295

the degree of distortion generated by the nonlinear mixing of the input signal with jammers. This paper probes the performance potential of graphene in terms of RF linearity. The strong interest in graphene has resulted in many theoretical and experimental studies on graphene field-effect transistors (GFETs). These studies have largely focused on cut-off frequencies [1]–[3], mobility [4]–[6], the effect of the lack of a bandgap [7], and ways to introduce a bandgap to improve performance [8]–[10]. GFETs operating at promisingly high frequencies have already been demonstrated [11]. Furthermore, great progress has been made in the pursuit of graphene-based integrated circuits [12]–[14]. On the topic of graphene linearity, however, there has been limited experimental work, which can be summarized as follows. Wang et al.[15] investigated the linearity of a 2long single transistor RF mixer at 10 MHz and reported a third-order input-intercept-point (IIP3) of 13.8 dBm; however, the reported conversion loss was between 30 to 40 dB. Habibpour et al.[16] reported a mixer based on a 500-nm long multichannel GFET operating at 30 GHz, with IIP3 values as high as 12.8 dBm and a conversion loss of 19 dB. Andersson et al.[17] reported the linearity of subharmonic mixers based on resistive GFETs having a channel length of 1 ; they obtained an IIP3 of 4.9 dBm and a conversion loss of 20–22 dB. The shortest channel GFET investigated for RF linearity thus far is a 250-nm epitaxially grown graphene FET used as a mixer, reported by Moon et al.[18] with an IIP3 of 22 dBm and conversion loss 15 dB; they also reported a similar but longer channel (2 ) device with higher IIP3 ( 27 dBm) and conversion loss of 10 dB. Madan et al.studied the linearity of an RF mixer [19] and LNA [20] based on a 750-nm long graphene FET and reported third-order output-intercept point (OIP3) values in the range of 19 dBm at an operating frequency of 2 GHz; the gain of the LNA for a 50- load termination was 5 dB. Jenkins et al.[21] also reported relatively good linearity for graphene FETs containing channels grown both by chemical vapor deposition and epitaxy and having lengths above 500 nm, with IIP3 values as high as 20 dBm but a power gain of 15 dB for a 50- load at 300 MHz. In a recent study, Han et al.[14] fabricated a graphene RF receiver integrated circuit with promising linearity figures of merit. Operating at a frequency of 4.3 GHz, the receiver produced very low RF harmonic distortion, with the output power of the second harmonic recorded to be 30 dB lower than the output power of the fundamental tone for an input power of 0 dBm; the conversion loss of the receiver was 10 dB. Common trends in the results cited above are a long channel length ( 250 nm) for the devices and promising values of IIP3

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

that are accompanied by very low power gains. Further investigation is thus necessary to fully understand the RF linearity potential of GFETs, particularly the linearity that could be realized at short channel lengths. Given the present difficulty of fabricating GFETs with channel lengths at or below those for current CMOS technology nodes, studying the linearity of GFETs with short channels, i.e., 20 nm, which is 10 to 100 times smaller than the reported experimental devices cited above, calls for a modeling approach. To date, there have been only a few modeling studies that explore GFET linearity, and these have also focused on longer channel devices ( 440 nm). Chauhan et al.[22] used a semi-classical model incorporating the effects of inelastic phonon scattering and reported “excellent” linearity; however, the claim was based solely on the fact that the transconductance of their (1long) GFET was observed to remain nearly constant over a wide range of gate bias. Parrish et al.[23] performed an analytical study that shows that the contact resistances can severely degrade GFET transconductance linearity; working on a 2.4long device, they showed that the IIP3 of a GFET can improve by as much as 17 dB if the contact resistances are made small enough to be neglected. Very recently, Rodriguez et al.[24] used a static (low-frequency) analytical model to investigate the transconductance linearity of a 440-nm long GFET and reported a peak IIP3 value of 13.8 dBm. None of these modeling studies accounted for all sources of nonlinearity relevant for RF performance. In particular, both transport and capacitive nonlinearities can be expected to play a role [25]. A detailed and more comprehensive study of the RF linearity mechanisms in short-channel GFETs is thus warranted. In this work, we provide insight into the linearity mechanisms of an 18-nm GFET, chosen for demonstration purposes and representative of current CMOS technology nodes [26]. As in [27], we assume ballistic transport, a reasonable first approximation for graphene at small channel lengths ( 20 nm) for the purposes of assessing performance potential, especially since the reported electron mean-free path in graphene is much larger ( 100 nm) [28]. We also consider a doped MOSFET-like device, as done in recent studies to assess the performance potential of carbonbased electronics [27], [29]; short-channel MOSFET-like devices can be expected to outperform the long-channel Schottkybarrier devices prevalent today [30] and are a suitable choice to gauge performance potential. Although graphene's ambipolar transport has been exploited in RF applications [15], [19], in this study, we consider a unipolar configuration in which the device is biased away from the point of minimum conduction. We start our analysis by using an already developed nonlinear small-signal circuit [25]. The intrinsic components of the circuit are first extracted based on a modified top-of-the-barrier model (MTBM) [31]. The MTBM is an extension of the conventional top-of-the-barrier model [27], [29], with additional features to account for physical effects arising from the lack of a bandgap in graphene; for further details, the reader is referred to [31]. The external parasitics are then calculated with the aid of COMSOL [32] and added to obtain a complete extrinsic nonlinear circuit, an approach which has already been shown [31] to capture the nonlinear voltage dependencies of key device parameters determined from a more detailed simulator [7]. The Harmonic Bal-

3875

Fig. 1. Schematic of the GFET used in this paper. The dotted intrinsic region is modeled using a modified top-of-the-barrier method [31]. The external parasitic capacitances used to model the extrinsic device are shown at the top of the schematic.

ance solver in Microwave Office (MWO) [33] is then used to simulate the developed nonlinear circuit. Based on an examination of IIP3 values for intermodulation distortion under a two-tone input, our study reveals that GFETs offer linearity performance comparable to MOSFETs and CNFETs. They also exhibit a unique linearity signature, the features of which can be explained by an in-depth examination of the sources of nonlinearity in the device. We further find that, unlike MOSFETs and CNFETs, carrier injection from the drain dominates the nonlinear behavior of GFETs. We also examine the effects of drain bias, load resistance, and external parasitics. Finally, we perform a qualitative comparison with recent experiments [21] to validate our work. Section II of this paper outlines the device structure and simulation methodologies. The results of our simulation are presented and discussed in Section III, and a qualitative comparison of these results with experiment is provided in Section IV. The conclusions of our study are summarized in Section V. II. APPROACH A. Device Structure Fig. 1 shows the schematic of the GFET under investigation, with key device dimensions marked. The dotted region indicates the intrinsic portion of the device. The gate oxide is a 2-nm layer of (with a relative permittivity ). has been demonstrated as a promising high- dielectric suitable for graphene in recent experiments [34], [35]. The channel is intrinsic graphene, while the source and drain regions are -doped, with an effective doping concentration of . The source and drain geometries are symmetric with respect to the channel/gate regions. Fig. 2 plots the current-voltage characteristics of the GFET calculated using the MTBM [31] and a fully quantum-mechanical solver based on NEGF [7]. The results from the MTBM are in excellent agreement with those from NEGF, except for the

3876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

equivalent circuit, where the elements are as follows: , , and are the linear electrostatic capacitances of the GFET; and are the nonlinear source and drain quantum capacitances; and and are the nonlinear current sources modeling the quasi-static transport currents of the device. The interested reader can find further details on these elements in [29]. Although [29] is developed for CNFETs, the methodology can, in principle, be used to model any ballistic MOSFET-like device. By accounting for both band-to-band tunneling and the unique density of states of graphene, as done in the MTBM, we can adapt this method to model GFETs [31]. Each of the nonlinear components are represented by a Taylor-series expansion up to third order, which is sufficient to capture their nonlinearity under small perturbation [36]: Fig. 2. Simulated current-voltage characteristics of the GFET under investigation from MTBM and NEGF.

(1) (2) (3) (4) and are the small-signal (ac) parts of the charges where held by the quantum capacitances and , respectively; and are the small-signal parts of the source and drain voltages, respectively; and is the small-signal part of the (self-consistent) channel potential. The steps described in [25] were followed to determine the values of the linear and nonlinear components from the MTBM [31].

Fig. 3. Complete nonlinear small-signal equivalent circuit of a ballistic GFET.

combination of very low gate bias and high drain bias ; however, this study focuses on operation at a drain bias of 0.5 V, where the MTBM clearly provides a sufficiently accurate picture of device behavior at all gate biases. It should also be noted that Fig. 2 shows drain current values for an intrinsic device considering ballistic transport, and therefore depicts a “best-case scenario” for current. In an actual device, the measured current density would be significantly reduced due to contact resistances, scattering due to phonons and interface states, and other nonidealities. Other important parameters such as transconductance , output conductance (or ), and unity-current-gain (cutoff) frequency of the device were reported in [31]; plots of these quantities versus gate bias are also available in figures (4 and 11) discussed further below. B. Intrinsic Equivalent Circuit Our focus in this work is the small-signal nonlinear operation of GFETs. We hence use Taylor-series expansions for all the components in the small-signal equivalent circuit. The coefficients of the series are specified by derivatives [evaluated at corresponding bias (dc operating) point] of the charge-voltage and current-voltage relationships from the MTBM [31]. The dotted portion of Fig. 3 represents the intrinsic nonlinear small-signal

C. Extrinsic Equivalent Circuit The performance of a practical GFET is also impacted by the parasitic elements in the device due to the metallic contacts at the gate, source, and drain. In order to fully assess the linearity of these devices, the effects of these parasitics must be incorporated. We therefore add the extrinsic capacitances , , and , labeled in Fig. 1 along with the contact resistances of the gate, drain, and source, , , and , respectively. All the parasitic components were calculated following the method described in [25]with the aid of COMSOL [32], and by using the contact dimensions specified below in Section III-D. The resulting extrinsic nonlinear smallsignal equivalent circuit is the overall circuit in Fig. 3, where , , and are the internal node voltages of the GFET and , , and are the external terminal voltages of the overall device. The component values (both intrinsic and extrinsic) are listed in Table II in Section III-D for the device under investigation. III. RESULTS AND DISCUSSION We used the Harmonic Balance solver in MWO [33] to simulate the nonlinear small-signal equivalent circuit, and we extracted the IIP3 corresponding to the mixing frequency , under excitation from two input tones at the fundamental frequencies and , as the small-signal linearity figure of

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

merit of the device. The transistor was deployed in a simple common-source configuration. The load and source impedances were set at 50 , the usual characteristic impedance for RF applications. A two-tone source with an impedance of 50 and an operating frequency of 24 GHz—which is a frequency of interest in RF electronics according to the 2012 ITRS [26]—and a difference of 100 MHz between the two tones was used ( , ). The input power was swept from 50 dBm to 40 dBm to keep the perturbation sufficiently small. The source was grounded, the drain bias was fixed at , and the gate bias was varied over a wide range, from 0 to 1 V (except for the results in Figs. 6 and 10, where the upper limits are 1.2 V and 1.4 V, respectively, and Fig. 19, where the range is from 0.1 V to 1.5 V, to aid the discussion). The IIP3 values in this paper are quoted in terms of the corresponding available power from the source, . Due to the large mismatch at the input, it should be noted that a significant amount of reflection loss occurs at the input of the device, which means the IIP3 values quoted in terms of will be significantly higher than the actual input power at the intercept point. However, the aim of this study is to examine the qualitative nature of a GFET's RF linearity and to investigate the mechanisms behind high-frequency distortion in this device. Our use of as the reference for quoting IIP3 is hence sufficient for the qualitative purposes of this study. In order to remain in the small-signal regime, was kept small ( 50 to 40 dBm). In this range, the IM3relation showed a slope of three as expected, with no variation.

3877

Fig. 4. Simulation results for intrinsic IIP3 and unity-current-gain frequency versus gate bias for the GFET under investigation.

A. Key Features of GFET Linearity To reveal the key features of GFET linearity, we first investigated the intrinsic RF linearity of a GFET, i.e., the linearity determined by the dotted portion of Fig. 3 and excluding external parasitics. The resulting IIP3 was plotted against variations in gate bias and is shown in Fig. 4. The IIP3 curve has a very distinct shape (signature), with a constant linearity region (region 1), two sharp peaks at points 2 and 4, and a large dip around point 3. The presence of the peaks at points 2 and 4 mean that bias sweet spots may exist where a GFET will behave very linearly. Fig. 4 also shows the unity-current-gain frequency versus gate bias. is defined as the operating frequency at which the small-signal current gain of the transistor in a common-source configuration drops to unity. It is a commonly used figure-of-merit in evaluating the amplification ability of a transistor. Note that the peak coincides with point 3, which means the GFET is most nonlinear at peak . 1) Constant IIP3 Region (Region 1): From the small-signal equivalent circuit in Fig. 3, it is clear that the distortion in a GFET arises from the nonlinear quantum capacitances and current sources, labeled , , , and . More precisely, intermodulation distortion at the third-order mixing frequency , which is of principal interest in this paper, arises due to the nonzero second- and third-order coefficients of the corresponding Taylor series expansions (1)–(4) for these elements; the second-order coefficients contribute by creating second-order distortion and then re-mixing it with the fundamental frequencies, and the third-order terms contribute by directly mixing the fundamental frequencies. We hence focus

Fig. 5. Simulated (a) quantum capacitance and (b) transconductance versus the dc part of the channel potential for the GFET under investigation. The gate bias voltages for a few points are indicated for reference.

our attention on the behavior of both the second- and third-order coefficients. Fig. 5 plots the quantum capacitances and quantum transconductances with respect to the bias (dc) part of the channel potential , where the capacitances

3878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 6. Effects of the second and third-order coefficients on the overall linearity of the GFET from simulation.

are defined as the derivatives of the source- and drain-injected charge with respect to the channel potential, respectively, and the quantum transconductances are similarly defined but involving derivatives of currents [29]. The values of gate bias voltage that apply are also indicated for a few points on the plots. Since the curves were obtained with constant source and drain voltages, then by definition, the values of the capacitances and transconductances on the plots are the first-order coefficients , , , and appearing in (1)–(4). The second- and third-order coefficients in (1)–(4) are therefore determined by the first and second derivatives of the curves in Fig. 5. It can be seen that in region 1 , the curves vary linearly with voltage, which means that the third-order coefficients (determined by the second derivatives) are almost zero, while the second-order coefficients (first derivatives) are constant, thereby yielding a steady amount of distortion in the device over region 1. The linear behavior of the capacitances and transconductances in region 1, and hence the constant IIP3 in region 1, arise from the linear density of states (DOS) of graphene; the connection between the DOS and the expected behavior is explained for and when discussing Fig. 8, and similar reasoning applies for and . 2) Sharp Peaks at Points 2 and 4: The distortion in a GFET can arise from multiple sources, and the distortion generated from these sources can act upon each other constructively or destructively. In the discussion to follow, we show that the peaks at points 2 and 4 arise due to the destructive combination of distortion from two different sources. Using appropriate biasing, it may therefore be possible to make the GFET behave very linearly. To identify how the GFET's linearity is affected by the contributions from the second and third-order coefficients in (1)–(4), we turn them on and off selectively in the intrinsic equivalent circuit of Fig. 3. Fig. 6 shows the IIP3 of the GFET due to the two types of coefficients. It is evident that over particular bias points, the GFET linearity is determined by one of the two types of coefficients. At low and high gate biases ( and ),

Fig. 7. Distortion components at in the simulated drain current at a gate bias of 0.63 V (point 2 in Fig. 6). A destructive combination of the distortion from the two types of sources results in a diminished overall distortion.

Fig. 8. The dc part of the simulated channel potential versus (fixed by the constant drain voltage of 0.5 gate bias. The drain Fermi level (not shown) is taken to be the V) is also shown. The source Fermi level . reference

the device linearity is limited by the distortion generated by the second-order coefficients. However, for the moderate bias range , the device linearity is limited by distortion generated by the third-order coefficients. The peaks at points 2 and 4 appear when the device linearity mechanism switches from one type to the other. These results strongly suggest that at the transition regions, distortion contributions from the two mechanisms are combining in such a way that they cancel each other, making the device extremely linear. To illustrate the cancellation, MWO was used to generate the distortion components of the small-signal output current , at the mixing frequency , in the transition regions; Fig. 7 shows the results at a gate bias of 0.63 V (point 2). It is seen that the distortion due to the two mechanisms (second and third-order coefficients) are indeed 180 out of phase. Similar behavior is observed at the gate bias of 0.96 V (point 4). 3) Dip at Point 3: The dip in GFET IIP3 at point 3 occurs where device linearity is limited by the third-order coefficients, as shown by the results in Fig. 6. An inspection of Fig. 5 shows

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

that the source components and [solid lines in parts (a) and (b) of Fig. 5] behave linearly over all gate biases of interest, meaning their third-order coefficients (determined by the second derivatives) are zero, but the drain components and [dashed lines in parts (a) and (b) of Fig. 5] both show minima at point 3 , which leads to large thirdorder coefficients (determined by the second derivatives). The nonlinear elements and associated with the drain can hence be expected to contribute substantial distortion around point 3, which limits the device linearity, as illustrated in Fig. 6. The origin of the minima in and can be explained by observing what happens to the drain transport in this bias region. Fig. 8 shows the dc channel potential , equivalent to the position of the Dirac point in the channel of a GFET, as a function of gate bias. As illustrated, the channel potential (Dirac point) decreases with an increasing gate bias and crosses the drain Fermi level at point 3, i.e., for . The insets in Fig. 8 are provided as visualization aids and show the position of the Dirac point and channel DOS with respect to the drain Fermi level at a few gate biases. It can be seen that at lower gate biases , is positioned below the channel potential and a large number of states are available in the channel at the drain Fermi level. As the gate bias increases, the available DOS at starts to decrease and becomes zero at point 3, where the channel Dirac point aligns with the drain Fermi level . Beyond point 3 , is positioned above the channel potential, and the number of states available at the drain Fermi level increases with gate bias. Since the drain quantum capacitance depends directly on the available DOS at the drain Fermi level [37], it follows the same trend, i.e., decreases linearly with gate bias before reaching point 3, becomes a minimum at point 3, and increases linearly after point 3. The (energy-independent) constant velocity of electrons (and holes) in graphene means that in Fig. 3 and its first derivative behave in the same way as and its first derivative , respectively, which can be discerned by their governing equations [29]. Thus, both and show minima at (Fig. 5), i.e., at point 3 (Fig. 6). B. Drain Dominance in GFET Linearity To further investigate the role of the drain in determining the linearity of a GFET, we selectively turned on and off the distortions from the source and drain components, by setting the appropriate higher-order coefficients in (1)–(4) to zero. The results are shown in Fig. 9. For all gate voltages, the linearity of the device is found to be dominated by distortion coming from the drain. This result is significantly different from a conventional field-effect transistor in which the channel material has a finite bandgap (MOSFET or CNFET), where the distortion primarily comes from the source components [25]. The reason behind this unique drain dependency of the GFET linearity is two-fold: i) The zero bandgap of graphene means that the drain always contributes to the transport. Consequently, the drain quantum capacitance and quantum transconductance of the GFET [dashed curves in Figs. 5(a) and 5(b)] are always large enough to impact the overall device behavior.

3879

Fig. 9. Effect of distortion from the source and drain on simulated IIP3. Linearity due only to the source was found by setting the higher-order coefficients in (2) and (4) to zero; similarly, linearity due only to the drain was found by setting the higher-order coefficients in (1) and (3) to zero.

Fig. 5 shows that the drain components and of quantum capacitance and quantum transconductance are relatively large, i.e., of the same order as the source components, and that they are nonlinear in a GFET, unlike a conventional MOSFET (where and are essentially zero [25]). Comparing the capacitance-voltage and transconductance-voltage relationships of the source and drain components in Fig. 5, it is evident that the resulting second-order coefficients in (1)–(4), determined by the first derivatives of the curves, would be comparable. On the other hand, the minima in and make the third-order coefficients (determined by the second derivatives) of the drain components much larger than the almost zero third-order coefficients of the source components. The drain components can thus be expected to produce more distortion than the source components in a GFET. ii) The common-source configuration of the device makes the small-signal gain negative, which means that the small-signal drain voltage is 180 out of phase with the small-signal gate voltage , and hence with the small-signal channel potential (which will tend to follow ). This phase difference makes the control voltage for the drain components of Fig. 3, governed by (2) and (4), bigger than the corresponding control voltage for the source components, governed by (1) and (3). The larger control voltage enhances the distortion coming from the drain components. The following discussion highlights some of the outcomes of this unique drain dominance in GFET linearity. 1) Effect of Drain Bias on Linearity: One obvious outcome of the drain dominance on GFET linearity is an expected drainbias dependency of the overall linearity. Fig. 10 shows the IIP3 of the GFET versus gate bias, at a few different values of drain bias. As can be seen from the figure, in region 1 (constant linearity), a larger drain bias makes the device more linear. This outcome can be explained with the help of Fig. 11, which shows

3880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 10. Simulated IIP3 versus gate bias, at a few different values of drain bias.

Fig. 11. Simulation results for (a) transconductance and (b) output conductance versus gate bias for varying drain bias.

that in this region, a larger drain bias reduces the transconductance and increases the output conductance . Since the available small-signal voltage gain of the GFET depends on the ratio , the larger drain bias results in a smaller small-signal voltage gain and, hence, a smaller . A reduced

Fig. 12. Effect of load resistance ulation.

on GFET IIP3 values obtained from sim-

means that the control voltage for the drain components is also reduced, which can be expected to reduce the distortion from the drain components in Fig. 3 [according to (2) and (4)] and make the GFET more linear. A larger drain bias also stretches the IIP3 curve, pushing the peaks at points 2 and 4, along with the dip at point 3, toward higher gate biases. The straightforward reason for this outcome is that a larger gate bias is required to push the dc channel potential (Dirac point on a band diagram) down to the lower drain Fermi level at higher drain bias. 2) Effect of Load Resistance on Linearity: Another outcome of the drain dominance on GFET linearity is the effect of the load resistance . A larger results in a larger swing in the drain voltage , which enhances the amount of distortion from the drain components through a larger control voltage in (2) and (4). On the other hand, a smaller results in a smaller swing in and the distortion becomes smaller. Fig. 12 shows the effect of on IIP3, while the source resistance is held at 50 . As anticipated, reducing the load from 50 to 12.5 dramatically increases the GFET IIP3 by almost 10 dB. Similarly, increasing the value of degrades linearity. The unique zero bandgap of graphene (the reason behind the drain dominance) thus makes it possible to improve the linearity, by reducing the load resistance. However, before reducing to improve the linearity, one must consider its implications on the voltage and power gains of the device, two desirable properties of any FET operating at RF frequencies. a) Voltage gain: The large output conductance of a GFET limits the voltage gain achievable from these devices. For example, Fig. 11 shows that for a drain bias of 0.5 V, the maximum (open-circuit) voltage gain available from the GFET is at a gate bias of 0.5 V. The voltage gain becomes even smaller when the device is loaded with a finite . Table I shows that the small of 12.5 that makes the GFET very linear in Fig. 12 also reduces the voltage gain to a mere 0.1 V/V. An attempt to improve linearity by reducing thus reduces the voltage gain considerably. b) Power gain: Even though the voltage gain of graphene is poor, a sufficiently wide device can still provide enough power gain (through increased current drive). For example,

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

3881

TABLE I EFFECT OF CHANNEL WIDTH AND LOAD IMPEDANCE ON LINEARITY AND GAIN @ 24 GHZ AND 0.5 V OF GATE AND DRAIN BIAS

TABLE II INTRINSIC AND EXTRINSIC CIRCUIT COMPONENTS OF THE GFET Fig. 13. Simulated intrinsic linearity performance potential comparison of a GFET with its MOSFET and CNFET counterparts. The region 1 and points 2-4 from Fig. 4 for the GFET curve are marked. We have also indicated that the , peak IIP3 for a CNFET and MOSFET occur at the same gate bias as peak whereas for a GFET, the minimum IIP3 occurs at the gate bias for peak . The curve is available in Fig. 4; the MOSFET and CNFET curves are GFET not shown.

C. Linearity of a GFET versus a MOSFET and a CNFET

Table I shows that the 1wide device has a power gain of 3.77 dB with a resistively matched load of 100 , but a 10wide device has a power gain of 6.23 dB with a resistively matched load of 10 , where the degree of matching is indicated by the product . The gain of the device can thus be increased by making the device wider and setting . For simplicity, here we are discussing the power gain simply as , where is the power delivered to the load and is the power available from the source, under the condition of purely resistive terminations for which we have been examining the IIP3; substantially more gain is available, as indicated, for example, by the maximum available gain (MAG), which is 30 dB for the 1device [7]. Our conclusions on the behavior of IIP3 are unaffected by device width (Table I), as long as we compare IIP3 values for the same . Hence, we can now consider a wider device, providing more power gain, and consider again the tradeoff between load resistance and linearity. For example, for the 10wide device, reducing the load from 10 to 1.25 will improve the IIP3 from 1.08 dBm to 12.78 dBm, but will decrease the power gain from 6.23 dB to 2.35 dB. While admittedly examined under highly simplified conditions (resistive terminations and for an intrinsic device), the key point from this discussion is that the results in Fig. 12 and Table I demonstrate that a reduction in does have the potential to improve linearity in a GFET, unlike conventional FETs, subject to the caveats of reduced voltage and power gain. We will re-examine this issue when external parasitics are introduced (Section III-D below).

1) Third-Order Intermodulation Distortion: In order to determine if a GFET holds any promise in RF electronics in terms of linearity, we need to benchmark its performance against its competitors. As a basis for comparison, we simulated the linearity of a silicon MOSFET and an array-based CNFET with , channel width identical channel length , and gate capacitance; these are the devices illustrated in [Fig. 1, 25]. The CNFET had 100 tubes in the channel to obtain a drive current comparable to the other devices. All three devices (including the GFET) were tested with 50- two-tone sources and 50- load terminations and the IIP3 values were recorded against gate bias. For the comparison, we retain the focus on the linearity of the intrinsic transistor so that the emphasis in our comparison is on differences arising from the channel material. Fig. 13 shows that the GFET offers linearity that is, overall, comparable to its MOSFET and CNFET counterparts under this scenario. However, two differences can be flagged. First, as already discussed, the drain dependence of the GFET offers us with an opportunity to enhance or by lowering , its linearity by increasing the drain bias which is not possible in the other devices. Second, the GFET's linearity offers a sweet spot prior to and after peak ; these are the points 2 and 4 discussed earlier in conjunction with Fig. 4. In fact, the GFET offers its worst IIP3 at peak , unlike the MOSFET and the CNFET, both of which offer their best IIP3 at peak . 2) Second-Order Distortion: While we have focused on third-order distortion, second-order distortion can also be important in certain RF applications [38]. For example, two out-of-band jammers can mix via a second-order intermodulation product, creating undesired components at the sum and difference frequencies, each of which could land on the fundamental frequency. We will focus on the sum frequency for the sake of this discussion.

3882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 14. Simulated intrinsic IIP2 versus gate bias of a GFET compared with its MOSFET and CNFET counterparts.

For the second-order distortion at the mixing frequency , the GFET suffers from poor linearity when compared to its MOSFET and CNFET counterparts (Fig. 14). This outcome is primarily because of the linear DOS and zero bandgap of graphene, which cause all four quantum capacitances and transconductances of the GFET (source and drain components) to contribute to the distortion. Fig. 15 shows the relevant quantum capacitances and transconductances for the three devices. Fig. 15(a) shows for all three devices, as well as for the GFET, noting for the CNFET and MOSFET; similarly, Fig. 15(b) shows for all three devices, as well as for the GFET, noting for the CNFET and MOSFET. As illustrated in Fig. 15(a), for the CNFET and MOSFET tend to flatten out with bias, which results in small values of the second-order coefficients (determined by the first derivatives of the shown curves) in the Taylor-series expansion (1) for these devices; the coefficients in (2) are zero since in a CNFET and MOSFET, due to the existence of a bandgap in the corresponding channel materials. On the other hand, both and show significant slope over most bias values for the GFET, causing the coefficients in both (1) and (2) to be pronounced for the GFET. Similar results follow from inspection of Fig. 15(b), which suggests pronounced distortion from (3) and (4) for the GFET, but only (3) for the MOSFET and neither for the CNFET. Overall, the GFET will hence have second-order distortion contributions from all four nonlinear elements in Fig. 3, whereas only one or two of the components will play a role for the CNFET and MOSFET; the GFET thus exhibits the worst IIP2. One subtle point about the GFET's IIP2 curve should be noted. Unlike the GFET's IIP3, its IIP2 peaks (sharply) at the gate bias for peak . This outcome can be attributed to the minima in the drain components and at that bias point (as shown in Fig. 15 and earlier in Fig. 5), which makes the second-order coefficients determined by the first derivatives very small.

Fig. 15. (a) Relevant quantum capacitances and (b) transconductances versus channel potential for a GFET, MOSFET, and CNFET. The curves are plotted from simulations under an applied gate bias of 0.2 V to 1 V.

D. Extrinsic Linearity of GFET 1) Calculation of Parasitics: To calculate the extrinsic parasitics, the gate contact was assumed to be made of tungsten with dimensions of . Tungsten was chosen due to its closely matched work-function with graphene. From the resistivity of tungsten, the total resistance of the gate contact was calculated to be 220 . The distributed gate resistance was then modeled as a lumped resistance, . The source and drain contact resis, near the theotances were taken to be retical minimum for graphene [39], [40]. The extrinsic capacitances were measured to be , , by simulating the open-pad structure in and COMSOL [32]. Table II lists the intrinsic and extrinsic circuit component values of the 1wide GFET studied in this work; the bias-dependent values were calculated for gate and drain voltages both equal to 0.5 V, and only the first-order coefficients are listed for the nonlinear elements. 2) Extrinsic Linearity Features of a GFET: Once developed, the final extrinsic equivalent circuit was simulated in MWO [33]and the resulting IIP3 values are plotted versus gate bias in

3883

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

Fig. 16. Simulated intrinsic and extrinsic IIP3 versus gate bias.

Fig. 16. For the 1wide device, the external parasitics were found to slightly degrade the device linearity, but the signature shape identified from the intrinsic device remains, as demonstrated in Fig. 16. By selectively removing the parasitics one by one from the circuit in Fig. 3 and solving in MWO, we found that the extrinsic capacitances do not affect the RF linearity of GFETs; rather, it is the contact resistances. The following discussion identifies the contribution of the contact resistances to GFET linearity. 3) Impact of Drain Contact Resistance: Our investigation showed that the drain contact resistance is primarily responsible for degrading the overall RF linearity of the GFET. The potential drop across the drain contact resistance added to the output voltage results in a larger intrinsic drain voltage in the circuit of Fig. 3. As discussed in Section III-B, a larger increases the distortion from the nonlinear drain components in Fig. 3, a phenomenon unique to GFETs, and makes the device more nonlinear, by increasing the control voltage in (2) and (4). It should be mentioned that the source contact resistance will tend to improve the linearity of the device slightly due to its well-known feedback effect in the common-source configuration [41, p. 101], but any such improvement is dominated by the degrading effect of the drain resistance. The gate contact resistance is small enough in the 1wide device that it does not affect the linearity; we will shortly consider a wider device to isolate its effect. Simulating the extrinsic circuit with zero while retaining the parasitic capacitances results in identical linearity between the extrinsic and intrinsic devices, as shown in Fig. 16. A small drain resistance is hence essential to making a GFET as linear as possible. One other note should be made about the impact of the drain resistance. In Section III-B, it was shown that a small has the potential to improve the GFET linearity by reducing the swing of the drain voltage (Fig. 12). However, the presence of the drain contact resistance makes it impossible to lower the swing of enough to improve linearity significantly. Fig. 17 shows the effect of variation in on linearity for the extrinsic GFET. that improved the linearity by almost 10 dB The reduction in

Fig. 17. Effect of load resistance on GFET extrinsic IIP3. The improveis less pronounced than in the intrinsic case ment in IIP3 with a reduction in shown in Fig. 12. The IIP3 values were obtained from simulation.

Fig. 18. Simulated intrinsic and extrinsic IIP3 versus gate bias for a 10wide GFET.

in the intrinsic circuit only improves the linearity by 2.2 dB in the extrinsic circuit. Keeping the drain contact resistance low is hence also important to allow for potential linearity improvement by adjusting . 4) Effect of Gate Contact Resistance: To examine the impact of , a wider device must be considered, is appreciable. Fig. 18 shows that the linearity of where the 10wide device improves significantly when the effects of the external parasitics are included. The drain contact resistance still degrades the linearity, but the degradation is canceled by an even greater improvement in linearity due to the gate contact resistance . As device width increases, the gate contact resistance can hence improve linearity, but this would, of course, come at the expense of reduced power gain. IV. QUALITATIVE COMPARISON RESULTS

WITH

EXPERIMENTAL

Finally, we compare our IIP3 values with experimental results. As discussed in Section I, most experimental studies have considered the linearity of graphene in RF mixers or circuits [14]–[20]. However, Jenkins et al.[21, Fig. 4(a)] measured the

3884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

linearity of an individual epitaxially grown -type GFET in a manner that is consistent with our study. Microscopy images of a similar device are shown in [42]. Note that the fabricated device has a much longer channel than our device, which makes a direct comparison with our simulation results impossible. However, a qualitative comparison with the reported IIP3 values, as shown in Fig. 19 [parts (a) and (b)], demonstrates that the key signature of the GFET IIP3 (regions 1 to 4), as identified in Section III-A, is present even in a long-channel fabricated device. In comparing the predicted and experimental data in parts (a) and (b) of Fig. 19, two points should be borne in mind. First, the actual gate bias and IIP3 values should not be expected to overlap, as the two devices involved have different channel lengths; of relevance are the relative positions of the identified regions and points with respect to gate bias, and the resulting signature in the IIP3 behavior. Second, to assign our identified regions to the experimental plot without ambiguity, we have used points 6 and 3 as anchors; point 6 corresponds to the minimum in power gain, and point 3 corresponds to the maximum in power gain. With these two points noted, Fig. 19 shows good overall qualitative agreement between the predicted signature [part (a)] and experimental results [part (b)]. Extending the gate bias values beyond the 0.2 V to 1 V range used throughout our study thus far shows that our approach is capable of capturing most features present in the experimental IIP3 curve [21]. Regions 5, 6, 7, and 8 in the extended plot in Fig. 19(a) clearly mirror the corresponding regions in Fig. 19(b). The mechanism behind these regions can be revealed by examining our developed nonlinear model. • Regions 5 and 8 are similar to region 1, in which the IIP3 values are relatively insensitive to gate bias. In these regions, we found that the source and drain quantum capacitances and transconductances vary linearly with voltage (consistent with extrapolating the curves in Fig. 5). Therefore, the IIP3 remains almost constant. • Point 6 occurs at the point of minimum conduction, such that the small-signal transconductance is zero. This zero transconductance results in a small-signal voltage gain of zero. The output of the device therefore contains distortion due to the nonlinear circuit components, but the fundamental frequency component is absent. This makes the device extremely nonlinear at this bias point. • Point 7 shows another peak in IIP3. By separately examining the nonlinearity of the source and drain components in the small-signal circuit, we found that the contributions to distortion from the source and drain components at point 7 are of equal magnitude and opposite phase. This results in a destructive combination of distortion components, resulting in an IIP3 peak. However, a few discrepancies do exist. • Region 1 has a much smaller span in the experiment versus the simulation [Fig. 19(a)], but it should be noted that this region appears between the peaks at 7 and 2, and that its extent depends strongly on the drain bias, as discussed in Section III-B in conjunction with Fig. 10. This drainbias dependence of the extent of region 1 is confirmed by

Fig. 19. Qualitative comparison of simulated (extrinsic) IIP3 values of the GFET under investigation in this paper at drain biases of (a) 0.5 V and (c) 0.3 V with (b) experimental data [21, Fig. 4(a)]. We have also shown the power gain in each case for reference.

comparing the simulation results in Figs. 19(a) and 19(c), where a narrower region 1 can be observed in the simulation of Fig. 19(c) [corresponding to ] vs. Fig. 19(a) [corresponding to ].

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

• fThe peaks at points 2 and 4 are diminished in the experimental IIP3, and the dip around point 3 is also less prominent. The differences between the experimental data and the numerical results are most likely due to the nonidealities in the practical device that our model does not consider, such as scattering. The fabricated GFET in [21] is a long-channel device with a channel length of 700 nm. The transport in this device is therefore subject to scattering, which is neglected in the short-channel GFET considered in this study. As discussed in Section III-A, the formation of the peaks at points 2 and 4 is dependent on the phase relationship of the distortion generated by the second- and third-order coefficients of the drain components and . The presence of scattering in the long-channel device may change this phase relationship by requiring a modification of the circuit in Fig. 3, which is strictly valid only for ballistic transport, thereby diminishing the peaks. • Lasy, while both our model and the experiment show a slightly increasing IIP3 moving out toward very high gate bias in region 9, the experimental IIP3 additionally shows a pronounced dip following region 8 that is barely perceptible in the numerical results of Fig. 19(a) and absent in the numerical results of Fig. 19(c). We attribute this difference to a breakdown of our ballistic model in the high bias regime of region 9, as phonon scattering is much more prominent at large gate biases [43], [44]. The similarity of the experimental and theoretical curves in Fig. 19 provides qualitative validation of our modeling approach and resulting observations on the linearity of GFETs. However, this similarity calls for a more detailed investigation and discussion that clarifies why the signature behavior of linearity, which is apparently present at all channel lengths, is governed (for graphene) by features of a ballistic transport model. This investigation and discussion will be pursued separately; here, the most important outcome is that the similarity of experiment and simulations supports our approach and conclusions. Finally, we observe that the experimental curves shown in Fig. 19(b) show power gain values comparable to our simulation results, but notably higher IIP3 values. Although we should be careful about making quantitative comparisons due to the differences between the two devices, the increased IIP3 in the experimental device suggests that the presence of scattering may improve the linearity of GFETs. One reason may be that scattering linearizes the current-voltage behavior. As seen in Fig. 2, the ballistic device in our study shows no saturation in the current-voltage characteristics, whereas experimental devices will likely exhibit stronger saturation due to phonon scattering [45], and therefore better linearity. Confirming this hypothesis would require a careful inclusion of the effects of scattering in our nonlinear model (e.g., via the method outlined in [46]), which is beyond the scope of our present study. V. CONCLUSIONS The following conclusions can be drawn regarding the RF linearity potential of GFETs. 1) The IIP3 versus gate bias curve of the GFET has four distinct features. A constant linearity region, two sharp peaks, and a large dip.

3885

2) The linear DOS of graphene results in a linear quantum capacitance and transconductance versus voltage relationship in GFETs at low gate bias , which is responsible for the constant linearity region. 3) Depending on the gate bias, the GFET linearity is dictated either by distortion generated by second-order coefficients or by third-order coefficients in the Taylor-series expansions of the nonlinear components. A destructive combination of distortion from the two mechanisms in the transition regions creates sharp peaks in the IIP3 curve. 4) The GFET offers its worst linearity at peak . 5) Over all gate bias values, the distortion generated in the nonlinear drain components dictate the GFET linearity. This is an outcome of the zero bandgap of graphene. It also makes the RF linearity highly sensitive to variations in drain bias and potentially load resistance. 6) In terms of third-order distortion, the GFET's performance is comparable to its MOSFET and CNFET counterparts, with the distinguishing feature that the peak IIP3 does not occur at peak . 7) Due to its linear DOS and lack of a bandgap, the secondorder distortion is much worse in a GFET than in its competitors. 8) The extrinsic IIP3 retains the key features (signatures) of the intrinsic IIP3. 9) Parasitic capacitances have a minimal impact on GFET linearity. 10) The drain contact resistance degrades the linearity of a GFET, while the source resistance has minimal impact; this occurs due to the drain dominance of GFET linearity (conclusion 5). In wide devices , the gate contact resistance can make the device more linear but will degrade the power gain. 11) Qualitative agreement between our results and published experimental data [21] supports our approach and conclusions. Overall, the most important outcomes of this work are the identification of the signature behavior and the drain dependence of graphene linearity. We also showed that graphene has the potential to offer third-order linearity at least comparable to CNFETs and MOSFETs, but suffers from worse second-order linearity. The load-resistance dependency creates a unique opportunity to improve the linearity in GFETs by using smaller loads, but at the cost of reduced voltage and power gain. All these key outcomes are intimately tied to the lack of a bandgap and linear DOS of graphene. ACKNOWLEDGMENT The authors would like to thank Dr. Prasad Gudem, Qualcomm, Inc., San Diego, for sharing his invaluable insights, and AWR Corporation for providing Microwave Office and valuable technical support. REFERENCES [1] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, “Electric field effect in atomically thin carbon films,” Science, vol. 306, pp. 666–669, Oct. 2004.

3886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[2] Y. Wu, Y. Lin, A. A. Bol, K. A. Jenkins, F. Xia, D. B. Farmer, Y. Zhu, and P. Avouris, “High-frequency, scaled graphene transistors on diamond-like carbon,” Nature, vol. 472, pp. 74–78, Apr. 2011. [3] L. Liao, Y. Lin, M. Bao, R. Cheng, J. Bai, Y. Liu, Y. Qu, K. L. Wang, Y. Huang, and X. Duan, “High-speed graphene transistors with a selfaligned nanowire gate,” Nature, vol. 467, pp. 305–308, Sep. 2010. [4] K. I. Bolotin, K. J. Sikes, Z. Jiang, M. Klima, G. Fudenberg, J. Hone, P. Kim, and H. L. Stormer, “Ultrahigh electron mobility in suspended graphene,” Solid State Comm., vol. 146, pp. 351–355, Jun. 2008. [5] Y.-M. Lin, C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y. Chiu, A. Grill, and P. Avouris, “100-GHz transistors from wafer-scale epitaxial graphene,” Science, vol. 327, p. 662, Feb. 2010. [6] M. C. Lemme, T. J. Echtermeyer, M. Baus, and H. Kurz, “A graphene field-effect device,” IEEE Electron Device Lett., vol. 28, pp. 282–284, Apr. 2007. [7] K. D. Holland, N. Paydavosi, N. Neophytou, D. Kienle, and M. Vaidyanathan, “RF performance limits and operating physics arising from the lack of a bandgap in graphene transistors,” IEEE Trans. Nanotechnol., vol. 12, pp. 566–577, Jul. 2013. [8] Z. Chen, Y.-M. Lin, M. J. Rooks, and P. Avouris, “Graphene nanoribbon electronics,” Physica E: Low-Dimensional Systems and Nanostructures, vol. 40, pp. 228–232, Dec. 2007. [9] T. G. Pedersen, C. Flindt, J. Pedersen, N. A. Mortensen, A.-P. Jauho, and K. Pedersen, “Graphene antidot lattices: Designed defects and spin qubits,” Phys. Rev. Lett., vol. 100, pp. 136804-1–136804-4, Apr. 2008. [10] T. Ohta, A. Bostwick, T. Seyller, K. Horn, and E. Rotenberg, “Controlling the electronic structure of bilayer graphene,” Science, vol. 313, pp. 951–954, Aug. 2006. [11] Y. Wu, K. A. Jenkins, A. Valdes-Garcia, D. B. Farmer, Y. Zhu, A. A. Bol, C. Dimitrakopoulos, W. Zhu, F. Xia, P. Avouris, and Y. Lin, “State-of-the-art graphene high-frequency electronics,” Nano Lett., vol. 12, pp. 3062–3067, May 2012. [12] Y.-M. Lin, A. Valdes-Garcia, S. Han, D. B. Farmer, I. Meric, Y. Sun, Y. Wu, C. Dimitrakopoulos, A. Grill, P. Avouris, and K. A. Jenkins, “Wafer-scale graphene integrated circuit,” Science, vol. 332, pp. 1294–1297, Jun. 2011. [13] J. Kang, D. Sarkar, Y. Khatami, and K. Banerjee, “Proposal for allgraphene monolithic logic circuits,” Appl. Phys. Lett., vol. 103, no. 8, pp. 083113-1–083113-5, Aug. 2013. [14] S. Han, A. Valdes-Garcia, S. Oida, K. A. Jenkins, and W. Haensch, “Graphene radio frequency receiver integrated circuit,” Nat. Commun., vol. 5, pp. 3086-1–3086-6, Jan. 2014. [15] H. Wang, A. Hsu, J. Wu, J. Kong, and T. Palacios, “Graphene-based ambipolar RF mixers,” IEEE Electron Device Lett., vol. 31, pp. 906–908, Sep. 2010. [16] O. Habibpour, J. Vukusic, and J. Stake, “A 30-GHz integrated subharmonic mixer based on a multichannel graphene FET,” IEEE Trans. Microw. Theory Techn., vol. 61, pp. 841–847, Feb. 2013. [17] M. A. Andersson, O. Habibpour, J. Vukusic, and J. Stake, “Resistive graphene FET subharmonic mixers: Noise and linearity assessment,” IEEE Trans. Microw. Theory Techn., vol. 60, pp. 4035–4042, Dec. 2012. [18] J. S. Moon, H.-C. Seo, M. Antcliffe, D. Le, C. McGuire, A. Schmitz, L. O. Nyakiti, D. K. Gaskill, P. M. Campbell, K.-M. Lee, and P. Asbeck, “Graphene FETs for zero-bias linear resistive FET mixers,” IEEE Electron Device Lett., vol. 34, pp. 465–467, Mar. 2013. [19] H. Madan, M. J. Hollander, M. LaBella, R. Cavalero, D. Snyder, J. A. Robinson, and S. Datta, “Record high conversion gain ambipolar graphene mixer at 10 GHz using scaled gate oxide,” in IEEE Int. Electron Devices Meeting, USA, Dec. 2012, pp. 4.3.1–4.3.4. [20] H. Madan, M. J. Hollander, J. A. Robinson, and S. Datta, “Analysis and benchmarking of graphene based RF low noise amplifiers,” in Proc. Device Res. Conf., USA, Jun. 2013, pp. 41–42. [21] K. A. Jenkins, D. B. Farmer, S.-J. Han, C. Dimitrakopoulos, S. Oida, and A. Valdes-Garcia, “Linearity of graphene field-effect transistors,” Appl. Phys. Lett., vol. 103, no. 17, pp. 173115-1–173115-4, Oct. 2013. [22] J. Chauhan and J. Guo, “Inelastic phonon scattering in graphene FETs,” IEEE Trans. Electron Devices, vol. 58, no. 11, pp. 3997–4003, Nov. 2011. [23] K. N. Parrish and D. Akinwande, “Impact of contact resistance on the transconductance and linearity of graphene transistors,” Appl. Phys. Lett., vol. 98, no. 18, pp. 183505-1–183505-3, May 2011.

[24] S. Rodriguez, A. Smith, S. Vaziri, M. Ostling, M. C. Lemme, and A. Rusu, “Static nonlinearity in graphene field effect transistors,” IEEE Trans. Electron Devices, vol. 61, no. 8, pp. 3001–3003, Aug. 2014. [25] A. U. Alam, C. M. S. Rogers, N. Paydavosi, K. D. Holland, S. Ahmed, and M. Vaidyanathan, “RF linearity potential of carbon-nanotube transistors versus MOSFETs,” IEEE Trans. Nanotechnol., vol. 12, pp. 340–351, May 2013. [26] “Radio frequency and analog/mixed-signal technologies for wireless communications,” Int. Technol. Roadmap for Semiconductors 2012. [27] S. O. Koswatta, A. Valdes-Garcia, M. B. Steiner, Y.-M. Lin, and P. Avouris, “Ultimate RF performance potential of carbon electronics,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2739–2750, Oct. 2011. [28] E. Pop, V. Varshney, and A. K. Roy, “Thermal properties of graphene: Fundamentals and applications,” MRS Bull., vol. 37, pp. 1273–1281, Dec. 2012. [29] S. Hasan, S. Salahuddin, M. Vaidyanathan, and M. A. Alam, “High-frequency performance projections for ballistic carbon-nanotube transistors,” IEEE Trans. Nanotechnol., vol. 5, pp. 14–22, Jan. 2006. [30] Y. Yoon, G. Fiori, G. Iannaccone, and J. Guo, “Performance comparison of graphene nanoribbon FETs with Schottky contacts and doped reservoirs,” IEEE Trans. Electron Devices, vol. 55, no. 9, pp. 2314–2323, Sep. 2008. [31] A. U. Alam, K. D. Holland, S. Ahmed, D. Kienle, and M. Vaidyanathan, “A modified top-of-the-barrier model for graphene and its application to predict RF linearity,” in Proc. Int. Conf. Simulation of Semiconductor Processes and Devices, Scotland, UK, Sep. 2013, pp. 155–158. [32] COMSOL, Inc.. Stockholm, Sweden, COMSOL Multiphysics Version 3.5a 2007. [33] AWR Corporation, Microwave Office Version 10.04r. El Segundo, CA, USA, 2012. [34] S. Kim, J. Nah, I. Jo, D. Shahrjerdi, L. Colombo, Z. Yao, E. Tutuc, and S. K. Banerjee, “Realization of a high mobility dual-gated graphene field-effect transistor with dielectric,” Appl. Phys. Lett., vol. 94, no. 6, pp. 062107-1–062107-3, Feb. 2009. [35] D. B. Farmer, Y. Lin, and P. Avouris, “Graphene field-effect transistors with self-aligned gates,” Appl. Phys. Lett., vol. 97, no. 1, pp. 0131031–013103-3, Jul. 2010. [36] S. A. Maas, Nonlinear Microwave and RF Circuits, 2nd ed. Boston: Artech House, Inc., 2003. [37] S. Datta, Quantum Transport: Atom to Transistor, 1st ed. New York: Cambridge Univ. Press, 2005. [38] D. Im, I. Nam, and K. Lee, “A CMOS active feedback balun-LNA with high IIP2 for wideband digital TV receivers,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3566–3579, Dec. 2010. [39] F. Xia, V. Perebeinos, Y. Lin, Y. Wu, and P. Avouris, “The origins and limits of metal-graphene junction resistance,” Nature Nanotechnol., vol. 6, no. 6, pp. 179–184, Mar. 2011. [40] J. S. Moon, M. Antcliffe, H. C. Seo, D. Curtis, S. Lin, A. Schmitz, I. Milosavljevic, A. A. Kiselev, R. S. Ross, D. K. Gaskill, P. M. Campbell, R. C. Fitch, K.-M. Lee, and P. Asbeck, “Ultra-low resistance ohmic contacts in graphene field effect transistors,” Appl. Phys. Lett., vol. 100, no. 20, pp. 203512-1–203512-3, May 2012. [41] D. O. Pederson and K. Mayaram, Analog Integrated Circuit for Communication: Principles, Simulation and Design, 2nd ed. New York, USA: Springer, 2008. [42] Y. Lin, D. Farmer, K. Jenkins, Y. Wu, J. Tedesco, R. L. Myers-Ward, C. R. Eddy, D. Gaskill, C. Dimitrakopoulos, and P. Avouris, “Enhanced performance in epitaxial graphene FETs with optimized channel morphology,” IEEE Electron Device Lett., vol. 32, no. 10, pp. 1343–1345, Oct. 2011. [43] J. Chauhan and J. Guo, “Inelastic phonon scattering in graphene FETs,” IEEE Trans. Electron Devices, vol. 58, no. 11, pp. 3997–4003, Nov. 2011. [44] T. Fang, A. Konar, H. Xing, and D. Jena, “High-field transport in twodimensional graphene,” Phys. Rev. B, vol. 84, no. 12, pp. 1254501–125450-7, Sep. 2011. [45] V. Perebeinos and P. Avouris, “Inelastic scattering and current saturation in graphene,” Phys. Rev. B, vol. 81, no. 19, pp. 195442-1–195442-8, May 2010. [46] G. Vincenzi, G. Deligeorgis, F. Coccetti, M. Dragoman, L. Pierantoni, D. Mencarelli, and R. Plana, “Extending ballistic graphene FET lumped element models to diffusive devices,” Solid-State Electron., vol. 76, pp. 8–12, Oct. 2012.

ALAM et al.: RF LINEARITY PERFORMANCE POTENTIAL OF SHORT-CHANNEL GRAPHENE FIELD-EFFECT TRANSISTORS

Ahsan Ul Alam received the Ph.D. degree in electrical engineering from the University of Alberta, Edmonton, AB, Canada, in 2015. He is currently an Applications Engineer at Lumerical Solutions, Inc., Vancouver, BC, Canada. His research interests include the modeling and simulation of micro- and nanoscale electronic and optoelectronic devices for current and future technologies. Dr. Alam received the George Walker Award as the top Ph.D. student convocating from the electrical and computer engineering department in the 2015 Spring convocation. He was also nominated for the Governor General's Gold Medal for his accomplishments as a Ph.D. student.

Kyle David Holland received the B.Sc. degree in 2009 in engineering physics (nanoengineering option) from the University of Alberta, Edmonton, AB, Canada, where he is currently working toward the Ph.D. degree in electrical engineering. His research interests are in the quantum simulation of carbon-based nanoelectronics, with an emphasis on modeling the high-frequency performance of graphene devices. Mr. Holland currently holds an NSERC Alexander Graham Bell Canada Graduate Scholarship and an Alberta Innovates Graduate Student Scholarship, and he was a recipient of the Ralph Steinhauer Award of Distinction.

Michael Wong received the B.Sc. degree in computer engineering in 2013 from the University of Alberta, Edmonton, AB, Canada, where he is currently working toward the Ph.D. degree in electrical engineering. His current research interests include modeling and simulation of nanoscale devices, including FinFETs and 2D FETs. Mr. Wong currently holds the Natural Sciences and Engineering Research Council of Canada Alexander Graham Bell Canada Graduate Scholarship and the Alberta Innovates Graduate Student Scholarship. He has also received The Rt. Hon. C. D. Howe Memorial Fellowship at the University of Alberta.

3887

Sabbir Ahmed received the B.Sc. and M.Sc. degrees in electrical and electronic engineering (EEE) from the Bangladesh University of Engineering and Technology (BUET), Dhaka, Bangladesh, in 2005 and 2007, respectively and the Ph.D. degree in electrical and computer engineering from the University of Alberta, Edmonton, AB, Canada in 2014. He is currently an Electrical & Control System Design Engineer with Stantec Consulting Ltd., Calgary, AB, Canada. From 2005 to 2008, he was a Lecturer with the Department of EEE of BUET. His research interests include the theory, modeling, and simulation of nanoscale electronic devices, with an emphasis on the high-frequency and circuit-level performance of III-V high-electron-mobility transistors, carbon-based transistors, and solarcell devices. Dr. Ahmed received the F. S. Chia Doctoral Scholarship in 2008 and 2009, and the Queen Elizabeth II Graduate Scholarship in 2010, 2011, and 2012 at the University of Alberta.

Diego Kienle received the B.S. (Vordiplom) and M.S. (Diplom) degree from the University of Bayreuth, and his PhD (Dr.rer.nat.) from the Research Center Jülich and the University of Saarland, Germany, in theoretical physics. After appointments with the Electrical and Computer Engineering Department at Purdue University and the Material Science Department at Sandia National Laboratories in California, he is currently with the Institute of Theoretical Physics at the University of Bayreuth. His research interests are in the theory, modeling, and simulation of ac quantum electronic transport in nanoscale materials and devices with a focus on the understanding of the quantum dynamic processes in low-dimensional materials and their potential application in solidstate-based terahertz devices. His past research interests are in the theory and modeling of complex fluids by means of Brownian dynamics with a focus on many-body hydrodynamic interaction effects in diluted polymer solutions.

Mani Vaidyanathan (S'95–M'99) received the Ph.D. degree in electrical engineering from the University of British Columbia, Vancouver, BC, Canada. He is currently an Associate Professor with the Department of Electrical and Computer Engineering at the University of Alberta, Edmonton, AB, Canada. His research interests include the modeling, simulation, and understanding of electronic devices for future electronics, with a present focus on the radio-frequency performance of FinFETs and 2D materials. Dr. Vaidyanathan is a recipient of the University of Alberta's Provost's Award and the University of Alberta's Alexander Rutherford Award for excellence in teaching.

3888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Consistent Modeling and Power Gain Analysis of Microwave SiGe HBTs in CE and CB Configurations Germán Álvarez-Botero, Member, IEEE, Reydezel Torres-Torres, Senior Member, IEEE, and Roberto S. Murphy-Arteaga, Senior Member, IEEE

Abstract—This paper presents a methodology to model SiGe HBTs biased in common-emitter and in common-base configurations including the bias-dependent substrate parasitics, which allows determining the more suitable configuration to achieve maximum power gain at different frequency ranges. Model–experiment correlations up to 100 GHz for different bias conditions verify the validity of the proposed circuit representations using the same values for the parameters in both configurations. Index Terms—CB configuration, CE configuration, equivalent circuit modeling, power gain, SiGe-HBT, substrate parasitics determination.

I. INTRODUCTION

S

IGE heterojunction bipolar transistors (HBTs) exhibit attractive characteristics for power amplification at microwave frequencies [1], [2]. In this regard, common-emitter (CE) and common-base (CB) configurations have been analyzed [3], [4], showing that HBTs in CB may provide higher power gain than in CE beyond certain frequency. Work in this direction has been previously carried out [5], which allowed determining the more suitable configuration for particular applications to reduce the amplification stages and improving the efficiency of power amplifiers. Unfortunately, the substrate effects and other extrinsic effects, which are important at microwave frequencies, were not considered. However, since HBTs operating in different configurations might be present in the same IC, designers require models that consistently represent the device in both cases while considering the intrinsic, extrinsic, and substrate elements interacting in the device [6], [7]. Motivated by the need to represent both CB and CE configurations, an analytical modeling and parameter extraction methodology is proposed here. From this, the HBT's maximum available power gain (MAG) is calculated, obtaining excellent model-experiment correlations in both configurations. Moreover, the frequency range for better power amplification for each configuration as a function of important design parameters Manuscript received February 24, 2015; revised May 22, 2015; accepted October 20, 2015. Date of publication November 12, 2015; date of current version December 02, 2015. G. Álvarez-Botero is with the Radio Frequency Research Group, Federal University of Santa Catarina, 88040-900 Florianópolis, SC, Brazil, (e-mail: [email protected]). R. Torres-Torres and R. S. Murphy-Arteaga are with the Department of Electronics, National Institute for Astrophysics, Optics and Electronics, Puebla 72840, Mexico (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2496375

Fig. 1. Experimental setup used to perform high-frequency measurements illustrating the VNA, coplanar probes and device-under-test (DUT).

is determined, which is very helpful as a guide for microwave HBT-IC design. II. EXPERIMENT npn SiGe HBTs were fabricated in a 0.13 BiCMOS tech, and an emitter nology with an emitter width , and number of emitter fingers and length 12. Afterwards, -parameters were measured on these devices in both CE and CB configurations up to 100 GHz using a vector network analyzer (VNA) and ground-signal-ground coplanar pitch, as shown in Fig. 1. The equipment probes with a 100was calibrated up to the probe tips using the line-reflect-match procedure and an impedance-standard-substrate, establishing a reference impedance of 50 for the measurements. In addition, the pad parasitics were de-embedded using on-wafer structures as in[8]. For characterization purposes, the measurements were performed at different bias conditions, according to the requirements described in subsequent sections. These data, together with the equivalent circuits in Fig. 2 were used to develop the proposed methodology. In this regard, Fig. 2(a) shows the conventional model for a SiGe HBT in CE configuration, whereas Fig. 2(b) shows an alternative circuit that is convenient for anaas the parallel conlyzing the CB configuration considering and . nection of III. PARAMETER EXTRACTION METHODOLOGY A. Determination of the Substrate Parasitics The parameters associated with the substrate parasitics have to be determined to implement an accurate high-frequency

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

ÁLVAREZ-BOTERO et al.: CONSISTENT MODELING AND POWER GAIN ANALYSIS OF MICROWAVE SIGE HBTS

3889

Fig. 2. Small-signal models for a SiGe HBT: (a) conventional circuit in CE configuration, and (b) alternative representation in CB configuration.

Fig. 4. Linear regressions used to determine

,

and

.

Fig. 3. Simplified small-signal equivalent circuit for an HBT in CE configuraand . tion biased at

model. Typically, this can be achieved by characterizing the ) and then considering HBT at zero bias (i.e., so a negligible bias dependence of these parasitics on that the corresponding effect can be removed at other bias conditions [9], [10]. It is necessary to bear in mind, however, , that the substrate elements exhibit a strong dependence on which requires a careful parameter extraction to account for this dependence as shown hereafter. Fig. 2(a) and (b) show the substrate effects represented by the ), and the subcollector-substrate depletion capacitance ( ) and capacitance ( ). For an HBT bistrate resistance ( , it is valid to assume that: i) no signifiased at cant current is flowing through base, ii) all the dynamic resistances present large values (i.e., junctions are turned-off), and . In this case, the extrinsic and dynamic resistances, iii) as well as the current source can be neglected in the equivalent circuit, resulting in the model shown in Fig. 3. Then, the de-embedded -parameters are converted to -parameters and the admittances , , and in Fig. 3 can be determined from the experimental data using

(1)

forming a linear regression of versus . and are obtained using (3) and (4), respectively. The determination of the admittances , , and , allows to obtain experimental data associated with the substrate admit. In fact, in accordance to Fig. 3, this admittance can tance be used to define the following equations that include equivalent circuit elements: (5) (6) Notice from these equations that , , and can be obtained from the slopes and intercepts with the ordinates and of the regressions of the experimental versus data, as shown in Fig. 4. Moreover, the substrate is represented using the same network in the CE and CB configurations, which implies that this extraction method is valid in both cases provided that ; the latter is a reasonable assumption in typical HBTs presents a weak [12]. An important remark is the fact that bias dependence since only a small portion of this resistance is affected by the change in the width of the collector-substrate depletion region, which allows an effective value to be used. According to Fig. 4, the substrate parameters can be obtained as

(2)

(3) (7)

(4) Once that has been determined using (1), and can be extracted from the corresponding intercept and slope per-

Also, Fig. 5 shows that the versus CE-HBT are well correlated by

data obtained for a

(8)

3890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

data, allowing to represent the intrinsic HBT as in Fig. 6(a). In order to obtain the parameters in this model, the - transformation illustrated in Fig. 6(b) is applied [13]. Thus, since the intrinsic transistor is represented in this case using a -topology, -parameters are preferred to determine the unknown elements. Hence, it is possible to write Fig. 5.

(9)

determined from -parameters for an HBT in CE configuration.

(10) (11) (12) where (13) By simultaneously solving (9) to (12), obtained as

,

,

, and

are (14)

Fig. 6. (a) Equivalent circuit for the intrinsic part of a CE-configured HBT. (b) - transformation allowing to obtain c) a simplified model.

(15) (16) (17) Now, (14) and (15) can be rearranged to define the time con) associated with the intrinsic base; this is stant ( (18) is calculated from the known impedances and , Since can be obtained from the slope of the regression of the exversus data, as shown in Fig. 7(a). perimental Furthermore, (14) can be rearranged as

Fig. 7. Linear regressions used to determine the equivalent circuit for the inand . trinsic part of a CE-configured HBT biased at

(19) where:

which is the equation that describes a - junction consid, a built-in voltage , and ering a zero-bias capacitance a grading exponent . This good correlation between the physically-based model and the data extracted points out that the deon were adequately considered here. In pendence of fact, notice in Fig. 5 that this parameter suffers a change of about 50% within the considered voltage range, which points out povalue tential errors introduced when assuming a constant extracted at zero-bias conditions. B. Modeling the HBT in the Active Region Once that the substrate effects and the extrinsic resistances , , and have been determined using (1) to (4), the corresponding effect can also be removed from the experimental

(20) (21) Thus, from (19) the following expression can be written: (22) This equation indicates that the base-emitter time constant ) can be calculated from the slope and intercept ( with the ordinates of the linear regression of the experimental versus data, as shown in Fig. 7(b). On the other

ÁLVAREZ-BOTERO et al.: CONSISTENT MODELING AND POWER GAIN ANALYSIS OF MICROWAVE SIGE HBTS

3891

Fig. 8. Simplified sketch showing the cross section view of the measured devices illustrating its relevant (a) geometrical characteristics, (b) base resistance components; (c) base capacitive components and (d) multi-finger layout.

hand, and of equations:

are obtained by solving the following system (23) (24)

It is also possible to demonstrate that can be obtained versus data regression, from the slope of the , , , , which is shown in Fig. 7(c). At this point, are known, allowing to obtain the base-collector capacand from the data shown in itance Fig. 7(d). with the -parameters, an expression can Now, to relate be written by combining (13) and (17), this is Fig. 9. Linear regression used to determine the lateral and vertical components of the base-emitter capacitance.

(25) is the transconductance at low frequencies, and where related to the phase delay.

is

Thus, in order to separate intrinsic and the extrinsic components (26) can be rewritten as of (27)

C. Modeling the Total Base-Emitter Capacitance in Multifinger HBTs In the case of SiGe HBTs, because the emitter polysilicon and metal layers overhang the oxide above the base, the emitter-base isolation capacitance, , which is proportional the emitter perimeter, must be also considered. In this case, lateral and vertical contributions to the total base-emitter capacitance are in, that is cluded in (26) where, and are the emitter area and perimeter, respectively, is the emitter capacitance which is proportional to the and emitter area. emitter In accordance with Fig. 8(d), for a transistor with fingers the effective area and perimeter can be expressed as: , and .

or written in an alternative form as (28) denotes the aspect ratio of the tranwhere versus sistor. Then, performing a linear regression of using the extracted values of from , the contributions of extrinsic and transistors with different intrinsic base-emitter capacitances can be obtained, as shown in Fig. 9. From measurements in the CE configuration at and , the following values are obtained when applying the proposal: , , , , , , , , , , , , , , and .

3892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

imental and simulated -parameters. In this case, the following equations are applied (29) where is the maximum stable gain, and is Rollet's stability factor [14], given as: (30) Fig. 10. MAG versus frequency for CE and CB-configured HBTs showing the model-experiment correlation using the proposed methodology and indicating .

Fig. 11. MAG versus frequency for CE and CB-configured HBTs showing the . effect of neglecting the bias dependence of

As mentioned before, one of the advantages of the proposed extraction method is the feasibility of using the same values for the model parameters in both the CE and CB configurations to describe the HBT frequency operation. This allows to ease and simplify the model implementation using parameters obtained from measurements performed to a device in only one configuration. Nonetheless, as an additional advantage, the analysis of the transistor's figures of merit can also be performed in a systematic way as shown hereafter. Regarding the figures of merit to assess the device performance at high-frequencies, the extracted parameters were used to implement the models in Fig. 1 and determine MAG. As shown in Fig. 10, excellent simulation-experiment correlation is obtained for both configurations using the same values for the model parameters. Furthermore, in order to demonstrate the error introduced in the modeling when the bias dependence of is neglected as in previous approaches, Fig. 11 shows the discrepancy when this effect is not adequately considered. In this case, the transistor model predicts a potentially unstable behavior at low frequencies, which may introduce significant errors in the determination of the transistor's figures of merit; this is shown hereafter. IV. POWER GAIN IN CE AND CB CONFIGURATION In order to define the frequency ranges of applicability of the CB and CE configurations, MAG was determined using exper-

Fig. 10 also shows the crossover frequency ( ) above which MAG for the CB configuration is higher than that for the CE is smaller than configuration. Observe in this figure that ). This indicates the maximum frequency of oscillation ( that operating the device in the CB configuration rather than in the CE configuration is preferable within a considerable fre, still obtaining power amplification. quency range beyond was proposed in In this regard, an expression to determine [5]. However, this expression involves the approximation of the , which considers only cutoff frequency the intrinsic part of the device and provides no information on the impact of the extrinsic parameters on the HBT's high-frequency performance. Fig. 11 exhibits the impact of the substrate , which in turn influences the extraction of impedance on . in the modNotice that neglecting the bias dependence of eling of the HBT translates into large errors when determining the MAG. Its origin is related to the correct representation of the output parameters of HBT, which are directly affected with the substrate network. This is most clearly seen when evaluating (29); notice that S22 and S21 are dependent of the substrate network, directly affecting the MAG for CE and MSG for CB calis higher culations. Thus, the frequency range were can be accurately predicted using the improved than model implementation proposed here. A. Determining the Crossover Frequency (

)

After adequately removing the substrate effects, the circuit in Fig. 2 can be simplified to the one shown in Fig. 12. Thus, involving the -parameters associated with this model, a simplified expression for MAG is obtained

(31) represents the parallel connection of and . Nowhere tice from (31) that for (i.e., when MAG becomes to unity), the base-collector time constant can be written as , and can be expressed as (32) In this case, considers the total delay time from emitter to collector [i.e., , including the extrinsic base-collector capacitance.

ÁLVAREZ-BOTERO et al.: CONSISTENT MODELING AND POWER GAIN ANALYSIS OF MICROWAVE SIGE HBTS

3893

Fig. 12. Small-signal models for a SiGe HBT after removing the substrate effect for: (a) CE configuration, and (b) CB configuration.

Now, in order to obtain an expression for , it is mandatory to determine MSG for the CB configuration, which is possible becomes using the model shown in Fig. 12(b), where

Fig. 13. Experimental and simulated power gain for a SiGe HBT obtaining by extending the simulation range.

(33) Thus, equating (31) and (33) and substituting

yields

(34) which can be written in an alternative form as (35) Then, solving (27) for (36) This expression presents an improvement with respect to the one proposed in [5] because it includes both intrinsic and extrinsic elements interacting on the HBT operation to determine the better range of power amplification; this is due to the fact . that (31) involves is typically extracted by either a direct obIn this case, servation of the MAG versus frequency curve, or performing . However, due to the a data extrapolation to non-linear trend in the MAG curve of the transistor at high frequencies, or for limitations on the high frequency equipment, is not easy. Therefore, a methodology accurately obtaining from data measured at relatively to accurate determining low frequencies is proposed Determining the maximum oscillation frequency ( ) can be obtained by applying (29) and (30) Theoretically, to experimental -parameters obtained up to a frequency high . However, as shown in Fig. 13, enough to observe even when data measured up to 100 GHz are available, cannot be determined in this fashion for modern high-performance HBTs [15]–[17]. This motivates the development of the following alternative methodology. Assuming that at low frequencies the parasitic coupling between the HBTs output terminals is weak, (29) can be rearranged in the following way: (37)

Fig. 14. Linear regressions of a) MAG as function of function of .

Also, expressing

; and b)

as

as a linear function of frequency (38)

and respectively represent the slope and inwhere tercept of the linear regression. Fig. 14(a) and (b) show the curves obtained after applying (37) and (38) to experimental , , and . data. This allows the determination of Afterwards, (29), (37) and (38) can be combined as follows:

(39)

3894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

where is the permittivity of the substrate and an effective base-collector value for the junction depletion region width under the STI and SIC regions. Therefore, combining (31) and with the (36), it is possible to relate the crossover frequency geometrical parameters, this is

(41) In fact, (41) provides the IC designer with a useful tool to esfrom layout parameters defining the better HBT timate the configuration to use, and optimize power consumption for the whole circuit. Fig. 15. Comparison between extracted using the proposed method and simulations using the model in Fig. 2.

which allows to analytically calculate , avoiding possible high frequency uncertainties. For the experiments performed was determined. This value is consistent here, with the one obtained extending the simulation range, shown Fig. 13, which also points out the consistency of the proposed model and methodology. For completeness, the methodology shown above was apas function of the applied to obtain the corresponding and compared against of simulation results using the plied model shown in Fig. 2. As shown in Fig. 15, a good correlation is obtained when the HBT is operating in low, moderated and high injection regions. is accurately obtained, it can be used in (36) Once that for determining the operation range of interest for a CE or CB configuration. In the next section, (36) can be related to the geometrical parameters of the HBT. V. DEPENDENCE OF POWER GAIN FOR MULTIFINGERED HBTS Multifingered structures have been widely used for RF/Microwave HBTs because their convenience to maintain thermal stability without significantly increasing the device area, also allowing to get a better performance on frequency operation. Thus, going further into the analysis, this work proposes to exincluding the geometry-dependent tend a quantification for effects, paying particular attention to multifingered structures. Fig. 8(a) shows the principal geometrical characteristics for , the collector the base region, the connections width , and the finger length . In addition, stripe width and are the distances between the base and emitter, and between the base and collector regions, respectively. Fig. 8(d) shows layout sketch of a multifingered HBT composed emitter fingers, considering the emitter finger width . of Notice that it is possible to relate the effective base-collector time constant in (31), consistently with the model in Fig. 2, with the geometrical characteristics of the HBT by

(40)

VI. CONCLUSION A methodology to model consistently the high-frequency performance of SiGe HBTs in both common emitter and common base configuration has been proposed. During the analysis, the errors introduced in the modeling of the MAG when ignoring the bias dependence of the collector-substrate depletion capacitance have been evidenced. Furthermore, this methodology allows modeling CE and CB-configured SiGe HBTs in a consistent and physically based fashion, simplifying the model implementation using a set of -parameters obtained in either configuration. Excellent model–experiment correlations are obtained for devices operating in the active region up to 100 GHz, which is fundamental in identifying the optimal configuration for power amplification at a given frequency. In this regard, a simple and analytical expression was also proposed for the calculation of the frequency at which the CE and CB MAG curves crossover. ACKNOWLEDGMENT The authors acknowledge IMEC, Leuven, Belgium, for supplying the test structures. In addition, they would like to thank Dr. F. R. de Sousa for his helpful comments. REFERENCES [1] J. D. Cressler, “A retrospective on the SiGe HBT: What we do know, what we don't know, and what we would like to know better,” in Proc. Silicon Monolithic Integr. Circuits RF Syst. Conf., 2013, pp. 81–83. [2] J. D. Cressler, “SiGe HBT technology: A new contender for Si-based RF and microwave circuit applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 572–589, May, 1998. [3] G. Qin, G. Wang, N. Jiang, and Z. Ma, “Tradeoff between CE and CB SiGe HBTs for power amplification in terms of frequency-dependent linearity and power-gain characteristics,” in Proc. Silicon Monolithic Integrated Circuits RF Systems Conf., 2007, pp. 1–4. [4] G. Qin, N. Jiang, G. Wang, and Z. Ma, “Configuration dependence of SiGe HBT linearity characteristics,” in Proc. Eur. Microw. Integr. Circuits Conf., 2006, pp. 107–110. [5] Z. Ma and N. Jiang, “On the operation configuration of SiGe HBTs based on power gain analysis,” IEEE Trans. Electron. Devices, vol. 52, no. 2, pp. 248–255, Feb., 2005. [6] T. K. Johansen, V. Krozer, J. Vidkjaer, and T. Djurhuus, “Substrate effects in wideband SiGe HBT mixer circuits,” in Proc. Gallium Arsenide Other Semiconductor App. Symp., 2005, pp. 469–472. [7] N. Jiang, Z. Ma, P. Ma, V. Reddy, and M. Racanelli, “SiGe power HBT design considerations for IEEE 802.11 applications,” in Proc. Eur. Microw. Conf., 2005, vol. 3, pp. 1431–1434. [8] R. Torres-Torres, R. Murphy-Arteaga, and J. A. Reynoso-Hernández, “Analytical model and parameter extraction to account for the pad parasitics in RF-CMOS,” IEEE Trans. Electron. Devices, vol. 52, no. 7, pp. 1335–1342, 2005.

ÁLVAREZ-BOTERO et al.: CONSISTENT MODELING AND POWER GAIN ANALYSIS OF MICROWAVE SIGE HBTS

[9] T. K. Johansen, J. Vidkj, and V. Krozer, “Substrate effects in SiGe HBT modeling,” in Proc. Gallium Arsenide Other Semiconductor Appl. Symp., 2005, pp. 445–448. [10] M. Pfost, P. Brenner, T. Huttner, and A. Romanyuk, “An experimental study on substrate coupling in bipolar/BiCMOS technologies,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1755–1763, Oct. 2004. [11] H. Y. Chen, K. M. Chen, G. W. Huang, and C. Y. Chang, “An improved parameter extraction method of SiGe HBTs' substrate network,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 321–323, 2006. [12] U. Basaran, N. Wieser, G. Feiler, and M. Berroth, “Small-signal and high-frequency noise modeling of SiGe HBTs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 919–928, Mar. 2005. [13] L. Degachi and F. M. Ghannouchi, “An augmented small-signal HBT model with its analytical based parameter extraction technique,” IEEE Trans. Electron. Devices, vol. 55, no. 4, pp. 968–972, 2008. [14] J. Rollett, “Stability and power-gain invariants of linear twoports,” IRE Trans. Circuit Theory, vol. 9, no. 1, pp. 29–32, 1962. [15] N. Sarmah, B. Heinemann, and U. R. Pfeiffer, “A 135–170 GHz power amplifier in an advanced SiGe HBT technology,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., 2013, pp. 287–290. [16] P. S. Chakraborty, A. S. Cardoso, B. R. Wier, A. P. Omprakash, J. D. SiGe HBT Cressler, M. Kaynak, and B. Tillack, “A 0.8 THz operating at 4.3 K,” IEEE Electron Device Lett., vol. 35, no. 2, pp. 151–153, 2014. [17] T. Hashimoto, K. Tokunaga, K. Fukumoto, Y. Yoshida, H. Satoh, M. Kubo, A. Shima, and K. Oda, “SiGe HBT technology based on a 0.13 process featuring an fmax of 325 GHz,” IEEE J. Electron Devices Soc., vol. 2, no. 4, pp. 50–58, 2014. Germán Álvarez-Botero (S'03–M'14) received the Ph.D. degree from the National Institute for Research on Astrophysics, Optics and Electronics (INAOE), Puebla, México. In 2014, he was with the RF Research Group, Federal University of Santa Catarina (UFSC), Florianópolis, Brazil, as a Postdoctoral Researcher. His research interests are physics, modeling, and characterization of high-speed devices, circuits and interconnects for high-frequency applications; RF/microwave instrumentation electronics.

3895

Currently, he is researcher with the High-Frequency Electronics and Telecommunications Research Group, National University of Colombia, Bogotá, Colombia.

Reydezel Torres-Torres (S'01–M'06–SM'15) received the Ph.D. degree from National Institute for Research on Astrophysics, Optics and Electronics (INAOE), Puebla, México. He has worked for Intel Laboratories in Mexico and IMEC in Belgium. He is a Senior Researcher in the Electronics Department of INAOE in Mexico. He has authored more than 70 journal and conference papers and directed 6 Ph.D. and 15 M.S. theses, all in experimental high-frequency characterization and modeling of materials, interconnects, and devices for microwave applications. .

Roberto S. Murphy-Arteaga (M'92–SM'02) received the B.Sc. degree in physics from St. John's University, MN, USA, and received the M.Sc. and Ph.D. degrees from the National Institute for Research on Astrophysics, Optics and Electronics (INAOE), Puebla, México. He has taught graduate courses at the INAOE since 1988, totaling over 100 taught undergrad and graduate courses. He has given over 80 talks at scientific conferences and directed seven Ph.D. theses, 13 M.Sc. and 2 B.Sc. theses. He has published more than 120 articles in scientific journals, conference proceedings and newspapers, and is the author of a text book on Electromagnetic Theory. He is currently a Senior Researcher with the Microelectronics Laboratory, and the Director of Research of the INAOE. His research interests are the physics, modeling and characterization of the MOS Transistor and passive components for high frequency applications, especially for CMOS wireless circuits, and antenna design. Dr. Murphy is the President of ISTEC, a member of the Mexican Academy of Sciences, and a member of the Mexican National System of Researchers (SNI).

3896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Automated Design of Common-Mode Suppressed Balanced Wideband Bandpass Filters by Means of Aggressive Space Mapping Marc Sans, Student Member, IEEE, Jordi Selga, Member, IEEE, Paris Vélez, Member, IEEE, Ana Rodríguez, Member, IEEE, Jordi Bonache, Member, IEEE, Vicente E. Boria, Senior Member, IEEE, and Ferran Martín, Fellow, IEEE

Abstract—The automated and unattended design of balanced microstrip wideband bandpass filters by means of aggressive space mapping (ASM) optimization is reported in this paper. The proposed filters are based on multisection mirrored stepped impedance resonators (SIRs) coupled through quarter-wavelength transmission lines, acting as admittance inverters. Such resonant elements provide transmission zeros useful for the suppression of the common mode in the region of interest (differential filter pass band) and for the improvement of the differential-mode stopband (rejection level and selectivity). Due to the limited functionality of the inverters, related to the wide fractional bandwidths, the automated filter design requires a two-step process. With the first ASM, the filter schematic satisfying the required specifications (optimum filter schematic) is determined. Then, the layout is synthesized by means of a second ASM algorithm. Both algorithms are explained in detail and are applied to the synthesis of two filters, as illustrative (and representative) examples. With this paper, it is demonstrated that the two-step ASM optimization scheme (first providing the optimum schematic and then the layout), previously applied by the authors to wideband single-ended filters, can be extended (conveniently modified) to common-mode suppressed differential-mode bandpass filters. Thus, the value of this two-step ASM approach is enhanced by demonstrating its potential for the unattended design of complex filters, as those considered in this paper. Index Terms—Balanced filters, bandpass filters, circuit synthesis, microstrip technology, optimization, space mapping (SM), stepped impedance resonators (SIRs).

I. INTRODUCTION

I

N recent years, many efforts have been dedicated to the design of compact common-mode suppressed balanced wideband and ultrawideband (UWB) bandpass filters [1]–[16]. Manuscript received May 29, 2015; revised August 30, 2015; accepted October 05, 2015. Date of publication November 05, 2015; date of current version December 02, 2015. This work was supported by MINECO-Spain (projects TEC2013-47037-C5-1-R, TEC2013-40600-R, TEC2013-49221-EXP), Generalitat de Catalunya (project 2014SGR-157), Institució Catalana de Recerca i Estudis Avançats (who awarded Ferran Martín), and by FEDER funds. M. Sans, J. Selga, P. Vélez, J. Bonache, and F. Martín are with GEMMA/ CIMITEC, Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, 08193 Bellaterra, Spain (e-mail: [email protected]). A. Rodríguez and V. E. Boria are with Departamento de ComunicacionesiTEAM, Universitat Politècnica de València, 46022 Valencia, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495180

This interest is justified by the increasing demand of balanced circuits and systems (e.g., high-speed digital circuits), related to their inherent high immunity to noise, electromagnetic interference (EMI), and crosstalk. In some reported balanced filters, efficient common-mode suppression in the region of interest (differential-mode pass band) is achieved at the expense of filter size, by cascading additional stages specifically designed to reject the common mode [17], [18]. To reduce the device size, filter topologies able to intrinsically reject the common mode, and simultaneously providing the filtering functionality for the differential mode, are needed. This is indeed the case of most of the reported wideband and UWB balanced filters. However, frequency selectivity and stopband rejection level and bandwidth for the differential mode are typically limited in such filters. Exceptions are the filters reported in [10], [13], and [16], where good stopband behavior for the differential mode above the passband of interest, mainly due to the presence of transmission zeros for that mode, is demonstrated. Nevertheless, the filters reported in [13] are implemented by means of three metal layers (i.e., increasing fabrication complexity), whereas the design and synthesis of the filters presented in [10] is not straightforward, since open split-ring resonators (OSRRs) in microstrip technology cannot be described by a simple circuit model. This paper is focused on the balanced filters first reported in [16]. These filters exhibit good performance (i.e., wide differential-mode bandwidth, good stopband rejection level, bandwidth and selectivity, and intrinsic common-mode suppression), small size, and simple fabrication process (two metal levels and via free), and they can be accurately described through a circuit schematic that combines lumped and distributed elements (important for design purposes). Specifically, the considered filters are inspired by the highly selective single-ended filters reported in [19]. By mirroring such single-ended filters and by adding central capacitive patches in the bisecting symmetry plane, a highly selective wideband bandpass response for the differential-mode and common-mode suppression over a wide band are simultaneously achieved [16]. As compared with [16], in this paper, we provide a systematic design procedure of these filters, able to provide the filter layout following a completely unattended scheme. Moreover, we report two design examples, a comparison to many other wideband balanced filters, and a discussion on bandwidth limitations for the differential mode.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

SANS et al.: AUTOMATED DESIGN OF COMMON-MODE SUPPRESSED BALANCED WIDEBAND BANDPASS FILTERS BY MEANS OF ASM

The resulting filters are thus composed of transverse multisection stepped impedance resonators (SIRs) [20] coupled through admittance inverters (implemented by means of quarter wavelength transmission lines). As it is well known, these lines are not able to provide the inverter functionality over wide bands. Thus, to compensate for the bandwidth degradation associated to the limited functionality of the inverters, a design method based on aggressive space mapping (ASM) optimization [21]–[24] is reported here. Several efficient methods have been developed since ASM, such as response correction techniques [25], manifold mapping [26] feature-based optimization [27], or faster techniques based on SM [28]. However, the ASM optimization technique has been chosen to develop the design method presented due to the good results obtained in previous works [29]–[31]. This method provides the filter schematic (optimum schematic) able to satisfy the specifications for the differential-mode and common-mode responses. Then, once the schematic is determined, a second ASM algorithm is applied to the determination of the filter layout. Hence, the proposed unattended design tool follows a two-step ASM process, similar to the one reported in [32] for the design of the single-ended counterparts. However, the balanced (symmetric) topologies considered force us to substantially modify the two-step ASM algorithm reported in [32]. The details of such algorithm are the essential part of this paper. The paper is organized as follows. The topology and general circuit schematic of the considered balanced filters, including the schematics for the differential and common modes, are presented in Section II. Section III is devoted to give the details of the first ASM algorithm, providing the optimum filter schematic. A succinct review of the general formulation of ASM, necessary for coherence and completeness, is also included in this section. The next section (Section IV) is focused on the second ASM, where the details to generate the filter layout are provided. Sections III and IV include a conducting case example of an order-5 balanced filter, for better understanding of the proposed two-step ASM algorithm. Nevertheless, an additional synthesis example (an order-7 filter) is reported in Section V, in order to demonstrate the potential and versatility of the approach. A comparative analysis of the proposed filters, in the context of other solutions for balanced filters reported in the literature, is presented in Section VI. Section VII is devoted to discuss the bandwidth limitations of the reported filters. Finally, the main conclusions are highlighted in Section VIII. II. TOPOLOGY AND CIRCUIT SCHEMATIC The proposed balanced wideband bandpass filters are implemented by combining semi-lumped and distributed elements [Fig. 1(a)]. The semi-lumped elements are transverse multisection mirrored SIRs, described by means of a combination of capacitances and inductances, as indicated in Fig. 1(b). The distributed elements are quarter-wavelength differential transmission lines acting as admittance inverters. The circuit schematic of these filters is depicted in Fig. 1(b). The symmetry plane is an electric wall for the differential mode. Hence, the capacitances do not play an active role for that mode since they are grounded. Thus, the equivalent

3897

Fig. 1. Topology (order-5) of the considered (a) balanced wideband bandpass filters, (b) circuit schematic, and circuit schematic for the (c) differential and (d) common modes.

circuit schematic for the differential mode is the one depicted in Fig. 1(c). Conversely, the symmetry plane for the common mode is a magnetic wall (open circuit), and the equivalent circuit schematic is the one depicted in Fig. 1(d). The resonators provide transmission zeros that are useful for the suppression of the common mode in the region of interest (differential filter passband). According to the schematics of Fig. 1(c) and (d), the position of the common-mode transmission zeros does not affect the differential-mode response. Similarly, the resonators provide transmission zeros for both the differential and common modes. By allocating these transmission zeros above the differential-mode passband, frequency selectivity and stopband rejection for the differential mode can be enhanced. III. DETERMINATION OF THE OPTIMUM FILTER SCHEMATIC As indicated in the introduction, wideband bandpass filters based on resonant elements coupled through admittance inverters (in practice, quarter-wavelength transmission line sections), designed by means of the classical formulas [33] from the lowpass filter prototype, are subjected to a fundamental limitation related to the narrowband functionality of the real inverters: bandwidth reduction (as compared with the nominal value). It is obvious that by overdimensioning the bandwidth, such inherent bandwidth degradation can be compensated. However, the in-band return loss level (or ripple) is also modified as a consequence of the limited functionality of the inverters. Thus, a systematic procedure to guarantee that the required filter specifications (central frequency , fractional bandwidth (FBW), and ripple ) can be satisfied is needed.

3898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Such a procedure was reported in [32], where it was successfully applied to the automated design of wideband single-ended filters. In this paper, the method is adapted for its application to the unattended design of common-mode suppressed balanced wideband bandpass filters of the type depicted in Fig. 1. The main hypothesis of the method is that there exists a set of filter specifications ( , FWB, and ), different than the target, that provide a filter response (after application of the synthesis formulas and replacement of the inverters with quarter wavelength transmission lines) satisfying the target specifications. If these specifications (different than the target) are known, the resulting filter schematic (composed of lumped elements, i.e., the resonators, plus distributed elements, namely, the quarter-wavelength transmission lines) is the one that must be synthesized by the considered layout. Thus, filter design is a two-step process, where first the filter schematic providing the required specifications (optimum filter schematic) is determined, and then the layout is generated. For the two design steps, an ASM algorithm is developed. The first one is detailed in this section, whereas the second one is left for Section IV. Nevertheless, the general formulation of ASM is first reported for completeness and for better comprehension of the reported ASM algorithms. A. General Formulation of ASM Space mapping (SM) [21]–[24] uses two simulation spaces: i) the optimization space , where the variables are linked to a coarse model, which is simple and computationally efficient, although not accurate, and ii) the validation space , where the variables are linked to a fine model, typically more complex and CPU intensive, but significantly more precise. In each space, a vector containing the different model parameters can be defined. Such vectors are denoted as and for the fine and coarse model spaces, respectively, and their corresponding responses are and . In a typical SM optimization algorithm involving a planar microwave circuit described by a lumped element model, the variables of the optimization space are the set of lumped elements, and the response in this space is inferred from the circuit simulation of the lumped element model. The variables of the validation space are the set of dimensions that define the circuit layout (the substrate parameters are usually fixed and hence they are not optimization variables), and the response in this space is obtained from the electromagnetic simulation of the layout. In this paper, we consider the so-called ASM [22], where the goal is to find a solution of the following system of nonlinear equations where (1) and is the coarse model solution that gives the target response, , and is a parameter transformation mapping the fine model parameter space to the coarse model parameter space. In reference to the two spaces considered above, provides the coarse model parameters from the fine model parameters typically by means of a parameter extraction procedure [34], [35].

Let us assume that is the th approximation to the solution in the validation space, and the error function cor. The next vector of the iterative process responding to is obtained by a quasi-Newton iteration according to (2) where

is given by (3)

is an approach to the Jacobian matrix, which is updated and according to the Broyden formula [22] (4) is obtained by evaluating (1), and the super-index In (4), stands for transpose. B. ASM Applied to the Synthesis of the Optimum Filter Schematic The differential-mode response of the proposed filters is described by a circuit schematic consisting of shunt resonators coupled through admittance inverters [Fig. 1(c)]. The circuit is identical to the one reported in [32], in reference to the single-ended balanced filters of that work. Thus, a similar ASM approach to the one reported in [32] to determine the optimum filter schematic has been developed. Note that the capacitances [Fig. 1(d)] do not have any influence on the differential-mode response. Indeed, the first ASM applies only to the schematic corresponding to the differential mode. Thus, the capacitances are independently determined in order to set the common-mode transmission zeros to the required values, and thus achieve an efficient common-mode suppression in the region of interest (differential-mode pass band). Nevertheless, the second ASM involves the whole filter cell, hence including the patches corresponding to the capacitances . There is, however, an important difference between the filter schematic (differential-mode) of this work, and the one considered in [32] for the single-ended counterparts. In [32], the admittance of the inverters (quarter wavelength transmission line sections) were forced to be identical (0.02 S), resulting in different resonators from stage to stage. In this paper, we have considered identical resonators and different admittances of the inverters [note that this is the case of the topology shown in Fig. 1(a)]. The reason is that by considering identical resonators, the synthesis of the layout is simpler since it is guaranteed that the distance between the pair of lines is uniform along the whole filter. Otherwise, if we deal with different resonators, the inductances may be different, resulting in different lengths if the widths are considered identical, as is the case (see Section IV-A). Note that these widths are identical in order to reduce the number of geometrical parameters in the second ASM. Hence, different length means that the distance between the bi-section plane and the lines is not uniform unless meanders are used, which is not considered to be the optimum solution. Considering that the filter order is set to a certain value that suffices to achieve the required filter selectivity, the filter specifications (differential-mode) are the central frequency

SANS et al.: AUTOMATED DESIGN OF COMMON-MODE SUPPRESSED BALANCED WIDEBAND BANDPASS FILTERS BY MEANS OF ASM

3899

, the fractional bandwidth (FBW), and the in-band ripple level (or minimum return loss level). The transmission zero frequencies provided by the resonators are set to , since this provides spurious suppression, and good filter selectivity above the upper band edge [32]. From the well-known impedance and frequency transformations from the lowpass filter prototype [33], and assuming a Chebyshev response, the reactive elements of the shunt resonators ( , , and ), identical for all stages for the explained reasons, can be easily inferred. The three conditions to unequivocally determine , , and are i) the filter central frequency, given by (5) and ii) the transmission zero frequency (6) and iii) the susceptance slope at

Fig. 2. Differential-mode quasi-Chebyshev response of the filter that results by using the element values indicated in the text and ideal admittance inverters with the indicated admittances, compared with the ideal Chebyshev (target) response and the response of the optimum filter schematic. The response of the optimum filter schematic to the common mode is also included.

(7) ChebyConsidering that the target is an order-5 shev response with 2.4 GHz, FBW = 40% (corresponding to a 43.91% 3-dB fractional bandwidth) and 0.2 dB, and setting the susceptance slope to 0.067 S, the element values of the shunt resonators are found to be 0.4401 nH, 2.4983 pF, and 1.3202 nH, and the admittance of the inverters 0.0200 S, 0.0200 S, and 0.0157 S. This susceptance slope value has been chosen in order to obtain an admittance value of 0.02 S for the inverters of the extremes of the device. It is worth to mention that for Chebyshev bandpass filters the fractional bandwidth is given by the ripple level and is hence smaller than the 3-dB fractional bandwidth. However, in this paper we will deal with the 3-dB fractional bandwidth since the ripple level is not constant in the optimization process (to be described). From now on, this 3-dB fractional bandwidth is designated as FBW, rather than FBW (as usual), for simplicity, and to avoid an excess of subscripts in the formulation. The quasi-Chebyshev filter response (i.e., the one inferred from the schematic of Fig. 1(c), but with ideal admittance inverters), depicted in Fig. 2, is similar to the ideal (target) Chebyshev response in the pass band region, and it progressively deviates from it as frequency approaches , as expected. The discrepancies are due to the fact that the shunt resonator is actually a combination of a grounded series resonator (providing the transmission zero) and a grounded inductor. The quasi-Chebyshev response satisfies the specifications to a rough approximation. Hence, the target is considered to be the ideal Chebyshev response (except for the transmission zero frequency), also included in the figure. If the ideal admittance inverters are replaced with quarter wavelength transmission lines, the response is further modified. Thus, our aim is to find the filter schematic for the differential-mode [Fig. 1(c)] able to satisfy the specifications. To this end, an ASM algorithm, similar to the one reported in [32], that carries out the optimization at the schematic level has been developed.

As mentioned before, the key point in the development of this first iterative ASM algorithm is to assume that there is a set of filter specifications, different from the target, that leads to a filter schematic (inferred by substituting the ideal admittance inverters with quarter wavelength transmission lines), whose response satisfies the target specifications. In brief, the optimization (coarse model) space is constituted by the set of specifications, , FBW, , being its response the ideal Chebyshev response(target response) depicted in Fig. 2. The validation space is constituted by the same variables, but their response is inferred from the schematic of Fig. 1(c), with element values calculated as specified above, and quarter-wavelength transmission lines at , where is the considered value of this element in the validation space (not necessarily the target filter central frequency). The variables of each space are differentiated by a subscript. Thus, the corresponding vectors in the coarse and fine models are written as FBW , and FBW , respectively. The coarse model solution (target specifications) is expressed as FBW . The transmission zero frequency, set to , as indicated before, is not a variable in the optimization process. As it was done in [32], the first vector in the validation space is set to . From , the response of the fine model space is obtained (using the schematic with quarter wavelength transmission lines), and from it, we directly extract the parameters of the coarse model by direct inspection of that response, i.e., . Applying (1), we can thus obtain the first error function. The Jacobian matrix is initiated by slightly perturbing the parameters of the fine model, , FBW , and , and inferring the effects of such perturbations on the coarse model parameters, , FBW , and . Thus, the first Jacobian matrix is given by (8)

3900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

capacitances need to be determined. As mentioned before, such capacitances are determined by the position of the transmission zeros for the common mode according to (10)

Fig. 3. Evolution of the error function of the first ASM algorithm for the considered example.

Once the first Jacobian matrix is obtained, the process is iterfrom (2), using (3), and so on] until converated [obtaining gence is obtained. At each iteration, the elements of the coarse space vector are compared with the target (filter specifications), , and the error function is obtained according to

(9) The flow diagram of this first ASM algorithm, able to provide the optimum filter schematic, can be found in [32], and, hence, it is not reproduced here. Applying the developed ASM algorithm to the considered example FBW 2.4 GHz 43.91 0.2 , we have found that the error function rapidly decreases, with the error being smaller than 0.02% after 3 iterations. The evolution of the error function is depicted in Fig. 3. The fine model parameters for the last iteration are FBW 2.4703 GHz 65.3 0.2786 dB , and FBW the coarse model parameters are 2.400 GHz 43.91 0.199987 dB . Note that is appreciably different than . The optimum filter schematic is the one that gives the last fine model response (which provides an error below a predefined value). The elements of the shunt resonators for this optimum filter schematic are 0.5935 nH, 1.7486 pF, and 1.7804 nH, whereas the admittances of the inverters (quarter-wavelength transmission line sections at 2.4703 GHz are 0.02 S, 0.0211 S, 0.0168 S. The response of the optimum schematic is compared with the target response in Fig. 2. The agreement in terms of central frequency, bandwidth, and in-band ripple is very good, as expected on account of the small error function that has been obtained after 3 iterations. However, the position of the reflection zero frequencies are different in both responses, since we have not considered these frequency positions as goals in the optimization process. Nevertheless, the synthesized circuit fulfills the target specifications for the differential mode. To complete the circuit schematic of Fig. 1(b), valid for both modes, the

where the superindex indicates that these transmission zeros correspond to the common mode, and the subindex indicates the filter stage. Note that there is no reason, a priori, to set the transmission zeros to the same value. Nevertheless, for the considered example, all the transmission zeros have been set to 2.717 GHz (i.e., 1.1 ), and, hence, 1.9268 pF (the resulting response for the common mode is also depicted in Fig. 2). Thus, the schematic resulting from this first ASM process, including , is the optimum filter schematic used as the starting point in the ASM algorithm developed to obtain the filter layout, to be described in the next section. IV. LAYOUT SYNTHESIS The layout synthesis involves the determination of i) the dimensions of the resonant elements (multi-section mirrored SIRs), ii) the width of the transmission line sections (inverters), and iii) their lengths. Hence, three specific ASM subprocesses are developed for the automated synthesis of the filter layout, following a scheme similar to that reported in [32] for the synthesis of single-ended filters. However, there are important differences, mainly relative to the synthesis of the resonant elements, since these elements are made of multisection SIRs. Nevertheless, the resonant elements are all identical (for the reasons explained before), and, hence, the ASM devoted to the determination of resonator dimensions is applied only once. Let us now discuss in detail these three independent ASM subprocesses. A. Resonator Synthesis In the ASM process devoted to the resonator synthesis, the variables in the optimization space are the resonator elements, i.e., , and the coarse model response is obtained through circuit simulation. The validation space is constituted by a set of four geometrical variables. The other geometrical variables necessary to completely define the resonator layout are set to fixed values and are not variables of the optimization process. By this means, we deal with the same number of variables in both spaces, necessary for the inversion of the Jacobian matrix. Specifically, the variables in the validation space are the lengths of the narrow (inductive) and wide (capacitive) sections of the multisection mirrored SIRs, i.e., . The fine model response is obtained through electromagnetic simulation of the layout, inferred from the fine model variables plus the fixed dimensions, namely the widths of the narrow and wide sections of the mirrored SIRs, and substrate parameters. The Agilent Momentum commercial software has been used to obtain the electromagnetic response of the structures, and the considered substrate parameters are those of the Rogers RO3010 with thickness 635 m and dielectric constant . Concerning the fixed dimensions, the values are set to 0.2 mm, and there are two bounded values (i.e., a square shaped

SANS et al.: AUTOMATED DESIGN OF COMMON-MODE SUPPRESSED BALANCED WIDEBAND BANDPASS FILTERS BY MEANS OF ASM

geometry for the external patch capacitors is chosen), and 4 mm, where is the guided wavelength at the central frequency of the optimum filter schematic. The value of 0.2 mm for the narrow inductive strips is slightly above the critical dimensions that are realizable with the available technology (LPKF HF100 milling machine). Concerning the square geometry of the external capacitive patches, with this shape factor the patches are described by a lumped capacitance to a very good approximation. Finally, the width of the central patches has been chosen with the above criterion in order to avoid overlapping between adjacent patches. In order to initiate the ASM algorithm it is necessary to obtain an initial layout for the multisection SIR. This is obtained from the following approximate formulas [36], [37]: (11a) (11b) (11c) (11d) where and are the phase velocities of the high- and lowimpedance transmission lines sections, respectively, and and the corresponding characteristic impedances. ) is determined, the four Once the initial layout (i.e., circuit elements can be extracted from the electromagnetic response using (5)–(7) and (10). The specific procedure is as follows: the four-port S-parameters (considering 50 ports) of the multisection SIR is obtained by means of the Agilent Momentum electromagnetic solver. From these results, the S-parameters corresponding to the differential and common mode are inferred from well-known formulas [38]. Then, from , , and [expressions (5)–(7)] of the differential-mode response, the element values , , and are extracted, whereas is determined from the transmission zero (expression 10) corresponding to the common-mode response. This provides , and using (1), the first error function can be inferred. To iterate the process using (2), with derived from (3), a first approximation of the Jacobian matrix is needed. Following a similar approach to the one explained in Section III-B, we have slightly perturbed the lengths , and we have obtained the values of resulting after each perturbation from parameter extraction. This provides the first order-4 Jacobian matrix. By means of this procedure, the layouts of the multisection mirrored SIRs are determined. B. Determination of the Line Width The widths of the quarter-wavelength (at ) transmission lines are determined through the one-variable ASM procedure explained in [32], where the fine model variable is the linewidth , whereas the variable of the coarse model is the characteristic impedance (the details of this simple ASM procedure are given in [32]). However, it has to be taken into account that this ASM must be repeated as many times as different admittance inverters are present in the filter. It is also important to bear in mind that

3901

the pair of differential lines are widely separated so that the differential- and common-mode impedances take the same value, i.e., identical to that of the isolated line. C. Optimization of the Line Length (Filter Cell Synthesis) To determine the length of the inverters, the procedure is to consider the whole filter cell, consisting of the resonator cascaded in between the inverter halves (not necessarily of the same width, or admittance). As it was pointed out in [32], optimization of the whole filter cell is necessary since the resonators may introduce some (although small) phase shift. In [32], the whole filter cell was forced to exhibit a phase shift of 90 at the central frequency of the optimum schematic. However, the fact that the inverters at both sides of the resonator have different admittance means that the phase of is no longer 90 at the central frequency of the optimum schematic. Nevertheless, the phase shift of the cell can be easily inferred from circuit simulation, and the resulting value is the goal of this third ASM subprocess. Thus, the ASM optimization consists of varying the length of the lines cascaded to the resonator until the required phase per filter cell is achieved (the other geometrical parameters of the cell are kept unaltered). The phase is directly inferred from the frequency response of the cell obtained from electromagnetic simulation at each iteration step. Once each filter cell is synthesized, the cells are cascaded, and no further optimization is required. The flow diagram of the complete ASM process able to automatically provide the layout from the optimum filter schematic, consisting of the three independent quasi-Newton iterative algorithms described, is very similar to the one presented in [32], and, hence, it is not reproduced here. Using the mirrored SIR element values and inverter admittances corresponding to the optimum filter schematic of the example reported in Section III, we have applied the developed ASM algorithm to automatically generate the filter layout (depicted in Fig. 4). Resonator dimensions are 0.9075 mm, 2.4136 mm, 2.5262 mm and 1.0986 mm, and the lengths of the filter cells give admittance inverter lengths of 11.4 mm for all the inverters (the slight variations take place at the third decimal) and the widths are 0.6015 mm, 0.6704 mm, and 0.4087 mm [see Fig. 4(a)] for inverters , , and , respectively. The electromagnetic simulations (excluding losses) of the differential and common modes of the synthesized filter are compared with the response of the optimum filter schematic (also for the differential and common modes) in Fig. 4(b) and (c). The agreement between the lossless electromagnetic simulations and the responses of the optimum filter schematic (where losses are excluded) is very good, pointing out the validity of the proposed design method. The filter has been fabricated by means of the LPKF H100 drilling machine [see Fig. 5(a)], and the measured frequency responses [Fig. 5(b) and (c)] have been obtained by means of an Agilent N5211A PNA microwave network analyzer. The measured responses are in reasonable agreement with the lossy electromagnetic simulations and reveal that filter specifications are satisfied to a good approximation. Notice that effects such as

3902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. Layout of the (a) synthesized order-5 filter, (b) differential-mode response, and (c) common-mode response. In (b) and (c), the lossless electromagnetic simulations of the synthesized layout are compared to the circuit simulations of the optimum filter schematic. The relevant dimensions in (a) are 63 mm and 15 mm.

inaccuracies in the dielectric constant provided by the substrate supplier, fabrication related tolerances, substrate anisotropy and foil roughness, among others, may be the cause of the slight discrepancies between the measured responses and the lossy electromagnetic simulations. Nevertheless, the objective of synthesizing the layout of the considered differential-mode bandpass filters subjected to given specifications, following a completely unattended scheme, has been achieved. V. SYNTHESIS OF A SEVENTH-ORDER FILTER Let us now consider the synthesis of a seventh-order filter with significantly wider (as compared with the previous case

Fig. 5. (a) Photograph of the fabricated order-5 filter, (b) differential-mode response, and (c) common-mode response. In (b) and (c), the measured responses are compared to the lossy electromagnetic simulations of the synthesized layout.

example) differential-mode bandwidth. In this case, the specifications (differential mode) are 3 GHz, FBW 60 (corresponding to 63.43% 3-dB fractional bandwidth) and 0.15 dB. Since the differential-mode bandwidth is wide, a single common-mode transmission zero does not suffice to completely reject this mode over the differential filter passband. Thus, in this case, several transmission zeros for the common mode are generated. Such transmission zeros must be (roughly) uniformly distributed along the differential-mode passband for an efficient common-mode rejection over that band. The fact that several

SANS et al.: AUTOMATED DESIGN OF COMMON-MODE SUPPRESSED BALANCED WIDEBAND BANDPASS FILTERS BY MEANS OF ASM

TABLE I FREQUENCIES AND CAPACITANCES OF THE COMMON-MODE TRANSMISSION ZEROS OF THE SYNTHESIZED ORDER-7 DIFFERENTIAL FILTER

common-mode transmission zeros are considered does not affect the first ASM algorithm. However, as many different capacitances as transmission zeros must be calculated by means of expression (10) to completely determine the elements of the optimum filter schematic. Since the capacitances determine the area of the central patches, it follows that the second ASM, for the determination of the layout, must be slightly modified (i.e., the mirrored SIRs are not identical in this case). However, the procedure is very simple (to be described next). First of all, the layout of the mirrored SIR providing the lower common-mode transmission zero is determined according to the procedure explained in Section IV-A. For the determination of the layout of the other resonant elements, we apply the ASM subprocess described in Section IV-A, but considering as optimization variables of the validation space the widths for the inner sections (the ones between the pair of transmission lines), and the lengths for the outer sections. By this means, the distance between the pair of lines is kept unaltered. Notice that since the element values of the resonators are all identical (except ), we do not expect significant variations in the widths from cell to cell, except for the central patch. Indeed, for the optimization of resonator dimensions (layout determination) by applying the ASM, we consider as layout of the first iteration the one corresponding to the synthesis of the first resonator. It has been found that this provides faster convergence. The other two ASM sub-processes (described in Section IV-B and IV-C) are identical. Application of the first ASM algorithm (optimum filter schematic) has provided the following element and admittance values: 0.8836 nH, 2.6507 nH, 0.7114 pF, and 0.02 S, 0.0199 S, 0.0154 S, 0.0148 S with 3.1739 GHz. Convergence has been achieved after 5 iterations, with an error function as small as 0.021%. On the other hand, by considering seven common-mode transmission zeros distributed in order to cover the bandwidth, the corresponding patch capacitances take the values given in Table I. Application of the second ASM algorithm, considering the substrate used for the seventh-order filter (Rogers RO3010 with thickness 635 m and dielectric constant ), provides the filter geometry indicated in Table II (where all dimensions are given in mm). Note that the lengths and widths of the inverters ( and ) are those corresponding to the inverter to the right of the resonant element (the inverter to the left of the first resonator is identical to the last one). Moreover, the following dimensions in the mirrored SIRs are all identical: 3.58 mm, 1.2 mm and 2.92 mm. On the other hand, . Note that the optimization variables are those of Table II.

3903

TABLE II GEOMETRY PARAMETERS OF THE SYNTHESIZED ORDER-7 DIFFERENTIAL FILTER

Fig. 6 shows the layout of the designed filter and the lossless electromagnetic simulation, compared to the optimum filter schematic and target responses (differential and common-modes). The fabricated differential-mode filter is depicted in Fig. 7, together with the measured response and the lossy electromagnetic simulation. Very good agreement between the different responses can be observed, and it is found that the filter responses satisfy the considered specifications, including an efficient common-mode rejection over the differential filter passband, with a common-mode rejection ratio better than CMRR 30 dB in the whole the differential filter passband. Note that the agreement between the lossless electromagnetic simulation and the response of the optimum filter schematic for both the differential and common modes is excellent in this order-7 filter (Fig. 6). For the order-5 filter reported before, there is also very good agreement between these responses for the differential mode, but the agreement is not so good for the common-mode (Fig. 4). The reason is that parameter extraction uses three conditions for the differential mode [expressions (5)–(7)], whereas only one for the common mode (expression (10)). However, for the seventh-order filter, seven different common-mode transmission zeros are set in order to efficiently cover the (wider) differential filter passband, i.e., much more conditions as compared to the fifth-order filter (where only one common-mode transmission zero was considered). The synthesis method guarantees that the common-mode transmission zeros are identical for the lossless electromagnetic simulation and for the response of the optimum schematic, and, hence, one expects a very good agreement if the number of transmission zero is high (as it actually occurs with the order-7 filter). Nevertheless, the aim of the paper is to satisfy the specifications for the differential mode and reject the common mode over the differential filter passband, and this objective has been reached in both examples. VI. COMPARISON TO OTHER APPROACHES In order to appreciate the competitiveness, in terms of performance and dimensions, of the proposed filters, a comparison to other wideband differential bandpass filters (with comparable FBW) is summarized in Table III. In this table, the commonmode rejection ratio (CMRR) is the ratio between for the common mode and the differential mode at , expressed in decibels, and are the lower and upper differentialmode cutoff frequencies, respectively, and and are the 3-dB common-mode cutoff frequencies. The filters reported in

3904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 6. (a) Layout of the synthesized order-7 balanced filter, and lossless electromagnetic simulation compared to the response of the optimum filter schematic and target response for the (b) differential and (c) common mode.

this work exhibit a common-mode rejection comparable to that of the filters reported in [4], [10], [12], [16], [40], [42], and [43]. However, the rejection level at for the differential mode is larger in our filters, with the exception of the filter of [16], which is indeed the same order-5 filter as the one reported here (same specifications) although the layout was not inferred automatically in [16]. Thus, Table III reveals that our filters are competitive in terms of CMRR and out-of-band rejection level (specifically at ) for the differential mode. Despite the fact that the CMRR at is a figure of merit, it is interesting to compare the filters proposed in this work with other filters with regard to the worst CMRR within the differential filter passband. This makes sense if the differential-mode passbands are comparable. Thus, the comparison is made between the filters reported

Fig. 7. (a) Photograph of the fabricated order-7 filter and measured response compared to the lossy electromagnetic simulation for the (b) differential and (c) common mode.

in [10] and [12] and the filter of Fig. 5 (with comparable fractional bandwidth). The worst CMRR in the whole differential filter passband is 18 and 63 dB for the filters of [10] and [12], respectively. In our approach, the measurement shows a CMRR better than 35 dB in the differential filter passband. Moreover, the filter of Fig. 5 has better differential out-of-band rejection (58 dB at ), as compared with the filters of references [10] and [12]. The filters reported in [1] and [39], with comparable fractional bandwidth to the filter of Fig. 7, have a CMRR in the whole differential passband better than 22 and 14.5 dB, respectively. In our approach, the measurement shows a CMRR better

SANS et al.: AUTOMATED DESIGN OF COMMON-MODE SUPPRESSED BALANCED WIDEBAND BANDPASS FILTERS BY MEANS OF ASM

3905

TABLE III COMPARISON OF VARIOUS DIFFERENTIAL WIDEBAND BANDPASS FILTERS

Fig. 8. Comparison between the order-7 Chebyshev response with fractional bandwidth, ripple level, and central frequency indicated in the text, and the response of the resulting optimum schematic, after applying the first ASM algorithm.

than 30 dB in the differential filter passband. Moreover, the filter of Fig. 7 has better differential out-of-band rejection (63 dB at ) as compared with the filters of references [1] and [39]. Concerning size, the filters reported in references [10], [14], [39], [41], [44] are smaller than our filters, but at the expense of obtaining a lower CMRR and rejection level for the differential mode (at ). From the fabrication point of view, the filters reported here are very simple since only two metal levels are needed and vias are not present. Additionally, the considered filter topologies, consisting of multi-section mirrored SIRs coupled through quarter wavelength differential lines, are accurately described by a mixed distributed-lumped model (schematic) over a wide frequency band, and this is very important for design purposes, as has been demonstrated in this paper. VII. DISCUSSION ON BANDWIDTH LIMITATIONS The synthesis technique presented in Sections III and IV is able to provide the filter layout able to satisfy the specifications, as demonstrated by the guide example (order-5 differential filter) and by the example reported in Section V, corresponding to a seventh-order balanced filter. The bandwidth for the differential mode in this second example is quite wide (i.e., the filter exhibits a 3-dB fractional bandwidth of 63.43%), and an efficient rejection of the common mode over that band has been achieved. Thus, it is clear that wideband balanced filters with common-mode suppression are achievable with this approach, and filter design is simple since the determination of the filter layout does not need any external aid during the whole synthesis process. However, it does not mean that any combination of bandwidth and in-band ripple level (or return loss level) for the differential mode can be achieved. Indeed, it has been found that for a bandwidth as wide as FBW 120 , ripple level of 0.45 dB (corresponding to a very reasonable 10-dB in-band return loss level), central frequency 3 GHz, and order , the first ASM converges. The response of the optimum filter schematic (differential mode), compared with the target Chebyshev response, is depicted in Fig. 8. Note that

the return loss level of the optimum schematic is better than 10 dB, and the central frequency and bandwidth are very close to the target values. Typically, the frequency selectivity of the optimum schematic is somehow better than the one of the Chebyshev response at the upper transition band (due to the transmission zero), but it is worst at the lower transition band (see also Fig. 2). This occurs because the selectivity is not a variable in the optimization process, but, certainly, the discrepancies at the lower band edge increase as bandwidths widens. It may be accepted that a response like the one of the optimum filter schematic of Fig. 8 is reasonable. However, it has been found that the second ASM algorithm does not converge, at least by considering the same substrate used in the two reported examples. The reason is that the element values of the resonators (capacitances) are so small that the resulting impedance contrast of the mirrored SIRs (by considering square shaped capacitors) is small, and the model is not valid (note that the impedance contrast in the example of Fig. 7 is lower than the one of Fig. 5). Moreover, it should be also taken into account that for wide bandwidths, the lumped element approximation of the patch capacitors and narrow inductive strips is not necessarily valid over the whole differential band, and more complex models are required for an accurate description of the structures [45]. Thus, with the present approach, bandwidth is limited by layout generation, rather than by the schematic. Nevertheless, significant bandwidths have been demonstrated in the reported examples. Work is in progress in order to modify the second ASM algorithm, particularly the square shaped geometry of the external capacitors, and try to design wider differential-mode bandpass filters with common-mode suppression. VIII. CONCLUSION In conclusion, a design tool for the unattended synthesis of common-mode suppressed differential-mode bandpass filters based on multisection mirrored SIRs coupled through admittance inverters has been proposed. The tool consists of a two-step ASM algorithm, where the filter schematic satisfying the specifications is first determined, and then the layout of

3906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

the filter is automatically generated. It has been demonstrated that for moderate differential-mode bandwidths, a single common-mode transmission zero suffices to achieve efficient common-mode suppression over the differential filter pass band. However, for wideband balanced bandpass filters implemented by this approach, several common-mode transmission zeros distributed along the differential-mode pass band are necessary. Two case examples, namely, an order-5 balanced filter with a single common-mode transmission zero, and a seventh-order filter with several common-mode transmission zeros, have been reported. In both cases, the two-step ASM algorithm has provided the filter layouts after few iterative steps, and the synthesized filter layouts provide the filter specifications to a good approximation. The measured responses of the fabricated filters are also in good agreement with the electromagnetic simulations and with the circuit simulations of the optimum schematics, and the measured common-mode rejection ratios at the central filter frequency are as high as 65 and 50 dB for the order-5 and order-7 balanced filters, respectively. Finally, by comparing the proposed filter with other approaches, it has been found that the combination of size, performance, and easy fabrication (vias are not present and only two metal levels are required) makes the approach very competitive. This fact is worth highlighting since the reported filters can be automatically synthesized by means of a completely unattended ASM process.

REFERENCES [1] T. B. Lim and L. Zhu, “A differential-mode wideband bandpass filter on microstrip line for UWB applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, pp. 632–634, Oct. 2009. [2] T. B. Lim and L. Zhu, “Differential-mode ultra-wideband bandpass filter on microstrip line,” Electron. Lett., vol. 45, no. 22, pp. 1124–1125, Oct. 2009. [3] X. H. Wang, Q. Xue, and W. W. Choi, “A novel ultra-wideband differential filter based on double-sided parallel-strip line,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 471–473, Aug. 2010. [4] T. B. Li and L. Zhu, “Highly selective differential-mode wideband bandpass filter for UWB application,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 3, pp. 133–135, Mar. 2011. [5] A. M. Abbosh, “Ultrawideband balanced bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 21, pp. 480–482, Sep. 2011. [6] H. T. Zhu, W. J. Feng, W. Q. Che, and Q. Xue, “Ultra-wideband differential bandpass filter based on transversal signal-interference concept,” Electron. Lett., vol. 47, no. 18, pp. 1033–1035, Sep. 2011. [7] S. Shi, W.-W. Choi, W. Che, K.-W. Tam, and Q. Xue, “Ultra-wideband differential bandpass filter with narrow notched band and improved common-mode suppression by DGS,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 185–187, Apr. 2012. [8] C.-H. Lee, C.-I. G. Hsu, and C.-J. Chen, “Band-notched balanced UWB BPF with stepped-impedance slotline multi-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 182–184, Apr. 2012. [9] X.-H. Wu and Q.-X. Chu, “Compact differential ultra-wideband bandpass filter with common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 22, pp. 456–458, Sep. 2012. [10] P. Vélez, J. Naqui, A. Fernández-Prieto, M. Durán-Sindreu, J. Bonache, and J. Martel et al., “Differential bandpass filter with common mode suppression based on open split ring resonators and open complementary split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 1, pp. 22–24, Jan. 2013.

[11] X.-H. Wang, H. Zhang, and B.-Z. Wang, “A novel ultra-wideband differential filter based on microstrip line structures,” IEEE Microw. Wireless Compon. Lett., vol. 23, pp. 128–130, March 2013. [12] P. Vélez, J. Naqui, M. Durán-Sindreu, J. Bonache, A. F. Prieto, and J. Martel et al., “Differential bandpass filters with common-mode suppression based on stepped impedance resonators (SIRs),” presented at the IEEE MTT-S Int. Microw. Symp., Seattle, WA, USA, Jun. 2013. [13] J. Shi, C. Shao, J.-X. Chen, Q.-Y. Lu, Y. Peng, and Z.-H. Bao, “Compact low-loss wideband differential bandpass filter with high commonmode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 480–482, Sep. 2013. [14] A. K. Horestani, M. Durán-Sindreu, J. Naqui, C. Fumeaux, and F. Martín, “S-shaped complementary split ring resonators and application to compact differential bandpass filters with common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 3, pp. 150–152, Mar. 2014. [15] X.-H. Wang and H. Zhang, “Novel balanced wideband filters using microstrip coupled lines,” Microw. Opt. Technol. Lett., vol. 56, pp. 1139–1141, May 2014. [16] P. Velez, J. Selga, M. Sans, J. Bonache, and F. Martin, “Design of differential-mode wideband bandpass filters with wide stop band and common-mode suppression by means of multisection mirrored stepped impedance resonators (SIRs),” presented at the IEEE MTT-S Int. Microw. Symp., Phoenix, AZ, USA, May 2015. [17] J. Naqui, A. Fernández-Prieto, M. Durán-Sindreu, F. Mesa, J. Martel, and F. Medina et al., “Common mode suppression in microstrip differential lines by means of complementary split ring resonators: Theory and applications,” IEEE Trans. Microw. Theory Tech., vol. 60, pp. 3023–3034, Oct. 2012. [18] A. Fernandez-Prieto, J. Martel-Villagrán, F. Medina, F. Mesa, S. Qian, and J.-S. Hong et al., “Dual-band differential filter using broadband common-mode rejection artificial transmission line,” Progr. Electromagn. Res. (PIER), vol. 139, pp. 779–797, 2013. [19] J. Bonache, I. Gil, J. García-García, and F. Martín, “Compact microstrip band-pass filters based on semi-lumped resonators,” IET Microw. Antennas Propag., vol. 1, pp. 932–936, Aug. 2007. [20] M. Makimoto and S. Yamashita, “Compact bandpass filters using stepped impedance resonators,” Proc. IEEE, vol. 67, no. 1, pp. 16–19, Jan. 1979. [21] J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny, and R. H. Hemmers, “Space mapping technique for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 42, pp. 2536–2544, Dec. 1994. [22] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, pp. 2874–2882, Dec. 1995. [23] S. Koziel, Q. S. Cheng, and J. W. Bandler, “Space mapping,” IEEE Microw. Mag., vol. 9, pp. 105–122, Dec. 2008. [24] S. Koziel, L. Leifsson, and S. Ogurtsov, “Space mapping for electromagnetic-simulation-driven design optimization,” in Surrogate-Based Modeling and Optimization. New York, NY, USA: Springer, 2013, pp. 1–25. [25] S. Koziel and L. Leifsson, “Response correction techniques for surrogate-based design optimization of microwave structures,” Int. J. RF Microw. Comput.-Aided Eng., vol. 22, no. 2, pp. 211–223, Mar. 2012. [26] S. Koziel, L. Leifsson, and S. Ogurtsov, “Reliable EM-driven microwave design optimization using manifold mapping and adjoint sensitivity,” Microw. Opt. Technol. Lett., vol. 55, no. 4, pp. 809–813, April 2013. [27] S. Koziel and J. W. Bandler, “Rapid yield estimation and optimization of microwave structures exploiting feature-based statistical analysis,” IEEE Trans. Microw. Theory Tech., vol. 63, no. 1, pp. 107–114, Jan. 2015. [28] A. Khalatpour, R. K. Amineh, Q. S. Cheng, M. H. Bakr, N. K. Nikolova, and J. W. Bandler, “Accelerating input space mapping optimization with adjoint sensitivities,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 6, pp. 280–282, Jun. 2011. [29] L. J. Rogla, J. E. Rayas-Sanchez, V. E. Boria, and J. Carbonell, “EMbased space mapping optimization of left-handed coplanar waveguide filters with split ring resonators,” in Proc. IEEE MTT-S Int. Microw. Symp., Honolulu, HI, USA, Jun. 3–8, 2007, pp. 111, 114.

SANS et al.: AUTOMATED DESIGN OF COMMON-MODE SUPPRESSED BALANCED WIDEBAND BANDPASS FILTERS BY MEANS OF ASM

3907

[30] J. Selga, A. Rodriguez, V. E. Boria, and F. Martin, “Synthesis of splitrings-based artificial transmission lines through a new two-step, fast converging, robust aggressive space mapping (ASM) algorithm,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 6, pp. 2295–2308, Jun. 2013. [31] A. Rodríguez, V. E. Boria, J. Selga, M. Sans, and F. Martín, “Synthesis of open complementary split ring resonators (OCSRRs) through aggressive space mapping (ASM) and application to bandpass filters,” in Proc. 44th Eur. Microw. Conf. (EuMC), Rome, Italy, Oct. 6–9, 2014, pp. 323–326. [32] M. Sans, J. Selga, A. Rodríguez, J. Bonache, V. E. Boria, and F. Martín, “Design of planar wideband bandpass filters from specifications using a two-step aggressive space mapping (ASM) optimization algorithm,” IEEE Trans. Microw. Theory Tech., vol. 62, pp. 3341–3350, Dec. 2014. [33] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [34] J. Bonache, M. Gil, I. Gil, J. Garcia-García, and F. Martín, “On the electrical characteristics of complementary metamaterial resonators,” IEEE Microw. Wireless Compon. Lett., vol. 16, pp. 543–545, Oct. 2006. [35] F. Aznar, M. Gil, J. Bonache, J. D. Baena, L. Jelinek, and R. Marqués et al., “Characterization of miniaturized metamaterial resonators coupled to planar transmission lines,” J. Appl. Phys., vol. 104, Dec. 2008, paper 114501-1-8. [36] D. M. Pozar, Microwave Engineering. Reading, MA, USA: AddisonWesley, 1990. [37] I. Bahl and P. Barthia, Microwave Solid State Circuit Design. New York, NY, USA: Wiley, 1998. [38] W. R. Eisenstadt, B. Stengel, and B. M. Thompson, Microwave Differential Circuit Desing Using Mixed Mode S-Parameters. Norwood, MA, USA: Artech House, 2006. [39] L. Li, J. Bao, J.-J. Du, and Y.-M. Wang, “Compact differential wideband bandpass filters with wide common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 3, pp. 164–166, March 2014. [40] H. Wang, L.-M. Gao, K.-W. Tam, W. Kang, and W. Wu, “A wideband differential BPF with multiple differential- and common-mode transmission zeros using cross-shaped resonator,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 12, pp. 854–856, Oct. 2014. [41] W. Feng, W. Che, and Q. Xue, “High selectivity wideband differential bandpass filter with wideband common mode suppression using marchand balun,” presented at the IEEE Int. Wireless Symp., Xian, China, Mar. 2014. [42] L. Li, J. Bao, J.-J. Du, and Y.-M. Wang, “Differential wideband bandpass filters with enhanced common-mode suppression using internal coupling technique,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 5, pp. 300–302, May 2014. [43] J. G. Zhou, Y.-C. Chiang, and W. Che, “Compact wideband balanced bandpass filter with high common-mode suppression based on cascade parallel coupled lines,” IET Microw., Antennas, Propag., vol. 8, no. 8, pp. 564–570, Jun. 2014. [44] W. Feng, W. Che, Y. Ma, and Q. Xue, “Compact wideband differential bandpass filters using half-wavelength ring resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 81–83, Feb. 2013. [45] P. Vélez, J. Naqui, A. Fernández-Prieto, J. Bonache, J. Mata-Contr) differential-mode eras, and J. Martel et al., “Ultra-compact (80 ultra-wideband (UWB) bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Tech., vol. 63, no. 4, pp. 1272–1280, Apr. 2015.

at CIMITEC-UAB in the synthesis of microwave devices based on EM optimization techniques.

Marc Sans (S’15) was born in Terrassa, Barcelona, Spain, in 1982. He received the B.S. degree in telecommunications engineering—electronic systems, the M.S. degree in telecommunications engineering, and the M.S. degree in electronics engineering, all from the Universitat Autònoma de Barcelona (UAB), in 2006, 2008, and 2013, respectively. In 2008, he started his professional career as a RF Engineer at Sony-FTVE, developing the RF stage of TV receivers. In 2010, he moved to Mier Comunicaciones S.A. to carry out the design of passive and active devices for VHF–UHF broadcasting units. Since 2014, he has been working towards the Ph.D. degree

Jordi Bonache (S’05–M’07) was born in Barcelona, Spain, in 1976. He received the Physics degree, the Electronics Engineering degree, and Ph.D. degree in electronics engineering, all from the Universitat Autònoma de Barcelona (UAB), Barcelona, Spain, in 1999, 2001, and 2007, respectively. In 2000, he joined the High Energy Physics Institute of Barcelona (IFAE), Spain, where he was involved in the design and implementation of the control and monitoring system of the MAGIC telescope. In 2001, he joined the Department of Electronics Engineering of the Universitat Autònoma de Barcelona, where he is currently Lecturer. In addition, he worked as Executive Manager of CIMITEC, UAB, from

Jordi Selga (S’11–M’14) was born in Barcelona, Spain, in 1982. He received the B.S. degree in telecommunications engineering—electronic systems, the M.S. degree in electronics engineering, and the Ph.D. degree in electronics engineering, all from the Universitat Autònoma de Barcelona (UAB), Barcelona, Spain, in 2006, 2008, and 2013, respectively. Since 2008, he has been a member of CIMITECUAB, a research center on metamaterials supported by TECNIO (Catalan Government). He was holder of a national research fellowship from the Formación de Profesorado Universitario Program of the Education and Science Ministry (Reference AP2008-4707). He is currently working in subjects related to metamaterials, CAD design of microwave devices, EM optimization methods, and automated synthesis of planar microwave components at the UAB.

Paris Vélez (S’10–M’15) was born in Barcelona, Spain, in 1982. He received the Telecommunications Engineering degree, specializing in electronics, and the Electronics Engineering degree from the Universitat Autònoma de Barcelona (UAB), Barcelona, Spain, in 2008 and 2010, respectively, and the Ph.D. degree in electrical engineering from UAB in 2014, with a thesis entitled “Common Mode Suppression Differential Microwave Circuits Based on Metamaterial Concepts and Semilumped Resonators.” During the Ph.D. studies, he was awarded with a predoctoral teaching and research fellowship by the Spanish Government from 2011 to 2014. Currently, his scientific activity is focused on the miniaturization of passive circuits RF/microwave-based metamaterials at CIMITEC-UAB. Dr. Vélez is a reviewer of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES among other journals.

Ana Rodríguez (S’10–M’15) was born in Lugo, Spain. She received the Telecommunications Engineering degree from the Universidade de Vigo (UV), Spain, in 2008. As a student, she participated in the Erasmus exchange program, developing the Master’s thesis at the University of Oulu, Finland. At the end of 2008, she joined the Institute of Telecommunications and Multimedia Applications (iTEAM), which is part of the scientific park at the Universitat Politècnica de València (UPV), València, Spain. She received the Master en Tecnología, Sistemas y Redes de Comunicaciones and the Ph.D. degree from UPV in 2010 and 2014, respectively. She currently works at iTEAM-UPV. Her main research interests include CAD design of microwave devices, EM optimization methods, and metamaterials.

3908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

2006 to 2009 and currently is leading the research in RFID and antennas in CIMITEC. His research interests include active and passive microwave devices, metamaterials, antennas, and RFID.

Vicente E. Boria (S’91–A‘99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (First-Class Hons.) and the Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he has been Full Professor since 2003. In 1995 and 1996, he was holding a Spanish Trainee position with the European Space Research and Technology Centre, European Space Agency (ESTEC-ESA), Noordwijk, The Netherlands, where he was involved in the area of EM analysis and design of passive waveguide devices. He has authored or coauthored ten chapters in technical textbooks, 135 papers in refereed international technical journals, and over 185 papers in international conference proceedings. His current research interests are focused on the analysis and automated design of passive components, left-handed and periodic structures, as well as on the simulation and measurement of power effects in passive waveguide systems. Dr. Boria has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He is reviewer of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, Proceeding of the IET (Microwaves, Antennas and Propagation) and IET Electronics Letters. Since 2013, he has served as Associate Editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE-MTT International Microwave Symposium and of the European Microwave Conference.

Ferran Martín (M’04–SM’08–F’12) was born in Barakaldo, Vizcaya, Spain, in 1965. He received the B.S. Degree in physics and the Ph.D. degree from the Universitat Autònoma de Barcelona (UAB), Barcelona, Spain, in 1988 and 1992, respectively. From 1994 up to 2006, he was Associate Professor in electronics at the Departament d’Enginyeria Electrònica (Universitat Autònoma de Barcelona), and since 2007, he has been a Full Professor of electronics. In recent years, he has been involved in different research activities, including modeling and simulation of electron devices for high-frequency applications, millimeter wave, and THz generation systems, and the application of electromagnetic bandgaps to microwave and millimeter-wave circuits. He is now very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas. He is the head of the Microwave Engineering, Metamaterials and Antennas Group (GEMMA Group) at UAB, and Director of CIMITEC, a research center on metamaterials supported by TECNIO (Generalitat de Catalunya).He has authored and coauthored more than 450 technical conference, letter, journal papers, and book chapters; he is coauthor of the book on metamaterials titled Metamaterials With Negative Parameters: Theory, Design and Microwave Applications (Wiley, 2008); he has generated 15 Ph.D.s; and he has filed several patents on metamaterials and has headed several development contracts Prof. Martín is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He has organized several international events related to metamaterials, including Workshops at the IEEE International Microwave Symposium in 2005 and 2007 and the European Microwave Conference in 2009, and the Fifth International Congress on Advanced Electromagnetic Materials in Microwaves and Optics (Metamaterials 2011), where he acted as Chair of the Local Organizing Committee. He has acted as Guest Editor for three Special Issues on Metamaterials in three international journals. He is reviewer of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, among many other journals, and he serves as member of the Editorial Board of the IET Microwaves, Antennas and Propagation and the International Journal of RF and Microwave Computer-Aided Engineering. He is also a member of the Technical Committees of the European Microwave Conference (EuMC) and International Congress on Advanced Electromagnetic Materials in Microwaves and Optics (Metamaterials). Among his distinctions, he has received the 2006 Duran Farell Prize for Technological Research, he holds the Parc de Recerca UAB—Santander Technology Transfer Chair, and he has been the recipient of two ICREA ACADEMIA Awards (calls 2008 and 2013).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3909

Compact Multi-Band Bandpass Filters With Mixed Electric and Magnetic Coupling Using Multiple-Mode Resonator Jin Xu (许进) , Wen Wu, Senior Member, IEEE, and Gao Wei

Abstract—In this paper, a multiple stubs loaded ring resonator (MSLRR) is proposed to design directly coupled multi-band bandpass filters (BPFs) with mixed electric and magnetic coupling (MEMC). The proposed MSLRR exhibits multiple-mode resonant behavior. The increased number of loaded stubs excite many more useful resonant modes, but these resonant modes can be still independently controlled. As examples, a dual-band BPF, a tri-band BPF, a quad-band BPF, and a quint-band BPF using different types of MSLRRs are designed and fabricated. The passband frequencies and return losses (RLs) of these multi-band BPFs can be independently controlled. A dual-mode open loop resonator is then introduced in the quint-band BPF to enhance the sixth resonant mode of the MSLRR to produce the sixth passband so that a sext-band BPF is also presented. Multiple transmission zeros due to the cancelling effect of MEMC and virtual grounds in the MSLRR can be observed around the passbands resulting in sharp passband selectivity and high band-to-band isolation. Moreover, all of the fabricated multi-band BPFs have compact sizes, good RLs, and low insertion losses. Good agreements are observed between the simulated and measured results. Index Terms—Bandpass filter (BPF), dual-band, mixed electric and magnetic coupling (MEMC), multiple-mode resonator (MMR), quad-band, quint-band, sext-band, tri-band.

I. INTRODUCTION

P

LANAR microstrip multi-band bandpass filters (BPFs) with compact size, low insertion loss (IL), and good passband selectivity are in great demand in modern multi-service multi-standard communication systems. Up to now, many multi-band BPF design approaches have been reported. One of

Manuscript received June 09, 2015; revised August 09, 2015; accepted October 05, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported in part by the National Natural Science Foundation of China under Grant 61401358, in part by the Fundamental Research Funds for Central Universities under Grant 3102014JCQ01058 and Grant 30920140122005, and in part by the State Key Laboratory of Millimeter Waves open research program under Grant K201614. J. Xu is with the School of Electronics and Information, Northwestern Polytechnical University, 710072 Xi’an, China, the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing 210094, China, and also with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210018, China (e-mail: [email protected]). W. Wu is with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing 210094, China (e-mail: wuwen@mail. njust.edu.cn). G. Wei is with the School of Electronics and Information, Northwestern Polytechnical University, 710072 Xi’an, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2488643

the attractive approaches is to use a multiple mode resonator (MMR), such as a stepped-impedance resonator (SIR) [1]–[4], stub loaded resonator [5]–[11], ring resonator [12]–[14], patch resonator [15], and quad-mode resonator [16]–[19] since the MMR multi-band BPF has the great merits of low IL, wide bandwidth, and especially compact size. Nevertheless, the passband numbers of most of these reported works are limited to four or less. In [20], a quint-band BPF is formed by five tri-mode stub loaded SIRs. In [21], two sext-band BPFs are presented by using six pairs of SIRs. Six pairs of semi-lumped resonators are utilized in [22] to design a sext-band BPF. These works exhibit good electrical performance, but more than two resonators are used, which may lead to relatively large circuit sizes. The quint- and sext-band BPFs reported in [20]–[22] also have greater than 2-dB IL. To our best knowledge, quint-band or more passband BPFs using only two MMRs have not been presented in past literature thus far. Moreover, it is difficult to achieve the desired passband frequencies and return losses (RLs) for all the designed passbands simultaneously since the resonant modes of most of MMRs are often dependent on each other. Therefore, it is significant to solve these problems in the MMR multi-band BPF design. To improve the filter performance such as passband selectivity, band-to-band isolation, and stopband depth or width, it is always necessary to introduce the transmission zeros (TZs) around the passbands. The MMR multi-band BPF has another merit in this respect. Ring resonators with perturbation structure [13], [14] and some other MMRs with multipath propagation configuration [10], [11], [19] or transversal interference configuration [12], [17] or cascaded by alternative and inverters [2] have the ability to generate multiple TZs around passbands. Moreover, most of the reported MMR multi-band BPFs employ a capacitively coupled configuration, and source–load coupling between the input and output high-impedance microstrip lines is a usual method to generate a pair of TZs around each passband to improve filter performance [1], [3], [16], [18]. Actually, the directly coupled configuration or directly coupled 0 feeding structure are also able to introduce TZs [6]–[9]. Compared with the capacitively coupled configuration, the multiband BPFs with a directly coupled configuration often have a relatively low IL, which can be known from the results of our previous works [8], [9]. However, these TZs due to a directly coupled configuration cannot be tuned freely because the tapped point is prior to meeting the required external quality factor . In addition, the cancelling effect of mixed electric

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

and magnetic coupling (MEMC) has been recently reported by some of the literature to introduce TZs in single-band BPF design [23], [24]. Also, some of the literature has reported upon the multi-band BPF with MEMC. In this paper, a new series of multiple stubs loaded ring resonators (MSLRRs) are firstly proposed to design the dual-, tri-, quad-, and quint-band BPFs. The resonant modes of MSLRRs can be independently controlled. Since the surface current at every resonant modes is strong at the corresponding physical sections and weak at other sections, the RLs of passbands can also be tuned separately. In addition, a sext-band BPF is also proposed by introducing a dual-mode open loop resonator (DMOLR) in the quint-band BPF to enhance the sixth resonant mode of the MSLRR to produce the sixth passband. The TZs due to the cancelling effect of MEMC and virtual grounds in the MSLRR improve the multi-band BPF’s performance significantly. All of the multi-band BPFs in this paper are fabricated on the substrate Rogers RT/Duroid 5880 or ARlon DiClad 880 ( , mm, and ). Detailed designs and measured results are discussed in the following sections.

Fig. 1. (a) Transmission line model of proposed MSLRR. (b) Different loads.

II. PROPOSED MSLRR AND GENERAL COUPLING ROUTING SCHEME OF MULTI-BAND BPFS Fig. 2. Coupling routing scheme of MSLRR multi-band BPFs.

A. Proposed MSLRR The transmission line model of the MSLRR is shown in Fig. 1(a), which consists of a ring resonator with impedance of and length of at the designing frequency , a shorted stub with impedance of and length of at , a load loaded on the ring resonator, and a load loaded on the shorted stub at the point . The input admittance seen from point can be derived as (1)

B. General Coupling Routing Scheme of Multi-Band BPFs Fig. 2 gives the coupling routing scheme of MSLRR multiband BPFs. The th passband is realized by using a pair of resonant modes of MSLRRs with MEMC. The actual coupling coefficients between the mode and , and the external quality factor can be computed from the un-normalized coupling matrix using the following equations [25]: (2a)

where

and the equation shown at the bottom of this page. The resonant modes of the proposed MSLRR are determined by . When the different loads shown in Fig. 1(b) are used, the proposed MSLRR can be used to design dual-, tri-, quad-, quint-, and sext-band BPFs, which will be discussed in Section II-B. When , the proposed MSLRR has virtual grounds resulting in TZs for filter design [9].

(2b) where represents the ripple fractional bandwidth, represents the un-normalized coupling coefficients between the mode and , and represents the un-normalized coupling coefficients between the source and mode . Since MEMC is used between two MSLRRs, is also determined by [24] (3) and represent the electric and magnetic couwhere pling coefficients between two modes and , respectively.

XU et al.: COMPACT MULTI-BAND BPFs WITH MEMC USING MMR

3911

The cancelling effect of MEMC will result in a TZ, and the frequency of this TZ is determined by (4) and represent the self-resonant frequency of the where mode and the mode , respectively [24]. This TZ is located at the upper sideband if , otherwise it is located at the lower sideband. The multi-band BPF with numbers of passbands with MEMC should have numbers of TZs due to the cancelling of MEMC.

Fig. 3. Variation of fixed) and (b) (

and

against different values of: (a) fixed).

(

III. MULTI-BAND BPFs DESIGN In this section, the different loads and shown in Fig. 1(b) are applied to design dual-, tri-, quad-, quint-, and sext-band BPFs with MEMC. A. Second-Order Dual-Band BPF Design It is known that the proposed MSLRR in Fig. 1(a) is actually a SIR if and are open-circuited. Also, its first two resonant modes can be used to design a dual-band BPF, but these two resonant modes cannot be independently tuned. To solve this problem, and are set as (open stub) Dual-band Case (open-circuited) (5) in the dual-band BPF design. Under preselected and at GHz, Fig. 3 shows the variation of the first two resonant modes and of the MSLRR with a dual-band case against different values of , , and . The frequency ratio is defined as

Fig. 4. (a) Layout of MSLRR with dual-band case. (b) Current distribution at and . (c) Layout of proposed MSLRR dual-band BPF.

TABLE I DESIGN SPECIFICATIONS OF MULTI-BAND BPFs

(6) and represent the maximum and minimum where frequency of every curves. A larger value of represents a more obvious variation. In Fig. 3(a), , , and are simulated for . In Fig. 3(b), , , and are simulated for . If and are used to independently tune and , respectively, we hope that the variation of and keep constant or do not change dramatically. Therefore, a large should be selected. However, has too low impedance to be fabricated if the very large is selected. In this design, is set. for in Fig. 3(a) and for in Fig. 3(b) are also simulated, which means that and indeed can be independently controlled by and , respectively. According to the above design rules, for the specified GHz and GHz, the designing parameters of the MSLRR with a dual-band case are optimized as , , , , and at GHz. Fig. 4(a) gives the layout of the MSLRR with a dual-band case. Its initial physical dimensions

can be calculated by using ADS LineCalc Tool, and optimized in the full-wave 3-D electromagnetic (EM) simulator HFSS to consider the impact of impedance discontinuities, bends, and open and shorted ends. Its final physical dimensions are also labeled in Fig. 4(a). Fig. 4(b) shows the current distribution of the MSLRR with a dual-band case at two resonant modes and . The surface current is very strong at the impedance section and the impedance section when the MSLRR with a dual-band case resonates at and , respectively, which also validate the above analysis. The design specification of the MSLRR DB-BPF is given in Table I, where “CF” represents central frequency. Since the fractional bandwidths (FBWs) of two passbands are not independent, the FBW of the 2nd passband is not given, as done in [3]. The synthesis of the ideal coupling matrix of the 1st passbands can be optimized by using the technique in [26] as

3912

Fig. 5. (a) Extracted and and against different values of

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

of dual-band BPF. (b) Variation of .

, , and the remaining terms are zero. and are calculated by using (2). The layout of the proposed MSLRR dual-band BPF with MEMC is shown in Fig. 4(c). Two 50microstrip lines directly connected to the impedance section at the tapped point serve as I/O ports. The gaps and provide the electric coupling paths, and the conducting pin with a width of mm provides the magnetic coupling path [24]. As shown in Fig. 4(b), the surface currents at and are relatively weak on the ring resonator. Therefore, the gap will provide extremely weak electric coupling, and the different values of will have a minor effect on electric coupling. mm is set in the dual-band BPF design. The design procedures of MSLRR dual-band BPF are summarized as follows. 1) The tapped position is tuned to meet the required firstly. Fig. 5(a) plots the extracted with the corresponding by using the technique introduced in [25]. mm is set in this design. 2) Since is fixed in this design, is then tuned to change so as to meet the required . By using the extraction method reported in [23], Fig. 5(a) also plots the variation of against different values of . Therefore, mm is set in this design. 3) After and are fixed for meeting the requirement of st the 1 passband, and are also determined. is the only physical dimension that can be used to tune to meet the required better than 18-dB RL of 2nd passband. Fig. 5(b) plots the variation of and against different values of . As increases from 0.5 to 0.7 mm, the variation of is relatively weak, but the variation of is very apparent, which means that the in-band RLs of two passbands can be independently controlled. Here, denotes the RL of the th passband. mm is set in this design. Fig. 6 plots the simulated and measured -parameters of fabricated MSLRR dual-band BPF. The inset in Fig. 6 shows the photograph of the fabricated dual-band BPF, which occupies a circuit area of , where represents the guided wavelength of a 50- microstrip line on the used substrate at 0.9 GHz. The measured CF, 3-dB FBW, IL, and RL of two passbands are 0.895/2.42 GHz, 12%/4.1%, 0.9/1.76 dB, and 17/16 dB, respectively. Four TZs around two passbands are measured at GHz, GHz, GHz, and GHz. Two TZs, TZ1 and TZ3, are due to the cancelling effect of MEMC, and another two TZs, TZ2 and TZ4, are owing to virtual grounds that existed in

Fig. 6. Simulated and measured results of fabricated dual-band BPF. The inset is the photograph of fabricated dual-band BPF.

TABLE II PERFORMANCE COMPARISON WITH REPORTED DUAL-BAND BPFs

the MSLRR , as discussed in [9]. is seen in Fig. 4(a) so that the TZ1 is located at the upper sideband of 1st passband. The extracted is smaller than zero so that the TZ3 is located at the lower sideband of 2nd passband. The band-to-band isolation between the 1st passband and the 2nd passband is better than 17 dB. The upper stopband rejection is better than 15 dB from 2.55 to 3.54 GHz. Table II gives a performance comparison with some reported works, which shows that the proposed MSLRR dual-band BPF has some merits of compact size, low IL, and good band-to-band isolation. B. Second-Order Tri-Band BPF Design Two loads

and

of the MSLRR are set as (open stub) Tri-band Case (open stub)

(7)

for the tri-band BPF design. For analysis simplicity, , , , , and at GHz are set. Fig. 7 shows the variation of the first three resonant modes , , and of the MSLRR with the tri-band case against different values of , , , and . of three resonant modes given in Fig. 7(a)–(c) indicates that , , and can be independently tuned by , , and , respectively. It is interestingly found in Fig. 7(d) that , , and almost keep unchanged as varies. If the loaded position is also selected as the tapped position of I/O ports, tuning to meet the required will

XU et al.: COMPACT MULTI-BAND BPFs WITH MEMC USING MMR

Fig. 7. Variation of , , and against different values of: (a) ( , , and fixed), (b) ( , , and fixed), (c) ( , , and fixed), and (d) ( , , and fixed).

3913

Fig. 9. (a) Extracted and of tri-band BPF. (b) Variation of , , and against different values of . (c) Variation of , , and against different values of . (d) Typical frequency response of tri-band mm and mm). BPF (

2)

Fig. 8. (a) Layout of MSLRR with tri-band case. (b) Surface current distribu, , and . (c) Layout of proposed MSLRR tri-band BPF. tion at

have a minor effect on three resonant modes. According to the design rule given in Fig. 7, for the specified GHz, GHz, and GHz, the designing parameters , , and at GHz are finally optimized. is preset in the above optimization. Fig. 8(a) gives the layout of the proposed MSLRR with a tri-band case. The optimized physical dimensions are also labeled in Fig. 8(a). Fig. 8(b) shows the surface current distribution at , , and . It can be seen that the surface currents are strong on the impedance section, the impedance section, and the impedance section when the resonator resonates at , , and , respectively. This result validates the above analysis. Fig. 8(c) shows the layout of the proposed MSLRR tri-band BPF with MEMC. Its design specification is also given in Table I. The actual coupling coefficients and the external quality factor of the 1st passband are synthesized as and , respectively. mm and mm are set in this design so that is still fixed in this design. The design procedures of the MSLRR tri-band BPF are summarized as follows. 1) The physical dimension is tuned to meet the required firstly. Fig. 9(a) plots the extracted with the corresponding mm and is set in this design.

is then tuned to change so as to meet the required . Fig. 9(a) plots the variation of against different values of . Therefore, mm is set in this design. 3) After and are fixed for meeting the requirement of the 1st passband, , , , and are also determined in the tri-band BPF. and can then be used to tune and to meet the required and , respectively. Fig. 9(b) and (c) plot the variation of , , and against different values of and , respectively. As increases from 0.4 to 0.7 mm in Fig. 9(c), the variation of and are relatively weak, but the variation of is very apparent. As increases from 0.2 to 0.5 mm in Fig. 9(b), the variation of and are relatively weak, but the variation of is very apparent. This means that the in-band RLs of three passbands can be independently tuned by , , and , respectively. mm and mm are finally optimized. The simulated and measured results of the fabricated MSLRR tri-band BPF is plotted in Fig. 10. The inset in Fig. 10 shows a photograph of the fabricated tri-band BPF, which occupies a circuit area of , where represents the guided wavelength of a 50- microstrip line on the used substrate at 1.9 GHz. The measured CF, 3-dB FBW, IL, and RL of three passbands are 1.875/3.54/5.91 GHz, 19.9%/14%/4.6%, 0.6/0.75/1.65 dB, and 14/22/25 dB, respectively. Theoretically, the designed tri-band BPF should have six TZs, as shown in Fig. 9(d). In Fig. 9(d), three TZs, TZ1, TZ3, and TZ5, are due to the cancelling effect of MEMC ( , , and ), and another three TZs, TZ2, TZ4, and TZ6, are due to virtual grounds existed in the MSLRR . However, two TZs, TZ2 and TZ3, are not obvious in the final simulated and measured results. The strong making the frequency location of TZ3 lower than TZ2 may result in this phenomenon. Another four TZs located at 2.23, 4.98, 5.68, and 6.88 GHz can be obviously observed in Fig. 10. The fabricated tri-BPF also exhibits a 15-dB rejection upper stopband from 6.36 to 8.2 GHz.

3914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 10. Simulated and measured results of fabricated tri-BPF. The inset is the photograph of fabricated tri-BPF.

TABLE III PERFORMANCE COMPARISON WITH REPORTED TRI-BAND BPFs

Fig. 11. Variation of: (a) and and (b) and . (c) Variation of , , values of values of .

The isolation between the 1st passband and the 2nd passband is better than 27 dB, and the isolation between the 2nd passband and the 3rd passband is better than 20 dB. Table III gives a performance comparison with some reported works, which shows that the proposed MSLRR tri-band BPF has some merits of compact size, low IL, and good band-to-band isolation. Moreover, three CFs and RLs of our proposed tri-band BPF can be separately controlled. C. Second-Order Quad-Band BPF Design A one-end shorted stepped-impedance resonator (SSIR) is used to replace the open stub at loaded point so as to design the quad-band BPF. Two loads, and , of the MSLRR with a quad-band case are set as (8), shown at the bottom of this page. , , , and are the first four resonant modes

and , and

against different against different

of the MSLRR with a quad-band case. According to the experiment results given in Sections III-A and III-B, we assume that two resonant modes and can be independently controlled by the impedance section and impedance section, respectively. Another two resonant modes, and , are excited by the newly loaded SSIR. For analysis simplicity, , , , , , and at GHz are set in the quad-band BPF design. After , , and at GHz are preset, and at GHz are optimized for the specified GHz and GHz according to the design rules given in Figs. 3 and 7. Fig. 11(a) plots the variation of and against different values of and . Fig. 11(b) shows the variation of and against different values of and , which shows that the variation of and have a minor effect on and (the value of close to 1). and are finally optimized for the specified GHz and GHz according to the design rule given in Fig. 11(a) and (b). Under the above optimized values, Fig. 11(c) shows the variation of , , , and against different values of . As shown in Fig. 11(c), still has a minor effect on , , , and if it is tuned to meet the required . Fig. 12(a) gives the layout of the proposed MSLRR with a quad-band case, and its optimized physical dimensions are also labeled in Fig. 12(a). Fig. 12(b) shows the

(open stub) (SSIR)

Q-band Case

(8)

XU et al.: COMPACT MULTI-BAND BPFs WITH MEMC USING MMR

Fig. 12. (a) Layout of MSLRR with quad-band case. (b) Surface current dis, , , and . (c) Layout of proposed MSLRR quad-band tribution at BPF.

3915

Fig. 14. Simulated and measured results of the fabricated MSLRR quad-band BPF. The inset is the photograph of the fabricated quad-band BPF.

4) After

Fig. 13. (a) Extracted and of quad-band BPF. (b) Variation of , , , and against different values of . (c) Variation of , , , and against different values of .

surface current distribution of the MSLRR with a quad-band case at , , , and . The surface currents are strong on the impedance section and impedance section when it resonates at and , respectively. The surface currents are strong on the SSIR when it resonates at and . This results validate the above analysis. The layout of the proposed MSLRR quad-band BPF with MEMC is shown in Fig. 12(c). Its design specification is also given in Table I. and are calculated, respectively. mm and mm are set in this design. The design procedures of the MSLRR quad-band BPF are summarized as follows. 1) The physical dimension is tuned to meet the required firstly. Fig. 13(a) plots the extracted with the corresponding mm and is set in this design. 2) is then tuned to change so as to meet the required . Fig. 13(a) also plots the variation of against different values of . Therefore, mm is set in this design. 3) After and are fixed for meeting the requirement of the 1st passband, and is also determined in the quad-band BPF. is then used to tune to meet the required . Fig. 13(b) plots the variation of , , , and against different values of . As shown in Fig. 13(b), has a major effect on . In quad-band BPF design, mm is set.

is fixed, and are also determined. and provided by the gaps and are very weak, and the gap provides the magnetic coupling. Therefore, is built in the quad-band BPF. Therefore, there will be no TZs due to the cancelling effect of MEMC around the 2nd and is used to tune and 4th passbands. to meet the required and . Fig. 13(c) shows the variation of , , , and against different values of . Obviously, has a minor effect on and . Since and are dependent of each other, a tradeoff between the performance of and should be made. mm is set in this design so that and are better than 12 dB. Fig. 14 plots the simulated and measured results of the fabricated MSLRR quad-band BPF. The inset in Fig. 14 shows a photograph of the fabricated quad-band BPF, which occupies a circuit area of . The measured CF, 3-dB FBW, IL, and RL of four passbands are 1.91/3.55/5.36/ 6.92 GHz, 16.5%/6.9%/7.4%/5.4%, 0.6/1.65/1.05/1.85 dB, and 13/15/16/11 dB, respectively. The fabricated quad-band BPF has four TZs measured at 2.2, 2.76, 5.06, and 6.17 GHz. Two TZs, TZ1 and TZ3, are due to the virtual grounds that existed in the MSLRR with a quad-band case, and another two TZs are due to the cancelling effect of MEMC. Its upper stopband rejection is better than 10 dB from 7.3 to 8.52 GHz. The isolation between the 1st passband and the 2nd passband is better than 25, the isolation between the 2nd passband and the 3rd passband is better than 13 dB, and the isolation between the 3rd passband and the 4th passband is better than 20 dB. Table IV gives a performance comparison with some reported works, which shows that the MSLRR quad-band BPF has some merits of compact size, low IL, and good band-to-band isolation. Moreover, four CFs of our proposed quad-band BPF can be separately controlled. D. Second-Order Quint- and Sext-Band BPFs Design Three open stubs are used to replace a single open stub in the tri-band BPF so as to design quint- and sext-band BPFs. Two loads, and , of the MSLRR with quint- and sext-band cases are set as (9), shown at the bottom of the following page. The electrical lengths of the newly loaded three open stubs are set as . , , , , , and are the first six resonant modes of the MSLRR with a quint- and sext-band case, and the first five resonant modes are specified as 0.63, 1.33,

3916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE IV PERFORMANCE COMPARISON WITH REPORTED QUAD-BAND BPFs

2.03, 2.73, and 3.43 GHz, respectively. According to the experiment results given in Section III-B and regardless of the sixth , , , and are arranged to be resonant mode, , , , , and sections, reexcited by the impedance , spectively. This arrangement can be easily met when is satisfied according to the experiment in Sections III-B and III-C. We assume that these five resonant modes can be independently controlled by these five sections, respectively, and we call these five resonant modes the controlled resonant mode. It and is worth mentioning that the other relation of is not recommended for this resonator since the uncontrolled in this design) is most likely located resonant mode (i.e., among the controlled resonant modes, which is not conducive to the subsequent quint- and sext-band design. For analysis sim, plicity, at GHz are set in the quint-band and , , , BPF design. Fig. 15(a)–(e) plots the variation of against different values of , , , , and , , and which validates the above assumption. Fig. 15(f) shows that the still has a minor effect on these five resonant variation of , , , , and modes. at GHz are optimized according to the design rules given in Fig. 15. Under the above designing parameters, GHz is also simulated. The layout of the proposed MSLRR with a quint- and sextband case is shown in Fig. 16(a). The optimized physical dimensions are labeled in Fig. 17(a). Under these physical dimensions, GHz is extracted from the HFSS simulated result. Fig. 16(b) shows the surface current distributions of the MSLRR

Fig. 15. Variation of , , , , and , (b) , (c) , (d) , (e) , and (f) . , , and at varies, the others remain unchanged.

against different values of: (a) , , , GHz. When one parameter

Fig. 16. (a) Layout of proposed MSLRR with quint- and sext-band case. (b) Surface current distributions of MSLRR at six resonant frequencies.

with a quint- and sext-band case at its first six resonant modes. The surface currents are relatively strong at the impedance , , , , and sections when it resonates at , , , , and , respectively. This results validate the above analysis. The surface current at is strong at impedance , , and sections, and thus, is dependent on other resonant

(open stubs) (open stub)

Quint- and Sext-band Case

(9)

XU et al.: COMPACT MULTI-BAND BPFs WITH MEMC USING MMR

3917

Fig. 19. Simulated and measured results of fabricated quint-band BPF.

Fig. 17. Physical layout of: (a) quint-band BPF and (b) sext-band BPF.

Fig. 18. Extracted

and

of quint-band BPF.

modes and cannot be tuned freely. Actually, in the authors’ experience, is mainly caused by the impedance section. Nevertheless, is frequency far away from so that all of the first five resonant modes of the MSLRR are the controlled resonant modes. Fig. 17(a) shows the layout of the proposed MSLRR quintband BPF with MEMC. mm and mm are set in this design. Its design specification is also given in Table I. and are calculated, respectively. The design procedures of the MSLRR quint-band BPF are summarized as follows. 1) is tuned to meet the required firstly. Fig. 18 plots the extracted with the corresponding mm and is set in this design. 2) is then tuned to change so as to meet the required . Fig. 18 also plots the variation of against different values of . Therefore, mm is set in this design. 3) After and are fixed, , , , , , , , and are also determined. According to the experiment results in Figs. 5(b), 9(b), 9(c), 13(b), and 13(c), , , , and should be mainly controlled by , , , and , respectively. Therefore, , , , and are used to tune , , , and to meet the requirement of , , , and , respectively. mm,

mm, mm, and mm are finally optimized. Fig. 19 plots the simulated and measured results of the fabricated quint-band BPF. The inset in Fig. 19 shows the photograph of the fabricated quint-band BPF, which occupies a compact area of , where represents the guided wavelength of a 50- microstrip line on the used substrate at 0.63 GHz. The quint-band BPF operates at 0.63/1. 33/2.03/2.74/3.45 GHz with 3-dB FBW of 28.8%/9.4%/2.7%/ 5.3%/5.5% and measured minimal ILs of 0.47/1.14/1.8/1.39/ 1.26 dB, respectively. The measured in-band RLs within five passbands are better than 23/13.5/13.6/15/14.1 dB, respectively. Four band-to-band isolations among five passbands are better than 31, 28, 18, and 17 dB, respectively. Ten TZs around five passbands can be also observed in Fig. 4, which can improve the passband selectivity. Five TZs, TZ1, TZ3, TZ5, TZ7, and TZ9, are due to the cancelling effect of MEMC, and another five TZs, TZ2, TZ4, TZ6, TZ8, and TZ10, are due to the virtual grounds that existed in the MSLRR with the quint- and sext-band cases. The magnetic coupling is stronger than the electric coupling for the 1st passband so that TZ1 is located at the upper sideband of the 1st passband. The electric coupling is dominant for the remaining four passbands so that TZ3, TZ5, TZ7, and TZ9 are located at the lower sideband of the 2nd , 3rd , 4th , and 5th passbands, respectively. As shown in Fig. 19, the uncontrolled resonant mode failed to produce a passband around 3.98 GHz. To use this uncontrolled resonant mode to produce the 6th passband, a mm is introduced to enDMOLR with a width of hance the performance of the sixth passband. Fig. 17(b) shows the physical layout of the proposed sext-band BPF, and the DMOLR is embedded into the above quint-band BPF. The line length is approximately equal to the quarter guided wavelength at 3.98 GHz, and the estimated calculated by the ADS LineCalc Tool is 14.2 mm. For the preset mm, the parameters and are used to tune its even mode, and is tuned to acquire the desired external quality factor [27]. The physical dimensions of the above quint-band BPF are slightly modified so as to consider the loading effect of the DMOLR. The optimized physical dimensions of the sext-band BPF are labeled in Fig. 17(b), and mm, mm, mm, mm, mm, , and mm are also optimized according to the above discussion. To verify the above design result, Fig. 20 shows the surface current distribution of the designed sext-band BPF at

3918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 20. Surface current distribution of sext-band BPF at the 6th passband.

Fig. 21. Simulated and measured results of fabricated sext-band BPF.

TABLE V PERFORMANCE COMPARISON WITH SOME REPORTED QUINT- AND SEXT-BAND BPFs

passbands are measured better than 20.6/15.9/12.3/20/22.8/13.4 dB, respectively. Five band-to-band isolations among five passbands are better than 31, 28, 17, 16, and 20 dB, respectively. Compared with the quint-band BPF shown in Fig. 19, another two new TZs, TZ11 and TZ12, can be observed in the simulated result of the sext-band BPF. TZ11 is due to the DMOLR since its even mode resonant frequency is lower than its odd-mode resonant frequency, and is actually due to the MEMC. TZ12 is also due to the virtual grounds that existed in the MSLRR with quint- and sext-band cases. Owing to the fabrication error, TZ10 and TZ11 merge into a single TZ in the measured result. Moreover, two visible transmission poles in the 6th passbands are mainly due to the DMOLR since the coupling between two uncontrolled resonant modes are weak in this design. Nevertheless, compared with the BPF with 3-dB FBW of 5% based on the DMOLR in [27], a wider 3-dB FBW and a flatter passband are acquired in the sixth passband of the sext-band BPF, mainly attributed to these two uncontrolled resonant modes. The performance comparison with some reported quint- and sext-band BPFs is listed in Table V. Obviously, the proposed quint- and sext-band BPFs have the merits of lower ILs, wider passbands, and more compact circuit size. IV. CONCLUSION In this paper, the MSLRR with different loads has been proposed to design dual-, tri-, quad-, and quint-band BPFs with MEMC. The frequency locations and RLs of all of the passbands in these multi-band BPFs can be separately controlled. A DMOLR has been embedded in the quint-band BPF to enhance its 6th resonant mode to produce the 6th passband so that a sext-band BPF is also designed. The step-by-step design procedures of all multi-band BPFs have been discussed to validate our design approach. The great merits of good RLs, low ILs, high band-to-band isolations, wide upper stopbands, and compact sizes make the newly proposed planar multi-band BPFs attractive in the modern multi-service multi-standard communication system. REFERENCES

the 6th passband. Its surface current distribution is very strong on the DMOLR, and there is also surface current on both the impedance and sections. The simulated and measured results of the fabricated sextband BPF are depicted in Fig. 21. The inset in Fig. 21 shows a photograph of the fabricated sext-band BPF, which also occupies a circuit area of . Six passbands centered at 0.63/1.335/2.03/2.73/3.44/3.99 GHz with 3-dB FBW of 29.7%/8.5%/2.7%/5.4%/6.2%/9% and minimal ILs of 0.49/ 1.26/1.88/1.38/1.19/1.09 dB are measured. The RLs within six

[1] S.-C. Lin, “Microstrip dual/quad-band filters with coupled lines and quasi-lumped impedance inverters based on parallel-path transmission,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 1937–1946, Aug. 2011. [2] S. Zhang and L. Zhu, “Synthesis design of dual-band bandpass filstepped-impedance resonators,” IEEE Trans. Microw. ters with Theory Techn., vol. 61, no. 5, pp. 1812–1819, May 2013. [3] S.-J. Sun, T. Su, K. Deng, B. Wu, and C.-H. Liang, “Shorted-ended stepped-impedance dual-resonance resonator and its application to bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3209–3215, Sep. 2013. [4] K.-W. Hsu and W.-H. Tu, “Sharp-rejection quad-band bandpass filter using meandering structure,” Electron. Lett., vol. 48, no. 15, pp. 935–937, Jul. 2012. [5] C. H. Lee, C. I. G. Hsu, and H. K. Jhuang, “Design of a new tri-band microstrip BPF using combined quarter- wavelength SIRs,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 594–596, Nov. 2006. [6] W.-Y. Chen, M.-H. Weng, and S.-J. Chang, “A new tri-band bandpass filter based on stub-loaded step-impedance resonator,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 4, pp. 179–181, Apr. 2012. [7] N. Kumar and Y. K. Singh, “Compact tri-band bandpass filter using three stub-loaded open-loop resonator with wide stopband and improved bandwidth response,” Electron. Lett., vol. 50, no. 25, pp. 1950–1952, Dec. 2014.

XU et al.: COMPACT MULTI-BAND BPFs WITH MEMC USING MMR

[8] J. Xu, Y.-X. Ji, C. Miao, and W. Wu, “Compact single-/dual-wideband BPF using stubs loaded SIR (SsLSIR),” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 7, pp. 338–340, Jul. 2013. [9] J. Xu, W. Wu, and C. Miao, “Compact microstrip dual-/tri-/quad-band bandpass filter using open stubs loaded shorted stepped-impedance resonator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3187–3199, Sep. 2013. [10] H.-W. Wu, G.-S. Chen, and Y.-W. Chen, “New compact triple-passband bandpass filter using multipath-embedded stepped impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 3, pp. 158–160, Mar. 2014. [11] J.-Y. Wu and W.-H. Tu, “Design of quad-band bandpass filter with multiple transmission zeros,” Electron. Lett., vol. 47, no. 8, pp. 502–503, Apr. 2011. [12] S. Sun, “A dual-band bandpass filter using a single dual-mode ring resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 6, pp. 298–300, Jun. 2011. [13] J. Shi, L. Lin, J.-X. Chen, H. Chu, and X. Wu, “Dual-band bandpass filter with wide stopband using one stepped-impedance ring resonator with shorted stubs,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 7, pp. 442–444, Jul. 2014. [14] S. Luo, L. Zhu, and S. Sun, “Compact dual-mode triple-band bandpass filters using three pairs of degenerate modes in a ring resonator,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 5, pp. 1222–1229, May 2011. [15] Y. C. Li, H. Wong, and Q. Xue, “Dual-mode dual-band filter based on a stub-loaded patch resonator,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 10, pp. 525–527, Oct. 2011. [16] J. Xu, W. Wu, and C. Miao, “Compact and sharp skirts microstrip dual-mode dual-band bandpass filter using a single quadruple-mode resonator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1104–1113, Mar. 2013. [17] H. Liu, B. Ren, X. Guan, J. Lei, and S. Li, “Compact dual-band bandpass filter using quadruple-mode square ring loaded resonator (SRLR),” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 4, pp. 181–183, Apr. 2013. [18] L. Gao and X.-Y. Zhang, “High-selectivity dual-band bandpass filter using a quad-mode resonator with source-load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 474–476, Sep. 2013. [19] J. Xu, C. Miao, L. Cui, Y.-X. Ji, and W. Wu, “Compact high isolation quad-band bandpass filter using quad-mode resonator,” Electron. Lett., vol. 48, no. 1, pp. 28–30, Jan. 2012. [20] C.-F. Chen, “Design of a compact microstrip quint-band filter based on the tri-mode stub-loaded stepped-impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 7, pp. 357–359, Jul. 2012. [21] K.-W. Hsu, J.-H. Lin, and W.-H. Tu, “Compact sext-band bandpass filter with sharp rejection response,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 9, pp. 593–595, Sep. 2014. [22] W.-H. Tu and K.-W. Hsu, “Design of sext-band bandpass filter and sextaplexer using semilumped resonators for system in a package,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 2, pp. 265–273, Feb. 2015. [23] Q.-X. Chu and H. Wang, “A compact open-loop filter with mixed electric and magnetic coupling,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 431–439, Feb. 2008. [24] F. Zhu, W. Hong, J.-X. Chen, and K. Wu, “Quarter-wavelength stepped-impedance resonator filter with mixed electric and magnetic coupling,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 2, pp. 90–92, Feb. 2014. [25] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [26] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jan. 2003.

3919

[27] L. Athukorala and D. Budimir, “Compact dual-mode open loop microstrip resonators and filters,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 698–700, Nov. 2009.

Jin Xu was born in AnHui, China, in 1987. He received the B.Sc. degree in information countermeasure technology and Ph.D. degree in information and communication engineering from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 2009 and 2014, respectively. From February 2011 to September 2011, he was a Ph.D. student with the Institute of Microelectronics, Singapore. From October 2011 to September 2012, he was with the MicroArray Technologies Corporation Limited, Chengdu, China, where he was an Integrated Circuit (IC) Research and Development Engineer. He is currently an Associate Professor with the School of Electronics and Information, Northwestern Polytechnical University (NWPU), Xi’an, China. His research interests include microwave/millimeter-wave circuits and systems. Dr. Xu has been a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE WIRELESS COMPONENT LETTERS.

Wen Wu (SM’10) received the Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nangjing, China, in 1997. He is currently a Professor with the School of Electronic Engineering and Optoelectronic Technology and an Associate Director with the Ministerial Key Laboratory of JGMT, Nanjing University of Science and Technology, Nanjing, China. He has authored or coauthored over 120 journal and conference papers. He has five patents pending. His current research interests include microwave and millimeter-wave theories and technologies, microwave and millimeter-wave detection and multi-mode compound detection. Prof. Wu was a six-time recipient of the Ministerial and Provincial-Level Science and Technology Award.

Gao Wei was born in Xi’an, China, in 1963. He received the B.Sc. and M.Sc. degrees in electromagnetic theory and microwave technology and Ph.D. degree in circuits and systems from Northwestern Polytechnical University (NWPU), Xi’an, China. Since 1985, he has been with the School of Electronics and Informatics, NWPU, where he is currently a Professor and also Head of the Research Laboratory of Air-Borne Radar Systems. His research interests mainly include microwave measurement, antenna theory and designs, and radar systems. Dr. Wei was the recipient of many awards from the Ministry of Aerospace Technology, China.

3920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

High Rejection, Self-Packaged Low-Pass Filter Using Multilayer Liquid Crystal Polymer Technology Francisco Cervera, Student Member, IEEE, and Jiasheng Hong, Fellow, IEEE

Abstract—A compact low-pass filter based on the principle of destructive interference is proposed and analyzed, followed by an improved design demonstrating very high rejection levels better than 40 dB over a wide frequency span. Both filters, fabricated using liquid crystal polymer (LCP) multilayer techniques, are selfpackaged, with small footprint and profile, and also very lightweight. Simulation and measured results are presented, showing good agreement. Index Terms—Low-pass filters, clean-up, liquid crystal polymer, microwave filters, self-packaged filters, high rejection, destructive interference.

I. INTRODUCTION

L

OW-PASS FILTERS (LPF) are a key element in order to eliminate spurious resonances and unwanted passbands originated by intermodulation or the intrinsic nature of distributed resonators. Often they are chained to some other filters and, hence, a very low insertion loss (IL) over a desired passband, together with a deep stopband rejection, is commonly required for practical applications. One of the main concerns when designing a LPF is the suppression of higher harmonics and providing a wide stopband. Extensive research has been carried towards this aim using different approaches. A popular approach is cascading successive LPFs with different cutoff frequencies [1]–[5]. A different method is employed in [6]–[10], where several transmission zeroes (TZs) are inserted in the stopband by including resonating elements, cancelling spurious harmonics. Furthermore, defected ground structures (DGS) are also investigated [11]–[13] to produce a wide stopband. However, the latter have the inconvenience of breaking the ground plane, and potentially increasing the radiation of the filter. Even though the aforementioned LPFs provide a wide stopband, most of them are in the region of 20 dB for the rejection level, which would not meet the requirements for a more demanding clean-up filter. Work reported in [5], [13], and [14] achieves deeper rejection levels, the latter being highly demanding in terms of fabrication technology.

Manuscript received March 11, 2015; revised June 29, 2015, August 18, 2015, and October 08, 2015; accepted October 23, 2015. Date of publication November 13, 2015; date of current version December 02, 2015. This work was supported in part by a U.K. EPSRC Industrial CASE Award in association with BSC Filters Ltd, U.K. The authors are with the Institute of Signal, Sensors, and Systems (ISSS), School of Engineering and Physical Sciences, Heriot-Watt University, Edinburgh EH14 4AS, U.K. (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2496219

Fig. 1. Proposed self-packaged low-pass filter structure (see Fig. 16 for a 2D representation).

Also key to a LPF is the selectivity, or how short in frequency is the transition from the passband to the stopband. Previously referenced works provide very sharp transitions [5]–[8], [10], [12], [15], at the cost of lower performance on some other aspects like return loss (RL), rejection level, or stopband bandwidth. Despite being desirable, a sharp cutoff is not crucial in a clean-up filter. Furthermore, a packaged filter with small size and weight is desired for a highly integrated system to minimize unwanted crosstalking as well as to accommodate the system restraint in size/weight. As such, the aim of this work is to design a compact, inexpensive, self-packaged LPF as shown in Fig. 1, focusing on the rejection levels of the stopband while maintaining a low IL. For this purpose, a structure based on the principle of destructive interference [1], [3], [16] is applied, and a second identical structure is cascaded in order to increase the rejection levels. The basic unit for the low pass is a structure with two broadside coupled lines and an extra line (loop line) connecting alternate ends of the coupled lines section [Fig. 2(a)]. This kind of structure has been investigated as an impedance transformer [17] replacing the loop line for a two-section stepped impedance line. Additionally, a similar structure has been reported in [3], using different outputs from the coupled lines. Both structures are based on the principle of destructive interference and are capable of producing up to TZs [3], being the electrical length ratio between the loop line and the coupled section . In this work, a modified structure is investigated where the loop line is replaced by a three-section stepped impedance line, with two of the sections being equal in length and impedance [Fig. 2(b)]. It will also be shown how, under certain conditions, the same number of TZs can be excited with lower values of

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CERVERA AND HONG: HIGH REJECTION, SELF-PACKAGED LOW-PASS FILTER USING MULTILAYER LIQUID CRYSTAL POLYMER TECHNOLOGY

3921

Fig. 2. Transmission line model. (a) Uniform line. (b) Stepped-impedance line.

. Additionally, the use of multilayer technology allows for the use of broadside-coupled lines, rather than edge-coupled lines, leading to higher coupling ratios, as well as different layouts than those achieved with single-layer configurations. The remainder of this paper is organized as follows. Section II covers the theory and concepts of the structure for a generic loop line. Sections III and IV cover the analysis of the structure when the generic line is replaced by an uniform-line [Fig. 2(a)] and a stepped-impedance line [Fig. 2(b)], respectively. Two design examples are discussed in Sections VII and VIII, finalizing with conclusions in Section IX.

Fig. 3. S-parameters when

where . The scattering parameters (S-parameters) can be obtained from the Z-parameters using the well-known formulas: (4a) (4b) where

II. GENERIC LOOP STRUCTURE The structure is based on a pair of broadside coupled lines plus an additional section joining alternate ends (Fig. 2). The coupled lines can be described in terms of the characteristic impedance , coupling coefficient , and electrical length as follows:

for different values of . .

is the reference impedance. III. UNIFORM LOOP LINE

Following the discussion from the previous section, a uniform transmission line is used as a loop line [Fig. 2(a)], defined by its Z-parameters:

(1a) (1b) and are the even- and odd-mode impedances, where respectively. The additional section producing the interference is defined as a two-port network by its Z-parameters (see dashed boxes in Fig. 2). Given this structure is reciprocal and symmetrical ( and ), and lossless, nondispersive propagation, the Z-parameters of the complete 2-port structure are derived as

(2)

(3)

(5) is the electrical length of the line and where teristic impedance.

, its charac-

A. Transmission Zeros From (4b), the condition for a transmission zero is . Applying this to (3), and including (5), the condition for the TZs is calculated as: (6) is the ratio between the lengths of the loop where line and the pair of coupled lines. It is direct to show the symmetry of the response for integer values of around . Moreover, if is odd, the response will also be symmetric around . From (6), an interesting property is derived: if , i.e., matching the line impedance to the coupled-lines characteristic impedance, two TZs are generated in and their position depends exclusively on , rather than , (Fig. 3) as demonstrated in (7)

3922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 7. TZ variation for different values of Fig. 4. S-parameters when

.

for several length ratios. .

paper, is assumed unless stated. The condition for TZs in such conditions can be obtained from (6) as follows:

(8) with being the ratio between the line and the coupled-lines characteristic impedances. By solving (8) for , the location of the four TZs is found as

Fig. 5. Different regions depending on the number of TZs. region correspond to plots in Figs. 6 and 7.

in the 4-TZs

(9) , and The position of the TZs are determined by and depending on their values, they could be real or imaginary. Attending to this, and considering the number of TZs within the range, three different working regions are found (Fig. 5): • 4-TZ region, when

Fig. 6. TZ variation for different values of

.

(10) This pair of TZs are real for . The high value of required to fulfill this condition makes this structure more suitable for broadside coupled lines using multilayer techniques, where higher coupling coefficients can be obtained. Since the position of the TZs is not affected by the length of the loop line, this structure has possible applications as a phase-shifter. A representation of the aforementioned property is depicted in Fig. 4. Different symmetries depending on the value of can also be appreciated. If the value of is fixed to 3, a compact structure with up to four TZs is obtained. From this point and for the rest of the

• 2-TZ region, when (11) • no real TZs, if the previous conditions are not met. Note how the location of TZs is independent from . However, variations in this parameter would affect the rejection levels. Lower values of would increase the rejection between the first and last pair of TZs, while reducing it between the second and third TZ.

CERVERA AND HONG: HIGH REJECTION, SELF-PACKAGED LOW-PASS FILTER USING MULTILAYER LIQUID CRYSTAL POLYMER TECHNOLOGY

3923

In order to obtain a wide and deep rejection band, the 4-TZs region is the most interesting one. Several pairs of and within it are plotted in Figs. 6 and 7 (also marked on Fig. 5). It can be appreciated how variations of would equally affect all the TZs, while variations of would mainly affect the first and fourth TZ, having a negligible effect on the inner pair of TZs. As a rule of thumb, higher values of increase the stopband width and selectivity, reducing the rejection level. B. Transmission Poles From (4a), the transmission pole (TP) condition is (12) This expression can be rewritten as (13) The right-hand side of the expression only depends on and (assuming independent from ), so the TP condition is dominated by . By using algebraic transformations, it can be demonstrated that for values of in the passbands, a maximum of two poles can be obtained, with one of them located at . Reducing will approximate the second TP to the cutoff frequency. Taking the limit when , TP's are located at the roots of . On the other end, taking the limit when , makes the two TPs meet at . C. Cutoff Frequency , In order to determine the 3 dB cutoff frequency , and are the key parameters. Fig. 8 shows different design curves, based on numerical solutions of (4b) for 3 dB, for these parameters. According to the figures, both and have similar effects on the cutoff frequency, when working on the 4-TZs region, i.e., . Moreover, they both can be combined to obtain the desired cutoff. As is fixed to 3, the response of the filter is symmetric around . With this, we can determine the stopband bandwidth (BW) depending on as (14) or, as a function of

, as

Fig. 8. 3 dB cutoff frequency: (a) for different values of . (b) for different values of

;

where is the impedance of the line section parallel to the coupled lines, and is the impedance of the remaining two sections. Following the convention for the uniform-line case, is defined now as . In this way, the response from the coupled-line loop unit can be initially determined by the coupled lines parameters and the loop-line parameters . The modification is particularly interesting when the length ratio is fixed to 3, i.e., fixing , as will be discussed in this section. Under this conditions, the Z-parameters for the loop line are

(15)

IV. STEPPED-IMPEDANCE LOOP STRUCTURE Likewise the previous section, the uniform loop line is now replaced by a three-section impedance line [Fig. 2(b)]. For simplicity, the length of the middle section is equal to the length of the coupled lines . The other two sections have the same length . Furthermore, we can define the impedance ratio of the loop line as (16)

(17) A. Transmission Zeros As previously discussed, the structure is capable of producing up to four TZs in the stopband and the response will be symmetric. The advantage introduced by this configuration is a better control over the response in the stopband as the position

3924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

B. Transmission Poles Using a similar derivation as in Section III-B, the right-hand side of (13) in the case of a stepped-impedance line, depends on , and . The position of the second TP may be controlled by ratio, ranging from to the first root of . C. Cutoff Frequency

Fig. 9. TZ regions for different values of . Dotted lines correspond to . equal-ripple stopband with the labelled rejection for Section VII design Section VIII design .

could In the case of a uniform-line, the cutoff frequency be determined based on the set of parameters. For a stepped-impedance line, also has to be included in this group. Variations of this parameter have a similar effect to variations of . From (2) and (3) it can be found that the most relevant term is . In order to establish a relationship between the cutoff frequencies in the uniform- and the stepped-line cases, the mentioned term may be matched at cutoff frequency, obtaining the following relationship: (19) , at the where is the electrical length of the coupled lines matched cutoff frequency, and are the for the uniform- and stepped-line cases, and is the impedance ratio of the stepped-line. Since we are matching both cases for the passband, would be a small value. Therefore, (19) can be simplified to (20)

Fig. 10. S-parameters for different values of .

of the two inner TZs can be adjusted with without having too much impact on the outer ones, thus enabling the possibility of obtaining an equal-ripple stopband (Fig. 10). Following the procedure described in the previous section, replacing for the stepped-line in (3), and making , the condition for TZ is found as

By establishing this relationship, the return loss for the stepped-line can match that of the uniform-line for a given pair . As such, Fig. 8 can be used for estimating the cutoff frequency. D. Equal Ripple By modifying , a wide stopband with equal ripple can be obtained. The condition for equal-ripple can be determined by equating the values of in the cases of and , being the frequency between the first two TZs with the maximum value of , defined as (21)

(18) (22) As in the previous section, the different working regions are plotted in Fig. 9. It can be observed how they are similar to the uniform-line case, but the position is shifted over . This effect suggests that similar responses can be obtained with lower when this is compensated with higher values of . Moreover, variations of for fixed values of , and are depicted in Fig. 10. Further variations of and have a similar effect as in the uniform line case. As an example, design values for the fabricated filters are marked. Note how the effect of makes the design in Section VII have four TZs instead of the expected two in the uniform-line case.

This expression needs to be solved numerically, as a simple solution is not available. However, as a rule of thumb, increasing will bring the pair of inner TZs closer to , hence reducing the transmission power at the center frequency . Adjusting will lead to equal ripple. E. Footprint Reduction Initially, the structures depicted in Fig. 2 can be physically realised by feeding the coupled lines from the side edges. However, if the tapping point of the feeding lines are shifted (Fig. 11),

CERVERA AND HONG: HIGH REJECTION, SELF-PACKAGED LOW-PASS FILTER USING MULTILAYER LIQUID CRYSTAL POLYMER TECHNOLOGY

3925

The simplest form of matching network for this purpose is a uniform transmission line of a determined length and characteristic impedance . Designing these parameters accordingly, at least the first TZ can be matched by using (25)

Fig. 11. Modified loop-line structure represent the I/O ports.

. P1 and P2

The rest of the possible resonances have to be matched by optimizing the structure. V. DESIGN METHOD Even though different parameters involved in the design are closely related and influence each other, the following design process may be used as a guide. It is composed of five steps: • Determine required cutoff frequency in terms of , i.e., , that fulfills the requirements for fractional bandwidth (FBW), and find using:

Fig. 12. Cascaded model (uniform-line case).

(26) equivalent responses can be obtained with a reduced length of the loop line. Shifting the feeding lines reduces the effective length of the coupled-line section, therefore, in order to achieve the same , the length of the coupled-lines section will have to be increased. However, the reduction of the length of the loop line would be more significant, obtaining an overall size reduction when combined. The pair of coupled lines act as a half-wavelength resonator, so the limitation for increasing the length comes when the resonant mode is within the stopband. Footprint reduction will be demonstrated with an example in Section VIII.

• Design coupled lines and for the uniform-line case, i.e., , that provides the required , with the help of Fig. 8. • Using Fig. 9 as a reference, estimate a new , i.e., , that meet the requirements for stopband rejection for the chosen . • Calculate from (19) for the previously found and . • Check the design parameters meet the conditions to work in the 4-TZs region and fulfill the requirements for bandwidth and rejection. If any of these restrictions is not met, the coupled lines must be redesigned.

F. Cascading Even though the stopband achieved with a single unit can be in the region of 20–30 dB for a reasonable bandwidth, this is not enough for many practical applications. One way of increasing the level of rejection without affecting the BW would be cascading to filters with identical characteristics. In this case, the order of the filter is doubled, and so is the level of rejection, while keeping the position of the TZs intact. When cascading two filters together with a section of transmission line, some resonances may appear. These resonances have two different origins: first, matching of the input impedance , looking into the filter from the interconnection point (Fig. 12), and second, the loop-structure self-resonance, located at when . The proposed idea for avoiding these resonances is matching them with the TZs. In other words, making at the frequencies with TZ . is defined in terms of Z-parameters as (23) Since

at TZ, it is immediate to demonstrate: (24)

VI. PACKAGING Following the work carried out in [18], the filter structure is enclosed within two ground planes in a stripline configuration. Additionally, a conductive paste is applied in the surrounding walls in order to achieve a full electromagnetic shielding. Filters are connected to the outer environment by means of a coplanar waveguide (CPW), printed on one of the ground planes, and via transition (Fig. 1). In order to adapt the designs to this packaging, feed lines may need to be extended with lines matching port impedances, so the filter accommodates to the CPW layout [Fig. 16(c)]. This kind of packaging allows easy interconnection of the device to a hosting board in a flip-chip manner, as well as allowing easy matching of the input impedance by modifying the width and gap in the CPW. VII. DESIGN EXAMPLE In order to demonstrate the theory previously discussed, a design example will be introduced in this section. Requirements for this filter are: • cutoff frequency: 2 GHz; • stopband up to , equivalent to 160% FBW; • equal ripple with 20 dB rejection.

3926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 15. Measured results vs. EM simulation, including packaging

.

Fig. 13. Design details: (a) top view (dimensions in mm); (b) layer distribution m, mm). (

Fig. 14. Theoretical model vs. EM simulation

.

Following the design method previously described, at the first step, and from (14), 18 for a 160% FBW is found. With this value, that maps to 2 GHz, from (26), is 4.33 mm. Each of the three sections of the stepped-impedance loop line have the same length as the coupled lines . In the second step, coupled-lines parameters have to be designed, as well as the corresponding . In this case, the coupler is designed with and . With these values, that provides the required cutoff is 1.32. The next step is to find an (Fig. 9) that provides equal ripple and required rejection for the chosen and . Note that equal-ripple curves in Fig. 9 are based on a , so it can only be used as an approximation. Different values of would shift these curves slightly while keeping a similar tendency. The required value for is 1.4. By replacing it and in (20), is obtained. With the help of a EM simulator, some parameters are tuned to match the requirements. Final design values are plotted in Fig. 13. EM simulation results are plotted against the ideal model in Fig. 14. Mismatches, mainly in the fourth TZ, are produced by the corners in the loop line, which are not included in the theoretical model. Spurious coupling and the via transition also contribute to the mismatch. Measured results are plotted against EM simulation in Fig. 15, including the packaging. Even though they show a good correlation, some differences are found. These are due

Fig. 16. Basic unit design details: (a) top view (dimensions in mm); (b) layer m, mm); (c) final layout. Ground vias in black. distribution (

to fabrication tolerances, i.e., substrate thickness and etching. In order to achieve the tight coupling required, the coupled lines are etched on a 25- m-thick film [Fig. 13(b)]. Its thinness magnifies the error introduced by misalignment and overcompression, explaining the mismatch in the results. VIII. IMPROVED REJECTION FILTER DESIGN In this design, we aim at designing a low-pass filter with improved rejection. Initial requirements are: • cutoff frequency: 3 GHz; • stopband up to , equivalent to 155% FBW; • equal ripple with, at least, 40 dB rejection. Such requirements cannot be fulfilled by a single loop structure. Instead, two of them with identical parameters are cascaded. As cascading two identical filters doubles the rejection

CERVERA AND HONG: HIGH REJECTION, SELF-PACKAGED LOW-PASS FILTER USING MULTILAYER LIQUID CRYSTAL POLYMER TECHNOLOGY

3927

level, each of these filters need to be designed for a 20 dB rejection, while keeping the rest of the requirements. A. Basic Cell Initial design is based on the procedure described in Section V. For a 155% FBW, the required , resulting in mm. Coupled lines are designed with and , and the that provides the required cutoff (Fig. 8) is 1.56. Furthermore, values for obtaining a 20 dB equal ripple in the stopband are and (Fig. 9). B. Footprint Reduction Based on optimization, the new values for the impedance and , and . Thus, ratios are the length of the coupled lines is increased by 22%, being the new mm. With these values, a similar response with the same is obtained, as well as same rejection levels, with slightly reduced stopband width. These modifications lead to a 25% footprint reduction.

Fig. 17. Basic unit vs. cascaded. Scattering parameters, EM simulated results .

C. Cascading The basic unit was designed for a 3 GHz cutoff frequency. This will need to be adjusted for a 1.5 dB, 3 GHz so the cascaded filter results in a 3 GHz at 3 dB. Therefore, needs to be adjusted to 3.42 mm. As discussed in previous sections, the two filtering units are connected by a uniform transmission line. The length and impedance of this line is designed to match at the first TZ. At this frequency, . By fixing and using (7), the matching value of is 54 . In order to match the rest of the singularities with the remaining three TZs, two parameters are optimized: the width of the via patch, and its shifting from the center of the line section parallel to the coupled lines. Finally, the feed lines are designed to optimize the return loss, following the discussion in Section III-B with an impedance of 32 . The impedance of the feed lines relates to (13). Additionally, an optimization process is carried out with the help of a full EM simulator. After this process, final design values are , , , , and . A pair of vias connecting both ground planes are included within each loop to prevent propagation of waveguide modes. Corresponding layout dimensions are plotted in Fig. 16. Simulations for the complete design are plotted in Fig. 17, including the simulation for the optimized single unit. It can be appreciated how the singularities are matched with the TZs. Also, it can be noticed how the first three TZs are slightly misplaced with respect to the single-unit response. This is produced by the induced coupling between the two loops due to their proximity. The coupling splits TZs into two, affecting differently depending on the frequency. A comparison between the full EM simulation and the measured results is shown in Fig. 18. Even though is not perfectly matched due to the mutual coupling, expected resonances are effectively attenuated without compromising the equal-ripple response. Differences in the location of TPs are due to the effects of the packaging in the simulation.

Fig. 18. Measured results vs. EM simulation (including packaging) . (a) Wideband response. Picture of the fabricated prototype (16.4 6.6 mm ). (b) Insertion loss and group delay.

IX. CONCLUSION In this paper, a compact, inexpensive, self-packaged low-pass structure has been presented, while discussing its theoretical principles and demonstrating them through two different examples. A way of improving the rejection in the stopband by cascading two loop units is also discussed, including the matters related to the interconnection between them. In the first example, a single loop demonstrating the discussed theoretical principles is covered, followed by a cascaded filter, showing very deep, wide stopband with a flat rejection better than 42 dB. Its very low insertion loss makes the filter suitable for clean-up applications. A performance comparison table (Table I) summarizes its main characteristics compared to some other filters found in the literature, showing how this type of filter is capable of

3928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I PERFORMANCE COMPARISON AMONG PUBLISHED FILTERS

matching performance characteristics, with a considerably reduced footprint, also with the advantage of being self-packaged. Covered filters, including packaging, have a footprint of 14.4 7 mm and 16.4 6.6 mm , respectively, with very low profile (0.45 mm). ACKNOWLEDGMENT The authors would like to thank Dr. Neil Thomson at BSC Filters Ltd. for his support and encouragement throughout this research work. REFERENCES [1] R. Gomez-Garcia, M.-A. Sanchez-Soriano, M. Sanchez-Renedo, G. Torregrosa-Penalva, and E. Bronchalo, “Low-pass and bandpass filters with ultra-broad stopband bandwidth based on directional couplers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4365–4375, Dec. 2013. [2] S. Luo, L. Zhu, and S. Sun, “Stopband-expanded low-pass filters using microstrip coupled-line hairpin units,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 506–508, Aug. 2008. [3] M. Sanchez-Soriano, G. Torregrosa-Penalva, and E. Bronchalo, “Compact filtering structure with four transmission zeros for extended stopband performance,” in Eur. Microwave Conf. (EuMC), 2010, Sep. 2010, pp. 13–16. [4] V. Velidi and S. Sanyal, “Sharp roll-off lowpass filter with wide stopband using stub-loaded coupled-line hairpin unit,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 6, pp. 301–303, Jun. 2011. [5] K. Ma and K. Yeo, “Novel low cost compact size planar low pass filters with deep skirt selectivity and wide stopband rejection,” in IEEE MTT-S Int. Microwave Symp. Dig. (MTT) 2010, May 2010, pp. 1–1.

[6] M. Hayati, H. Asadbeigi, and A. Sheikhi, “Microstrip lowpass filter with high and wide rejection band,” Electron. Lett., vol. 48, no. 19, pp. 1217–1219, Sep. 2012. [7] M. Hayati, A. Sheikhi, and A. Lotfi, “Compact lowpass filter with wide stopband using modified semi-elliptic and semi-circular microstrip patch resonator,” Electron. Lett., vol. 46, no. 22, pp. 1507–1509, Oct. 2010. [8] M. Mirzaee and B. Virdee, “Realisation of highly compact planar lowpass filter for UWB RFID applications,” Electron. Lett., vol. 49, no. 22, pp. 1396–1398, Oct. 2013. [9] J. Wang, H. Cui, and G. Zhang, “Design of compact microstrip lowpass filter with ultra-wide stopband,” Electron. Lett., vol. 48, no. 14, pp. 854–856, Jul. 2012. [10] J. Xu, Y.-X. Ji, W. Wu, and C. Miao, “Design of miniaturized microstrip LPF and wideband BPF with ultra-wide stopband,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 8, pp. 397–399, Aug. 2013. [11] A. Balalem, A. Ali, J. Machac, and A. Omar, “Quasi-elliptic microstrip low-pass filters using an interdigital DGS slot,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 586–588, Aug. 2007. [12] H. Cao, W. Guan, S. He, and L. Yang, “Compact lowpass filter with high selectivity using G-shaped defected microstrip structure,” Progr. Electromagn. Res. Lett., vol. 33, pp. 55–62, 2012. [13] M. Kufa and Z. Raida, “Lowpass filter with reduced fractal defected ground structure,” Electron. Lett., vol. 49, no. 3, pp. 199–201, Jan. 2013. [14] K. Samanta and I. Robertson, “Characterisation and application of embedded lumped elements in multilayer advanced thick-film multichipmodule technology,” IET Microw. Antennas Propag., vol. 6, no. 1, pp. 52–59, Jan. 2012. [15] G. Karimi, A. Lalbakhsh, and H. Siahkamari, “Design of sharp roll-off lowpass filter with ultra wide stopband,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 6, pp. 303–305, Jun. 2013. [16] J.-M. Muoz-Ferreras and R. Gomez-Garcia, “A digital interpretation of frequency-periodic signal-interference microwave passive filters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2633–2640, Nov. 2014. [17] T. Jensen, V. Zhurbenko, V. Krozer, and P. Meincke, “Coupled transmission lines as impedance transformer,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2957–2965, Dec. 2007. [18] F. Cervera, J. Hong, and N. Thomson, “Development of packaged UWB passive devices using LCP multilayer circuit technology,” in Proc. 7th Eur. Microwave Integrated Circuits Conf. (EuMIC), Oct. 2012, pp. 770–773. Francisco Cervera (S'10) received the B.Eng. degree in telecommunications engineering from Universidad Europea de Madrid, Madrid, Spain, in 2007, and the M.Sc. degree in mobile communications from Heriot-Watt University, Edinburgh, U.K., where he is currently working towards the Ph.D. degree. His research interests include miniature, self-packaged, multilayer RF/microwave filters using LCP materials, and integration for wireless communication and radar systems. Jiasheng Hong (M’94–SM’05–F’12) received the D.Phil. degree in engineering science from the University of Oxford, Oxford, U.K., in 1994. His doctoral dissertation concerned EM theory and applications. In 1994, he joined the University of Birmingham, Birmingham, U.K., where he was involved with microwave applications of high-temperature superconductors, EM modeling, and circuit optimization. In 2001, he joined the Department of Electrical, Electronic and Computer Engineering, Heriot-Watt University, Edinburgh, U.K., and is currently a Professor leading a team for research into advanced RF/microwave device technologies. He has authored and coauthored over 200 journal and conference papers, and two books, Microstrip Filters for RF/Microwave Applications (Wiley, 1st ed., 2001, 2nd ed., 2011) and RF and Microwave Coupled-Line Circuits (Artech House, 2nd ed., 2007). His current interests involve RF/microwave devices, such as antennas and filters, for wireless communications and radar systems, as well as novel material and device technologies including multilayer circuit technologies using package materials such as liquid crystal polymer, RF MEMS, ferroelectric and high-temperature superconducting devices.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Novel Coupling Matrix Synthesis for Single-Layer Substrate-Integrated Evanescent-Mode Cavity Tunable Bandstop Filter Design Shahrokh Saeedi, Student Member, IEEE, Juseop Lee, Member, IEEE, and Hjalti H. Sigmarsson, Member, IEEE

Abstract—A new technique for designing tunable bandstop filters is presented. A novel coupling matrix synthesis method for this type of bandstop filter is shown. Phase cancellation, through combining two bandpass filters, is used to derive the coupling matrix. Therefore, classical coupling mechanisms utilized to implement bandpass filters can be used to design and realize bandstop filters. Using this method, bandstop filters can be designed without source-to-load coupling. This eliminates the need for a second substrate when compared to previously reported bandstop filters implemented using substrate-integrated evanescent-mode cavity technology, though the method itself is quite general. Finally, examples of tunable bandstop filters in the range from 3.0 to 3.6 GHz are demonstrated to verify the proposed method. Index Terms—Bandstop filter, coupling matrix synthesis, phase cancellation, source-to-load coupling, tunable.

I. INTRODUCTION

F

UTURE microwave systems operating in an evercrowded spectrum will require agile front-ends. Agility enables cognitive frequency response control suitable for dynamic spectral environments. Therefore, such sophisticated systems are not bound to single front-end architectures in contrast to past and current systems [1], [2]. Reconfigurable microwave filters will play an important role in such high-performance systems enabling different system architectures for future software-based radios, satellite communication systems, and multi-band/multi-functional radars. Consequently, extensive research has been conducted over the past decade on the synthesis, design, and implementation of reconfigurable microwave filters [3]. An electronically fully controllable filter with tunable center frequency, bandwidth, and phase performance along with reconfigurable frequency response, order, and location/number of poles is the ultimate goal of filter designers. Such an optimum filter can be field programmed to Manuscript received June 01, 2015; revised September 16, 2015; accepted October 05, 2015. This work was supported by the Agency for Defense Development (ADD) Daejeon, Korea under Contract UD120046FD. S. Saeedi and H. H. Sigmarsson are with the Advanced Radar Research Center (ARRC), School of Electrical and Computer Engineering, The University of Oklahoma, Norman, OK 73019 USA (e-mail: [email protected]; [email protected]). J. Lee is with the College of Information and Communications, Korea University, Seoul 136-701, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490075

adaptively process RF signals with arbitrary frequency content for any given application in any dynamic spectral environment. From a system point of view, noncognitive microwave systems with single front-end architectures need to filter the input signals using a bandpass filter, as knowledge of the spectral environment is unavailable. This requirement is the base of fearbased front-end architecture in which all input frequencies, except the range of interest, are attenuated [1], [2]. These architectures with tunable bandpass filters have been shown to be promising for mitigating interference in an environment containing multiple interfering signals. However, the added insertion loss of such filters causes an increase in noise figure, resulting in degraded system sensitivity and thus reduced overall system performance [4]. In contrast, future cognitive microwave systems will be capable of operating in the following modes: 1) no filtering when no significant interfering signal are present; 2) bandpass filtering when there are multiple interfering signals; or 3) bandstop filtering when strong adjacent interference is encountered. Many systems would benefit greatly from the last mode, which can be referred to as a bandstop-based front-end architecture. This configuration exhibits a reduced system noise figure, as the insertion loss of bandstop filters can be kept low, and at the same time used to protect the system from receiver nonlinearities resulting from suppression of the interfering signals [2]. Dynamic spectral access and concurrent transmit–receive radars, broadband radios in the presence of co-site interference, ultra-high-sensitivity receivers, and spectrum-sensing cognitive radios are examples of such systems. Therefore, tunable bandstop filters are going to be utilized in conjunction with tunable bandpass filters in high-performance reconfigurable filters to add such flexibility to future systems. This is a new application added to the previous tasks of bandstop filters in attenuating harmonic frequencies at the output of a nonlinear power amplifier or suppressing local oscillator re-radiation. Although a lot of progress has been made in bandpass and bandstop filter design, different techniques for bandstop filter design and implementation still need to be investigated. Conventionally, microwave bandstop filters have been designed using a transmission line between the source and load ports coupled at quarter wavelength (or odd multiples of that) intervals to bandstop resonators (anti-resonators), both for static and tunable filters [5]–[7]. Bandstop filters designed using this method can be implemented via different technologies including coaxial lines, waveguides, and substrate integrated

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

waveguides (SIWs) [8], [9]. Although many scholars have contributed to the design of bandstop filters, direct synthesis of bandstop filters was first presented in [10]. It was shown that Chebyshev bandstop filters can be designed using the same folded fully canonical topology used for the synthesis of generalized Chebyshev (pseudoelliptic) and elliptic bandpass filters [11], [12]. Based on the method presented in [10], and due to the use of a folded canonical structure in the design of bandstop filter, successive placement of the resonators coupled to a main transmission line is not required. Therefore, bandstop filters can be implemented more compactly. Using this method, it is possible to realize up to reflection zeros for a bandstop filter with resonators because the folded canonical structure includes direct source-to-load coupling. The tunable bandstop filter with variable attenuation introduced in [13] also utilizes direct source-to-load coupling. A design method for bandstop filters without source-to-load coupling based on dual-band combline filter transformation was demonstrated in [14]. However, the provided design formulas have been derived for combline structures without showing the synthesis procedure for realizing the coupling matrix. More recently, evanescent-mode cavity filters were used to design and implement tunable reconfigurable bandstop filters as well as bandpass–bandstop filter cascades [2], [4], [15]–[24]. The benefits of evanescent-mode cavity filters include high- , multi-octave tuning ranges, and low-power consumption in actuation circuitry. For these reasons, extensive research has been devoted to the design and development of reconfigurable evanescent-mode cavity filters. The aforementioned bandstop filters also utilize source-to-load coupling. This source-to-load coupling is implemented using a microstrip transmission line between the filter ports, fabricated on a separate layer and laminated to the back of the cavity substrate, to which the resonators are coupled in a shunt configuration. However, in the bandpass filters implemented using the same technology, a series feed was used [15]. Therefore, although the resonators are identical for both bandpass and bandstop filters, due to different coupling schemes the physical implementation of each filter is different. Thus their integration increases the complexity of the fabrication process and reduces yield. This paper presents a new coupling matrix synthesis method for designing bandstop filters without source-to-load coupling. To this end, phase cancellation is used to provide the notch in the frequency response of the filter. The presented method provides the option of designing and implementing bandstop filters using the same coupling scheme that is used for bandpass filters. For demonstration, a coupling matrix for a second-order bandstop filter is derived and examples of single substrate evanescent-mode cavity bandstop filters with different bandwidths are provided to prove the concept. The proposed design method is quite general and can be applied to higher order filters and implemented in any filter technology. II. THEORY

BANDSTOP FILTER DESIGN USING PHASE CANCELLATION

OF

A. Bandstop Filter Topology In order to design a bandstop filter using phase cancellation, two different signal paths with 180 phase shift must be pro-

Fig. 1. Coupling routing diagram for a second-order bandstop filter designed using phase cancellation.

Fig. 2. Coupling routing diagram for an th-order bandstop filter designed using the: (a) method presented in [14] and (b) proposed method in this paper.

vided between the source and load. The second path behaves like the source-to-load coupling; however, it should not be between the source and load ports. This idea is shown in Fig. 1 for a second-order bandstop filter. Since every coupling provides a 90 phase shift, the second signal path must pass through two more couplings so that the two signal samples are added out-ofphase at the filter output to suppress the signal at the stopband region of the filter response. In addition, the coupling values must be designed in such a way that the two signal samples have the same magnitude at the filter output to cancel out each other perfectly. The benefit of using this technique is that bandstop filters can be designed and implemented using two bandpass filters. Therefore, the internal and external coupling between the ports and resonators are realized using the same methods used in bandpass filters. The direct path in the bandstop filter should be a broadband bandpass filter while the indirect path is a narrowband bandpass filter. This idea can be extended to any filter order as long as the conditions for the phase and magnitude of two signal samples are met. Since the indirect signal path has two resonators and couplings in common with the direct path, the synthesis method must take this into account. Similar to the implementation in [14], designing an th-order bandstop filter resonators although they using phase cancellation requires use different coupling routing diagrams. Fig. 2 shows the coupling routing diagram for each case.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAEEDI et al.: NOVEL COUPLING MATRIX SYNTHESIS FOR TUNABLE BANDSTOP FILTER DESIGN

3

(6)

Fig. 3. Decomposition of a second-order bandstop filter into two bandpass filters.

B. Coupling Matrix

and in the When these two filters are recombined, coupling matrices cannot retain their original values. They are forced to be either 0.8409 or 1.1430. This means that either of these filters can be synthesized directly to have the desired response while the other one must be re-synthesized with two predefined external couplings. Otherwise, the response of the filter is distorted. If, for example, the second-order bandpass filter is designed independently, the coupling matrix of the fourth-order bandpass filter must be rewritten as

To synthesize the coupling values for the bandstop filter, it is first decomposed into two bandpass filters. Fig. 3 shows the coupling routing diagram for the two bandpass filters resulting from the decomposition of the second-order bandstop filter. Each bandpass filter has only inline coupling and therefore can be designed easily. For such a filter, the coupling values are calculated using (1)

(7)

where (8)

where represents the low-pass normalized prototype element value. Therefore, the coupling matrix of the second- and fourthorder bandpass filters can be found, respectively, as

of this filter can be presented using its new coupling matrix [25] as (9)

(2)

where of and

and

(10)

(3)

Assuming symmetric frequency responses for the bandpass filters, and

where is the coupling matrix, is similar to the identity matrix, except that , is the matrix with all entries zeros, except for , and is the frequency variable of the low-pass prototype . From general filter theory, the transmission and reflection functions of a two-port lossless filter network can be written using characteristic polynomials and as [26]

(4)

The low-pass normalized prototype can be obtained from any common filter synthesis approximation. In the case of maximally flat filters, for instance, the coupling matrices can be written as

(5) and

is the element of the inverse matrix is the order of the filter. Matrix is defined as

(11) and (12) where is a constant used to normalize the highest degree coefficients of the polynomials to one. The characteristic polynomials are related to each other due to the conservation of energy,

(13)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Therefore, in the case of a fourth-order maximally flat lowpass prototype filter with the corner frequency of rad/s, the transmission function is given as (14) cannot Constructing (9) using (7) and (10) shows that generate a transmission response similar to (14) unless frequency scaling is introduced to (14). Applying frequency scaling, (15) to (14), equating (14) and (9), and finally solving the equations simultaneously will result in (16) (17) (18) Enforcing a predefined external coupling gives the value of , and hence, the rest of the coupling values. For example, according to (5) for , (16)–(18) will give (19)

Fig. 4. Frequency response of the bandstop filter using the proposed topology.

width of 0.505 rad/s, and it will be embedded in the passband of a bandpass filter with 3-dB bandwidth of 2.28 rad/s. The coupling matrix of such a bandstop filter is identical to , except that instead of zero. Applying an additional frequency scaling to the resulting coupling matrices can be used to normalize their corner frequencies. The interesting observation is that both methods give the same normalized coupling matrix as

(20) and (21) Therefore, the coupling matrix of the fourth-order maximally flat bandpass filter with corner frequency of rad/s is written as

(24) The frequency response of the final bandstop filter with a normalized 3-dB bandwidth is shown in Fig. 4. C. Higher Order Bandstop Filter and Bandpass Filter Synthesis With Predefined Coupling

(22) Finally, combining (5) and (22) gives the bandstop filter coupling matrix

Extension of the bandstop filter synthesis using phase cancellation through combing two bandpass filters requires the synthesis of a bandpass filter with predefined external coupling. Applying a similar procedure to a general bandpass filter of order results in (25)

(26)

(23) The matrix has an embedded notch with 3-dB bandwidth of 0.273 rad/s in the passband of a bandpass filter with a 3-dB bandwidth of 1.236 rad/s. It is worth mentioning that if the fourth-order bandpass filter is synthesized directly and two predefined external couplings are forced on the second-order bandpass filter, the resulting bandstop filter will have a 3-dB band-

where the prime has been used to express the filter’s coupling after the frequency scaling and represents the poles of the filter. For maximally flat and equal-ripple filters, the poles are expressed, respectively, as (27) and (28)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAEEDI et al.: NOVEL COUPLING MATRIX SYNTHESIS FOR TUNABLE BANDSTOP FILTER DESIGN

where

5

is defined as for

(29)

For the equal-ripple filters, (30) where is called the ripple factor and is related to the filter passband return loss, in dB, as (31) In [27], it was shown that, for both filter approximations, (32)

Fig. 5. Frequency response of a fourth-order bandpass filter. (solid line) Max, (dashed line) nonimally flat, (dotted line) nonmaximally flat with . maximally flat with

for

Therefore, (25) is written as

and will be

(33) which shows how the new corner frequency can be found from the predefined external coupling and the first coefficient of the low-pass prototype. The remaining new coupling coefficients can then be found using (26). It is worth noting that (26) and (33) can also be used to re-calculate the coupling coefficients for a bandpass filter either in the case of enforcing a predefined internal coupling or frequency scaling of the corner frequency. It is possible to combine (26) and (33) in order to eliminate the corner frequency. This results in a relationship between the new coupling coefficients that is independent of the frequency scaling factor. For example, in the case of a fourth-order bandpass filter, it can be shown that (34) where, for a maximally flat filter (with simplified into

), (34) can be (35)

This suggests that when is forced to have a specific value, can be chosen arbitrarily and can be found from (35). This provides another degree of freedom to the design that allows for enforcing freely in addition to . However, such a selection will result in a nonmaximally flat bandpass filter. For instance, using (35) in the previous example, the coupling matrix of the fourth-order bandpass filter with enforced will be

(36)

(37) . However, as shown in (23), the conventional for coupling matrix of a fourth-order maximally flat bandpass filter with requires to have . The frequency responses of these filters, after being scaled to have normalized corner frequency, are compared in Fig. 5. The nonmaximally flat bandpass filters have a slightly wider (for the case of ) or narrower bandwidth (for the case of ) and the reflection zeros are not all at rad/s, which means that the passband ripple for the nonmaximally flat bandpass filters is nonzero. However, this ripple would be quite acceptable for many practical applications. III. BANDSTOP FILTER DESIGN AND FABRICATION In order to verify the theory presented in Section II, two prototype bandstop filters were designed and fabricated using substrate integrated evanescent-mode cavity filter technology. Fig. 6 shows the structure of a typical cylindrical integrated evanescent-mode cavity resonator. The resonator consists of an electrically short coaxial cavity that is heavily loaded with an air-filled capacitor. The loading capacitor is formed using a movable conductive diaphragm on top of the cavity post. Therefore, the cavity resonates at frequencies with much longer wavelength than the cavity height [28]. The filter is tuned across the desired tuning frequency range by displacement of the diaphragm, which results in the change in the loading capacitance. The majority of the electric field in the resonator is confined in the gap above the post. Therefore, the dielectric losses are kept to a minimum with the only contribution coming from the fringing fields around the loading capacitor. This results in a resonator with a very high quality factor. The other benefit of heavily loading the resonator is the increased sensitivity of filter

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Physical structure of a typical coaxial substrate integrated evanescentmode cavity resonator with plated vias forming the coaxial cavity post and exterior wall.

Fig. 7. Simulated results for compensation of insertion loss in the bandstop filter passband using asynchronous tuning mode. (solid line) After compensation and (dotted line) before compensation.

tuning to diaphragm deflections. When combined with external piezoelectric actuation the result is a wide frequency tuning range without compromising the quality factor. In general, the cavity and gap dimensions are designed based on the required quality factor, tuning frequency range, and tuning sensitivity of the resonator. More details on the design of evanescent-mode cavity resonators can be found in [28] and [29]. Both prototype filters are second-order frequency-tunable bandstop filters in the band of 3.0–3.6 GHz. They are designed to provide low passband insertion loss in the tuning range, 10% away from the center frequency. Therefore, the passband is from 3.0 to 3.6 GHz and the bandstop filter can be tuned anywhere within this range. The first filter is an extremely narrowband bandstop filter with 10-dB fractional bandwidth of 0.2% and the second one is a narrowband bandstop filter with 10-dB fractional bandwidth of 2.0%. The filters were implemented in 3.175-mm-thick Rogers TMM3 microwave substrate ( at 10 GHz). The structure of the filters is similar to what is shown in [30]. Each cavity has a 13.7-mm diameter and a 3.8-mm post formed using 0.8-mm copper-plated vias. The initial air gap was designed to be 51 m at 3.3 GHz. In order to tune the filters from 3.0 to 3.6 GHz, the gap needs to be changed from 40 to 70 m, respectively. Piezoelectric actuators (with a diameter of 12.7 mm, a thickness of 0.41 mm, and 19- m unloaded vertical movement) from Piezo Systems Inc. are attached using conductive epoxy to the diaphragm external to the cavity above the posts. They are used to provide the required physical displacement. As mentioned in Section II, the bandstop filter consists of two bandpass filters; a wideband bandpass filter (direct path) that functions like a transmission line between the filter ports and a narrowband bandpass filter that embeds the notch inside the wideband filter response. Again, similar to [14], the bandwidth of the wide bandpass filter determines the passband of the bandstop filter. Therefore, when a bandstop filter with a very wide passband is required, the bandwidth of the bandpass filter in the direct path must be increased. This limitation can be overcome by tuning of the resonators 1 and 4 to achieve lower insertion loss in the passband of the bandstop filter. Therefore, using asynchronous tuning mode, tuning of resonators 1 and 4 can be used to compensate for the insertion loss of the bandstop filter in

the desired passband even if the wideband bandpass filter does not have enough bandwidth to create a low-loss path between the ports in the desired tuning range. Fig. 7 shows the 2% bandstop filter response tuned at 3.6 GHz while the passband insertion loss at 3.0 GHz has been compensated using asynchronous tuning of the resonators in the direct path by a 300-MHz offset from the center frequency. The center frequency of the notch in the bandstop filter is controlled using resonators 2 and 3. An interesting observation in this response is that due to the use of four resonators in the bandstop filter design, four reflection zeros are incorporated in the return loss of the filter. Asynchronous tuning of the resonators can split and change the position of these reflection zeros. This results in sharper transition from the stopband to the passband of filter on one side of the stopband. By controlling the location of the poles, a bandstop filter with either constant absolute bandwidth or constant fractional bandwidth is achievable. Constant absolute bandwidth was previously reported in [14]. To design the wideband bandpass filter, a mixed electricand magnetic-field coupling technique was used [30]. For both fabricated prototypes, a bandpass filter with 3-dB bandwidth of 1.25 GHz at 3.3 GHz was used. The 2-D and 3-D electromagnetic (EM) models of the bandstop filter, simulated in ANSYS HFSS, along with the definition of primary dimensions for the case of 2.0%-fractional-bandwidth prototype are shown in Fig. 8. Table I summarizes the primary dimensions for this filter. A photograph of the fabricated prototype for the 2.0%-fractional-bandwidth case is shown in Fig. 9. All coupling mechanisms, except the one between resonators 2 and 3, have been implemented using mixed electric- and magnetic-field coupling. The 0.2%-fractional-bandwidth bandstop filter was also designed and fabricated similarly, except that in this filter, in addition to the coupling between resonators 2 and 3, the coupling between resonators 1 and 2 and also between resonator 3 and 4 were implemented only using magnetic-field coupling. The fabricated 0.2%-fractional-bandwidth prototype is shown in Fig. 10. Different coupling mechanisms between the resonators can be seen by comparing Figs. 9 and 10. Additionally, these figures also represent two stages in the fabrication process: 1) prior to attaching the piezoelectric actuators with the laminated

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAEEDI et al.: NOVEL COUPLING MATRIX SYNTHESIS FOR TUNABLE BANDSTOP FILTER DESIGN

7

Fig. 9. Fabricated prototype bandstop filter with 10-dB fractional bandwidth of 2.0% at 3.3 GHz. Filter shown prior to piezoelectric actuator attachment.

Fig. 10. Fabricated prototype bandstop filter with 10-dB fractional bandwidth of 0.2% at 3.3 GHz. Filter shown after piezoelectric actuator attachment.

(38) Fig. 8. Simulated model for the bandstop filter with 10-dB fractional bandwidth of 2.0% at 3.3 GHz. (a) 3-D view, (b) 2-D top view, and (c) 2-D bottom view. TABLE I 2.0%-FRACTIONAL-BANDWIDTH FABRICATED FILTER PRIMARY DIMENSIONS

and for the 2.0% filter, (39) Weakly coupled cavity resonators were included in the fabrication run in order to characterize the unloaded quality factor. This can be used to include the impact of processing conditions in the EM bandstop filter simulations. IV. MEASURED RESULTS

copper diaphragm visible and 2) after the piezoelectric actuators attachment. The coupling matrices for both prototypes were obtained using (35) for a nonmaximally flat filter. For the 0.2% filter,

The prototype filters were measured using an Agilent Technologies N5222A PNA. Keithley 2400 Sourcemeters were used to bias the piezoelectric actuators. An unloaded quality factor of 620 at 3.3 GHz was extracted by measuring the transmission response of the weakly coupled cavity resonators. This quality factor value was used to characterize the conductivity of the plated copper, which was then used in all circuit and full-wave simulations. Fig. 11 shows the measured result for the 0.2% bandstop filter tuned between 3.0 and 3.6 GHz. When the filter is tuned at 3.0 GHz, the insertion loss from 3.3 to 3.6 GHz ranges from 0.67 to 0.95 dB, respectively. Also when the filter is tuned at 3.6 GHz, the insertion loss from 3.0 to 3.3 GHz ranges from 0.42 to 0.32 dB, respectively. A broader view of the bandstop filter response tuned at 3.3 GHz showing the wideband bandpass filter response is also depicted in Fig. 12. Due to limited bandwidth of the wideband bandpass filter, when the bandstop notch is tuned to one end of the tuning range, the insertion loss of bandstop filter in the other end of the tuning range is increased. Such a case, along with the asynchronous tuning compensation solution, is shown in Fig. 13. The filter has been tuned to 3.0 GHz and the insertion loss at 3.6 GHz has been compensated. This reduces the insertion loss of the filter from 0.95 to 0.29 dB. All the measurements include the loss of two SMA end-launch connectors.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Measured response of the 0.2% bandstop filter tuned between 3.0 and 3.6 GHz.

Fig. 14. Measured response of the 2% bandstop filter tuned between 3.0 and 3.6 GHz.

Fig. 12. Measured versus EM simulated response of the 0.2% bandstop filter tuned at 3.3 GHz. (solid line) Measurement and (dotted line) simulation.

Fig. 15. Measured versus EM simulated 10-dB bandwidth of the 2% bandstop filter. (solid line) Measurement and (dotted line) simulation.

Fig. 13. Measured result for asynchronous tuning of the 0.2% bandstop filter tuned at 3.0 GHz to compensate insertion loss at 3.6 GHz. (solid line) After compensation and (dotted line) before compensation.

Fig. 16. Measured versus EM simulated insertion loss of the 2% bandstop filter at 10% away from the center frequency. (solid line) Measurement and (dotted line) simulation.

The measured response of the 2% bandstop filter tuned between 3.0 and 3.6 GHz is shown in Fig. 14. During this measurement, resonators 1 and 4 were synchronously tuned. Fig. 15 illustrates a comparison between the measured and simulated 10-dB bandwidth of the filter. The measurement exhibits 10-dB bandwidths ranging from 1.92% at 3.0 GHz to 2.04% at 3.6 GHz. Measured and simulated insertion loss of the bandstop filter in the passband, 10% away from (above and below) the center frequency of the filter in the desired frequency range (i.e.,

3.0–3.6 GHz), are also shown in Fig. 16. In Fig. 16, the horizontal axis shows the bandstop center frequency , while the vertical axes are showing the insertion loss of the filter at 0.9 and 1.1 . A passband insertion loss of less than 0.6 dB is guaranteed across the entire range. In general, there is a good agreement between the measurements and simulations. The slightly higher insertion loss in the measurement can be attributed to the SMA connectors. Also, nonplanar movement of the copper membrane on top of cavities and finally fabrication imperfections and tolerances contribute

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAEEDI et al.: NOVEL COUPLING MATRIX SYNTHESIS FOR TUNABLE BANDSTOP FILTER DESIGN

to the slightly narrower bandwidth in comparison to the simulation. V. CONCLUSION The theory for a new and elegant synthesis method for bandstop filters has been presented in this paper. The proposed method utilizes phase cancellation to realize the coupling matrix without source-to-load coupling. Therefore, the same coupling implementation methods used for bandpass filters can be used for designing and implementing bandstop filters. In fact, through the application of this design method, a bandstop filter notch is embedded in the passband of a wideband bandpass filter. The procedure utilizes bandpass filter frequency scaling. This scaling is equivalent to re-synthesis of the coupling matrix when a predefined coupling value is forced into the matrix. The appropriate expressions for calculating the new coupling values are provided. In general, this method can be utilized for scaling coupling matrices with forced values in order to realize filters with practical limitations. To verify the theory, examples of second-order bandstop filters with 0.2% and 2.0% fractional bandwidths in the range of 3.0–3.6 GHz were provided. The prototypes were implemented in single-layer substrate-integrated evanescent-mode cavity technology. The proposed design technique eliminates the extra substrate used, in previously reported substrate-integrated evanescent-mode cavity bandstop filters, to form the source-to-load coupling. Good agreement between simulations and measurements was observed. To the authors’ best knowledge, this is the first time that a bandstop filter design without source-to-load coupling using phase cancellation has been reported. REFERENCES [1] W. J. Chappell, E. J. Naglich, C. Maxey, and A. C. Guyette, “Putting the radio in ‘software-defined radio’: Harware developments for adaptable RF systems,” Proc. IEEE, vol. 102, no. 3, pp. 307–320, Mar. 2014. [2] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Switchless tunable bandstop-to-all-pass reconfigurable filter,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1258–1265, May 2012. [3] R. Gomez-Garcia, M.-A. Sanchez-Soriano, K.-W. Tam, and Q. Xue, “Flexible filters,” IEEE Microw. Mag., vol. 15, no. 5, pp. 43–54, Jul./ Aug. 2014. [4] J. Lee, E. J. Naglich, H. H. Sigmarsson, D. Peroulis, and W. J. Chappell, “New bandstop filter circuit topology and its application to design of a bandstop-to-bandpass switchable filter,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1114–1123, Mar. 2013. [5] G. L. Matthaei, L. Young, and E. Jones, Microwave Filters, Impedance Matching Networks and Coupling Structures. New York, NY, USA: McGraw-Hill, 1964. [6] J. D. Rhodes, “Waveguide bandstop elliptic function filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 11, pp. 715–718, Nov. 1972. [7] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandstop filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-30, no. 9, pp. 1361–1367, Sep. 1982. [8] S. Han, X.-L. Wang, and Y. Fan, “Analysis and design of multipleband bandstop filters,” Progr. Electromagn. Res., vol. 70, pp. 297–306, 2007. [9] A. B. Hisham and I. C. Hunter, “Design and fabrication of a substrate integrated waveguide bandstop filter,” in IEEE 38th Eur. Microw. Conf., 2008, pp. 40–42. [10] S. Amari and U. Rosenberg, “Direct synthesis of a new class of bandstop filters,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 2, pp. 607–616, Feb. 2004.

9

[11] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jan. 2003. [12] R. J. Cameron, “General prototype network synthesis for microwave filters,” ESA J., vol. 6, pp. 193–206, 1982. [13] D. R. Jachowski, “Frequency-agile bandstop filter with tunable attenuation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009. [14] A. I. Abunjaileh and I. C. Hunter, “Tunable bandpass and bandstop filters based on dual-band combline structures,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3710–3719, Dec. 2010. [15] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Tunable, substrate integrated, high Q filter cascade for high isolation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010. [16] J. Lee, E. J. Naglich, and W. J. Chappell, “Frequency response control in frequency-tunable bandstop filters,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 12, pp. 669–671, Dec. 2010. [17] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Bandpass-bandstop filter cascade performance over wide frequency tuning ranges,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3945–3953, Dec. 2010. [18] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “High-Q tunable bandstop filters with adaptable bandwidth and pole allocation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2011. [19] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Extended passband bandstop filter cascade with continuous 0.85–6.6-GHz coverage,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 1, pp. 21–30, Jan. 2012. [20] E. J. Naglich, J. Lee, and D. Peroulis, “Tunable bandstop filter with a 17-to-1 upper passband,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012. [21] T. Snow, J. Lee, and W. J. Chappell, “Tunable high quality-factor absorptive bandstop filter design,” in IEEE MTT-S Int. Microw. Symp. Dig., 2012. [22] T.-H. Lee, C.-S. Ahn, Y.-S. Kim, and J. Lee, “Extension of bandstop filter topology with inter-resonator coupling structures to higherorder filters,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 8, pp. 403–405, Aug. 2013. [23] E. J. Naglich, A. C. Guyette, and D. Peroulis, “High-Q intrinsically-switched quasi-absorptive tunable bandstop filter with electrically-short resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., 2014. [24] K. Lee, T.-H. Lee, C.-S. Ahn, Y.-S. Kim, and J. Lee, “Reconfigurable dual-stopband filters with reduced number of couplings between a transmission line and resonators,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 2, pp. 106–108, Feb. 2015. [25] J.-S. Hong, Microstrip Filters for RF/Microwave Applications, 2nd ed. New York, NY, USA: Wiley, 2011. [26] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design, and Applications. New York, NY, USA: Wiley, 2007. [27] S. Saeedi, J. Lee, and H. H. Sigmarsson, “A new property of the maximally-flat lowpass filter prototype coefficients with application in dissipative loss calculations,” Int. J. Circuit Theory Appl., Aug. 2015, submitted for publication. [28] H. Joshi, H. H. Sigmarsson, and W. J. Chappell, “Analytical modeling of highly loaded evanescent-mode cavity resonators for widely tunable high-Q filter applications,” in Proc. Union Radio Sci. Int. (URSI) Gen. Assembly, Chicago, IL, USA, Aug. 2008, Session D09, 4 pp. [29] X. Liu, L. P. Katehi, W. J. Chappell, and D. Peroulis, “High-Q tunable microwave cavity resonators and filters using SOI-based RF MEMS tuners,” J. Microelectromech. Syst., vol. 19, no. 4, pp. 774–784, Aug. 2010. [30] S. Saeedi, J. Lee, and H. H. Sigmarsson, “Broadband implementation of tunable, substrate-integrated, evanescent-mode, cavity bandpass filters,” in IEEE 44th Eur. Microw. Conf., 2014, pp. 849–852. Shahrokh Saeedi (S’12) received the B.Sc. and M.Sc. degrees (with honors) in electrical engineering from the Iran University of Science and Technology, Tehran, Iran, in 1999 and 2002, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at The University of Oklahoma, Norman, OK, USA. From 2002 to 2004, he was with the SAMA Company, Tehran, Iran, as an RF Design Engineer, where he developed various RF circuits and systems such as microwave up/down converters, filter banks, power amplifiers, and digital microwave radios. From 2004 to 2011, he was with the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

SAER Engineering Company, Tehran, Iran, as a Senior Research and Development Engineer and Project Manager, where he was involved in RF/microwave sub-system and system design such as ultra-wideband receivers, RF channelizers, phase-locked oscillators, and fixed/tunable filters from high-frequency (HF) to Ku-band. His research is focused on filter synthesis and fabrication for widely adaptable RF front-ends in cognitive radios and radar systems. Mr. Saeedi is a Member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the International Microelectronics and Packaging Society (IMAPS). He is a co-founder and chair of the IEEE MTT-S Student Branch Chapter, The University of Oklahoma.

Juseop Lee (S’02–M’03) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, Korea, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan, Ann Arbor, MI, USA, in 2009. In 1999, he joined LG Information and Communications (now LG Electronics) in Korea, where his activities included design and reliability analysis of RF components for code-division multiple-access (CDMA) cellular systems. In 2001, he joined the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, where he was involved in the design of passive microwave equipment for Ku- and Ka-band communications satellites. In 2005, he joined The University of Michigan, where he was a Research Assistant and Graduate Student Instructor with the Radiation Laboratory, and where his research activities were focused on millimeter-wave radars and synthesis techniques for multiple passband microwave filters. In 2009, he joined Purdue University, West Lafayette, IN, USA, where he was a Post-Doctoral Research Associate, and his activities included the design of adaptable RF systems. In 2012, he

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

joined Korea University, Seoul, Korea, where he is currently an Associate Professor. His research interests include RF and microwave components, satellite transponders, wireless power transfer, and electromagnetic theories. Prof. Lee was a recipient of the Graduate Fellowship presented by the Korea Science and Engineering Foundation (KOSEF) and the Rackham Predoctoral Fellowship presented by the Rackham Graduate School, The University of Michigan. He was also the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship.

Hjalti H. Sigmarsson (S’01–M’10) received the B.S.E.C.E. degree from the University of Iceland, Reykjavik, Iceland, in 2003, and the M.S.E.C.E. and Ph.D. degrees in electrical and computer engineering from Purdue University, West Lafayette, IN, USA, in 2005 and 2010, respectively. He is currently with the School of Electrical and Computer Engineering and the Advanced Radar Research Center (ARRC), The University of Oklahoma, Norman, OK, USA, where he is an Assistant Professor. His current research is focused on reconfigurable RF and microwave hardware for agile communications, measurement and radar systems. His research interests also include spectral management schemes for cognitive radio architectures and advanced packaging utilizing heterogeneous integration techniques. Dr. Sigmarsson is a Member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), Eta Kappa Nu, and the International Microelectronics and Packaging Society (IMAPS). He was the recipient of the Best Paper Award of the IMAPS 2008 41st International Symposium on Microelectronics. He was also the recipient of the 2015 Air Force Office of Scientific Research Young Investigator Research Program (YIP) Award to support his research on reconfigurable high-frequency components using phase-change materials.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Mechanical Tuning of Substrate Integrated Waveguide Filters Fermín Mira, Member, IEEE, Jordi Mateu, Senior Member, IEEE, and Carlos Collado, Senior Member, IEEE

Abstract—This paper presents a novel approach for tuning substrate integrated waveguide resonators, realized by placing an additional metallized via-hole on the waveguide cavity. The approach presented here can be applied as a trimming technique, as well as to develop filter designs with tunable center frequencies and tunable bandwidths. Three different filters are designed and implemented, demonstrating excellent trimming, 10% tuning of the center frequency, and 100% tuning of the bandwidth, respectively. Index Terms—Filters, open-loop slot, resonator, substrate integrated waveguide (SIW), tuning.

I. INTRODUCTION

T

HE development of substrate integrated waveguide (SIW) technology has presented new opportunities for circuits and systems in the microwave and millimeter-wave frequency range. SIW structures are based on a synthesized waveguide in a planar dielectric substrate with two rows of metallic vias [1], and exhibit a number of advantages, including easy fabrication, compact size, low loss, complete shielding, and easy integration with active devices [2], [3]. Among the wide class of SIW components proposed in the literature, SIW filters have received particular attention due to the possibility of achieving higher quality factor [4], compared to classical planar filters in microstrip and coplanar-waveguide technology. This technology also allows for the inclusion of transmission zeros to improve the selectivity at the band edges [5]. SIW filters are conceptually very similar to filters implemented with waveguide technology, which are conventionally tuned by introducing screws into the resonant cavities and into the coupling apertures. Although the design of a SIW

Manuscript received January 16, 2015; revised June 04, 2015; accepted October 03, 2015. This work was supported in part by the Spanish Government under Grant TEC- 2012-13897-C03-01 and Grant MAT2011-29269-C03-02, in part by the Generalitat de Catalunya under Grant 2014 SGR 1551, and in part by the Cluster of Application and Technology Research in Europe on Nanoelectronics (CATRENE) under the CORTIF CA116- Coexistence of Radio Frequency Transmission in the Future project. F. Mira is with the Centre Tecnológic de Telecomunicacions de Catalunya (CTTC), 08860 Castelldefels, Barcelona, Spain (e-mail: [email protected]). J. Mateu is with the Department of Signal Theory and Communications, Universitat Politècnica de Catalunya (UPC), Barcelona 08034, Spain, and also with the Centre Tecnológic de Telecomunicacions de Catalunya (CTTC), 08860 Castelldefels, Barcelona, Spain (e-mail: [email protected]) C. Collado is with the Department of Signal Theory and Communications, Universitat Politècnica de Catalunya (UPC), Barcelona 08034, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490144

and waveguide filters is quite similar, this simple mechanical tuning method is not applicable for SIW technology due to the compact physical structure. In addition, for SIW technology, mechanical tolerances are typically higher, and variations in the dielectric permittivity and thickness of the substrate can introduce additional perturbation in the electromagnetic response. For this reason, tuning of SIW filters is crucial to compensate for variations in manufacturing and material properties. Moreover, tuning capability could be applied as a convenient method to change the central frequency and bandwidth of the filter. Electrically tunable resonators have been proposed in [6] where a SIW cavity resonator is combined with a surface mounted varactor to achieve a measured continuous tuning range of 1.2%. In [7], the authors proposed the inclusion of p-i-n diodes to obtain discrete electrical tuning. Discrete mechanical tuning is proposed in [8] by opening or short circuiting a capacitive circular slot with a tuning range of 5% or by using microelectromechanical systems (MEMS) devices [9] with a tuning range of 7%. A more complex system is presented in [10], which uses a cylinder of plasma in the resonator, but presents only simulated results. This paper uses the tuning concept for SIW resonators described in [11] to create SIW tunable filters for the first time. In [11], we developed a new concept to tune SIW resonators by including a slot in the top layer and an additional metallized via-hole in the SIW cavity. The dimension of the slot is mechanically controlled by an external metallic flap. Experimental results were presented in a single resonator showing tuning ranges up to 8%. This concept was also later successfully applied for designing tunable oscillators [12]. This work is novel in at least the following four different respects. • First, we prove that the same concept used for tunable resonators is applicable to the design of tunable filters. • Second, we use the same tuning mechanism to control the coupling between resonators as well as the input and output couplings. This allows one to tune the filter bandwidth and also to improve the matching. • Third, we improve the tuning element performance with the design of a new slot configuration, which provides more accurate control of the resonant frequencies. • Fourth, new flap configurations are used in different parts of the filter network to control either the filter center frequency or the couplings between resonators. These new flaps also provide a means for better control over a continuous tuning range, as detailed in Section II. The application of the tunable mechanism is demonstrated in Section III, which presents the designs and full-wave simulations along with experimental results of three fourth-order SIW

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) Details on the dimensions of the tuning element. (b) SIW cavity with tuning element.

tunable filters. The first filter uses the tuning elements as trimming elements to adjust the filter response. The second filter provides a tunable bandwidth of 100% and the third filter offers a central frequency tunability of up to a 10% range. Note as well that the tunable center frequency and tunable bandwidth can be combined together in a single filter design. This is illustrated in the design of the second filter, which is intended to demonstrate a tunable bandwidth, but which also demonstrates a tunable center frequency of up to 4%. II. TUNABLE SIW RESONATOR This section describes the tunable mechanism concept [11] and describes the tuning elements to be used in the following section for the design of tunable filters. A. Tunable SIW: Concept Fig. 1(b) outlines a single resonating SIW cavity fed by microstrip lines. It consists of a conventional SIW resonator with an additional via-hole inside of the cavity. Details of the via-hole can be seen in Fig. 1(a). The via-hole is partially enclosed by a circular slot connected to the top layer through a metallic contact placed at the angle . The via-hole is located in the middle of the cavity and displaced from the center by a distance . The existence of this via-hole perturbs the electromagnetic field distribution from the one in a uniform SIW resonator and this variation gives rise to a change of the resonant frequency. Details in the field distribution for both the side view and bottom view are outlined in Fig. 2. Note that the fundamental resonant frequency of the bare SIW cavity (without a via-hole) it is essentially defined by its width . The inclusion of the via-hole results in a reduced effective width of the cavity, which results in a higher resonant frequency. The position of the via-hole and the orientation of the metallic contact modifies the field distribution and affects the effective width of the cavity, therefore changing the resonant frequency. In absence of metallic contact, only with the slot, the magnetic wall provides an electric field distribution similar to that of a bare cavity [see Fig. 2(a)], whereas with only the via-hole in the absence of a slot, the electric field is compressed and the resonant frequency is increased [see Fig. 2(c)]. From the side view field distribution we can see how, in the case of absence of metallic contact, the field propagates through the slot as in a capacitance coupling [see Fig. 2(a)], whereas in [see

Fig. 2. Electric field distribution on the SIW resonator for both bottom view . (c) Only via-hole and side view. (a) Only slot. (b) Contact placed at . without slot. (d) Contact placed at

Fig. 2(c)], the boundary conditions of an electric wall forces the field drop to zero, and therefore compacting the field as if it was a narrower SIW cavity. The inclusion of the metallic contact with angle provides field distributions and resonant frequencies between both the two states previously described. For , the contact is far from the maximum of the electric field, in this case being more similar to the cavity with only the slot [see Fig. 2(b)]. On the other hand, for , the metallic contact is closer to the maximum of the electric field and the field distribution is similar to the cavity without a slot [see Fig. 2(d)]. As concluded in [11], the tuning frequency range essentially depends on four parameters that define the tuning element. Those parameters are as follows. • The position of the tuning element in the cavity. Indicated by in Fig. 1(b). • The position of the metallic contact between the via-hole and the upper (or lower) metallic wall of the cavity. Represented by the angle in Fig. 1(b). • The width of the metallic contact [see Fig. 1(a)]. • The dimensions of the slot, defined by inner and outer diameters, and [see Fig. 1(a)], respectively [11]. Additionally, and as pointed out in [11], the dimensions of the via-hole of the tuning element [ in Fig. 1(a)] also has an effect on the tuning range. As in [11], the metallic contact will be performed by a metallic flap attached to the tuning screw, which will be mechanically controlled. The orientation of the flap, , will therefore be the tuning parameter once having been determined

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MIRA et al.: MECHANICAL TUNING OF SIW FILTERS

Fig. 3. Outline of the proposed tuning mechanism. (left) Top view. (right) Side view.

Fig. 4. Basic structure for simulating the tuning mechanisms in filters.

all other dimensions of each tuning element (position of the tuning element, , dimension of the slot, and , and via-hole dimensions, ). Also, the width of the flap must be considered as part of the definition of the tuning element. This concept is presented in Fig. 3, and a similar approach is used in this paper. B. Tuning Elements in SIW Filters In order to design a tunable filter one also needs to control the coupling between resonators either because we may desire a variable bandwidth filter or because the coupling between resonators deviates when the resonant frequencies change and we need to recover the initial bandwidth. To do that we introduce a tuning element such as the one in Fig. 1 into the coupling path between resonators, as shown in Fig. 4. Dimensions of the slot and configuration of the metallic flap need to be considered as part of the tunable filter design. In what follows, an assessment of the slot in a two coupled resonator structure is presented. Details of the metallic flaps to be used are also reported in this section. 1) Slot: Fig. 4 shows the basic structure used for the design of tunable filters. Two identical resonators (Resonator 1 and Resonator 2) are separated by an inductive coupling window. The two tuning elements placed into the resonators mainly change the resonant frequency, whereas the tuning element placed in the coupling windows mainly modifies the coupling between the two resonant modes. The configuration of Fig. 4 has been simulated with the same material parameters used in [11], and later used in the filter designs. This is a Rogers RO4003 substrate, with relative permittivity of and 0.813-mm thickness . The loss tangent used for simulation is .

3

The dimensions of the cavities in Fig. 4 are set to resonate around 10 GHz when they are isolated. Then the two identical cavities are coupled together through a coupling window. The dimensions of the overall structure result in mm, mm, and mm. This results in a coupling coefficient of 0.155, without the tuning screws, due to a two-mode resonating structure with GHz and GHz. The frequencies of the two modes have been obtained through eigenmode analysis. Note that the coupling window affects not only the coupling, but also the central frequency of the two-mode structure . This is expected since both cavities are no longer isolated and therefore have different boundary conditions, causing the two cavities to load one another in such a manner as to cause the originally identical mode to split into two different modes [13]. To evaluate the tunable performance of the basic structure of Fig. 4, the central frequency tuning elements ( and ) are introduced in the middle of the cavity at mm from the sidewall and at mm. The dimensions of the slot are chosen to be 1.7 mm for the inner diameter and 2.2 mm for the outer diameter . The width of the metallic contact ( in the top inset of Fig. 1) and the diameter of the via-hole are set to 1 mm. Recall that the settings above on the central frequency tuning screw position and its dimensions affect the ultimate tuning range. A coupling tuning element is then introduced in the middle of the coupling window ( in Fig. 4). The presence of the tuning element in the coupling window affects the field coupled between the two cavities. In turn, the coupling tuning element modifies the boundary conditions of the resonators and thus also affects the central frequency. In spite of that, we can fairly consider that the angle would tailor the central frequency of the resonators and would control the coupling between them. The effects of and are summarized in Table I for two values of the angles 0 and 180 , two different diameters of the via-hole , and two different positions . Note that with these angles we consider the whole range of tuning, and all the values in between can be achieved continuously. The table indicates the values of the two fundamental frequencies and for each combination of and and the resulting coupling coefficients . The value of has been calculated following the well-known expression [13] and ranges from 0.044 to 0.163. From the table we can generally confirm that for any of the tuning element dimensions and positions, when the angle of the coupling flap is fixed the value of the coupling coefficient barely changes, and only the central frequency is affected. According to the results of Table I, the range of tuning is higher as increases and when the coupling tuning element moves out from the center of the coupling window . For mm, the coupling range is larger than 30%, and for mm, it can be increased up to 90%. Thus, depending on the application, we chose the diameter of the via-hole and its position in order to get the required tuning range. This demonstrates the usefulness of this tuning approach also for controlling the coupling between resonators. Note that modification of this configuration, as two tuning elements in the coupling window

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I AND RESONANT FREQUENCIES FOR THE BASIC STRUCTURES OF FIG. 4

COUPLING

Fig. 6. Flaps used for tuning the filters.

of the tuning element for a desired range of tunability. From this assessment, it might be also concluded that for a given via-hole , slot ( and ) and metallic flap dimensions of the coupling tuning element of the tuning range (difference between the maximum and minimum coupling values) barely changes and the achievable coupling is controlled by the position of the coupling tuning element . 2) Flap: In contrast to our previous work, where a simple screw with a single straight metallic flap was used (see details in Fig. 3), in this work several flaps have been considered in order to offer a better control of the tuning requirements for a filter implementation. Fig. 6 shows three different configurations of the flap. The flaps would be attached to the tuning screw and to the top layer of the SIW cavity, therefore defining the length of the slot (or width of the metallic contact ). These flaps will be used in the filters presented in the following section. Although further details will be given, the main differences between the flaps of Fig. 6 are the width of the flap and position. The first flap on the left is designed for half slots and short tuning range, where the slot progressively covers all the slot. The third flap, in contrast, has a small metallic part, covering a small part of the slot, and then resulting in a wide tuning range. Finally, the flap in the middle is designed to produce a symmetrical coverage of the slot, which shows to be convenient when a symmetrical input and output reflection coefficients are required. Fig. 5. Coupling between the two cavities of Fig. 4 for several positions of the tuning element and as a function of the angle position of the metallic contact.

III. TUNABLE SIW FILTER or two tuning elements in the cavity, would also be used for further controlling the tuning performance. In order to further illustrate the design and placement of the coupling tuning element on the coupling window , Fig. 5 depicts the value of the coupling coefficient for several positions of the tuning element ranging from mm to mm as a function of the angle of the metallic contact. For this parameterization, the width of the metallic contact and the diameter of the via-hole are fixed to 1 mm. The results in Fig. 5 show how, for positions where the tuning element is closer to the lateral wall ( small), the coupling decreases when the angle of the metallic flap increases. This has the effect of making the coupling window narrower. On the other hand, when the position of the coupling tuning element gets closer to the coupling wall, the coupling window is narrower for smaller angles. Note that this type of parameterization is very useful in a design process since it sets the position

This section uses the tuning elements above for further development of the tuning concept. To do that, several tuning effects have been applied into three filter designs. Initially, all filters (without tuning elements) consist of conventional four-pole Chebyshev filters centered at 10 GHz with 8% of fractional bandwidth (FBW) with targered return losses of 20 dB. This sets the required coupling between resonators and the coupling between the input/output ports to the first/last resonators by means of the coupling matrix. For a fourth-order Chebyshev filter with 20 dB of return losses, the resulting normalized coupling matrix is

(1)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MIRA et al.: MECHANICAL TUNING OF SIW FILTERS

5

Fig. 7. Tunable filter without the tuning screws.

which is then used to obtain the coupling coefficients by multiplying for the FBW. This results in the required coupling coefficients ranging from 0.09 to 0.06. Note that those values are similar to the ones outlined in Fig. 5. It should also be mentioned that they do not have to be equal since the coupling window is not the same as in the filter designs. The dimensions of the coupling windows on the resonator topologies have been designed to achieve the couplings obtained from the synthesis. Tuning elements for the central frequency of each resonators, for couplings between each pair of consecutive resonators, and also for the input and output coupling, have then been included into the filter configurations. To illustrate this we can see a photograph of the filter in Fig. 7. This photograph numbers each cavity (1–4), with their corresponding frequency tuning elements, , the coupling element between cavities and , , and also between the source and the first cavity, , and the coupling element between the last cavity and the load, . Dimensions and positions of these elements have been selected for the desired functionality. This is for trimming purposes, bandwidth tunability, and central frequency tunability. Details on the three designs appear below. A. Trimmed Filter In the first example the tuning approach is used as a trimming technique in order to recover the desired filter performance, initially deviated due to fabrication tolerances. Since the expected deviation is small, the placement and design of the tuning screws are set for a short turning range, enough for small adjustments. Note that, as stated in [11], small tuning range results in fairly constant values, which ensures the flatness and selectivity of the filter. Fig. 7 shows the fabricated filter without the tuning screws. The half slots combined with the flaps of Fig. 6 (first on the left) allows for a small tuning while preserving most of the factor. Those tuning elements are placed close to the metallic wall, also for the purpose of barely affecting the initial field distribution, and therefore with a small effect on the resonant frequency. The tuning elements in this case are all equal, except for the one in the middle, . The first and last tuning elements ( and ) control the input and output couplings of the filter and are highly related with the reflective coefficients. The tuning element controlling the coupling between resonators 2 and 3 is set in the middle of the filter and has been chosen to be different just for preserving the symmetry on the structure. For the same reason this slot is using the second flap in Fig. 6 (the flap in the middle). The diameter of the via-hole of the tuning elements , and the inner and outer diameter of the slot ( and ) are 1, 1.7, and 2.2 mm, respectively.

Fig. 8. Simulated and measured results of the tunable filter.

Fig. 8 shows the response of the filter before and after the trimming process. The dashed line shows the full-wave simulated response (Ansys HFSS v15) of the initial filter (without tuning elements), and therefore the expected from the measured response. In grey we show the measured response of the filter when the tuning elements are set to cover half the slots on Fig. 7. Note that this should result in the design response (denoted via the dash), however, some disagreement can be observed in the reflective response. The trimming process then starts by moving the tuning screws (see details in the inset of Fig. 8) and uncover part of the slot. The resulting response after the trimming process is depicted via the black solid line in Fig. 8. We can see a fairly good agreement between the simulated and measured filter response after trimming. Note as well that the input and output reflection coefficients reveal symmetry, as expected from the initial design, and ensured from the slot and flap distribution and configuration along to the filter topology. B. Bandwidth Tunable Filter The second filter configuration is outlined in Fig. 9 with tuning screws of 1-mm diameter to obtain a medium tuning range both on bandwidth and/or on the central frequency. In contrast with the previous case where only half slots were employed, in this case full slots are used in order to increase the tuning range. As stated above it also consists of a four-pole order filter centered at approximately 10 GHz. In contrast from the previous filter of Fig. 7, the coupling windows between resonators have been arranged differently only for a better location of the tuning elements. The slots corresponding to these central frequency tuning elements are placed in the middle along the cavity and close to the metallic wall and in the side where the coupling wall exists. This is convenient to reduce the effect of these tuning elements to the coupling between resonators. On the other hand, the coupling tuning elements are located along the coupling wall, as outlined in Fig. 4. For the input and output coupling ( and ) and for the coupling between the first and second resonators and for the coupling between the third and fourth resonators , a single tuning element is used to control the coupling. Whereas for the coupling between the second and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II ANGLES FOR THE FILTERS

Fig. 9. Filter with and without the tuning screws.

Fig. 10. Fabricated filter with tuning screws for bandwidth variation (simulated and measured).

third resonators , two tuning elements are symmetrically located for the control of such coupling. Note also that they are half slots. The main reason for that, as in the previous case, is preserving the symmetry of the whole structure, even when tuning elements are inserted. The tuning elements are then finalized by the inclusion of the metallic flaps. In this case and in order to obtain a wider tuning range, the flap configuration shown on the right side of Fig. 6 is used. The width of the flap is chosen to be mm, equal to the diameter of the tuning screws in order to optimize the tuning range. The inner and outer diameters of the slot ( and ) are chosen as in the previous filter. The tuning screws are then used to prove a variable bandwidth. Fig. 10 shows the simulated and measured responses for the case where the maximum bandwidth can be achieved (grey traces in Fig. 10) and with the minimum bandwidth (black traces in Fig. 10). At this point it is worth mentioning that due to the symmetry of filter configuration the position and angle of the tuning elements are set to also be symmetrical. This is , , , and . Table II shows the angle of the tuning elements for both configurations, where 0 is for the flap pointing outside the filter and

turns towards the nearest port, thus preserving the symmetry. The terms and indicate the rotation angle of the central frequency tuning screws of resonators 1 and 2, respectively. On the other hand, the term corresponds to the rotation angle of the tuning element setting the input external coupling. Finally, the terms and give the angle of the tuning elements for the coupling between the first and second resonators and between the second and third resonators, respectively. Note that the structure includes two tuning elements in the center for coupling between the second and third resonators, pointing in opposite directions in order to preserve the symmetry. The position of the metallic flaps have been marked on the top part of the tuning screw in order to know their positions while tuning. From this view we can confirm the symmetry between the position of the tuning screws. The values detailed in Table II, for the tunable bandwidth filter (second and third rows), indicate that for the narrower bandwidth filter the coupling window should be narrower, whereas for the broader bandwidth filter the window should be wider. Values of the table also reveal that the tuning elements corresponding to the central frequency of the resonators are barely moved and only for sake of preserving the central frequency and good reflection coefficient. According to simulations and measured results the filter bandwidth can be tuned up to a 100% so the bandwidth of the filter could be doubled. Simulated and measured responses agree fairly well in both case. As an illustrative example, we use this filter to evaluate the possibility of applying both functionalities’ central frequency and bandwidth tunability in a single design. Fig. 11 shows simulated results of how the central frequency can be shifted starting from the filter with higher bandwidth. With this layout a tuning range of the central frequency of 4% could be obtained. For higher tuning ranges we would need to introduce larger tuning screws in the resonators. C. Frequency Tuned Filter The last filter has been designed to offer a wider tuning range of the central frequency. As indicated in the example above this requires larger tuning elements for the central frequency of each resonator. This new filter configuration is outlined in Fig. 12. In this case we preserve the same topology and dimension of the previous filter (Fig. 9), except the diameter of central frequency tuning screws. The diameter of the via-hole is mm with an inner and outer slot radius of mm and mm, respectively. The objective of this configuration is to shift the central frequency up to 10%. Fig. 13 shows the simulated and measured filter responses of two stages of the tunable filter. The passband of the filter has

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. MIRA et al.: MECHANICAL TUNING OF SIW FILTERS

7

has been mainly obtained by shifting the position of the central frequency tuning screws, additional adjustment of the coupling tuning screws is required in order to preserve the bandwidth and good matching throughout the whole bandpass of the filter. Note that, although only two stages have been shown, in the previous two examples a continuous tunability is possible. IV. CONCLUSION

Fig. 11. Variation of the central frequency for the filter of Fig. 9.

Fig. 12. Filter with and without the tuning screws for central frequency variation.

The paper has demonstrated the use of open slots and tuning screws as a useful approach for the tunability of SIW structures. Accurate analysis of the role of each tuning element in coupled resonator structures has been reported. Several examples of filters have then been presented to demonstrate the usefulness of the approach in a trimming problem in a tunable bandwidth filter and in a tunable central frequency filter. The designs presented in the paper show up to a 100% of bandwidth increment and a 10% of tunability of the central frequency. A single filtering structure has also been used to demonstrate the three functionalities, and at the same time achieving a filter with a bandwidth tunability up to a 100% and a central frequency tuning of 4%. Additionally and taking into account that the tolerances in the dielectric constant and fabrication process may produce lack of accuracy in the measured response, the inclusion of these tuning screws have also been proven to be useful as a trimming element to obtain an improved performance of the filters. In this way, we avoid the repetition in the fabrication of filters to comply with the desired response or the necessity of very accurate and expensive fabrication technologies. Note moreover that the same concept could be directly applied to a more compact and integrated configuration such as MEMS as a mechanical tuning component. ACKNOWLEDGMENT The authors would like to thank Dr. J. C. Booth, National Institute of Standards and Technology (NIST), for his help on the revision of this paper. REFERENCES

Fig. 13. Fabricated filter with tuning screws for central frequency variation (simulated and measured).

been shifted up to a 10% in this case, from 9.5 to 10.5 GHz. Measured and simulated responses show the same range of tunability and both responses agree fairly well in both tuning stages. The values of the rotating angles for both stages are detailed in rows 4 and 5 of Table II. These values reveal that the frequency tuning

[1] U. Hiroshi, T. Takeshi, and M. Fujii, “Development of a laminated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2438–2443, Dec. 1998. [2] D. Deslandes and K. Wu, “Accurate modeling, wave mechanisms, design considerations of a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2516–2526, Jun. 2006. [3] M. Bozzi, L. Perregrini, and K. Wu, “Modeling of conductor, dielectric and radiation losses in substrate integrated waveguide by the boundary integral-resonant mode expansion method,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3153–3161, Dec. 2008. [4] M. Bozzi, M. Pasian, L. Perregrini, and K. Wu, “On the losses in substrate integrated waveguides and cavities,” Int. J. Microw. Wireless Technol., vol. 1, no. 5, pp. 395–401, Oct. 2009. [5] X. P. Chen and K. Wu, “Substrate integrated waveguide cross-coupled filter with negative coupling structure,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 142–149, Jan. 2008. [6] F. He, X. Chen, K. Wu, and W. Hong, “Electrically tunable substrate integrated waveguide reflective cavity resonator,” in Asia–Pacific Conf., Dec. 2009, pp. 119–122. [7] M. Armendariz, V. Sekar, and K. Entesari, “Tunable SIW bandpass filters with PIN diodes,” in Proc. 40th Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 830–833. [8] J. C. Bohorquez et al., “Reconfigurable planar SIW cavity resonator and filter,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, USA, Jun. 2006, pp. 947–950.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[9] W. Gautier, A. Stehle, B. Schoenlinner, V. Ziegler, U. Prechtel, and W. Menzel, “RF-MEMS tunable filters on low-loss LTCC substrate for UAV data-link,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, Sep. 2009, pp. 1700–1703. [10] A. Djermoun, G. Prigent, N. Raveu, and T. Callegari, “Widely tunable high-Q SIW filter using plasma material,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, USA, May 2010, pp. 1484–1486. [11] F. Mira, J. Mateu, and C. Collado, “Mechanical tuning of substrate integrated waveguide resonators,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 9, pp. 447–449, Sep. 2012. [12] A. Collado, F. Mira, and A. Georgiadis, “Mechanically tunable substrate integrated waveguide (SIW) cavity based oscillator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 9, pp. 489–491, Sep. 2013. [13] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. Fermín Mira (M’14) received the Telecommunications Engineering degree and Ph.D. degree in telecommunications from the Universidad Politecnica de Valencia, Valencia, Spain, in 2000 and 2005, respectively. In 2001, he joined the Department of Electronics, Universita degli Studi di Pavia, Italy, where he was a Pre-Doctoral Fellow (2001–2004) involved with a research project financed by the European Community under the framework of a Maricurie Action of the 5th Program Marco “Millimeter-Wave and Microwave Components Design Framework for Ground and Space Multimedia Network (MMCODEF),” which concerned the development of a computeraided design (CAD) tools for the design of passive microwave components with the participation of the European Space Agency. In May 2004, he joined the Department of Communications, Universidad Politecnica de Valencia. In January 2006, he joined the Centre Tecnologic de Telecomunicacions de Catalunya (CTTC), as Researcher with the Division of Communication Technologies. His current research interests include numerical methods for electromagnetic modeling of microwave components and the design and fabrication of microwave devices and systems, especially in substrate integrated waveguide (SIW) technology.

Jordi Mateu (M’03–SM’10) received the Telecommunication Engineering and Ph.D. degrees from the Universitat Politecnica de Catalunya (UPC), Barcelona, Spain, in 1999 and 2003, respectively. He is currently an Associate professor with the Signal Theory and Communications Department, UPC, and a Senior Researcher Associate with the Centre Tecnol`ogic de Telecomunicacions de Catalunya (CTTC), Barcelona, Spain. From May to August 2001, he was a Visiting Researcher with Superconductor Technologies Inc., Santa Barbara, CA,

USA. From October 2002 to August 2005, he was a Member of Research Staff and Coordinator of Communication Subsystems with CTTC. Since September 2004, he has been a Guest Researcher appointments with the National Institute of Standards and Technology (NIST), Boulder, CO, USA, where, from 2005 to 2006, he was a Fulbright Research Fellow. In July 2006, he was a Visiting Researcher with the Lincoln Laboratory, Massachusetts Institute of Technology (MIT). From September 2003 to August 2005, he was a Part-Time Assistant Professor with the Universitat Autonoma de Barcelona. In Summer 1999, after graduation, he was a Trainee Engineer with the Investment Technology Department, Gillette, London, U.K. He has authored or coauthored more than 45 papers in international journals, more than 60 contributions in international conferences, and 3 book chapters. He holds 2 patents. From February 2011 to June 2012, he was Vice-Dean with the Telecommunication and Aerospace Engineering School, UPC. He is a reviewer of several journals and international conferences. He has collaborated and has led several research projects for national and international public and private organizations and companies. His primary research interest includes microwave devices and systems and characterization and modeling of new electronic materials, including ferroelectrics, magnetoelectric, superconductors, and acoustic devices. His recent research includes the synthesis, design, and development of novel microwave filtering structures. Dr. Mateu was the recipient of the 2004 Prize for the Best Doctoral Thesis in Fundamental and Basic Technologies for Information and Communications awarded by the Colegio Oficial de Ingenieros de Telecomunicacion (COIT) and the Asociacion Espanola de Ingenieros de Telecomunicacion (AEIT). He was also the recipient of a Postdoctoral Fulbright Research Fellowship and an Occasional Lecturer Award for visiting MIT. He was second ranked in a Ramon y Cajal Contract (2005) in the area of electrical and communication technologies, a National Program for promoting outstanding young researchers.

Carlos Collado (A’02–M’03–SM’10) received the Telecommunication Engineering and Ph.D. degrees from the Universitat Politecnica de Catalunya (UPC), Barcelona, Spain, in 1995 and 2001, respectively, and the M.S. degree in biomedical engineering from the Centre de Recerca en Enginyeria Biomèdica, UPC, in 2002. In 1998, he joined the faculty of UPC, and in 2005, he became an Associate Professor. From November 2005 to January 2008, he was Vice-Dean of the Technical School of Castelldefels (EPSC), UPC, where he was responsible for the telecommunication and aeronautic engineering degrees. In 2004, he was a Visiting Researcher with the University of California at Irvine. From 2009 to 2010, he was a Guest Researcher with the National Institute of Standards and Technology (NIST), Boulder, CO, USA. He has authored or coauthored more than 50 scientific and technical journal papers and more than 60 contributions to international conferences. His primary research interests include microwave devices and systems, superconducting devices, the study of efficient methods for the analysis of nonlinear effects in communication systems, and the characterization of nonlinear behavior of electroacoustic devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3947

Triple-Mode Dielectric Resonator Diplexer for Base-Station Applications Sai-Wai Wong, Senior Member, IEEE, Zhi-Chong Zhang, Shi-Fen Feng, Student Member, IEEE, Fu-Chang Chen, Member, IEEE, Lei Zhu, Fellow, IEEE, and Qing-Xin Chu, Senior Member, IEEE

Abstract—This paper proposes a novel diplexer based on triplemode dielectric-loaded cylindrical cavities. Such two metal cavities are designed to achieve two different frequency bands, while three resonant modes of a single cavity are classified as a TM mode and a pair of hybrid (HE) degeneration modes. An off-centered dielectric resonator instead of a traditional corner cuts perturbation or screws perturbation properly perturbs the two degenerate modes in the same cavity. Extensive study is then conducted to design this proposed diplexer. Finally, a diplexer prototype is fabricated using a brass cavity and it is tested for experimental verification of the predicted results. Good agreement between measurement and simulation is achieved. Index Terms—Dielectric resonator (DR), diplexer, off-centered perturbation, triple-mode resonator.

I. INTRODUCTION

H

IGH-PERFORMANCE, compact-size, and low-cost diplexers are highly demanded in advanced dual-band microwave transmitter and receiver systems. There are several types of diplexers using different approaches. A microstrip line diplexer using compact hybrid resonators [1], waveguide diplexer employing connected bi-omega particles [2], and slotline diplexer using stepped-impedance resonators (SIRs) [3] have been reported thus far. However, to the authors’ knowledge, there has been very few reported work [4], [5] that designed the dielectric resonator (DR) diplexers with low in-band insertion loss. As is known, the DR has many attractive features, e.g., high-temperature stability, high unloaded factor, high dielectric constant permittivity, and low thermal expansion coefficient. Thus, DR diplexers are definitely useful for application in modern microwave communication systems, e.g., mobile phone base-stations. Furthermore, the incentive Manuscript received February 09, 2015; revised June 25, 2015 and September 28, 2015; accepted October 04, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported by the Program for New Century Excellent Talents in University (NCET-13-0214), by the National Engineering Technology Research Center for Mobile Ultrasonic Detection, and by the Fundamental Research Funds for the Central University (2014ZZ0029). S.-W. Wong, Z.-C. Zhang, S.-F. Feng, F.-C. Chen, and Q.-X. Chu are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou City 510640, China (e-mail: [email protected]; [email protected]). L. Zhu is with the Faculty of Science and Technology, Department of Electrical and Computer Engineering, University of Macau, Macau SAR, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2488658

of dual- or triple-mode operation has the unparalleled benefit in size reduction against those reported single-mode resonator diplexers. The concept of a DR dual-mode bandpass filter (BPF) was firstly presented in 1980 [6]. Later on, a vast variety of dual- and triple-mode structures were reported in [7]–[13]. They can be primarily classified into three categories using screws [7]–[9], irises [10], [11], and corner cuts [12], [13] for exciting three resonant modes within a single metal cavity. However, each of them has their intrinsic shortcomings. First, the screws may lead to a high insertion loss, lower the quality factor, and increase the complexity in tuning. Second, very sensitive tolerance on fabrication of the iris may bring out a major cost factor. Finally, the structure with corner cuts may raise the problem of the high die-sinking cost. In this paper, triple-mode dielectric-loaded cylindrical cavities are proposed and employed for design of a novel diplexer. The whole diplexer does not consist of any screws, irises, or corner cuts, and it can precisely achieve predicted performance, simple tuning capability, and low processing cost. The metal cavity and DR are commonly formed as a cylindrical shape and no corner cuts and extra defects are required. To explain the working principle, the coupling scheme with four coupling paths is proposed. The implementation of the triple-mode dielectric-loaded cavities as discussed here provides a useful alternative for the low-cost and compact design of this class of filter and diplexer.

II. TRIPLE-MODE RESONATOR FILTER Fig. 1(a) depicts the configuration of the proposed diplexer. It consists of two triple-mode DR BPFs. Before the entire diplexer is designed, a triple-mode DR BPF is firstly studied as a basic unit in this section. The two filters are identical in the geometrical structure in such a way that the cylindrical metal waveguide cavity is embedded with a dielectric cylinder with high permittivity . This cylinder is supported by an additional dielectric with low permittivity fixed on the bottom of the intra-cavity. In this context, the lower and higher frequency band filters, namely, Filter-I and Filter-II, are individually designed using the same filter structure, but with different operating center frequencies, namely, 2.55 and 2.66 GHz, respectively. To characterize this triple-mode resonator, by studying the field distribution at the different resonant frequencies, as done in [14], we can figure out that the three corresponding resonant modes are the two orthogonal

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3948

Fig. 1. 3-D view of the proposed diplexer ( mm, mm, mm, mm, mm, and

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

mm, mm,

mm, mm,

mm).

hybrid (HE) modes (degenerated modes: HE mode and HE mode) and one TM mode. Next, the three resonant modes are analyzed using the electric and magnetic field distribution as displayed in Fig. 2. Fig. 2(a) indicates the three cutting planes, namely, A–A , B–B , and C–C , for displaying the field distribution with resorting to the three resonant modes of our concern. Fig. 2(b) and (c) illustrates the typical TM mode electric ( -field) and magnetic field ( -field) distribution in the A–A plane. Fig. 2(d) and (e) shows the electric field distribution of the HE mode in the B–B and A–A planes, respectively. The electric field has both a nonzero longitudinal component in -direction and a nonzero transversal component in the – direction. Fig. 2(f) and (g) shows the magnetic field distribution of the HE mode in the C–C and A–A planes, respectively. Since the magnetic field is perpendicular to the electric field, the C–C plane is chosen instead of the B–B plane. In this context, the magnetic field shows the existence of nonzero longitudinal and transversal components as well. Fig. 2(h) and (i) illustrates the electric field distribution of the HE mode in the C–C and A–A plane, whereas Fig. 2(j) and (k) shows the magnetic field distribution of the HE mode in the B–B and A–A plane. Comparing the two HE degenerate modes, we can see that the electric and magnetic field distribution are exactly the same to each other, but they are orthogonal with each other. In the BPFs, coupling discs are employed to replace the probes for providing enough coupling strength [see Fig. 3(a)]. Moreover, the coupling probe length, , and the offset distance, , are the two key parameters in design of these proposed BPFs. Fig. 3(a) shows the top view of the composed triple-mode resonator BPF with an off-centered DR intra-cavity. To analyze the first four excited modes, the mode chart [15] is derived in Fig. 3(b) to provide graphical demonstration on the variation of resonant frequencies, normalized to the TM mode, as a function of with mm. When the offset value is close to zero, the two degenerate modes, HE and HE modes, are combined in final. The two degenerate modes are separated

Fig. 2. (a) Three cutting planes for displaying the field distribution. (e)–(k) Field distribution of three resonant modes in the single cavity.

apart when increases. By choosing the vertical a–a plane with mm in Fig. 3(b), the mode chart of four normalized resonant frequencies against the varied length of the coupling probes, , is depicted in Fig. 3(c). When is increasing, the two degenerate modes are separated apart again. In this way, we can use the first three resonant modes to design a triple-mode

WONG et al.: TRIPLE-MODE DR DIPLEXER FOR BASE-STATION APPLICATIONS

3949

Fig. 4. Variation of external quality factors of three modes against .

Fig. 5. Coupling scheme of triple-mode filter.

Fig. 3. (a) Top view of proposed triple-mode cavity with off-centered DR , , , , , ; unit: ( millimeters). (b) Resonant frequencies as a function of the offset of DR. (c) Resonant frequencies as a function of the length of coupling probe.

BPF by evenly distributing three resonant modes within the desired passband, e.g., the a–a plane, as shown in Fig. 3. As a result, the DR filter with a triple-mode characteristic can be constituted by properly choosing this offset distance towards excitation of the triple resonant modes inside one single DR. Fig. 4 shows the external quality factors, , against the offset distance (parameter ). When is increasing, the of the TM mode increases rapidly and its value is much larger than the value of the two degenerate HE modes. This can be interpreted that the TM mode has very weak coupling with the external ports. By choosing the highest external quality factor

for the TM mode in Fig. 4, e.g., mm, we can reduce the complexity of coupling topology and thus to reduce the element values in the coupling matrix. Hence, Fig. 5 depicts the coupling scheme of this type of filter by creating proper coupling among them. The shaded circles (marked 1–3) represent the three resonance modes, i.e., two even modes (HE mode and TM mode) and one odd mode (HE mode). The dotted-line rectangle, inclusive of three shaded circles, indicates the entire triple-mode DR cavity. The source and load with the white circles in Fig. 5 are coupled with two degenerate HE modes represented by the solid lines. In addition, the source and load are weakly coupled to each other, as denoted by the dashed line. The “ ” and “ ” sign along the coupling lines correspond to the sign in the coupling matrix . Therefore, with this coupling topology we can derive the corresponding coupling matrix as discussed in [16]. Now, let us apply the coupling topology shown in Fig. 5 for filter design, thus yielding the formulation of the following coupling matrix to quantitatively verify the coupling scheme:

3950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 8. Top view of proposed diplexer with two cavities ( , , , , , , and millimeters).

, ; unit:

Fig. 6. EM simulated (solid line) and synthesized (dashed line) reflection and transmission coefficients of Filter-I.

Fig. 9. Real and imaginary parts of input impedance against .

III. GEOMETRY OF PROPOSED DIPLEXER Fig. 7. Variation in transmission zeros against the value of

.

Fig. 6 plots the electromagnetic (EM) simulated and -matrix of Filter-I. Both of them are in good agreement with each other, thus proving that the coupling scheme via the -matrix precisely describes the frequency response of the triple-mode DR filter. The plotted curves show that the reflection zeros and transmission zeros are reasonably matched in both the in-band and out-of-band frequency ranges. From Fig. 6, it is clearly seen that three transmission zeros emerge within the range of 2.4–2.8 GHz. Fig. 7 exhibits that three transmission zeros can ). When be controlled by the height of the cavity (parameter is increasing, three transmission zeros move closely to the desired passband. A pair of transmission zeros are generated by the TM mode resonator (resonator 1 in Fig. 5) and they are resulted by multiple cross-couplings between the source and load. The other transmission zero is generated by the two orthogonal sign, as indiHE modes (resonator 2 and resonator 3 with a cated in Fig. 5). As such, the two transmission paths with equal magnitude, but out-of-phase, are cancelled with each other, thus creating a transmission zero at a certain frequency. The three external quality factors of three resonant modes are calculated: , , and .

In this section, the previously reported filter is designed at two different desired frequency bands, e.g., 2.52–2.57 and 2.64–2.69 GHz, respectively. Filter-I and Filter-II are employed to constitute a high-performance and low-loss diplexer. In physical implementation, a coaxial-line cross-shaped junction is formed to connect the two BPFs and a coaxial short-circuited stub with the common input port, as shown in Fig. 8. The coaxial short-circuited stub is used to achieve impedance matching and mechanical support of the inner conductor of the coaxial line. Due to the fact that the connection of the two filters leads to extra loading to each individual BPF cavity, the impedance-matching condition in the concerned operating bands may be deteriorated. To cancel this additional loading effect, a short-circuited stub is properly introduced in the cross-shaped junction of port 1, as shown in Fig. 8. By adjusting the length of this coaxial shortcircuited stub, a better impedance matching can be achieved within two concerned operating frequency bands, as shown in Fig. 9. For good impedance matching, the real and imaginary parts of the input impedance should be equal to 50 and 0 , respectively. We can see that the imaginary parts at and tend to approach zero when mm. Meanwhile, the real parts are equal to 40 at and 45 at . This is the primary reason why the higher band has a better return loss than the lower band, as shown in Fig. 11(a). Thus, by properly choosing

WONG et al.: TRIPLE-MODE DR DIPLEXER FOR BASE-STATION APPLICATIONS

3951

Fig. 10. Smith chart of the proposed diplexer: (a) without the short-circuited coaxial line and (b) with the short-circuited coaxial line.

the length of the short-circuited coaxial line , a good impedance match is achieved for both frequencies with dB. Fig. 10(a) and (b) illustrates the Smith chart of the simulated input matching condition at Port 1 for the diplexer without and with the short-circuited coaxial line, respectively. As can be seen in Fig. 10(b), the normalized impedance curve gets closer to the center of the Smith chart than in Fig. 10(a). Thus, the presented diplexer exhibits a better impedance matching when the short-circuited coaxial line is installed. IV. EXPERIMENTAL RESULTS Following the discussion in Sections II and III, a compact size diplexer is fabricated and measured. Notice that each filter can be operated individually to yield the desired passband before combining the two filters into a diplexer. For base-station applications, the center frequencies of Filter-I and Filter-II are 2.55 and 2.66 GHz, respectively. In this study, the proposed diplexer is simulated by CST Studio (EM simulation software) and the diplexer is fabricated using brass material for metal cavities. The

Fig. 11. Simulated (dashed line) and measured (solid line) results of the proat port 1. (b) and . (c) , , and posed diplexer. (a) .

DRs are designed using dielectric material with a high permittivity of 40. This designed diplexer occupies an overall size of , where is the wavelength in free space. The simulated and measured results are plotted in Fig. 11. The diplexer has been confirmed to operate in two prescribed bands with the central frequencies of 2.55 and 2.66 GHz, and each passband has an absolute bandwidth of about 80 MHz. The measured minimum insertion losses ( and ) are found as 0.63 and 1.10 dB in the two passbands as compared to the simulated minimum insertion losses of 0.25 and 0.31 dB. The

3952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

fabricated. Measured results with the insertion loss (0.63 and 1.14 dB in two bands), return loss ( 11.5 dB), and isolation performances (better than 20 dB) are demonstrated. As attractive features, the proposed diplexer has no screws, irises, or corner cuts. Due to its simple design, low-cost, compact size, and easy fabrication, it is our belief that the proposed diplexer is useful for base-station applications. REFERENCES

Fig. 12. Photographs of proposed diplexer. (a) External view. (b) Internal view.

discrepancy between the measured and simulated results may be caused by an extra loss from the SMA connectors in experiment and also the soldering of the probes with SMA connectors. Measured return losses in both two channels are better than 11.5 dB. Fig. 11(b) demonstrates that the measured suppression at 2.66 GHz for Filter-I and at 2.55 GHz for Filter-II are both better than 20 dB. For the first passband, a lower stopband rejection is greater than 24 dB beyond 2.45 GHz and a higher stopband rejection greater than 34 dB in a frequency range from 2.61 to 2.69 GHz. For the second passband, a lower stopband rejection is greater than 20 dB beyond 2.58 GHz and a higher stopband rejection is greater than 34 dB beyond 2.74 GHz. The output isolation is also measured, and it is better than 18 dB from 2.4 to 2.7 GHz, as illustrated in Fig. 11(c). It is noteworthy that there is spurious response at around 2.7 GHz in the upper stopband of Filter-I, which is mainly due to the fourth resonant mode of Filter-I, as shown in Fig. 3. This spurious response can be intuitively suppressed by making use of the third transmission zero of the filter and such a spurious response can be theoretically suppressed with the attenuation higher than 30 dB. However, due to the unexpected fabrication tolerance, the spurious response and the third transmission zero are not exactly located at the same frequency, so the suppression could only achieve up to 25 dB in our measurement. For the readers’ information, three photographs of the fabricated diplexer are provided in Fig. 12. Fig. 12(a) displays the external view of the installed diplexer and Fig. 12(b) shows the internal view of two separated lower and upper parts of the fabricated diplexer. V. CONCLUSIONS A novel diplexer based on off-centered DR-loaded cavity structures has been proposed. After two individual BPFs are designed, a compact diplexer is constructed, designed, and

[1] T. Yang, P.-L. Chi, and T. Itoh, “High isolation and compact diplexer using the hybrid resonators,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 10, pp. 551–553, Oct. 2010. [2] L. Palma, F. Bilotti, A. Toscano, and L. Vegni, “Design of a waveguide diplexer based on connected bi-omega particles,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 3, pp. 126–128, Mar. 2012. [3] H.-W. Liu, W.-Y. Xu, and Z.-C. Zhang, “Compact diplexer using slotline stepped impedance resonator,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 75–77, Feb. 2013. [4] K. Wakino, T. Nishikawa, Y. Ishikawa, and H. Matsumoto, “400 MHz band elliptic function type miniaturized diplexer using dielectric resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 303–305. [5] T. Hiratsuka, T. Sonoda, and S. Mikami, “A Ka-band diplexer using planar TE mode dielectric resonators with plastic package,” in Proc. Eur. Microw. Conf., Munich, Germany, 1999, pp. 99–102. [6] P. Guillon and Y. Garault, “Dielectric resonator dual modes filters,” IET Electron. Lett., vol. 16, no. 17, pp. 646–647, 1980. dual-mode dielectric resonator filter [7] H. Hu and K.-L. Wu, “A with planar coupling configuration,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 131–138, Jan. 2013. [8] K. A. Zaki, C.-M. Chen, and A. E. Atia, “A circuit model of probes in dual-mode cavities,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 12, pp. 1740–1746, Dec. 1988. [9] L. H. Chua and D. M. Syahkal, “Analysis of dielectric loaded cubical cavity for triple mode filter design,” IET Microw., Antennas, Propag., vol. 151, no. 1, pp. 61–66, Feb. 2004. resonator [10] V. Walker and I. C. Hunter, “Design of triple mode transmission filters,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 215–217, Jun. 2002. [11] S. Amari and M. Bekheit, “New dual-mode dielectric resonator filers,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 162–164, Mar. 2005. [12] M. M. Rahman, W.-L. Wang, and W. D. Wilber, “A compact triplemode plated ceramic block based hybrid filter for base-station applications,” in 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 1001–1004. [13] H. Salehi, T. Bernhardt, and T. Lukkarila, “Analysis, design and applications of the triple-mode conductor-loaded cavity filter,” IET Microw. Antennas Propag., vol. 5, no. 10, pp. 1136–1142, Jan. 2010. [14] S. J. Fiedziuszko and S. Holme, “Dielectric resonators raise your high-Q,” IEEE Microw. Mag., vol. 2, no. 3, pp. 51–60, Sep. 2001. [15] S. W. Wong and L. Zhu, “Quadruple-mode UWB bandpass filter with improved out-of-band rejection,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 152–154, Apr. 2009. [16] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 1–10, Jan. 2003. Sai-Wai Wong (S’06–M’09–SM’14) received the B.S degree in electronic engineering from the Hong Kong University of Science and Technology, Hong Kong, in 2003, and the M.Sc and Ph.D. degrees in communication engineering from Nanyang Technological University, Singapore, in 2006 and 2009, respectively. From July 2003 to July 2005, he was an Electronic Engineer with two Hong Kong manufacturing companies. From May 2009 to October 2010, he was a Research Fellow with the Institute for Infocomm Research, Singapore. From 2010 to 2014, he was an Associate Professor, and since 2014, a Professor with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, Guangdong Province, China. His research interests include RF/microwave circuit and wideband antenna design.

WONG et al.: TRIPLE-MODE DR DIPLEXER FOR BASE-STATION APPLICATIONS

3953

Dr. Wong is a reviewer for IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and the IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING. He was the guest editor for the “Special Issue on LTE Technology: Antenna, RF Front-Ends and Channel Modeling” of the International Journal of Antennas and Propagation. He was the recipient of the New Century Excellent Talents in University (NCET) Award in 2013.

de Montréal, Montréal, QC, Canada. From 2000 to 2013, he was an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. Since August 2013, he has been a Professor with the Faculty of Science and Technology, University of Macau, Macau, China. Since September 2014, he has been the Head of the Department of Electrical and Computer Engineering, University of Macau. He has authored or coauthored over 260 papers in peer-reviewed journals and conference proceedings. His papers have been cited more than 3200 times with an H-index of 31 (source: ISI Web of Science). His research interests include microwave circuits, guided-wave periodic structures, antennas, and computational electromagnetic techniques. Dr. Zhu was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2010–2013) and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS (2006–2012). He was a general chair of the 2008 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Workshop Series on the Art of Miniaturizing RF and Microwave Passive Components, Chengdu, China, and a Technical Program Committee co-chair of the 2009 Asia–Pacific Microwave Conference, Singapore. He was the recipient of the 1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention from Matsushita–Kotobuki Electronics Industries Ltd., and the 1993 First-Order Achievement Award in Science and Technology from the National Education Committee, China.

Zhi-Chong Zhang was born in Ji’an, Jiangxi Province, China, in March 1988. He received the B.S. degree in communication engineering from Nanchang University, Nanchang, Jiangxi, China, in 2008, the M.E. degree in communication and information system from East China of Jiaotong University, Nanchang, Jiangxi, China, in 2012, and is currently working toward the Ph.D. degree in electromagnetic fields and microwave technology at the South China University of Technology, Guangzhou, China. His research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

Shi-Fen Feng (S’15) was born in Jiujiang, Jiangxi Province, China, in September 1991. He received the B.S. degree in information engineering from the South China Agricultural University, Guangdong, China, in 2014, and is currently working toward the M.S. degree at the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, China. His current research interests include cavity microwave filters and diplexers design.

Fu-Chang Chen (M’12) received the Ph.D. degree from the South China University of Technology, Guangzhou, Guangdong, China, in 2010. He is currently an Associate Professor with the School of Electronic and Information Engineering, South China University of Technology. His research interests include the synthesis theory and design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

Lei Zhu (S’91–M’93–SM’00–F’12) received the B.Eng. and M.Eng. degrees in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. degree in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita-Kotobuki Electronics Industries Ltd., Tokyo, Japan. From 1996 to 2000, he was a Research Fellow with the École Polytechnique

Qing-Xin Chu (M’99–SM’11) received the B.S, M.E., and Ph.D. degrees in electronic engineering from Xidian University, Xi’an, Shaanxi, China, in 1982, 1987, and 1994, respectively. He is currently a Full Professor with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, Guangdong, China. He is also the Director of the Research Institute of Antennas and RF Techniques, South China University of Technology. From January 1982 to January 2004, he was with the School of Electronic Engineering, Xidian University. From 1997 to 2004, he was a Professor and then Vice-Dean with the School of Electronic Engineering, Xidian University. From July 1995 to September 1998 and July to October 2002, he was a Research Associate and Visiting Professor with the Department of Electronic Engineering, Chinese University of Hong Kong, respectively. From February to May 2001 and December 2002 to March 2003, he was a Research Fellow and Visiting Professor with the Department of Electronic Engineering, City University of Hong Kong, respectively. From July to October 2004, he visited the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. From January to March 2005, he visited the Department of Electrical and Electronic Engineering, Okayama University. From June to July 2008, he was also a Visiting Professor with the Ecole Polytechnique de I’Universite de Nantes, Nantes, France. He has authored or coauthored over 300 papers in journals and conferences. His current research interests include antennas in mobile communication, microwave filters, spatial power-combining arrays, and numerical techniques in electromagnetics. Prof. Chu is a Senior Member of the China Electronic Institute (CEI). He was the recipient of the Tan Chin Tuan Exchange Fellowship Award, a Japan Society for Promotion of Science (JSPS) Fellowship, the 2002 and 2008 TopClass Science Award of the Education Ministry of China, and the 2003 FirstClass Educational Award of Shanxi Province.

3954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

A Configurable Coupling Structure for Broadband Millimeter-Wave Split-Block Networks Christian Koenen, Student Member, IEEE, Uwe Siart, Member, IEEE, Thomas F. Eibert, Senior Member, IEEE, Garrard D. Conway, and Ulrich Stroth

Abstract—In order to realize series-fed millimeter-wave hollow waveguide array antennas, small slots or holes can be used to couple a defined amount of power from the main feed line to the radiating elements. Due to the small size of these structures, they are challenging to manufacture. Moreover, if the size of the required slots or holes are smaller than the available drill, they are not realizable at all. This paper describes a coupling structure, which uses laser-cutting to realize a small slot, while all the other parts of the waveguide circuit can be milled in split-block technology. A deployment in harsh environments such as fusion experiments is feasible, as the whole structure can be built from metal. By design, it is possible to configure the coupling slots, and thus, adjust or modify the tapering of the array antenna. A theoretical model of -band the proposed structure is given and measurements of prototypes are presented. The manufactured slots achieve coupling factors ranging from 24.2 dB to 9.4 dB. Measurements prove the applicability of the proposed design in broadband feed networks as the coupling values are nearly frequency independent with a deviation from the mean coupling ranging from 0.6 dB to 0.9 dB in the whole -band. The maximum phase variability between the evaluated slots is 10 . Index Terms—Antenna arrays, fusion hardware, millimeter-wave passive components, modular hollow waveguide components, waveguide structures.

I. INTRODUCTION

I

N environments with very specific constraints such as nuclear fusion experiments, where microwave and millimeterwave networks have to comply with the requirements introduced by the ultrahigh vacuum, strong magnetic fields, and the presence of neutron radiation, circuits and antennas are usually realized by hollow waveguides as these can be manufactured purely out of metal. An actively steered phased-array antenna is currently being developed for a Doppler reflectometry system [1] on the ASDEX Upgrade Tokamak in Garching, Germany, similar to the frequency steered phased-array antenna presented in [2]. This Doppler reflectometer requires a steered Gaussian

Manuscript received May 16, 2015; accepted October 03, 2015. Date of publication November 05, 2015; date of current version December 02, 2015. This work has been partly funded by the Helmholtz Association of German Research Centers within the Helmholtz Virtual Institute “Plasma Dynamical Processes and Turbulence Studies using Advanced Microwave Diagnostics” under grant VH-VI-526. C. Koenen, U. Siart and T. F. Eibert are with the Chair of High-Frequency Engineering, Technical University of Munich, 80290 München, Germany (e-mail: [email protected]). G. D. Conway and U. Stroth are with the Max-Planck-Institut für Plasmaphysik, 85748 Garching bei München, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495189

beam with well-defined beam waist and focal plane position over nearly the whole -band (75 to 105 GHz). Currently, this beam is realized by an optimized smooth-bore horn and a steerable elliptic mirror [3]. As the projected phase shifters require a 90 -hybrid, the actively steered phased-array antenna is built in split-block technology, where the rectangular waveguide is cut through the middle of the broader wall. Each half is milled separately, and both halves are finally screwed together. Following this approach, complex networks with several layers can be realized (e.g., [4]), where the smallest possible slot is given by the smallest available drill. The desired feed network is serial in order to reduce the number of moving objects inside the vessel. In addition, the desired Gaussian beam properties require the aperture amplitude distribution to be constant over almost the whole -band. For this purpose, a structure is required to tap off a specific amount of power from the main feedline to the radiating elements. One possibility is to bore holes or slots in the waveguide walls, as described in [5] or [6]. However, a bore in the broad wall of a millimeter-wave split-block network is not possible, and those in the narrow wall require a multiple layer split-block network. Also, it is not guaranteed that the coupling coefficients are invariant over the whole frequency band [2]. A possibility to implement nearly constant coupling factors are branch-guide directional couplers like [7] or [8]. However, for small coupling values (as they are required at the input side of the series feed), the width of the branches are very small, and no drill will be available to manufacture the device. Metal-only -plane T-junctions have also been used as series power dividers [9], but this realization makes it difficult to place phase-shifters in between the coupling sections as the main and stub waveguide heights vary throughout the feed. This contribution provides a broadband coupling structure for millimeter-wave split-block networks that can be manufactured solely in metal and keeps the main and stub waveguide heights constant. In brief, an aperture slot is manufactured by means of laser-cutting from a stainless steel plate. The smallest possible slot is therefore given by the diameter of the laser which in our case is 40 m (in comparison, the smallest available drill at our workshop for a slot depth of 1.27 mm is 200 m). The resulting aperture is then inserted into a groove in the split-block network. Due to this design, the coupling factors are configurable as one aperture can be replaced by another one without milling the whole network again. This is advantageous in series-fed antenna arrays, as deviations from the desired amplitude tapering can be eliminated by adjusting the slots of the apertures. A -band prototype of the structure for a series-fed array antenna with 2-mm element spacing has been manufactured

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

KOENEN et al.: A CONFIGURABLE COUPLING STRUCTURE FOR BROADBAND MILLIMETER-WAVE SPLIT-BLOCK NETWORKS

Fig. 1. Cross-sectional view of the proposed hollow waveguide coupling strucfinite ture. It is implemented as an -plane (series) T-junction with a thickness coupling slot.

and tested. Measurements show that the coupling factors are in the range from 24.2 to 9.4 dB. Furthermore, they are almost constant over the whole -band with a deviation from the mean coupling ranging from 0.6 to 0.9 dB. The maximum phase error between the evaluated slots is 10 and is due to the stub waveguide height dependent reference planes of the T-junction. A frequency scanning series feed, employing the proposed structure for amplitude tapering, is presented in [10]. In the following, an equivalent circuit of this coupling structure for better understanding is given and analyzed. The manufactured prototype for the -band is described, and full-wave simulations as well as measurements of different aperture slot sizes are presented and discussed. II. THE IDEAL MODEL OF THE COUPLING STRUCTURE The ideal waveguide circuit of the coupling structure is depicted in Fig. 1. It is an -plane T-junction where the intersecting waveguide from port 3, i.e., the stub waveguide, is connected to the junction via an additional waveguide. The broad wall width of all waveguides is constant for the whole structure. The height of the additional section is and the height of the remaining network is . If only the -mode is propagating and its cutoff frequency is constant in the whole network. The length of the additional section is at the design frequency, with being the wavelength of the guided wave. In principle, the described structure is a T-junction, where the coupling strength of the branch-off can be controlled by varying the height of the section. To analyze this structure, an equivalent circuit is given in Fig. 2 which employs the characteristic impedance of the waveguide [11], [12]. The proposed equivalent circuit is based on the equivalent circuits given in the Waveguide Handbook [13, p. 337] for the T-junction and [13, p. 307] for the discontinuity change of the waveguide height. The T-junction equivalent circuit is valid for and or and [13, p. 339] and that of the discontinuity for

3955

Fig. 2. Equivalent circuit of the ideal structure using the characteristic impedance of each waveguide section, the equivalent circuit of a T-junction and of the discontinuity change in waveguide height from [13].

and [13, p. 308]. Their accuracy is good for small slot width. For slots larger than , higher order mode effects must be considered and the proposed equivalent circuit is not valid anymore [9], [13]. More accurate methods may be used in this case [14]. Using the “modified power-voltage definition,” the characteristic impedance of a rectangular waveguide is [12] (1) and are the relative permeability and permittivity, where respectively, is the free-space wavelength, and is the free-space wave-impedance. In the equivalent circuit of Fig. 2, the characteristic impedance of the waveguide with height is denoted as , and the characteristic impedance of the section with height is denoted as . All three ports have a characteristic impedance of . The length of the slot is composed of the slot’s physical length and a factor , which accounts for the reference plane shift of the T-junction from its characteristic terminals [13, pp. 120, 338]. With the same reason, waveguides with characteristic impedance and length are added to ports 1 and 2 to shift the characteristic reference planes to the imaginary intersection of the stub waveguide walls with width and the main waveguide (see Fig. 1). The formulas to compute and are given in [13, p. 338]. To calculate the input reflection of the equivalent circuit in Fig. 2 of port 1, the impedance of the characteristic impedance of port 3 in parallel to the discontinuity capacitance is transformed through the transmission line of length with impedance . Also consider the ideal transformer yields an equivalent impedance (2) where is the propagation constant in the waveguide and is the ideal transformer’s transfer ratio. The reflection

3956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

at port 1 follows from considering the remaining impedances connected to the T-junction as

Including the phase shift of the waveguide with length in

(3)

(15)

results

To verify the correctness of the derived set of scattering parameters, they are compared with the full-wave simulation results of the ideal model from CST Microwave Studio (2015.02) (Computer Simulation Technology, Darmstadt, Germany) for slot heights up to ( 0.635 mm). To get the required physical length of the section, the length has to be subtracted from the desired slot length according to . As the parameter is dependent on the slot height, the length of the coupling slot can be optimized for a certain slot height only. We decided to take 0.8 mm as a good compromise between the performance over the whole frequency band of the larger slot heights (higher coupling values occur more often), considering also the manufacturability of the apertures (i.e., availability of metal sheets with thickness ). The parameters and are depicted in Fig. 3, and the and are shown in Fig. 4. For smaller slots, the agreement of the equivalent circuit model with the full-wave simulation results is very good. The relative error of is always below 3%. The relative error of the is below 2% for the 0.090- and 0.280-mm slots, but increases up to 18% for the 0.635-mm slot in the upper part of the frequency band. The relative error between the equivalent circuit model and full-wave simulated and is always below 1.5% and 1%, respectively. The influence of on the transformer section length can be seen in in Fig. 3, where the point of minimum reflection moves to the center of the band for larger slot heights. In summary, the accuracy of the equivalent circuit is better than the uncertainty introduced by manufacturing tolerances (see Section III-B), and it can be used for fast computations and optimizations of the feed network. To verify the feasibility of the proposed structure, a -band prototype network was designed and manufactured. The following section deals with its realization and the obtained measurement results.

(4) and due to symmetry is (5) The transmission from port 1 towards port 2 can be calculated from the voltage divider composed of the port impedance , the equivalent impedance representing the stub waveguide, and as (6) Considering the reference plane shift yields (7) and from reciprocity it follows (8) The transmission from port 1 to port 3 is calculated from the voltage ratios , and and the extra phase shift due to the waveguide at port 1 as (9) where is voltage at port 3, is the voltage across the equivalent impedance and is the source voltage at port 1. Calculating through the transmission line of the slot and the ideal transformer gives the relation (10) The voltage divider of the source voltage

above

The reflection at port 3 follows as

yields (11)

can be calculated using (9) together with (10) and and the (11). Due to reciprocity it is (12) The transmission from port 2 to port 3 equals due to symmetry except for a minus sign that accounts for the opposite direction of the voltage or the electric-field lines in the stub waveguide. From this and reciprocity, it follows that (13) To calculate , the series impedances is transformed through the ideal transformer and the transmission line towards port 3 as (14)

III. THE MANUFACTURED MODEL A. Description of the Model The prototype has been designed for the -band, where the waveguide width is 2.54 mm, and the height is 1.27 mm. At these dimensions, the coupling structure as described in the previous section cannot be manufactured in plain split-block technology as there is a certain limit for the smallest millable slot due to the available drills. At our workshop, the smallest possible slot is 200 m for a groove depth of 1.27 mm, which is required for the fundamental waveguide. The accuracy for milling at our workshop is 10 m. For lower frequency bands, it might be possible to fully mill the ideal model, depending on the required coupling coefficients and the resulting slot heights. To overcome the manufacturing limits, the transformer section of the proposed design is realized by laser-cutting a slot into a metal sheet. The thickness of this sheet is 0.8 mm, which represents the length of the

KOENEN et al.: A CONFIGURABLE COUPLING STRUCTURE FOR BROADBAND MILLIMETER-WAVE SPLIT-BLOCK NETWORKS

3957

Fig. 6. Simulation model of the manufactured structure in CST Microwave Studio (2015.02). Background material is brass and that of the coupling aperture is stainless steel. Waveguides are modeled with vacuum. The radius of 1.536 mm and the radius of the outer ones is inner bend is 4.909 mm (measured from the center of the smaller wall). The angular extent 229.5 and 24.75 , respecof the inner and outer bend is tively. Fig. 3. Comparison between theoretical and simulated and of the ideal model for the slot heights 0.090, 0.280, and 0.635 mm, with a relative error below 3% and of below 2% for both smaller slots and below 18% of for the 0.635-mm slot.

Fig. 4. Comparison between theoretical and simulated and of the ideal model for the slot heights 0.090, 0.280, and 0.635 mm, with a relative error below 1.5% and of below 1%. of

Fig. 5. These coupling apertures were manufactured using laser-cutting. The slot width is 2.54 mm for all prototypes and their slot heights from left to right is 40 , 60, 100, 170, 280, and 400 m. The material is stainless steel (1.4310) with a thickness of 0.8 mm.

slot. A sample of the laser-cut plates with different slot heights is depicted in Fig. 5. The benefit of laser-cutting is the higher contour accuracy of 5 m and the smaller possible slot size of 40 m compared

with milling. Nevertheless, there are also drawbacks. The laser has a certain opening angle that leads to slightly trapezoidal slots. Moreover, the laser-cut surface is very rough, and a span may seal a part of the slot. The harder the material, the better those spans can be removed afterwards by means of brushing and an ultrasonic bath. Therefore, the chosen material of the apertures is stainless steel (1.4310). The laser-cut apertures are placed in a groove within the splitblock network. The waveguides to the ports 1 and 2 of the ideal model are bent to provide a wall to fix the aperture (see Figs. 6 and 7). Apart from the chosen fixture by means of plain bends, for example, miter bends are also possible. In either case, as a consequence of the bending, the field distribution is modified at the slot, and the coupling and reflection coefficients differ slightly from those of the ideal model. The bends of the manufactured model are chosen such that a placement of two coupling structures 4 mm apart is possible. A frequency-scanning array that employs the proposed coupling structure is shown in [10]. The full-wave simulation model of the prototype is depicted in Fig. 6 and the manufactured prototype in Fig. 7. The radius of the inner bend is 1.536 mm, and the radius of the outer ones is 4.909 mm, each measured from the center of their arc to the middle of the waveguide. The angular extent of the inner and outer bend is 229.5 and 24.75 , respectively. The material of the manufactured prototype network is brass. Due to surfaces roughness and manufacturing tolerances, there is no perfect connection between the laser-cut aperture and the split-block network. To minimize this effect, the transitions between waveguide and aperture slot are modeled similar to a choke flange. That is, the distance between the waveguide intersection edge and the radii of the groove (indicated in Fig. 7) is at the design frequency. The depth of the groove is 2 mm on each side of the split-block due to the maximum depth of the used 0.8-mm drill. The aperture is fixed in the groove by the upper and lower part of the split-block network as well as the radii at the left and right. Therefore, the height of the apertures is a critical parameter. If it is too large, the two blocks will have no contact and the overall performance decreases, and if it is too small, the slot is not positioned correctly. In Fig. 8, an aperture is placed in the groove

3958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I MANUFACTURING ACCURACY AND DEVIATION FROM MEAN COUPLING VALUES FOR DIFFERENT SLOT HEIGHTS

Fig. 7. Detail picture of the -band prototype coupling structure. The network was manufactured in brass using a mill. The ridge ensures a good electrical connection between upper and lower split-block.

Fig. 8. Manufactured -band prototype network with a coupling aperture placed in the groove. The additional waveguide sections are required to connect the coupling structure to the measurement equipment via standard flanges.

of the split-block network of the prototype. The waveguides to port 1 and port 2 are extended to allow the placement of two flanges next to each other for measurement purposes. The ridge between waveguide and split-block (see Fig. 7) is 0.8 mm thick and should ensure a good electrical contact between the upper and lower block. To reconfigure the coupling coefficient of the structure, the laser-cut aperture is replaced by another aperture with a different slot height. The proposed model has been simulated using CST Microwave Studio, and the results are compared with measurements of the manufactured prototype. In the simulation, it was assumed that there are no slits between the split-blocks and that the aperture fits perfectly into the groove. Furthermore, the slot was modeled with a constant height (neglecting the impact from laser-cutting). Measurements were made with a HP8510C network analyzer with millimeter-wave extension. The effective directivity after calibration was obtained by means of time gating and achieved at least 47.5 dB over the whole frequency band. B. Results To verify the proposed design, several apertures have been manufactured and tested. Representatively for the whole dy-

namic range, six coupling apertures with slot heights of 60, 90, 120, 170, 280, and 400 m are presented and discussed in this section. In Table I, the desired and achieved slot heights with the corresponding mean simulated and measured coupling factors are given. The simulated values have been computed with the desired slot heights. Due to the thickness of the metal plate and the finite cutting time, there is a certain minimum amount of material that has to be degraded in order to ensure the cut through of the laser beam. As a consequence, the laser-cut results of a desired slot height in the range from 40 to 70 m are approximately all the same. The 90- and 120- m apertures have a larger ratio of the slot heights between smaller and larger aperture face (see second column in Table I). For all higher slots, this was not the case. The consequence of this is a stronger difference of the -parameters compared with that of the full-wave simulation where a rectangular slot was assumed. However, comparing the mean coupling values of the other apertures yields an overall good compliance between the simulated and manufactured structures. Reflection and transmission of the analyzed apertures are plotted versus frequency in Figs. 9–14. In nearly every plot (except those of the large slot heights), the reflection coefficient has two minima at about 87 and 97 GHz, which are due to the bent structure. In principle, the of the small slots 100 m is mainly affected by the bends as the reflection of the ideal -plane T-junction is much smaller. For larger slot heights, the impact of the junction strengthens and the reflection coefficient consequently increases (see Fig. 15 for the two limiting cases and ). The small ripples in the -parameters are most likely caused by multiple reflections (sharp edges at the intersection plane between bent waveguide and aperture have a finite thickness) and the long waveguides of the prototype network. All coupling values are nearly constant over the whole -band with a maximum deviation from the mean of 0.9 dB for the largest slot. The smallest branch off is achieved by the 60- m slot. Its mean value is around 24.2 dB, and it deviates from it in the whole -band by 0.7 dB. The strongest coupling is achieved by the 400- m slot as 9.7 dB and a deviation of 0.9 dB. However, there is no upper manufacturing limit, and only the larger reflections may make a certain slot height impractical.

KOENEN et al.: A CONFIGURABLE COUPLING STRUCTURE FOR BROADBAND MILLIMETER-WAVE SPLIT-BLOCK NETWORKS

Fig. 9. Measurement and simulation results of the 60- m slot.

3959

Fig. 12. Measurement and simulation results of the 170- m slot.

Fig. 10. Measurement and simulation results of the 90- m slot. Fig. 13. Measurement and simulation results of the 280- m slot.

Fig. 11. Measurement and simulation results of the 120- m slot. Fig. 14. Measurement and simulation results of the 400- m slot.

The differences between the simulations and the measurements of the manufactured prototypes is mostly due to the trapezoidal slots caused by the laser manufacturing process. This results in a slightly shifted coupling value. In addition, the manufactured waveguide has higher losses (see Fig. 16), which is caused by manufacturing imperfections also observed in [15]. Moreover, the manufacturing of the smallest slots is challenging. Two of three 40- m slots were clogged by a span

that could not be removed, and the third had a large aspect ratio such that its coupling was stronger than that of the 60- m slot. The deviation of measurement and full-wave simulation from the mean coupling for slots heights greater than 170 m is in the range from 0.6 to 0.3 dB (see Table I). The measured deviations from the mean almost always agree with that of the simulation. However, as the apertures are exchangeable

3960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

are dependent on the slot height . As a consequence, the electrical length of the coupling structure is also depending on this height. In Fig. 17, the phase difference of the of the 400- m slot with reference to the 60- m slot is plotted. As larger slot height distinctions lead to larger phase errors, this plot represents the worst case for all manufactured apertures. Measurements, full-wave simulations and the equivalent circuit model yield approximately the same behavior. The phase of is only slightly affected by changing the slot height. The maximum phase difference occurs again for the 400- m slot and is 5 – 0 , while the slope is similar to the one in Fig. 17. In brief, this phase error is systematic and due to the slot height dependent reference planes of the T-junction. Fig. 15. Measured and simulated

Fig. 16. Measured and simulated

of the 0- and 1.27-mm slot.

of the 0- and 1.27-mm slot.

Fig. 17. Measured, simulated and computed phase difference between the of the 400- m slot and the 60- m slot, which represents the worst-case for all evaluated slot heights. This phase error is systematic and due to the slot height dependent reference planes of the T-junction.

and relatively cheap compared with the split-block network, a finetuning towards the desired coupling value is possible. As discussed in the theoretical part, the reference planes are dependent on the parameters of the T-junction and , which

IV. CONCLUSION A configurable coupling structure for millimeter-wave splitblock networks to branch off a small portion of power from a rectangular waveguide has been proposed. The theoretical principle of the coupling structure was given in terms of an equivalent circuit model, and it was verified by means of full-wave simulations. Simulations and measurements were performed for a bent prototype coupling section with different slot heights. The achieved coupling factors range from 24.2 to 9.4 dB while their deviation from the mean in the whole -band is between 0.6 and 0.9 dB. If higher accuracy and smaller slot heights are needed, micromachining techniques as described in [16] or the use of substrates with metallized apertures for the realization of the required slots may help. The reflection for slot heights below 100 m is mainly affected by the bent hollow waveguide and are always below 25 dB. For larger slots, the discontinuity of the junction gets more and more noticeable, and the reflection increases up to a maximum level of 16 dB for a coupling factor of 9 dB. The worst phase mismatch between the manufactured apertures is 10 . Its cause is the slot height-dependent reference planes of the T-junction. It is possible to use the proposed structure in a broadband series feed network of an array antenna to provide the required amplitude tapering, as the coupling factors are nearly constant over the whole -band [10]. Moreover, the stronger coupling values are required at the end of the feed where the somewhat larger reflection is less relevant due to the low power level in the feed line. Care has to be taken concerning the phase difference between the apertures, and an additional waveguide structure may be required to compensate it. The aperture plates can be exchanged after the split-block network has been manufactured, and, thus, an adjustment of each coupling value is possible. REFERENCES [1] M. Hirsch, E. Holzhauer, J. Baldzuhn, B. Kurzan, and B. Scott, “Doppler reflectometry for the investigation of propagating density perturbations,” Plasma Phys. Controlled Fusion, vol. 43, no. 12, pp. 1641–1660, 2001. [2] P. Rohmann, S. Wolf, W. Kasparek, B. Plaum, and J. Hesselbarth, “A 32-element frequency-steered array antenna for reflectometry in W-band,” in Proc. IEEE Int. Symp. Phased Array Syst. Technol., Waltham, MA, USA, Oct. 2013, pp. 559–563. [3] T. Happel et al., “Design of a new Doppler reflectometer frontend for the ASDEX Upgrade Tokamak,” presented at the 10th Int. Reflectometry Workshop, Padova, Italy, May 2011.

KOENEN et al.: A CONFIGURABLE COUPLING STRUCTURE FOR BROADBAND MILLIMETER-WAVE SPLIT-BLOCK NETWORKS

[4] M. Schneider, C. Hartwanger, E. Sommer, and H. Wolf, “The multiple spot beam antenna project ‘Medusa’,” presented at the 3rd Eur. Conf. Antennas Propag., Berlin, Germany, Mar. 2009. [5] A. F. Stevenson, “Theory of slots in rectangular wave-guides,” J. Appl. Phys., vol. 19, no. 1, p. 24, 1948. [6] R. S. Elliott and L. Kurtz, “The design of small slot arrays,” IEEE Trans. Antennas Propag., vol. 26, no. 2, pp. 214–219, 1978. [7] J. Reed, “The multiple branch waveguide coupler,” IRE Trans. Microw. Theory Tech., vol. 6, no. 4, pp. 398–403, 1958. [8] R. Levy and L. F. Lind, “Synthesis of symmetrical branch-guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. 16, no. 2, pp. 80–89, 1968. [9] F. Arndt, I. Ahrens, U. Papziner, U. Wiechmann, and R. Wilkeit, “Optimized -plane T-junction series power dividers,” IEEE Trans. Microw. Theory Tech., vol. 35, no. 11, pp. 1052–1059, 1987. [10] C. Koenen, U. Siart, T. F. Eibert, G. D. Conway, and U. Stroth, “Broadband amplitude tapering for a linear W-band array antenna for Gaussian beam-shaping,” presented at the German Microw. Conf., Nuremberg, Germany, Mar. 2015. [11] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits, ser. Radiation Laboratory Series. New York, NY, USA: McGraw-Hill, 1948, vol. 8. [12] P. A. Rizzi, Microwave Engineering: Passive Circuits. Englewood Cliffs, NJ, USA: Prentice-Hall, 1988. [13] N. Marcuvitz, Waveguide Handbook, ser. Radiation Laboratory Series. New York, NY, USA: McGraw-Hill, 1951, vol. 10. [14] E. D. Sharp, “An exact calculation for a T-junction of rectangular waveguides having arbitrary cross sections,” IEEE Trans. Microw. Theory Tech., vol. 15, no. 2, pp. 109–116, 1967. [15] I. Stil, A. L. Fontana, B. Lefranc, A. Navarrini, P. Serres, and K. F. Schuster, “Loss of WR10 waveguide across 70–116 GHz,” presented at the 23nd Int. Symp. Space Terahertz Technol., Tokyo, Japan, Apr. 2012. [16] V. M. Lubecke, K. Mizuno, and G. M. Rebeiz, “Micromachining for terahertz applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1821–1831, 1998.

Christian Koenen (S’15) received the B.Eng. degree from the Hochschule Ravensburg-Weingarten, Weingarten, Germany, in 2011 and the M.Sc. degree from the Technical University of Munich, Munich, Germany, in 2013, both in electrical engineering and information technology. He is currently working towards the Dr.-Ing. degree at the Chair of High-Frequency Engineering, Technical University of Munich, where he is working on steerable millimeter-wave array antennas for fusion plasma diagnostics.

Uwe Siart (M’08) was born in Bayreuth, Germany, in 1969. He received the Dipl.-Ing. degree from the University of Erlangen-Nürnberg, Erlangen, Germany, in 1996 and the Dr.-Ing. degree from the Technical University of Munich, Munich, Germany, in 2005. He has been with the Chair of High-Frequency Engineering, Technical University of Munich, since 1996. In 2005, he became a Senior Research Associate. His research interests are in the fields of signal processing and model-based parameter estimation for millimeter-wave radar signal processing and high-frequency measurements. Currently, he holds the Associate Professorship for EMC and wave propagation where he is working on statistical electromagnetic wave propagation, remote sensing of the atmosphere, low-power radar sensors, and millimeter-wave components for fusion plasma diagnostics.

3961

Thomas F. Eibert (S’93–M’97–SM’09) received the Dipl.-Ing.(FH) degree from Fachhochschule Nürnberg, Nuremberg, Germany; the Dipl.-Ing. degree from Ruhr-Universität Bochum, Bochum, Germany; and the Dr.-Ing. degree from Bergische Universität Wuppertal, Wuppertal, Germany, in 1989, 1992, and 1997, all in electrical engineering. From 1997 to 1998, he was with the Radiation Laboratory, Electrical Engineering and Computer Science Department at the University of Michigan, Ann Arbor, MI, USA; from 1998 to 2002, he was with Deutsche Telekom, Darmstadt, Germany; and from 2002 to 2005, he was with the Institute for High-Frequency Physics and Radar Techniques of FGAN e.V., Wachtberg, Germany, where he was Head of the Department Antennas and Scattering. From 2005 to 2008, he was a Professor of radio frequency technology at Universität Stuttgart, Stuttgart, Germany. Since October 2008, he has been a Professor of high-frequency engineering at the Technical University of Munich, Munich, Germany. His major areas of interest are numerical electromagnetics, wave propagation, measurement techniques for antennas and scattering as well as all kinds of antenna and microwave circuit technologies for sensors and communications. Dr. Eibert is currently an Associate Editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION.

Garrard D. Conway received the B.Sc. (Hons.) degree in pure and applied physics and the Ph.D. degree in plasma physics, both from the University of Manchester, U.K., in 1982 and 1986, respectively. From 1986 to 1987, he was with the Radio Propagation Laboratory, Leicester University, U.K. From 1987 to 1990, he was with the Plasma Research Laboratory, Australian National University, Canberra, Australia. From 1990 to 1999, he was a Research Associate and Adjunct Professor with the Plasma Physics Laboratory (PRL), Physics Department, University of Saskatchewan, Saskatoon, Canada. During 1996, he was a Visiting Fellow at the PRL, Australian National University, and from 1996 to 1999, a Visiting Scientist at the Joint European Torus project in Abingdon, U.K. Since late 1999, he has been a Senior Staff Scientist with the Max-Plank-Institute for Plasma Physics, Garching, Germany. His research interests include plasma turbulence in fusion devices, development of microwave and radar techniques for diagnosing high-temperature plasmas, as well as electromagnetic wave propagation in general. Dr. Conway has chaired many committees, working groups, and led task forces and projects on microwave diagnostics and turbulence studies.

Ulrich Stroth studied physics at the Technische Hochschule Darmstadt (TH Darmstadt), Germany. He prepared the Ph.D. in theoretical nuclear physics at Institute Laue-Langevin, Grenoble, France, and received the Ph.D. degree from TH Darmstadt in 1986. From 1986 to 1998, he was at the Max Planck Institute for Plasma Physics, Garching, Germany, where he studied magnetic confinement of fusion plasmas in stellarators and tokomaks. He was a Visiting Research at General Atomics, San Diego, CA, USA, at Princeton University, Princeton, NJ, USA, and Oak Ridge National Laboratories, Oakridge, TN, USA, and at the National Institute for Fusion Science, Toki, Japan. In 1996, he habilitated at the University of Heidelberg where he held courses on plasma physics. In 1999, he was appointed professor for experimental plasma physics at University of Kiel, Germany, and led an experimental group exploring plasma turbulence in a small stellarator experiment. From 2004 to 2010, he was Professor and Director of the Institute for Plasma Research at University Stuttgart, Germany, and since 2010, he has been a Max-Planck Director at MPI for Plasma Physics and Full Professor at the Physics Department of the Technical University of Munich. His research interests include magnetic plasma confinement, turbulent transport, micro-waves in plasmas and plasmawall interaction.

3962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Design of High-Directivity Wideband Microstrip Directional Coupler With Fragment-Type Structure Lu Wang, Gang Wang, Member, IEEE, and Johan Sidén, Member, IEEE

Abstract—A novel design for a microstrip wideband directional coupler is proposed by using fragment-type structures. The use of a fragment-type structure may provide satisfactory flexibility and excellent performance. For a given design space, a fragment-type wideband coupler can be designed by first gridding the space into fragment cells and then metallizing the fragment cells selected by a multi-objective optimization searching algorithm, such as a multi-objective evolutionary algorithm based on decomposition combined with enhanced genetic operators. For demonstration, a 20-dB wideband microstrip directional coupler is designed and verified by test. A 45% bandwidth centered at 2 GHz has been measured in terms of maximum variation of 0.5 dB in the 20-dB coupling level. In the operation band, the designed coupler has directivity above 37 dB, and a maximum directivity of 48 dB at 2 GHz. In addition, some technique aspects related to multi-objective optimization searching, such as effects of design space, control of coupling level, and efficiency consideration for optimization searching, are further discussed. Fragment-type structures may also be used to design high-performance wideband directional couplers of tight coupling level. Index Terms—Fragment-type structure, microstrip directional coupler wideband design, multi-objective evolutionary algorithm based on decomposition combined with enhanced genetic operators (MOEA/D-GO).

I. INTRODUCTION

M

ICROSTRIP directional couplers are widely used in designs of various balanced power amplifiers, mixers, modulators, measurement systems, circularly polarized antennas, beam-forming array antennas, etc. However, microstrip directional couplers suffer from poor directivity due to the difference in the phase velocities of even and odd modes on coupled microstrip lines [1]. In order to increase directivity of microstrip directional couplers, several effective methods have been reported. In [2] and [3], a single capacitive or inductive element was proposed for

Manuscript received July 04, 2015; revised September 17, 2015; accepted October 10, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported in part by the National Natural Science Foundation of China under Grant 61272471 and Grant 61331020. L. Wang is with the Department of Electronic Engineering and Information Science, University of Science and Technology of China, Hefei 230027, China. G. Wang is with the Department of Electronic Engineering and Information Science, University of Science and Technology of China, Hefei 230027, China, and also with the Key Laboratory of Electromagnetic Space Information, Chinese Academy of Sciences, Hefei 230027, China (e-mail: [email protected]. cn). J. Sidén is with the Department of Electronics Design, Mid Sweden University, SE-851 70 Sundsvall, Sweden. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490671

Fig. 1. Fragment-type structure for microstrip directional coupler design.

compensation of phase velocities of even and odd modes, and directivity up to 28 dB can be acquired. By properly selecting dielectric layers [4] and coupled-line geometry [5], [6], satisfactory equalization of coupling level and directivity up to 32 dB can be achieved. In [7], an epsilon negative transmission line is used to equalize the even and odd mode phase velocities, and the directivity can be enhanced at a desired frequency. In addition, directivity can also be enhanced by using inductive or capacitive loading [8], [9]. Both high directivity and tight coupling can be achieved by cascading couplers with loading inductors. Although directivity of the microstrip directional coupler has been improved significantly in these designs, it is still interesting to design a wideband microstrip directional coupler of higher directivity. In addition, operation bandwidth of the reported designs is quite narrow. For instance, designs in [2], [3], and [7] with compensation or equalization of even and odd mode phase velocities are only effective at the center frequency so that bandwidth of directivity above 20 dB is approximately 28%. In [8] and [9], simple inductive or capacitive loading does not provide enough flexibility in adjusting coupling bandwidth and directivity bandwidth. Therefore, to improve directivity and bandwidth of a directional coupler requires new design techniques. In this paper, a novel design for a high-directivity wideband microstrip directional coupler is proposed by using a fragmenttype structure, as shown in Fig. 1, where gray cells represent metal fragments. Since any canonical structure for planar directional coupler can be defined by using the fragment cells, design of the microstrip directional coupler with a fragment-type structure has the possibility for achieving coupler performance as good as possible. Fragment-type structures have found applications in antenna design [10]–[12], multiple-input multiple-output (MIMO) antenna isolation design [13], RF identification (RFID) tag antenna design [14], and bandpass filter design [15]. However,

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

WANG et al.: DESIGN OF HIGH-DIRECTIVITY WIDEBAND MICROSTRIP DIRECTIONAL COUPLER WITH FRAGMENT-TYPE STRUCTURE

in design of a high-performance directional coupler with fragment-type structures, some coupler characteristics such as isolation, coupling level, and operation bandwidth require specific design consideration. Design of a high-directivity wideband directional coupler is still challenging even with fragment-type coupling structures. By laying stress on key coupler characteristics, a multi-objective optimization problem is usually defined. Design of a fragment-type coupling structure for multiple objectives requires a special optimization searching technique. An optimization searching scheme such as a multi-objective evolutionary algorithm based on decomposition combined with enhanced genetic operators (MOEA/D-GO) [12] can be applied. This paper is organized as follows. Section II introduces the design scheme for a high-directivity directional coupler based on MOEA/D-GO, including the description of fragment-type structures with a design matrix, MOEA/D-GO framework, and formation of multiple objective functions. In Section III, a wideband 20-dB microstrip directional coupler with a fragment-type structure is designed and verified by a prototype test. Performance comparison with other designs and analysis about wideband operation of the fragment-type structure are also provided. In Section IV, some technique aspects related to MOEA/D-GO optimization searching for fragment-type coupler design, such as effects of design space, control of coupling level, and efficiency consideration are further discussed. In Section V, the potential of fragment-type structures in tight coupling design is also investigated. It is shown that fragment-type structures can be used for both loose- and tight-coupling high-performance directional couplers.

3963

Fig. 2. Flowchart of MOEA/D-GO [12].

II. MOEA/D-GO FOR HIGH-PERFORMANCE MICROSTRIP DIRECTIONAL COUPLER DESIGN For design of the fragment-type microstrip directional coupler shown in Fig. 1, the space left for the fragment-type structure can be gridded into cells, and the cells can be assigned with either “1” or “0.” A fragment-type coupling structure can be constructed by assigning “1” and “0” to the metal cell and nonmetal cell, respectively. As for which fragment cells should be assigned with “1,” we may use the MOEA/D-GO to make the decision by optimization searching. The setting of objective functions for the MOEA/D-GO is very important.

Fig. 3. Enhanced crossover and mutation in MOEA/D-GO. (a) Best chromosome matrix in the neighborhood. (b) Chromosome matrix in current subproblem. (c) Chromosome matrix from the neighborhood. (d) New chromosome matrix by using crossover. (e) New chromosome matrix by using mutation.

A. Design Matrix for Fragment-Type Directional Coupler Design space in Fig. 1 gives the region for the coupling structure of a directional coupler. For any distribution of “1” and “0” filling the design space to form a fragment-type structure, a design matrix of elements of “1” and “0” can be constructed to denote the fragment-type structure. Once a design matrix is constructed, the design of the wideband microstrip directional coupler in the design space is transformed to seek a proper design matrix to achieve coupler performance as high as possible in the desired operation frequency bandwidth. Obviously, the large design matrix will lead to much computation cost because the proper design matrix will have to be searched in a large decision space. In order to reduce the computation cost, a symmetrical coupling structure can be considered.

B. MOEA/D-GO Framework The MOEA/D-GO was proposed to solve multi-objective optimization problems in discrete searching space such as that defined by fragment-type structures [12]. In the MOEA/D-GO, enhanced genetic operators are introduced into the MOEA/D [16] to generate a new solution. Therefore, the MOEA/D-GO carries forward all advantages of the MOEA/D and genetic algorithm. A detailed algorithm and performance verification of the MOEA/D-GO can be found in [12]. A flowchart of the MOEA/D-GO is shown in Fig. 2. In the MOEA/D-GO, the searching convergence is sped up by defining an enhanced crossover operator based on the concept of neighborhood and employing a mutation operator, as shown in Fig. 3.

3964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

In the enhanced genetic operator in Fig. 2, the enhanced crossover operator is implemented in two steps, as shown in Fig. 3. 1) Select two parents from the neighborhood, as shown in Fig. 3(a) and (c), where (a) is the best in the neighborhood and (c) is randomly selected, and perform crossover on the two selected individuals and the one (b) in the current subproblem. 2) Select a row in the matrix randomly and reverse the genes above and below the selected row with equal probability to form a new individual (d). The mutation in Fig. 3 is then implemented in the following two steps. 1) Determine mutation times and perform mutation on the new offspring after the above enhanced crossover. 2) For each mutation, select a row or column in the matrix randomly, and change the gene value between “1” and “0” in the selected row or column with designated probability. In the MOEA/D-GO, it is the best individual in the neighborhood that guides the global search, which leads to a faster convergence. The enhanced crossover among three individuals reinforces the diversity. Therefore, the MOEA/D-GO is expected to generate a global optimum with better convergence and diversity than the original MOEA/D. C. Multi-Objective Optimization Problem for Fragment-Type Coupler In order to design a high-performance directional coupler, we should lay stress on every one of the coupler characteristics such as isolation, coupling level, operation bandwidth, and return loss in the optimization searching. Merging them together by different weights and performing ordinary single-objective optimization will not ensure high performance. Multi-objective optimization is especially suitable for design of the high-performance wideband microstrip directional coupler because some of the directional coupler characteristics may be conflicted. For instance, center frequency of the operation band defined by the coupling level may deviate from the center frequency of the operation band defined by directivity, which will result in narrow operation bandwidth and poor stability of the coupling level. In general, it could be very difficult for ordinary single-objective optimization to make a better tradeoff between the coupling bandwidth and directivity bandwidth even if fragment-type coupling structures are applied. Multi-objective optimization may provide a satisfactory solution. For microstrip directional coupler design, the multi-objective optimization problem can be defined as minimize subject to

(1)

represent different dewhere functions sign objectives for the directional coupler such as isolation, coupling level, stability in coupling, return loss, bandwidth, etc. is a decision space and is a decision variable that defines a fragment-type structure. For such a multi-objective optimization problem, the best tradeoff for all objectives can be achieved by optimal vectors distributed in a Pareto front [16].

To achieve an -dB directional coupler, typical objective functions can be specified as

(2) (3)

(4) (5) where defines the operation bandwidth of the microstrip directional coupler, (in dB) indicates the coupling level, (in dB) indicates the isolation, and (in dB) indicates the return loss. The objective function guarantees the required coupling level of dB in the operation bandwidth. For a 20-dB directional coupler, . The objective function controls the isolation where indicates the desired isolation in dB. Directivity of the coupler can be obtained by the difference between the coupling level and isolation . If we set the value of being unusually large in (3), implementing MOEA/D-GO optimization will give the process of pursuing directivity as high as possible for the required coupling level. The objective function is defined to guarantee stability of the coupling level in the operation bandwidth, where is introduced to defined an allowable fluctuation of the coupling level. Small indicates small variation in the coupling level, which is an important characteristic for the high-performance directional coupler. The objective function is defined to guarantee a small reflection in terms of a 40-dB return loss. Although operation bandwidth can be controlled and optimized by specifying in the above objective functions, operation bandwidth can also be defined as an objective function as (6) where denotes the center frequency in the operation band and denotes a desired fractional bandwidth. To explore the maximum operation bandwidth, we may assign a large interval to or a large value to in the case that we have no idea about the maximum operation bandwidth. It should be remarked that too small and too large or may lead to endless optimization searching in the MOEA/ D-GO. In practice, we may check the output stability of the coupling level, isolation of MOEA/D-GO, or the operation bandwidth to see if there is a need to terminate the searching manually. Equations (1)–(5) define a four-objective optimization problem. In general, optimization searching could be quite time consuming to solve the four-objective problem. A high-efficiency multi-objective optimization algorithm is highly appreciated. The MOEA/D-GO has been proven to be a high-efficiency multi-objective optimization algorithm [12].

WANG et al.: DESIGN OF HIGH-DIRECTIVITY WIDEBAND MICROSTRIP DIRECTIONAL COUPLER WITH FRAGMENT-TYPE STRUCTURE

3965

Fig. 5. Layout of the designed 20-dB microstrip directional coupler with fragment-type structure. Fig. 4. Lateral and vertical symmetry in coupling structure.

III. DESIGN OF A 20-dB MICROSTRIP DIRECTIONAL COUPLER For demonstration, we design a fragment-type wideband 20-dB microstrip directional coupler. For such a weakly coupled directional coupler, directivity enhancement is a much more difficult task [9]. We will show the superiority of the design scheme introduced in Section II. To acquire a high-performance design, we set in (2), in (3), and in (4) for the 20-dB directional coupler. The operation bandwidth is set to be GHz and GHz, which define a 40% operation bandwidth and a center frequency of 2 GHz.

Fig. 6. Prototype of the designed 20-dB directional coupler with fragment-type structure.

A. Design and Test In the design simulation, we use a printed circuit board (PCB) of an FR4 substrate with a thickness of 1.6 mm, relative dielec, and a loss tangent of 0.02. Thickness tric constant of of copper cladding on the substrate is set to be 35 m. Design space for the fragment-type coupler is supposed to be 10.3 mm 16.4 mm, which is an ordinary design space for a conventional directional coupler at this frequency band. The design space is gridded into 24 22 cells of dimension 0.44 mm 0.8 mm. It should be remarked that the fragment cell assigned with “1” is set to have dimension of 0.54 mm 0.9 mm in practical simulation design. By using this cell size, it is guaranteed that the adjacent metal cells can be connected. In order to reduce the size of the design matrix for the MOEA/ D-GO, both lateral symmetry and vertical symmetry are adopted for the coupling structure, as shown in Fig. 4. After implementing the MOEA/D-GO optimization for the four optimization objectives defined in (2) to (5) with , , and , two candidate fragment-type structures are obtained in terms of maximum directivity higher than 45 dB and variation in the coupling level smaller than 0.5 dB. Layout of one of the fragment-type structures is shown in Fig. 5. A prototype of the fragment-type directional coupler is fabricated as shown in Fig. 6. Simulated and measured -parameters and phase difference of the designed fragment-type directional coupler are depicted in Fig. 7. From Fig. 7(a), we find that both the measured and simulated return losses are better than 40 dB, which indicates very good matching at the four ports. From Fig. 7(b), we find that

the measured isolation is slightly different from the simulation result at the high-frequency end, and the measured phase difference between the phase at the transmitted port and the phase at the coupled port is approximately 90.5 2 . Directivity of the coupler can be calculated from the coupling and isolation levels in Fig. 7(b). Fig. 8 shows the calculated directivity and coupling level of the designed coupler. For comparison, simulated directivity and coupling of a conventional 20-dB directional coupler with a coupled-line structure are also depicted. From Fig. 8, we find that the designed fragment-type coupler has directivity above 37 dB in the operation band, and a maximum directivity of 48 dB at 2 GHz. Compared to conventional 20-dB directional couplers, the designed fragment-type coupler has enhanced the directivity by approximately 42 dB at the center frequency. Following the conventional definition of operation bandwidth in terms of 0.5-dB coupling level variation, we find in Fig. 8 that the designed coupler has a 45% bandwidth centered at 2 GHz, while the conventional 20-dB directional coupler has a bandwidth of 35%. If 20-dB return loss and 20-dB directivity are included in the bandwidth definition for the high-performance coupler, we find the coupler designed with a fragment-type structure improves the bandwidth significantly. B. Performance Comparison To evaluate the proposed design with fragment-type structures, Table I lists characteristics of the proposed directional

3966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

bandwidth is defined in terms of 0.5-dB coupling level variation 20-dB return loss and 20-dB directivity. We remark that a 10-dB directional coupler with fragment-type coupling structures designed in Section V is also included in Table I as work #2 for fair comparison between 10-dB directional couplers. It is shown in Table I that the fragment-type directional coupler possesses the widest bandwidth of approximately 45%, and the largest minimum directivity of 37 dB in the operation band. From Table I, we find that designs in [4], [7], [9], and [18] have very narrow bandwidth, although some may have pleasant maximum directivities, Designs in [3], [5], and [17] have relatively wider bandwidth, but they have small maximum directivities. In addition, the fragment-type directional coupler has a moderate coupling structure area of approximately , where is the guided wavelength at the center frequency. Therefore, the proposed design with a fragment-type coupling structure can provide the highest overall performance. C. Bandwidth Enhancement With Fragment-Type Structure

Fig. 7. Simulated and measured S-parameters and phase difference of the and 20-dB microstrip directional coupler with fragment-type structure. (a) parameters. (b) and parameters and phase difference.

Compared to a directional coupler with a conventional microstrip structure, the designed coupler with a fragment-type structure tends to provide higher directivity in a wide bandwidth. Judging from the structures, irregularity and discontinuity in fragment-type structure play important roles. In general, the fragment-type structure supports nonuniform current distribution, multiple electromagnetic field modes, and complicated coupling. Due to the irregularity of the structure, the fragment-type coupler suffers from more loss, which has been verified by numerical analysis. Analysis of effects of loss will help us to know more about the bandwidth enhancement of the fragment-type microstrip directional coupler. With symmetry in Fig. 4, the scattering matrix of a directional coupler can be expressed as

(7) where defines return loss, defines the transmission coefficient, defines the coupling coefficient, and denotes isolation. For an ideal lossless directional coupler, and , which gives an infinity directivity [19]. However, losses cannot be avoided in a practical coupler, i.e., in general we have Fig. 8. Simulated and measured directivity and coupling level for the designed directional coupler. For comparison, the curves of a conventional 20-dB directional coupler are also depicted.

coupler and several previously designed high-performance directional couplers. For high-performance directional couplers,

(8) where should be positive and can be referred to as a loss index solely determined by the directional coupler. Different directional couplers have different values of .

WANG et al.: DESIGN OF HIGH-DIRECTIVITY WIDEBAND MICROSTRIP DIRECTIONAL COUPLER WITH FRAGMENT-TYPE STRUCTURE

3967

TABLE I COMPARISON BETWEEN PROPOSED COUPLER AND PREVIOUSLY PUBLISHED DESIGNS WITH MICROSTRIP STRUCTURE

For a practical coupler, it is well known that the difference between the total incident power and the total scattered power can be calculate as (9) (10) denotes the incident power waves at every port, dewhere notes complex conjugate transpose, and denotes the unit matrix. Obviously, is a positive definite Hermite quadratic matrix, which should have a positive principal minor determinant, i.e., and

(11)

From (11), an inequality about S-parameters for a practical directional coupler can be obtained as

(12) Isolation of the directional coupler is defined by , whose modulus and phase angle are included in the first term on the left side of (12). Coupling of the directional coupler is defined by , whose modulus and phase angle are included in the second term. Therefore, bandwidth for isolation can be derived from dynamic range of the first term, and bandwidth for coupling can be derived from dynamic range of the second term. However, there is a conflict to some extent between the coupling bandwidth. Due to the inequality constrain in (12), a larger dynamic range of the second term, and vice versa. Such a conflict cannot be readily conciliated by using a conventional coupling structure. It is the fragment-type structure that offers the possibility to seek structures that may provide a high isolation in a wide bandwidth of coupling.

IV. FURTHER DISCUSSION High directivity, a stable coupling level, and wider bandwidth can be acquired by using fragment-type structure in the microstrip directional coupler. For fragment-type structure design, an optimization searching scheme plays a key role. Some technique aspects related to the optimization searching, such as effects of design space, control of coupling level, and efficiency consideration for optimization searching, deserve further discussion. In addition, the tight coupling design with a fragment-type structure has also been discussed. A. Effects of Design Space In the design in Section III, design space for a conventional directional coupler at a center frequency of 2 GHz is set as standard design space for the fragment-type coupler, which is 10.3 mm 16.4 mm. When the fragment-type structure is applied, different equivalent electrical length may be obtained. Therefore, it is not necessary for a directional coupler with a fragment-type structure to occupy exactly the standard design space. Table II lists the major characteristics of 20-dB directional couplers with a fragment-type structure taking several design space, viz. different in Fig. 5. The characteristics are acquired by setting the listed design space in the four-objective MOEA/D-GO optimization in Section III. We remark that, in these designs, we take the same fragment cell size as defined in Section III. From Table II, we find that although smaller design space can also guarantee high directivity, the operation bandwidth becomes narrower. For design space of mm or design space of mm, no bandwidth defined in terms of 0.5-dB coupling level variation, 20-dB return loss, and directivity at 2 GHz can be measured. Thus we conclude that for fragment-type high-performance directional coupler design, the design space must be larger than 6.3 mm 12.4 mm for fragment cell size defined in Section III. For other fragment cell size, there

3968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE II OPTIMIZATION RESULTS FOR DIFFERENT DESIGN SPACE

Fig. 10. Simulated coupling levels for the designed and conventional directional couplers of various slot width .

Fig. 11. Preset an initial slot in design to speed up the MOEA/D-GO. Fig. 9. Principle for slot forming in fragment-type structure.

may be a slightly different requirement on design space dimensions. Although the smallest design space, 6.3 mm 12.4 mm, will cause the overall performance degradation, the performance is still better than the conventional coupler under the comprehensive consideration of bandwidth and directivity, which shows the potential for miniaturization design with fragment-type structures. B. Effects of Width of the Coupling Slot It is interesting to note that in the optimized fragment-type coupler searched by using the MOEA/D-GO, there tends to be a slot, as shown in Fig. 5, which has a width of 1.3 mm. This is a coupling slot similar to that in a conventional coupled-line structure. For the conventional directional coupler, it is the width of the coupling slot between two parallel microstrip lines that controls the coupling level. Due to the irregularity in both the transmission structure and slot of the fragment-type coupler, the effects of slot width , as illustrated in Fig. 9, could be somewhat complicated. In order to show effects of the slot, we may manually adjust the width of the slot in the optimized fragment-type coupler in Fig. 5, and calculate the coupling level without re-optimization. Fig. 10 shows the simulated coupling levels for the optimized fragment-type coupler in Fig. 5 of different slot width . For

comparison, coupling levels of conventional directional couplers of the corresponding slot width are also depicted. We find from Fig. 10 that the coupling gets stronger as slot width gets smaller. This variation characteristic is similar to the conventional coupled-line directional coupler. Therefore, the slot width in the conventional directional coupler can be used as an initial slot width in MOEA/D-GO optimization searching, as will be discussed in Section IV-C. C. Efficiency Consideration Efficiency is the major concern when a multi-objective optimization searching algorithm such as the MOEA/D-GO is used for design of the fragment-type directional coupler. It is well known that some prior knowledge about the design may improve efficiency of optimization searching. Now that Fig. 10 tells us that couplers with a different slot width may have a different coupling level, we may preset an initial slot width in the design space to speed up the stochastic searching of the MOEA/D-GO, as shown in Fig. 11. Fig. 12 shows the increase of isolation in the MOEA/D-GO searching for the 20-dB microstrip directional coupler with respect to the number of iterations for several preset initial slot widths . For MOEA/D-GO optimization searching, the number of iteration can be used to indicate the searching time. From Fig. 12, we find that searching with mm, i.e., no preset initial slot, is the most time consuming because it takes 75 iterations (about 13 days) to acquire isolation of 65 dB. Searching with initial slot of mm seems to be the fastest because it takes 25 iterations (about five days) to

WANG et al.: DESIGN OF HIGH-DIRECTIVITY WIDEBAND MICROSTRIP DIRECTIONAL COUPLER WITH FRAGMENT-TYPE STRUCTURE

Fig. 12. Isolation increases with searching iteration of MOEA/D-GO for different initial slot width .

acquire isolation of 65 dB. All the designs with MOEA/D-GO optimization ran on a computer with Intel Core I5-4670 @3.4 GHz. It should be remarked that a too-wide initial slot width preset in the design space will be detrimental to optimization searching. The reason is that a too-wide slot will give a too-weak coupling so that the 20-dB coupling level cannot be guaranteed by any fragment-type structure. The coupling slot width for the conventional microstrip directional coupler can be used as a useful reference to preset the initial slot width.

3969

Fig. 13. Simulated directivity and coupling level for the fragment-type direcmm. tional coupler and conventional coupler with slot width

TABLE III DESIGNED PERFORMANCES OF CONVENTIONAL COUPLER AND FRAGMENT-TYPE COUPLER WITH DIFFERENT SLOT WIDTH

V. TIGHT COUPLING COUPLER DESIGN WITH FRAGMENT-TYPE STRUCTURES Fragment-type coupling structures have found successful applications in design of a 20-dB high-directivity wideband coupler, which belongs to loose coupling couplers. Judging from the appearance, fragment-type structures hold more irregularity and discontinuity than the conventional coupled-line structure. Therefore, it is quite reasonable to doubt the design of tight coupling directional couplers with fragment-type structures. With the discussion about presetting coupling slot in the fragment-type coupling structures, especially the results presented in Fig. 10, there is growing doubt. However, Fig. 10 does not imply that the coupling level of fragment-type directional coupler is always lower than that of the conventional directional coupler when they have the same slot width . The reason is that the coupling level of the fragment-type coupler shown in Fig. 10 for an adjusted slot width is not the coupling level of a fragment-type coupler optimized for this slot width. For each slot width, there should be its own optimized fragment-type couplers, which can be obtained by setting the slot width in the fragment-type coupler model, and running the MOEA/D-GO optimization. To make it clear, Fig. 13 shows the key characteristics of the conventional directional coupler and fragment-type directional coupler optimized for slot width mm. It is observed that the conventional directional coupler of mm has a coupling level of 21 dB, while the fragment-type directional coupler of mm has a coupling level of 20 dB. Therefore,

the optimized fragment-type direction coupler may provide a little bit tighter coupling than that of conventional directional coupler when they have the same coupling slot. To demonstrate tight coupling direction couplers with fragment-type structures, we design 10- and 3-dB driectional couplers with MOEA/D-GO optimization searching. Both of the two fragment-type couplers are designed on the same PCB as used in Section III. With no loss of generality, the 10-dB (or 3-dB) fragment-type coupler takes a design space same as that required by a conventional 10-dB (or 3-dB) coupled line coupler. In both the designs, fragment cells have the same dimensions of 0.4 mm 1 mm. The two designs have also preset a coupling slot to improve the design efficiency. Maximum coupling slot width for 10- and 3-dB conventional coupled line couplers are set as an initial slot width for 10- and 3-dB fragment-type couplers, respectively. Table III lists the major characteristics of conventional coupler and fragment-type coupler with different maximum slot width. From Table III, we find that for both 10- and 3-dB tight coupling levels, fragment-type couplers can achieve better performance such as higher directivity and wider bandwidth, even with a little bit wider slot.

3970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Therefore, the fragment-type structure can also be used to design high-performance directional couplers with a tight coupling level. VI. CONCLUSION With a fragment-type coupling structure, the wideband microstrip directional coupler can be designed with high directivity. Multi-objective optimization searching with the MOEA/ D-GO is used to implement the high-performance design. Judging from theoretical analysis, we find that there may be a conflict between the coupling bandwidth and isolation bandwidth in a directional coupler. For the microstrip directional coupler with a conventional structure, it is hard to make a better tradeoff between the coupling bandwidth and directivity bandwidth. It is the fragment-type structure that offers the possibility to seek such a balance, and the multi-objective optimization searching to find the most suitable fragment-type structure for high performance. Due to its flexibility, the fragment-type structure can also be used in design of the directional coupler with the asymmetrical coupling structure in an irregular design space. Design of the fragment-type coupling structure in a large design space could be quite time consuming. Some specific techniques such as using nonuniform fragment cells and combining the median filtering operator have been demonstrated effective for antenna design [20]. To find an effective optimization searching technique for the design of the fragment-type directional coupler will be the subject of further work.

[12] D. Ding and G. Wang, “MOEA/D-GO for fragmented antenna design,” Progr. Electromagn. Res., M, vol. 33, pp. 1–15, 2013. [13] L. Wang, G. Wang, and J. Sidén, “Design of fragment-type isolation structures for MIMO antennas,” Progr. Electromagen. Res., C, vol. 52, pp. 71–82, 2014. [14] J. Han, G. Wang, and J. Sidén, “Fragment-type UHF RFID tag embedded in QR barcode label,” Electron. Lett., vol. 51, no. 4, pp. 313–315, Feb. 2015. [15] Q. Zhao, G. Wang, and D. Ding, “Compact microstrip bandpass filter with fragment-loaded resonators,” Microw. Opt. Technol. Lett., vol. 56, no. 12, pp. 2896–2899, Dec. 2014. [16] Q. Zhang and H. Li, “MOEA/D: A multi-objective evolutionary algorithm based on decomposition,” IEEE Trans. Evol. Comput., vol. 11, no. 6, pp. 712–731, Dec. 2007. [17] J. Muller and A. F. Jacob, “Advanced characterization and design of compensated high directivity quafrature coupler,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 724–727. [18] A. Hirota, Y. Tahara, and N. Yoneda, “A wide band forward coupler with balanced composite right/left-handed transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [19] R. K. Mongia, I. J. Bahl, P. Bhartia, and J. Hong, RF and Microwave Coupled-Line Circuits, 2nd ed. Norwood, MA, USA: Artech House, 2007. [20] D. Ding, G. Wang, and L. Wang, “High-efficiency scheme and optimization technique for design of fragment-type isolation structure between multiple-input and multiple-output antennas,” IET Microw. Antennas Propag., vol. 9, no. 9, pp. 933–939, 2015.

Lu Wang received the B.S. degree from the University of Science and Technology of China, Hefei, China, in 2013, and is currently working toward the Ph.D. degree in electrical engineering at the University of Science and Technology of China. His research interests involve isolation structures design for multiple-input multiple-output (MIMO) antennas, fragment-type microwave circuits, and antenna design.

REFERENCES [1] S. L. March, “Phase velocity compensation in parallel-coupled microstrip,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1982, pp. 410–412. [2] M. Dydyk, “Microstrip directional couplers with ideal performance via single-element compensatin,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 6, pp. 956–964, Jun. 1999. [3] R. Phromloungsri, M. Chongcheawchamnan, and I. D. Robertson, “Inductively compensated parallel coupled microstrip lines and their applications,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 9, pp. 3571–3582, Sep. 2006. [4] D. Jaisson, “Multilayer microstrip directional coupler with discrete coupling,” IEEE Trans. Microw. Theory Techn., vol. 48, no. 9, pp. 1591–1595, Sep. 2000. [5] S.-F. Chang, J.-L. Chen, Y.-H. Jeng, and C.-T. Wu, “New high-directivity coupler design with coupled spurlines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 65–67, Feb. 2004. [6] J. Shi, X. Y. Zhang, K. W. Lau, J. X. Chen, and Q. Xue, “Directional coupler with high directivity using metallic cylinders on microstrip line,” Electron. Lett., vol. 45, no. 8, pp. 415–417, Apr. 2009. [7] A. Pourzadi, A. R. Attari, and M. S. Majedi, “A directivity-enhanced directional coupler using epsilon negative transmission line,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 11, pp. 3395–3401, Nov. 2012. [8] S. Lee and Y. Lee, “An inductor-loaded microstrip directional coupler for directivity enhancement,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 6, pp. 362–364, Jun. 2009. [9] S. Lee and Y. Lee, “A design method for microstrip directional couplers loaded with shunt inductors for directivity enhancement,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 994–1002, Apr. 2010. [10] B. Thors, H. Steyskal, and H. Holter, “Broad-band fragmented aperture phased array element design using genetic algorithms,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 3280–3287, Oct. 2005. [11] N. Herscovici, J. Ginn, T. Donisi, and B. Tomasic, “A fragmented aperture-coupled microstrip antenna,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., San Diego, CA, USA, Jul. 2008, pp. 1–4.

Gang Wang (M’98) received the B.S. degree from the University of Science and Technology of China, Hefei, China, in 1988, and the M.S. and Ph.D. degrees in electrical engineering from Xidian University, Xi’an, China, in 1991 and 1996, respectively. From 1996 to 1998, he was with Xi’an Jiaotong University, as a Postdoctoral Research Fellow, during which time he was supported by the Chinese Government. From 1998 to 2000, he was an Associate Professor with Xi’an Jiaotong University. In 2001, he was a Visiting Researcher with the ITM Department, Mid-Sweden University. From 2002 to 2003, he was a Postdoctoral Research Associate with the Department of Electrical and Computer Engineering, University of Florida. From 2003 to 2010, he was with Jiangsu University, Zhenjiang, China, as a Chair Professor. He is currently a Full Professor with the University of Science and Technology of China. His research interests include ultra-wideband electromagnetics, passive RF identification (RFID)/sensors, metamaterials, and modern optimization techniques for microwave circuits and antenna design. Dr. Wang is a Senior Member of the Chinese Institute of Electronics.

Johan Sidén (M’00) received the M.Sc. degree in telecommunication, Licentiate of Technology degree in electronics, and Ph.D. degree in electronics from Mid-Sweden University, Sundsvall, Sweden, in 2000, 2004, and 2007, respectively. He is currently an Associate Professor with Mid Sweden University. His research interests include RF identification (RFID) technology, wireless sensor networks, antenna technology, and printed passive electronic systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3971

Exact Synthesis of Full- and Half-Symmetric Rat-Race Ring Hybrids With or Without Impedance Transforming Characteristics Po-Jung Chou, Yun-Wei Lin, and Chi-Yang Chang, Member, IEEE

Abstract—Novel full- and half-symmetric rat-race ring hybrid structures are proposed, in which the impedance transforming property can be implemented. Impedance transformation implies that the impedances of the input and output ports can be different. The conventional even- and odd-mode partition and analysis method cannot be applied to the proposed half-symmetric circuits; therefore, an analysis method is developed. The rat-race ring is exactly synthesized on the basis of a newly deduced high-pass prototype that is based on Richards theorem, which allows the user-defined specifications to be satisfied. Three hybrids are synthesized as examples—a sixth-order full-symmetric hybrid with a bandwidth of 116% and a return loss of 20 dB, a fifth-order half-symmetric hybrid with a 100% bandwidth and 25-dB return loss, and a fifth-order impedance-transforming half-symmetric hybrid with an output port impedance of 70 , a 100% bandwidth, and 20-dB return loss. The first and second hybrids are implemented to verify the method. A comparison of the measured and simulation results indicates good agreement. Index Terms—High-pass prototype, planar structure, rat-race ring hybrid, S-domain, synthesis method.

I. INTRODUCTION

T

HE proposed rat-race ring hybrid comprises a core circuit [Fig. 1(a)], which consists of four identical quarter-wave lines connected as a ring; one of the lines includes an ideal phase inverter. Four identical short-circuit stubs connect to the ring at the junctions. In contrast, the conventional rat-race ring includes three quarter-wave lines and one 3/4 wavelength line. Two types of subcircuits, A and B, are added at the output node of the core circuit, as depicted in Fig. 1(b). The circuits comprise S-domain high-pass elements such as series open-circuit stubs, shunt short-circuit stubs, and unit elements (UEs). The rat-race ring is full-symmetric if the subcircuits A and B are identical, and otherwise half-symmetric. The circuits in

Manuscript received March 09, 2015; revised July 12, 2015, August 24, 2015; accepted September 15, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported in part by the National Science Councilunder Grant NSC 102-2221-E-009-025-MY3. P.-J. Chou and C.-Y. Chang are with the Graduate Institute of Communication Engineering, National Chiao-Tung University, Hsinchu, Taiwan (e-mail: [email protected]; [email protected]). Y.-W. Lin is with the Measurement/Calibration Technology Department, Electronics Testing Center, Taoyuan, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2486278

Fig. 1. Proposed rat-race ring hybrid. (a) Core circuit. (b) Whole circuit.

[1]–[9] can be categorized as full-symmetric rat-race ring hybrids. The circuits in [1], [3]–[5], [7], and [8] have no subcircuits A and B, and the short-circuit stubs in the core circuit have finite ([1], [8]) and infinite ([1], [3]–[5]) impedances. In [2], [6], and [9], the subcircuits A and B are identical and comprise only S-domain unit elements. There are other circuits in [1] that contains subcircuit A and B which are identical and comprises S-domain series capacitors and shunt inductors. All of these full-symmetric circuits can be analyzed by conventional even- and odd-mode partitions, i.e., the even- and odd-mode signals excited at port 1 and port 3, as shown in Fig. 1(b).

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 3. S-domain equivalent circuit of Fig. 2(c) and (d).

Fig. 2. Equivalent circuits of Fig. 1 (a) under even-mode excitation, (b) under odd-mode excitation, (c) the two-port equivalent circuit of (a), and (d) the twoport equivalent circuit of (b). Note that the ports are renumbered in (c) and (d).

These circuits can be synthesized through analytical methods in low-order circuits (e.g., [3]–[5], [7], and [8]) or numerical methods in high-order circuits (e.g., [2], [6], and [9]). However, the conventional even- and odd-mode partition is not applicable to the half-symmetric circuits because the circuits become asymmetric under conventional even- and odd-mode excitations. Therefore, the excitation method in [10] is applied here to synthesize the proposed rat-race ring hybrid. In this method, the modal signals are excited at ports 1 and 4 or at ports 2 and 3 instead of the conventional method of excitation at ports 1 and 3. Under this excitation, the even- and odd-mode modal networks are the same, and they are a commensurate network that can be synthesized with user-defined responses using Richards transformation in the S-domain. An important characteristic of the half-symmetric rat-race ring hybrid is that during the synthesis process, impedance transformation between the input and output ports can be easily achieved.

Fig. 4. Phase inverter circuits. (a) Short-ended coupled lines and (b) the twisted balanced line where their equivalent circuits are also shown.

B. S-Domain Equivalent Circuit As shown in Fig. 2(c) and (d), the equivalent two-port networks of even- and odd-mode excitations are similar except that the input and output ports are interchanged. For convenience, port 1 is termed the sum-port ( -port) and port 4 the difference-port ( -port). The circuit can be transformed to an S-domain equivalent circuit, as shown in Fig. 3, by using the following Richards variable: (1) where is the frequency variable in Richards domain, is the real frequency variable, and is the center frequency of the passband. The transformed core rat-race ring part satisfies the following relation:

II. ANALYSIS OF THE FULL- AND HALF-SYMMETRIC RAT-RACE RING HYBRID A. Circuit Model Fig. 1 shows the proposed full- and half-symmetric rat-race hybrid. Under even-mode signal excitation at ports 2 and 3, the output signal arrives at port 1, while no signal is outputted from port 4 because subcircuit A at this port is connected at node B, thus it becomes a short-circuit. Fig. 2(a) shows the equivalent circuit under even-mode excitation. The phase inverter can be eliminated because it has no influence on the S-domain response. On the other hand, under odd-mode signal excitation at ports 2 and 3, the output signal arrives at port 4, and no signal is outputted from port 1 because subcircuit A at this port is connected at the short-circuited node A. The equivalent circuit under odd-mode excitation is shown in Fig. 2(b). Fig. 2(c) and (d) depict the two-port equivalent circuits corresponding to even- and odd-mode excitations, respectively. The impedance values in subcircuit B should be half of that in subcircuit B.

(2) C. Phase Inverter In this paper, to implement the phase inverter, the circuits shown in Fig. 4(a) and the twist line structure [11] shown in Fig. 4(b) are used. Examples corresponding to Fig. 4(a) and (b) are implemented in Sections IV-A and IV-B, respectively. III. SYNTHESIS OF THE FULL- AND HALF-SYMMETRIC RAT-RACE RING HYBRID The core circuit is now presented as S-domain high-pass elements as shown in Fig. 3. Subcircuits A and B are also composed of S-domain high-pass elements so that the whole equivalent circuit can be synthesized in the S-domain. According to

CHOU et al.: EXACT SYNTHESIS OF FULL- AND HALF-SYMMETRIC RAT-RACE RING HYBRIDS

3973

Fig. 5. Sixth-order full-symmetric rat-race ring hybrid.

[16] and [17], the S-domain high-pass prototype can be transformed to a nonredundant equivalent circuit and be synthesized exactly by the Chebyshev function

(3) Fig. 6. Equivalent circuit of Fig. 5 under (a) even-mode excitation. (b) The parallel-connected two-port equivalent circuit of (a). (c) The equivalent circuit of subcircuit A and B.

(4) , where is the filter cutoff where frequency used to determine the bandwidth of the equivalent two-port network, specifies the equal-ripple value, is the th degree Chebyshev polynomial of the first kind, is the th degree unnormalized Chebyshev polynomial of the second kind, and and denote the number of high-pass ladder elements and unit elements, respectively. The input impedance with a normalized source resistance of 1 can be determined as follows:

Fig. 7. (a) S-domain equivalent circuit of Fig. 6(b). (b) Non-redundant circuit of (a).

and B. The equivalent circuit for the short-ended coupled-line section is shown in Fig. 4(a); here, the impedance is given by (6) (5) The S-domain unit element can be determined by Richards theorem [12] and the S-domain LC-value can be found by pole removal techniques [13]–[15]. A. Design Example: Sixth-Order Full-Symmetric Rat-Race Ring Hybrid To maximize the number of nonredundant elements in the S-domain prototype circuit with minimum size, an open-ended coupled-line section is a good choice for subcircuits A and B. Fig. 5 shows a sixth-order full-symmetric rat-race ring hybrid with open-ended coupled-line sections for both subcircuits A

Fig. 6(a) depicts the equivalent circuit under even-mode excitation, and Fig. 6(b) is the parallel connected two-port circuit of the circuit shown in Fig. 6(a). The odd-mode two-port equivalent circuit is the same as the circuit shown in Fig. 6(b). The equivalent circuit of an open-circuited parallel-coupled-line section is shown in Fig. 6(c). The S-domain equivalent circuit is shown in Fig. 7(a); it satisfies the following equations: (7) (8) (9)

3974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I CALCULATED VALUES OF THE EXAMPLE IN FIG. 7(B) (IN

TABLE II CALCULATED VALUES OF THE EXAMPLE IN FIG. 5 (IN

)

)

Fig. 9. Fifth-order half-symmetric rat-race ring hybrid.

Fig. 8. Frequency response of the sixth-order full-symmetric rat-race ring hybrid.

Fig. 10. Parallel-connected two-port equivalent circuit of the fifth-order halfsymmetric rat-race ring hybrid.

To synthesize each element, the circuit has to be transformed into a non-redundant network, as shown in Fig. 7(b). The transformation equations are as follows: (10) (11)

Fig. 11. (a) S-domain equivalent circuit of Fig. 10. (b) Nonredundant circuit of (a).

(12) (13) The sixth-order full-symmetric rat-race ring is designed with a center frequency of 2 GHz, a bandwidth of 116%, and a return loss level of 20 dB. Substituting the specifications into (3)–(5) yields the input impedance of the S-domain equivalent circuit as

B. Design Example: Fifth-Order Half-Symmetric Rat-Race Ring Hybrid Fig. 9 shows a fifth-order half-symmetric rat-race ring hybrid with a center frequency of 2 GHz, a bandwidth of 100%, and a return loss level of 25 dB. The synthesis process is similar to that of the sixth-order circuit. The subcircuit A is an openended coupled-line section, while subcircuit B is a transmission line. The two-port equivalent circuit is shown in Fig. 10. The S-domain equivalent circuit and the non-redundant network are shown in Fig. 11(a) and (b), respectively. The input impedance of the S-domain equivalent circuit is given by

(14) The synthesized values of the circuit shown in Fig. 7(b) with a normalized source resistance of 1 are illustrated in Table I. By substituting the calculated values into (6)–(13) and de-normalizing to 50 , the element values of the circuit shown in Fig. 5 are obtained and are listed in Table II. The synthesized response is shown in Fig. 8.

(15) Table III lists the synthesized values of the circuit shown in Fig. 10 with a normalized source resistance of 1 , and Table IV lists the element values of the circuit shown in

CHOU et al.: EXACT SYNTHESIS OF FULL- AND HALF-SYMMETRIC RAT-RACE RING HYBRIDS

TABLE III CALCULATED VALUES OF THE EXAMPLE IN FIG. 7(B) (IN

TABLE IV CALCULATED VALUES OF THE EXAMPLE IN FIG. 9 (IN

3975

)

)

Fig. 14. Cross-sectional views of (a) conventional coupled microstrip line, (b) six-line coupled line with ground plane aperture, and (c) the enhanced coupling structure [23].

Fig. 12. Frequency response of the fifth-order half-symmetric rat-race ring hybrid.

TABLE V CALCULATED VALUES OF THE FIFTH-ORDER HALF-SYMMETRIC RAT-RACE RING HYBRID WITH 70 OUTPUT IMPEDANCE (IN )

Fig. 13. Frequency response of the fifth-order half-symmetric rat-race ring hybrid with output impedance 70 .

Fig. 9 obtained by de-normalizing to 50 response is shown in Fig. 12.

. The synthesized

C. Design Example: Fifth-Order Half-Symmetric Rat-Race Ring Hybrid With 70- Output Impedance A fifth-order half-symmetric rat-race ring hybrid with an output impedance of 70 , a center frequency of 2 GHz, a bandwidth of 100%, and a return loss level of 20 dB is synthesized. The circuit structure, the two-port equivalent circuit, and the non-redundant network are all similar to those of the second example, as shown in Figs. 9, 10, and 11(b), except the impedance of the output ports (ports 2 and 3) in Fig. 9 changes from to and the impedance of the output port (port 2) in Figs. 10 and 11(a) changes from to . The synthesis process is also similar to that of the sixth-order circuit, but in this case, the desired output impedance value is

Fig. 15. Layout of the sixth-order full-symmetric rat-race ring hybrid. (a) Top layer. (b) Bottom layer.

set before the pole removal stage. The synthesized values with a normalized source resistance of 1 and the element values obtained by denormalizing to 50 are shown in Table V. The synthesized response is shown in Fig. 13.

3976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE VI PHYSICAL DIMENSION OF THE SIXTH-ORDER FULL-SYMMETRIC RAT-RACE RING HYBRID

Fig. 16. (a) Top view and (b) bottom view of the fabricated sixth-order fullsymmetric rat-race ring hybrid.

IV. IMPLEMENTATION AND RESULTS Circuits having the same input and output port impedances are considered for ease of measurement; that is, examples A and B in Section V are chosen for the verification of the proposed circuits. The example circuits are implemented on a Rogers RO4003 substrate with a thickness of 0.504 mm and a dielectric constant of 3.58. HFSS (3D electromagnetic simulation software) is used to obtain the physical dimensions of the coupled-line and phase inverter sections shown in Figs. 5 and 9, according to the calculated values in Tables II and IV. The conventional microstrip sections can be easily obtained. After obtaining the physical dimensions of each section, the whole circuit is constructed in the HFSS environment. Finally, fine-tuning of the coupled-line and phase inverter sections may be required. A. Sixth-Order Full-Symmetric Rat-Race Ring Hybrid Fig. 14(a) shows the cross-sectional view of the conventional microstrip coupled-line section. However, the calculated evenand odd-mode characteristic impedance values cannot be realized by this structure alone. For the short-ended coupled-line section in the core circuit, the six-line Lange coupler structure [18], [19] is adopted, which will decrease the odd-mode impedance. To further increase the even-mode impedance, the ground plane aperture technique, also called the defected ground structure [20]–[22], is used. Fig. 14(b) shows the six-line coupledline section with a ground plane aperture where the strips A1, A2, and A3 are connected together and B1, B2, and B3 are connected together. For the open-ended coupled-line section in subcircuits A and B, the enhanced coupling structure proposed by Liang et al. [23] is used. Fig. 14(c) shows the cross-sectional

Fig. 17. Response of the sixth-order full-symmetric rat-race ring hybrid when port 1 (the -port) excited. (a) Insertion gain and return gain. (b) Amplitude imbalance and phase difference.

view of the coupling structure, where strip A1 is connected to A2 and B1 is connected to B2. The circuit layout is shown in Fig. 15. All of the physical parameters corresponding to the circuit shown in Fig. 15 are listed in Table VI. Fig. 16 shows the fabricated circuit. Fig. 17(a) and (b) shows the simulated and measured results when port 1 ( -port) is excited. The measured input return loss and the typical insertion loss in the passband are approximately 16.5–25 and 3.410 dB, respectively. The measured in-band amplitude imbalance is within 1 dB and the phase difference is within . Fig. 18(a) and (b) shows the simulated and measured results when port 4 ( -port) is excited. The measured input return loss in the passband is approximately 15–25 dB, while the measured typical insertion loss in the passband is 3.463 dB. The measured in-band amplitude imbalance is within 1 dB and the phase difference is within . The measured data and the theoretical results agree well with each other. Fig. 19 shows that ports 1–4 and ports 2–3 have good isolation, which is measured to be less than 25 dB over the whole passband. B. Fifth-Order Half-Symmetric Rat-Race Ring Hybrid As shown in Fig. 9, the twist line structure shown in Fig. 4(b) is adopted to implement the ideal phase inverter. For implementing the phase inverter, double-sided parallel-strip lines (DSPSL) [24], [25] are used. Fig. 20(c) shows the twist-line structure, which is formed by twisting the signal

CHOU et al.: EXACT SYNTHESIS OF FULL- AND HALF-SYMMETRIC RAT-RACE RING HYBRIDS

3977

Fig. 18. Response of the sixth-order full-symmetric rat-race ring hybrid when port 4 (the -port) excited. (a) Insertion gain and return gain. (b) Amplitude imbalance and phase difference.

Fig. 19. Isolation of the sixth-order full-symmetric rat-race ring hybrid.

and the ground strip of the DSPSL [11], and the layout of twist-line phase inverter. As calculated in Section III-B, both the even-mode impedance and coupling factor of the open-circuited coupled-line sections are high. Again, the enhanced coupling structure proposed by Liang et al. [23] is adopted. The complete layout is shown in Fig. 20, and Table VII lists all the physical parameters corresponding to the circuit shown in Fig. 20. Fig. 21 shows the fabricated circuit. Fig. 22(a) and (b), respectively, show the measured and simulated results when port 1 ( -port) is excited. The measured input return loss and the measured typical insertion loss in the passband are approximately 19–22 and 3.350 dB, respectively. The

Fig. 20. Layout of the fifth-order half-symmetric rat-race ring hybrid. (a) Top layer. (b) Bottom layer. (c) Phase inverter part.

measured in-band amplitude imbalance is within 1 dB and the phase difference is within . Fig. 23(a) and (b) shows the measured and simulated results when port 4 ( -port) is excited. The measured input return loss and the measured typical insertion loss in the passband are approximately 19.5–22

3978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 21. (a) Top view and (b) bottom view of the fabricated fifth-order halfsymmetric rat-race ring hybrid.

TABLE VII PHYSICAL DIMENSION OF THE FIFTH-ORDER HALF-SYMMETRIC RAT-RACE RING HYBRID

Fig. 22. Response of the fifth-order half-symmetric rat-race ring hybrid when port 1 (the -port) excited. (a) Insertion gain and return gain. (b) Amplitude imbalance and phase difference.

and 3.300 dB, respectively. The measured in-band amplitude imbalance is within 1 dB and the phase difference is within . The measured data match theoretical results well. Fig. 24 shows that ports 1–4 and ports 2–3 have good isolation, which is measured to be less than 25 dB over the whole passband. V. DISCUSSION Table VIII compares the proposed rat-race ring hybrids and other similar or identical designs. Two different size scales are used for the comparison: the physical dimension in millimeters and the electrical length , which is related to the center frequency and substrate parameter. Although size reduction is not the focus of this study, the proposed circuits are not too large. In addition, the synthesis method has two vital properties. 1) The bandwidth and the return loss level can be independently selected. In [4] and [6], design graphs of the relationship between bandwidth and return loss level were given. In [7] and [8], the relationship between bandwidth and return loss level was not elucidated. In [9], a design table was provided to determine the return loss level, but bandwidth and return loss were interdependent. 2) None of the rat-race ring hybrids in the table are practical for impedance transformation, unless they are half-symmetric structures. In a past study where impedance

Fig. 23. Response of the fifth-order half-symmetric rat-race ring hybrid when port 1 (the -port) excited. (a) Insertion gain and return gain. (b) Amplitude imbalance and phase difference.

CHOU et al.: EXACT SYNTHESIS OF FULL- AND HALF-SYMMETRIC RAT-RACE RING HYBRIDS

3979

TABLE VIII COMPARISION OF THE ELECTRCIAL PARAMETER AND SOME PROPERTIES BETWEEN PROPOSED RAT-RACE RING HYBRIDS WITH SIMILAR DESIGNS

Fig. 24. Isolation of the fifth-order half-symmetric rat-race ring hybrid.

transformation was realized, two extra impedance transformers were added at the input and/or output ports [26], which can lead to deterioration of frequency response. The impedance transformation characteristic could not be analyzed because of its asymmetrical properties under conventional even- or odd-mode excitation. Fig. 25 shows a design flowchart for full- and half-symmetric rat-race ring hybrids. The proposed hybrids are implemented on a low-dielectric-constant Rogers RO4003 substrate. The coupled-line section and the phase inverter section are the most difficult parts to realize, because a ground plane pattern is usually needed. The circuit with a ground plane pattern has drawbacks such as substrate suspension and a limited operating frequency. The substrate suspension can largely restrict the hybrid application scenarios as properly designed metallic housings are essential. The simulated results show that the distance between the metal housing and the substrate should be greater than half of the largest ground slot width. The problem of limited operation frequency can be overcome by using a finite-ground-width CPW,

Fig. 25. Design flowchart of full- and half-symmetric rat-race ring hybrid.

a high-dielectric-constant substrate with a Lange coupler, or a vertically installed planar circuit. In [27], a finite-ground-width CPW rat-race hybrid was realized and it attained an operating frequency up to 110 GHz. VI. CONCLUSION Full- and half-symmetric rat-race ring hybrids were proposed and an S-domain synthesis method was successfully derived for the proposed rat-race ring hybrids. The half-symmetric hybrid can realize impedance transformation. Three hybrids were

3980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

synthesized: sixth-order full-symmetric, fifth-order half-symmetric, and fifth-order half-symmetric with impedance transformation characteristics. The first two circuits were implemented and the feasibility of the proposed method was confirmed by comparing the measured and simulated data. ACKNOWLEDGMENT The authors would like to thank every one of the reviewers who provided very helpful information that made this paper more complete. REFERENCES [1] A. F. Podell, “Some magic tees with 2 to 3 octaves bandwidth,” in Proc. G-MTT Int. Microw. Symp., May 1969, pp. 317–319. [2] S. Rehnmark, “Wide-band balanced line microwave hybrids,” IEEE Trans. Microw. Theory Techn., vol. MTT-25, no. 10, pp. 825–830, Oct. 1977. [3] M.-H. Murgulescu, E. Penard, and I. Zaquine, “Design formulas for generalised 180 hybrid ring couplers,” Electron. Lett., vol. 30, no. 7, pp. 573–574, Mar. 1994. [4] T. Wang and K. Wu, “Size-reduction and band-broadening design technique of uniplanar hybrid ring coupler using phase inverter for M(H)MIC's,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 2, pp. 198–206, Feb. 1999. [5] C.-Y. Chang, C.-C. Yang, and D.-C. Niu, “A multioctave bandwidth rat-race singly balanced mixer,” IEEE Microw. Wirel. Compon. Lett., vol. 9, no. 1, pp. 37–39, Jan. 1999. [6] C.-Y. Chang and C.-C. Yang, “A novel broad-band Chebyshev-response rat-race ring coupler,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 4, pp. 455–462, Apr. 1999. [7] T. T. Mo, Q. Xue, and C. H. Chan, “A broadband compact microstrip rat-race hybrid using a novel CPW inverter,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 1, pp. 161–167, Jan. 2007. [8] W. S. Chang, C. H. Liang, and C. Y. Chang, “Wideband high-isolation and perfect-balance microstrip rat-race coupler,” Electron. Lett., vol. 48, no. 7, pp. 382–384, Mar. 2012. [9] S. Gruszczynski and K. Wincza, “Broadband rat-race couplers with coupled-line section and impedance transformers,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 1, pp. 22–24, Jan. 2012. [10] K. S. Ang and Y. C. Leong, “Converting baluns into broadband impedance-transforming 180 hybrids,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 8, pp. 1990–1995, Aug. 2002. [11] Y.-W. Lin, Y.-C. Chou, and C.-Y. Chang, “A balanced digital phase shifter by a novel switching-mode topology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2361–2370, June 2013. [12] P. I. Richard, “General impedance-function theory,” Quart. Appl. Math, vol. 6, pp. 21–29, 1948. [13] M. W. Medley, Microwave and RF Circuit: Analysis, Synthesis and Design. Boston, MA, USA: Artech House, 1992. [14] L. Weinberg, Network Analysis and Synthesis. New York, NY, USA: McGraw-Hill, 1962. [15] E. A. Guillemin, Synthesis of Passive Networks. New York, NY, USA: Wiley, 1957. [16] J.-C. Lu, C.-C. Lin, and C.-Y. Chang, “Exact synthesis and implementation of new high-order wideband marchand baluns,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 80–86, Jan. 2011. [17] M. C. Horton and R. J. Wenzel, “General theory and design of optimum quarter-wave TEM filters,” IEEE Trans. Microw. Theory Techn., vol. MTT-13, no. 3, pp. 316–327, May 1965. [18] J. Lange, “Interdigitated stripline quadrature hybrid,” IEEE Trans. Microw. Theory Techn., vol. MTT-17, no. 12, pp. 1150–1151, Dec. 1969. [19] R. Waugh and D. Lacombe, “Unfolding the lange coupler,” IEEE Trans. Microw. Theory Techn., vol. MTT-20, no. 11, pp. 777–779, Nov. 1972. [20] L. Zhu and K. Wu, “Multilayered coupled-microstrip lines technique with aperture compensation for innovative planar filter design,” in Proc. Asia–Pacific Microw. Conf., Nov. 1999, vol. 2, pp. 303–306.

[21] S. Im, C. Seo, J. Kim, Y. Kim, and N. Kim, “Improvement of microstrip open loop resonator filter using aperture,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 1801–1804, vol 3. [22] M. C. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [23] C.-H. Liang, W.-S. Chang, and C.-Y. Chang, “Enhanced coupling structures for tight couplers and wideband filters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 574–583, Mar. 2011. [24] S.-G. Kim and K. Chang, “Ultrawide-band transitions and new microwave components using double-sided parallel-strip lines,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 9, pp. 2148–2152, Sep. 2004. [25] K.-W. Wong, L. Chiu, and Q. Xue, “Wideband parallel-strip bandpass filter using phase inverter,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 503–505, Aug. 2008. [26] K. S. Ang and I. D. Robertson, “Analysis and design of impedance transforming planar Marchand baluns,” IEEE Trans. Microw. Theory Techn., vol. 49, pp. 402–406, Feb. 2001. [27] C. H. Chi, C. H. Wu, W. T. Wang, C. H. Lai, D. C. Niu, and C. Y. Chang, “A 10–110 GHz fundamental/harmonic rat-race mixer,” in Proc. Eur. Microw. Conf., Oct. 2007, pp. 652–655. Po-Jung Chou was born in Taipei, Taiwan, on September 11, 1990. He received the B.S. degree in electrical and computer engineering from National Chaio-Tung University, Hsinchu, Taiwan, in 2012, where he is currently working toward the Ph.D. degree in communications engineering. His research interests include the design and analysis of microwave circuits.

Yun-Wei Lin was born in Taipei, Taiwan, on May 3, 1985. He received the B.S. and Ph.D. degrees in communication engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 2007 and 2013, respectively. In 2013, he joined the Electronics Testing Center, Taoyuan, Taiwan, where he is currently with the Measurement/Calibration Technology Department. His research interests include the design and analysis of microwave circuits and testing/certification about the conformance and interoperability of the Smart Grid communication protocols.

Chi-Yang Chang (S'88–M'95) was born in Taipei, Taiwan. He received the B.S. degree in physics and M.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1977 and 1982, respectively, and the Ph.D. degree in electrical engineering from University of Texas at Austin, Autstin, TX, USA, in 1990. From 1979 to 1980, he was with the Department of Physics, National Taiwan University, as a Teaching Assistant. From 1982 to 1988, he was with the Chung-Shan Institute of Science and Technology (CSIST), as an Assistant Researcher, where he was in charge of development of microwave integrated circuits (MICs), microwave subsystems, and millimeter-wave waveguide E-plane circuits. From 1990 to 1995, he returned to CSIST as an Associate Researcher in charge of development of uniplaner circuits, ultra-broadband circuits, and millimeter-wave planar circuits. In 1995, he joined the faculty of the Department of Electrical and Computer Engineering, National Chaio-Tung University, Hsinchu, Taiwan, as an Associate Professor and became a Professor in 2002. His research interest include microwave and millimeter-wave passive and active circuit design, and planar miniaturized filter design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3981

Design of a Traveling-Wave Slot Array Power Divider Using the Method of Moments and a Genetic Algorithm Sembiam R. Rengarajan, Life Fellow, IEEE, and Jonathan J. Lynch, Member, IEEE

Abstract—A 1-to-25-way uniform amplitude and linear phase power divider, using slotted rectangular waveguides in the traveling-wave mode, is designed to cover the 71–77-GHz band. The design employs the scattering parameters of three-port couplers computed from the method of moments (MoM) solution to the integral equation of the slot aperture field. Subsequent genetic algorithm (GA) optimization is aimed at maximizing the return loss and combining efficiency and flatness of coupling amplitudes in the whole frequency band. GA utilizes the MoM solution to the coupled integral equations of slot apertures in the entire power divider structure. Simulations indicate the optimized power divider yielding better than 26-dB return loss and greater than 86% efficiency in the 71–77-GHz band for the power divider combiner connected back to back. Computed MoM results have been validated by the commercial code HFSS and also by experimentally measured results. The measured insertion loss of two back-to-back divider–combiners is about 2 dB. Index Terms—Genetic algorithm optimization, method of moments, power combiner, power divider, slot array, slotted waveguide, traveling wave.

I. INTRODUCTION

A

T microwave and optical frequencies, distributed amplifiers are commonly employed along with power dividers and combiners. DeLisio and York published an excellent review article on quasi-optical and spatial power dividers and combiners [1]. The reciprocity principle may be invoked to show that a power divider may also be used as a power combiner. Waveguide power dividers have been investigated extensively in the standing-wave as well as traveling-wave modes because of high efficiencies. Bashirullah and Mortazawi presented an eight-element slotted waveguide power divider–combiner in the standing-wave mode at 10 GHz [2]. They achieved a peak combining efficiency of 88% and a 10-dB return loss bandwidth of about 4%. The combining efficiency was better than 80% over a bandwidth of 3% but dropped rapidly outside that band. -band proA similar device designed and operated in the duced a maximum combining efficiency of 72% [3]. In addiManuscript received April 16, 2015; revised August 05, 2015; accepted October 04, 2015. Date of publication November 05, 2015; date of current version December 02, 2015. S. R. Rengarajan is with the California State University, Northridge, CA 91330 USA (e-mail: [email protected]). J. J. Lynch is with HRL Laboratories, LLC, Malibu, CA 90265 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495099

tion, the coupled amplitudes varied over as much as 8 dB in the frequency range of interest. A four-element slotted waveguide power divider–combiner operating at 28 GHz yielded a combining efficiency of 80% [4]. The variation of efficiency with frequency was not reported in [4]. Standing-wave power dividers–combiners are efficient but have limited bandwidth. In order to realize a reasonable bandwidth in such a system, the array has to be divided into many subarrays, thereby resulting in a complicated feed network. Traveling-wave arrays provide greater return loss bandwidth with a small sacrifice in efficiency because of the power dissipated in the load. Traveling-wave antenna arrays suffer from beam squint with frequency, whereas power dividers–combiners do not have such a limitation. An eight-element traveling-wave slotted waveguide array power divider–combiner was designed to provide a combining efficiency of 80% in -band [5]. Song et al. describe a four-way power divider in a substrate integrated rectangular waveguide with probe coupling [6]. They did not present data on coupling phase or combining efficiency. Xie et al. discuss four-probe coupled -band rectangular waveguide combiner with combining efficiency in the range 72% to 82% [7]. A four-way coaxial broadband combiner has been presented by Song et al. [8]. They did not discuss the combining efficiency. Jia et al. have implemented scalable finline array combiners with about 85% combining efficiency in -band [9]. Recently, Schellenberg et al. demonstrated a 12-way radial line power divider combiner at -band with an overall combining efficiency of 87.5% [10]. The bandwidth of operation was 4.7%. In this paper, we present the design, analysis, optimization, and experimental validation of a 1-to-25-way traveling-wave slotted waveguide power divider operating in the 71–77-GHz frequency band. This band was chosen due to strong interest in -band communication systems for wide bandwidth, high capacity networks such as point-to-point wireless backhaul links. The objective is to maximize the return loss and combining efficiency in the whole frequency band. In addition, it is desired to keep the amplitudes of waves in the coupled waveguides as close to uniform as possible so as to avoid the saturation of amplifiers. In Section II, the design of the traveling-wave power divider using the scattering parameter representation of three port couplers is discussed. Subsequently, a genetic algorithm optimization of the power divider to maximize the return loss, combining efficiency and flatness of coupling amplitudes, is presented. The results achieved, discussed in Section IV, are significant considering the frequency range and size of the power

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 1. Geometry of the 1-to-25-way power divider.

Fig. 2. Three-port coupler consisting of the main waveguide and a coupled waveguide.

divider. Section V discusses the experimental fixture made up of a power divider–combiner connected back to back, including the measured results. Finally, the findings of this paper are summarized in the conclusion. This work was presented recently with only an abstract published in the proceedings of the conference [11]. II. DESIGN OF POWER DIVIDER Fig. 1 shows an HFSS model of the internal geometry of the power divider consisting of a rectangular waveguide called main waveguide with an input port A, and a second port B terminated in a matched load. There are 25 coupled output waveguides with port numbers 1 through 25 that are placed vertically on the broad wall of the main waveguide with uniform spacing in the axial direction of the main waveguide. The coupled waveguides are excited by longitudinal offset slots in the broad wall of the main waveguide such that each slot is centered in the coupled-waveguide face. Fig. 2 shows the main waveguide with ports 1 and 2 and one of the coupled waveguides with port 3. The main waveguide interior dimensions have been chosen as 2.8 mm 0.711 mm, while the coupled waveguides are 3.1 mm 1.55 mm. The width of the coupling slot is 0.254 mm, and the slot (wall) thickness is 0.178 mm. All longitudinal coupling slots are offset on one side of the broad wall center line in the main waveguide. It is desired to have uniform values for all coupled amplitudes. In the traveling-wave mode design, couplers near the feed port A in Fig. 1 will have very small amounts of coupling since the power in the traveling wave is higher in this region and, hence, the offsets from the center line of those coupling slots are expected to be small. Therefore, power cou-

Fig. 3. Three-port coupler consisting of the main waveguide and a coupled waveguide with a quarter-wave step transition.

pled into these waveguides is sensitive to manufacturing tolerances for these slots. The tolerance problem may be obviated by choosing smaller values of “b” dimensions for coupled waveguides near the feed port and by including a quarter-wave step transition to the standard value of 1.55 mm at the output port of each coupled waveguide, as shown in Fig. 3. With the use of quarter-wave steps, the coupled waveguides near the input port need only a “b” value of 0.61 mm, while the rest have “b” values of 1.02 or 1.55 mm. The initial design based on scattering parameters and the later GA optimization of the power divider employ these three “b” values only. This also allows all of the output waveguides to have equal heights, facilitating transition to output circuitry, such as power amplifiers. The initial design uses all resonant slot couplers, with resonance for each three-port coupler defined as arg 180 when port 1 is referenced to the plane passing through the center of the slot in Fig. 3. Before designing the power divider, we generate the S-parameters of ports 1 and 2 and the coupled-wave amplitude and phase in port 3 for the resonant coupler shown in Fig. 3 for a range of values of slot offsets, and for all three b values of coupled waveguides mentioned above at the center frequency of the band, 74 GHz. Port 3 is assumed to be match terminated. In a power amplifier system, each of the coupled ports will have a waveguide-to-microstrip transition and an MMIC amplifier followed by a microstrip-to-waveguide transition with a power combiner (power divider in the reverse). The two-port scattering parameters and may be expressed approximately in terms of for a resonant coupler, with a corresponding value for the slot offset from the center line of the broad wall of the main waveguide in the three-port coupler. The analysis of the three-port coupler uses the method of moments (MoM) solution to the coupled integral equations of slot apertures, taking wall thickness into account, along with the mode-matching technique at the step waveguide discontinuity [12]–[14]. In the MoM program described in [12], the waveguide Green’s functions for the vertical waveguide given in [13] are used in place of those of the branch waveguide. From the two-port scattering matrix, we obtain the transmission matrix that relates the complex amplitudes of the incident and reflected waves at port 1 to the corresponding wave amplitudes at port 2 by (1). Because of reciprocity (1)

RENGARAJAN AND LYNCH: DESIGN OF A TRAVELING-WAVE SLOT ARRAY POWER DIVIDER USING THE MoM AND A GA

Fig. 4. Amplitude of coupling relative to the uniform at the center frequency and band edges.

where (2) (3) (4) (5) In the design procedure, we start with the coupler closest to port B in Fig. 1. Since it is match-terminated, and is assigned a reference value 1. The second subscript in and denotes the coupler number. The reflection coefficient of the resonant coupler , , is estimated. This estimate is not critical since it will be changed in subsequent iterations. We then determine the value of for slot by minimizing the error in (6), noting that and . (6) where (7) is the phase constant of the mode in the main waveguide, is the spacing between adjacent couplers along the main waveguide axis, and or . Computed values of scattering parameters of three-port couplers are used with an interpolation scheme to determine . The nominal values of coupling phases are given by the phase of the traveling wave in the main waveguide, and they exhibit a linear variation along the main waveguide. The coupled amplitudes may not be equal because of the presence of reflected waves in the main waveguide. This process of determining the scattering parameters continues one coupler at a time until we get to the input port. Then, we determine the input reflection coefficient from , and the relative power dissipated in the load . A larger value of will dissipate a smaller amount of relative power in the load but will produce a greater reflection coefficient at the input port. In subsequent iterations, is changed so as to adjust the load power and input reflection coefficient and we repeat the above-mentioned procedure until we obtain acceptable results for the input return loss, the load power, and the coupling amplitude and phase. Designs were carried out for a coupler spacing of 3.6, 4.0, and 4.4 mm. The best results were obtained for a

3983

Fig. 5. Coupling phase.

spacing of 4.0 mm. MoM analysis of this power divider showed that the return loss is better than 27 dB in the 71–77-GHz band, while the maximum load power relative to the power input to port A is 7.4%. However, the coupling amplitude varied over 5 dB in the frequency range, as shown in Fig. 4. Combining efficiency: If we have a back-to-back power divider and combiner connected such that the coupled port of the power divider is connected to the coupled port in the combiner with ideal, perfectly matched, unit gain buffer amplifiers in between, then the combining efficiency of the back-to-back connected system is given by (8) Reflections and variations of the amplitude and phase response of the amplifiers will change the efficiency from the value given in (8). The combining efficiency of the back-to-back connected power divider–combiner computed by the MoM program yielded better than 84.3% over the frequency range 71–77 GHz. This means that the efficiency of a divider or combiner alone is 92%. The MoM analysis assumes that the waveguides are made of perfect conductors, and therefore the efficiency of a practical system is expected to be less. Fig. 5 shows the coupling phase at the coupled-waveguide ports at the center frequency and band edges. It is nearly linear, which is the main reason for achieving a high value of combining efficiency in (8). The only limitation to power divider performance is the amplitude variation across the array. We further optimized performance using a genetic algorithm and a full-wave MoM analysis of the entire 25-way power divider. The MoM code has been validated previously. We will provide further validation of our results using the commercial code HFSS and from experimental measurements. III. GENETIC ALGORITHM OPTIMIZATION The previous design using scattering data computed by MoM for the three-port coupler with step transitions is generally accurate. However, it does not include the higher order mode coupling between adjacent coupling slots [15]. Therefore, the use of MoM analysis of the entire power divider structure along with a global optimization technique, such as a genetic algorithm (GA), is expected to yield substantially better results, especially for the amplitude flatness. It is well known that GA is a powerful global optimization technique to find optimum solutions

3984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

without getting stuck in local maxima or minima [16]. Since GA uses probabilistic transition rules, it is a robust computational process to search a large solution space efficiently, and it has been used in numerous optimization exercises successfully in the prior literature. Our previous work on GA investigated the design of an antenna array that is less sensitive to manufacturing tolerances [17]. There are 50 parameters in our optimization exercise, offset, and length for each of the 25 slots, since we allow the slots to be nonresonant. Slot offsets and lengths are allowed to vary within 10% and 6% of the initial values, respectively. We use binary GA with 7 bits for each parameter so that the length of each chromosome is 350. This allows us to have a resolution in the variable size to be less than the machine tolerance. GA uses a population size of 10, tournament selection, mutation probability of 0.1, probability of crossover of 0.5 with uniform crossover, and the best individual is replicated into the next generation. In order to optimize the design over the frequency band, for each element of the population (chromosome), GA performs the moment method analysis of the traveling-wave array of couplers at 71, 73, 75, and 77 GHz. The fitness parameter that is maximized in the optimization process is a weighted sum of combining efficiency, input return loss, and the amplitude flatness. GA optimizes the worst case values of the fitness parameter among the four frequencies in the band. Optimum solutions are achieved generally between 300 and 500 generations. The computer time for each GA execution for about 300 generations is about one day on a dedicated PC employing Intel core i7-2760QM CPU @ 2.4 GHz with 8 GB of RAM.

TABLE I OFFSETS

AND LENGTHS OF COUPLING SLOTS AND THE DIMENSION OF COUPLED WAVEGUIDES

“b”

IV. OPTIMIZED POWER DIVIDER: RESULTS AND DISCUSSION Table I shows the optimized values of offsets and lengths of longitudinal coupling slots and the “b” dimension of the coupled waveguide. The first 16 coupled waveguides have 0.61 mm, the next eight have 1.02 mm, and the last one is a full height waveguide. All the reduced height waveguides are quarter guide wavelength long at 74 GHz before transitioning to a full height guide with a step. Figs. 6 and 7 illustrate the amplitude of coupling relative to ideal in the GA optimized power divider. A substantial improvement in the amplitude flatness of coupling has been achieved over the results shown in Fig. 4 for the original design. MoM results are found to be in good agreement with those obtained from HFSS. In both cases, the waveguide walls are assumed to be perfect conductors. Fig. 8 shows a comparison of coupling phase computed by MoM and HFSS at 73 and 75 GHz. The phase deviation between MoM and HFSS is generally less than 10 in most of the elements, with slightly larger values found for the few elements near the match termination. Similar results were found at other frequencies as well. Fig. 9 shows that the input reflection coefficient is lower than 25 dB in the entire frequency band. HFSS results are in very good agreement with those of MoM. The discrepancy at 76 GHz may be attributed to imperfections in MoM and HFSS. Fig. 10 shows that the load power is no more than 7% in the frequency band of interest. The efficiency of back-to-back connected power divider–combiner is better than 86%, whereas this figure translates to an efficiency of 93% for the power divider or combiner alone. Clearly,

Fig. 6. Amplitude of coupling in the GA optimized design at 71 and 73 GHz.

the load power is the main contributor to efficiency reduction. While it is possible to increase the efficiency, emphasis is placed on achieving amplitude flatness as well. In order to reduce the amplitude variations, some compromise in efficiency is warranted. Thus, the GA design produces nearly the same efficiency and return loss as the initial design with a substantial improvement in amplitude flatness. V. EXPERIMENTAL FIXTURE AND MEASUREMENTS Two back-to-back 1–25 divider–combiner structures described above were machined from aluminum followed by gold plating. A photograph of the structure is shown in Fig. 12. For the purpose of characterization, it would be preferable to fabricate and test a single-divider structure, terminating all but one of the coupled ports and performing a series of scattering (coupling) measurements. However, the close spacing of the ports makes this extremely difficult. Instead, two identical structures were fabricated and assembled with the output ports

RENGARAJAN AND LYNCH: DESIGN OF A TRAVELING-WAVE SLOT ARRAY POWER DIVIDER USING THE MoM AND A GA

Fig. 7. Amplitude of coupling in the GA optimized design at 75 and 77 GHz.

3985

Fig. 11. Efficiency of the power divider and combiner connected back to back.

Fig. 8. Phase of coupling in the GA optimized design at 73 and 75 GHz.

Fig. 12. Photo of back-to-back divider–combiner. Two of the four ports are terminated in matched loads.

Fig. 9. Input reflection coefficient of the optimized design.

Fig. 10. Amount of load power relative to the input power for the optimized design.

of the divider connecting to the input ports of the combiner in reverse order, forming a structure that first divides and then recombines. A total of four -band waveguide ports (WR-12) were provided, two of which were match-terminated with the other two, providing input and output for a two-port measurement. Although the back-to-back structure permits only a two-port measurement, it does provide a reasonable measure of the

overall performance. For a power amplifier application, two such structures would be assembled in a back-to-back arrangement with power amplifiers inserted between the connected junctions, so the performance of the back-to-back structure is directly relevant. The lack of isolation between coupled junctions that is inherent in any passive divider–combiner structure without dissipating elements tends to exacerbate manufacturing errors, thereby providing worst case test data. Because the inputs and outputs of power amplifiers are usually reasonably well matched and provide reverse isolation, the dividing–combining performance of a traveling-wave amplifier will generally be superior to that measured in this direct back-to-back structure, although with additional insertion loss due to transitions between the waveguide and the amplifiers. A two-port measurement was made on the back-to-back structure using a vector network analyzer with extension heads for WR-10 waveguide connection (test equipment for measurement over -band was not available, so measurements were limited to the -band). WR-10 to WR-12 adaptors were then used to connect to the device under test (DUT). WR-10 to WR-12 adaptors connected back to back were also measured and they presented 0.25 dB of insertion loss, which was subtracted from the measurement of the DUT to compensate for the adaptors. The magnitudes of and are shown plotted in Fig. 13, along with the values from an HFSS simulation for comparison. This HFSS simulation included metallic losses using a value of conductivity of S/m, half the value of bulk gold to account for surface roughness.

3986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

combiner alone. Very good agreement between results computed by MoM and HFSS were found. Measured results for a back-to-back power divider–combiner produced better than 60% combining efficiency, resulting in nearly 80% efficiency for a single unit of power divider or combiner. The discrepancy between theory and experiment is attributed to metallic and surface roughness losses not accounted for in the models.

ACKNOWLEDGMENT The authors would like to thank Dr. D. Hoppe of the Jet Propulsion Laboratory, California Institute of Technology, for the computer program on mode matching and Dr. R. Pogorzelski for his help in reviewing this paper.

REFERENCES

Fig. 13. Measured versus simulated transmission and reflection coefficient magnitudes for the back-to-back 25/1 divider–combiner: (a) transmission coefficient and (b) reflection coefficient.

The agreement from 75 to 77 GHz is reasonably good, with about 0.5-dB additional loss over the simulation and similar shape. For a power amplifier application, half the divider–combiner loss resides on the input side and half on the output side, so the power dissipation is almost entirely confined to the output side (post amplification). Thus, assuming the 2-dB insertion loss is equally split between the two sides, the efficiency of the combining structure is estimated to be about 80% (1-dB loss).

VI. CONCLUSION This paper has presented the design of a 1-to-25-way traveling-wave power divider using the slotted rectangular waveguide technology in the 71–77-GHz frequency band. The initial design used computed values of the scattering parameters of three-port couplers consisting of quarter-wave step transitions. Subsequently, a genetic algorithm optimization was carried out using the MoM solution to the coupled integral equations of the slot apertures of the entire power divider structure. Excellent results were obtained for the optimum power divider design with better than 86% simulated combining efficiency for a back-to-back power divider–combiner, better than 26-dB return loss and very good amplitude flatness. This corresponds to 93% simulated efficiency for a power divider or

[1] M. P. DeLisio and R. A. York, “Quasi-optical and spatial power combining,” IEEE Trans. Microw. Theory Tech., vol. 50, pp. 929–936, Mar. 2002. [2] R. Bashirullah and A. Mortazawi, “A slotted-waveguide power amplifier for spatial power-combining applications,” IEEE Trans. Microw. Theory Tech., vol. 48, pp. 1142–1147, Jul. 2000. [3] X. Jiang, L. Liu, S. C. Ortiz, R. Bashirullah, and A. Mortazawi, “A Ka-band power amplifier based on a low profile slotted-waveguide power combining/dividing circuit,” IEEE Trans. Microw. Theory Tech., vol. 51, pp. 144–147, Jan. 2003. [4] C. Eswarappa, T. Hongsmatip, N. Kinyaman, R. Anderson, and B. Ziegner, “A compact millimeter-wave slotted waveguide spatial array power combiner,” in IEEE MTT-S Dig., 2003, pp. 1439–1442. [5] X. Jiang, S. C. Ortiz, and A. Mortazawi, “A Ka-band power amplifier based on the traveling-wave power-dividing/combining slotted waveguide circuit,” IEEE Trans. Microw. Theory Tech., vol. 52, pp. 633–639, Feb. 2004. [6] K. Song, Y. Fan, and X. Zhou, “X-band broadband substrate integrated rectangular waveguide power divider,” Electron. Lett., vol. 44, pp. 211–213, 2008. [7] X. Xie, H. Chen, and Y. Tian, “Millimetre-wave broadband waveguide-based spatial power-combining amplifier,” Electron. Lett., vol. 47, pp. 194–195, 2011. [8] K. Song, Y. Fan, and X. Zhou, “Broadband millimetre-wave passive spatial combiner based on coaxial waveguide,” IET Microw., Antennas, Propag., vol. 3, pp. 607–613, 2009. [9] P. Jia, L.-Y. Chen, N.-S. Cheng, and R. A. York, “Design of waveguide finline arrays for spatial power combining,” IEEE Trans. Microw. Theory Tech., vol. 49, pp. 609–614, Apr. 2001. [10] J. Schellenberg, E. Watkins, M. Micovic, B. Kim, and K. Han, “W-band, 5 W solid-state power amplifier/combiner,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 240–243. [11] S. R. Rengarajan and J. J. Lynch, “Traveling wave slot array power combiner at 74 GHz,” presented at the 1st Atlantic Radio Science Conf., Gran Canaria, Spain, May 2015. [12] S. R. Rengarajan, “Characteristics of a longitudinal/transverse coupling slot in crossed rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 37, pp. 1171–1177, 1989. [13] S. R. Rengarajan and G. M. Shaw, “Accurate characterization of coupling junctions in waveguide-fed planar slot arrays,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2239–2248, Dec. 1994. [14] A. Wexler, “Solution of waveguide discontinuities by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. 17, no. 9, pp. 508–517, Sep. 1967. [15] S. R. Rengarajan, “Higher order mode coupling effects in the feeding waveguide of a planar slot array,” IEEE Trans. Microw. Theory Tech., vol. 39, pp. 1219–1223, 1991. [16] D. E. Goldberg, Genetic Algorithms in Search, Optimization and Machine Learning. Reading, MA, USA: Addison-Wesley, 1989. [17] S. R. Rengarajan, “Genetic algorithm optimization of a planar slot array using full wave method of moments analysis,” Int. J. Comput. Aid. RF Microw. Eng., vol. 23, no. 4, pp. 430–436, Jul. 2013.

RENGARAJAN AND LYNCH: DESIGN OF A TRAVELING-WAVE SLOT ARRAY POWER DIVIDER USING THE MoM AND A GA

Sembiam R. Rengarajan (LF’14) received the Ph.D. degree in electrical engineering from the University of New Brunswick, Canada, in 1980. Since then he has been with the Department of Electrical and Computer Engineering, California State University, Northridge (CSUN), CA, USA, where he currently serves as a Professor. He has held visiting professorships at UCLA; Chalmers University of Technology, Sweden; Universidade de Santiago de Compostela, Spain; the University of Pretoria, South Africa; and the Technical University of Denmark. He received an honorary Adjunct Professorship at the Electromagnetics Academy of Zhejiang University, China in 2005. He has been a consultant to government and industry in the U.S. and abroad. His research interests include application of electromagnetics to antennas, scattering, and passive microwave components. He has published more than 230 journal articles and conference papers. Dr. Rengarajan has served as an Associate Editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION (2000–2003) and as the Chair of the Education Committee of the IEEE Antennas and Propagation Society (APS). He received the Preeminent Scholarly Publication Award from CSUN in 2005, the CSUN Research Fellow Award in 2010, a Distinguished Engineering Educator of the Year Award from the Engineers’ Council of California in 1995, and 20 awards from the National Aeronautics and Space Administration for his in-

3987

novative research and technical contributions to Jet Propulsion Laboratory. In 2011, he was appointed as a Distinguished Lecturer for the IEEE APS. He was the Chair of the Commission B (Waves and Fields) of the United States National Committee of the International Union of Radio Science (USNC-URSI) during 2012–2014. Currently, he serves as the Secretary and Chair-Elect of USNC-URSI.

Jonathan J. Lynch (M’11) received the B.S. , M.S., and Ph.D. degrees from the University of California, Santa Barbara, CA, USA, in 1987, 1992, and 1995, respectively, in the area of quasi-optical power combining for continuous-wave and pulsed millimeterwave sources. Since 1995, he has been employed at HRL Laboratories, LLC, Malibu, CA, USA, where he is Senior Scientist in the Microelectronic Laboratory. His areas of expertise include microwave and millimeterwave antennas, filters, waveguide circuits, radiometers, and coded aperture radar sensors, as well as nonlinear components and subsystems, such as synchronized microwave oscillators and quasi-optical power combining.

3988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

An Isolated Radial Power Divider via Circular Waveguide -Mode Transducer Qing-Xin Chu, Senior Member, IEEE, Da-Yi Mo, and Qiong-Sen Wu

Abstract—A -band isolated radial power divider via circular waveguide -mode converter is presented in this paper. By redesigning and using the circular waveguide -mode transducer to feed the 20-way radial power divider–combiner, the overall structure is miniaturized. Moreover, the resistive septum is inserted into the waveguide to improve the return loss and the isolation of the output ports. A measured return loss at the output port has improved by around 15 dB, while the worst case isolation is more than 12 dB over 28–36 GHz after using the resistive septum. Index Terms—Mode transducer, power divider, resistive septum, waveguide.

I. INTRODUCTION

A

T microwave and millimeter-wave frequency, an individual solid-state device does not have enough output power capability [1]. Therefore, it is necessary to combine power from multiple devices to obtain the desired power level. Compared with the binary combiner, the radial power combiner combines signals from all ports in a single level, which may achieve low loss structure when is large. In addition, due to the symmetrical structure, the radial power combiner has advantages in magnitude and phase balance. Radial power combiners have been used extensively. A 16-way radial combiner with rectangular waveguide in the base oriented was proposed in [2], where the waveguide’s E-plane is parallel to the cylindrical base axis; the input rectangular waveguide-to-coaxial line transition is required to route the input signal to the power divider. A -band 10-way planar probe power combiner–divider was proposed in [3]; however, the power-handling capability is limited by the microstrip probe and the coaxial line. Another 19-way radial divider with the waveguide’s E-plane orientation, which is normal to the base axis, was proposed in [4]. Therefore, an analogous 24-way Manuscript received February 18, 2015; revised July 14, 2015; accepted October 04, 2015. Date of publication November 11, 2015; date of current version December 02, 2015. This work was supported by the National Natural Science Foundation of China(61171029). Q.-X. Chu is with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, Guangdong 510641, China, and also with Shanxi Hundred-Talent Program, Xidian University, Xi’an, China (e-mail: [email protected]). D.-Y. Mo and Q.-S. Wu are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, Guangdong 510641, China (e-mail: [email protected]; [email protected]. cn). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495204

radial combiner was proposed in [5], in which the driver and power amplifier modules are mounted on a baseplate to enable effective heat removal. For such types of radial power combiners in [4] and [5], a circular-to-rectangular waveguide mode transducer is required. Based on the concept of [6], the Marie-mode transducer is often long in length [7], making it unwieldy for some applications. Another widely used transducer, the flower-petal transducer [8], has the drawback of narrow bandwidth and high insertion loss. Another vital issue to be considered is the isolation among the output ports when designing the radial power diver. The approach of using the resistive septum has been presented in some previous work. The E-plane T-junction with a resistive septum is used as the waveguide binary combiner in [9]–[11]. Such film resistive septum can also be used in the travelingwave power divider–combiner [12]. The goal of this paper is to propose a radial power divider–combiner with good isolation and compact size. This structure consists of two elements: the mode converter and the 20-way radial power divider. To reduce the size, a compact mode transducer based on the concept of [13] is designed to convert the input rectangular-waveguide –mode to the circular-waveguide -mode. Next, the power in the circular waveguide is divided into 20 half-height rectangular waveguides with circular symmetry. Finally, the half-height rectangular waveguides are broadband matched to the full-height waveguides by using the tapered-impedance transformers. Moreover, to achieve good isolation among the output ports, the resistive septum is inserted into the waveguide radially, and the fabrication method of the septum has also been improved in this paper. II. A. Principle of the

-MODE TRANSDUCER -Mode Transducer

-mode is considered At millimeter-wave frequency, the as a low-loss propagation mode, which is suitable to work as the transmission mode. Without the longitudinal surface current, the electric-field vector of -mode assumes the circular closed lines; such a feature can be applied to design a -mode transducer. In order to explain the principle of the proposed mode transducer, the lines of electric intensity are drawn in Fig. 1(a). The four-way signals are injected along the circumference of the circular-waveguide sidewall, and the orientation is adjusted at 90 . The rectangular waveguides are set to feed the four-way signals. As there is only one-direction vector of the rectangular-waveguide -mode electric field, when such a wavefront enters into the circular waveguide, only the electric-

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CHU et al.: AN ISOLATED RADIAL POWER DIVIDER VIA CIRCULAR WAVEGUIDE

-MODE TRANSDUCER

3989

Fig. 3. Longitudinal section view of the electric field in the transducer.

Fig. 1. (a) Four-way wavefront spread into the mode-converting section. (b) Spreading wave front into the E-plane T-junction from port 1.

Fig. 4. (a) E-plane T-junction. (b) Top view of the structure.

Fig. 2. Three-dimensional structure of the

-mode transducer.

Fig. 2, while the longitudinal section view of the electric field in the transducer is shown in Fig. 3. B. Realization of the Power-Dividing Section

field vector of the -mode will be excited. Moreover, the undesired modes, such as the , , and , and the polarization degenerated modes are attenuated. For example, the -mode will not be excited since the electric-field lines of the –mode distributed radially, which are normal to . Another issue is that the four-way signal should have equal magnitude, while each adjacent signals should be out-of-phase. Otherwise, the electric-field lines are cancelled, and the wave will not be excited. The power divider based on the E-plane T-junction can meet all these requirement. As shown in Fig. 1(b), when power enters from port 1, equal intensities appear at port 2 and port 3 with a 180 phase difference. Finally, the -mode transducer is achieved. This transducer consists of two parts: the power-dividing section and the mode-converting section. The overall structure is shown in

The E-plane T-junction is the basic unit of the two-stage cascaded binary power divider, which is shown in Fig. 4(a). Since a standard waveguide WR-28 ( 7.12 mm, 3.56 mm) is used as the transmission line, the full-height rectangular waveguide of port 2 and port 3 are broadband matched to the half-height waveguide via the stepped-impedance transformer. The Ansoft Corporation commercial software High Frequency Structure Simulator (HFSS) was used to provide the scattering parameters. The optimized dimensions of the stepped-impedance transformer are as follows: 1.65 mm, 3.11 mm, 2.67 mm, and 2.21 mm. In addition, the corner cut is used to absorb the discontinuity field; the dimensions are also optimized as follows: 1.6 mm, 3.2 mm, and 1 mm, as shown in Fig. 4(b).

3990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I FIELD LINES AND CUTOFF WAVELENGTH FOR THE LOWER ORDER MODES

Fig. 5. Mode-converting section.

Three E-plane T-junction units were cascaded to form the power divider network. Then, the waveguide transmission lines were bent to cooperate with the mode-converting section. C. Realization of the Mode-Converting Section The mode-converting section shown in Fig. 5 consists of a circular waveguide, the over-mode waveguides, and the rectangular waveguides. Four-way signals with equal magnitude and 180 phase difference between each adjacent ways, where the orientations assuming a cross form, enter into the circular waveguide from four ports, respectively. The over-mode waveguide is the transition from the standard rectangular waveguides to the circular waveguide, which can minimize the return loss effectively. The electric lines and the cutoff wavelength of the first few lower order modes (including the polarization degenerate mode) of the circular waveguide are listed in Table I. Therefore, the radius of the circular waveguide can be given by (1) where is the cutoff frequency of -mode as 26 GHz, indicating a radius of 6.9 mm. In addition, to absorb the undesired propagation mode caused by the discontinuity, a matching column is included with optimized dimensions: 1.9 mm and 5.2 mm.

Fig. 6. Simulated results of a single-mode converter.

D. Simulation, Fabrication, and Measurement Finally, the two sections are joined, as illustrated in Fig. 2. The simulation tool HFSS is applied to analyze this structure. The simulated results of a single-mode converter are illustrated in Fig. 6. It can be seen that the return loss of the input port is less than 12 dB, while the transmission coefficient from the -mode to the -mode is flat ranged from 0.01 to 0.3 dB over the full frequency band, with no spurious effect that may affect the group delay. According to what we have discussed above, such a transducer should propagate the desired -mode and attenuate those undesired modes. As shown in Fig. 7, the attenuation coefficient of the -mode and -mode is lower than 40 dB. Considering the scattered electric field orientation varies with the polarization degenerated mode, rather than a perfect magnetic wall, the attenuation coefficient of some modes may be slightly

Fig. 7. Simulated results for the mode purity of a transducer.

worse. However, the attenuation coefficients of the useless modes are better than 25 dB, while the transmission coefficient of the -mode is less than 0.3 dB, which is a remarkable value for such a compact mode converter. The prototype of the proposed transducer is illustrated in Fig. 8(a), which is made of aluminum with a gilded inner wall. The input port is the standard rectangular waveguide port, while

CHU et al.: AN ISOLATED RADIAL POWER DIVIDER VIA CIRCULAR WAVEGUIDE

-MODE TRANSDUCER

3991

Fig. 9. Simulated and measured results of the back-to-back transducer.

Fig. 8. (a) Prototype of the

-mode transducer. (b) Back-to-back structure.

the output port is the circular waveguide port. The dimensions are 6.8 cm length 5.5 cm width 2.0 cm height . To measure via a vector network analyzer, two transducers are joined back-to-back, as shown in Fig. 8(b). The simulated and measured result are shown in Fig. 9. It can be seen that the experimental results agree well with the simulation; even the worst measured return loss is still greater than 10 dB over the bandwidth range of 27.5–36 GHz. On average, the return loss is around 18 dB, while the insertion loss is less than 1.1 dB. Considering the back-to-back configuration, the insertion loss and the converting efficiency of a single -mode transducer are 0.55 dB and 88%, respectively. Compared with the back-to-back mode transducer proposed in [13] based on Y-shaped T-junctions, which achieves the insertion loss of 1 dB in a bandwidth of 5.8 GHz, the transducer in this paper based on E-plane T-junction has achieved the insertion loss of less than 1.1 dB in a bandwidth of over 8.5 GHz. The superiority in broadband feature is likely to be a result of the optimized matching parameter, since the principle of these two structures is analogous. However, one of the purposes of this paper is to present the application of combining the two techniques: the mode transducer and the radial power divider, instead of presenting a brand new concept. III. THE TWENTY-WAY POWER DIVIDER A. Realization and Analysis -mode wave from the input circular waveguide The is equally divided into 20 half-height rectangular waveguides.

Fig. 10. Three-dimensional structure of the 20-way power divider.

Then, the divided power is transformed into the full-height rectangular waveguide via the tapered-impedance transformer. The overview is illustrated in Fig. 10. A greater number of ports than 20 can be achieved by reducing the height of the waveguides, instead of the half-height rectangular waveguides. However, the number of ports is limited by the finite thickness of waveguide walls. Taking into account the radial symmetry of the 20-way power divider, this structure can be simplified into a triple-port component ( th, th output ports, and one common sector port where 36 ), as shown in Fig. 11. In order to match the half-height waveguide to the full-height waveguide, the taperedimpedance transformer is an essential element with a length of 12.5 mm. A matching column is also needed to cancel the discontinuity on the baseplate; by optimizing the dimension, a low return-loss at the input circular waveguide port can be achieved, which are listed as follows: 3.3 mm, and 2.8 mm. Therefore, to improve the output port matching and isolation, the application of the resistive septum in radial power divider is a vital method proposed in this paper. The septum with an optimized dimensions 7.12 mm and 2.4 mm

3992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 12. Simulated -parameters of the simplified model.

Fig. 11. (a) Simplified three-port component. (b) Simulated field distributions. (c) Side view of the structure. (d) Top view of the structure.

is installed symmetrically along the radial line between the two waveguide ports, where the dimension from the axis to the edge of the septum is 4.3 mm. The operation principle can be simplified as follows: for the odd mode, the symmetrical plane (resistive septum) acts as an electric wall. The odd mode current counteracts each other as the resistive septum is rather thin. For the even mode, the resistive septum acts as a magnetic wall. When the septum is ideally thin with zero thickness and is placed accurately on the symmetrical plane, most spurious power caused by the unbalanced field or inverting wave will be absorbed by the resistor, while the odd mode wave will not be disturbed, which means that the reflection and isolation performance can be improved with small insertion loss. The simulated -parameter of the simplified triple-port component is then plotted in Fig. 12. It can be seen that the isolation between port 1 and port 2 is better than 12 dB where the return loss is better than 9 dB. However, to evaluate the 20-way power divider, this simplified model is not accurate as the influence from other output ports is not included in the simplified model; in addition, the spurious mode wave will also produce an effect on the simulation. Actually, the main function of the simplified model is to explain the principle and verify the effect of the resistive septum qualitatively. As we have discussed above, the septum should be as thin as possible. However, it is not practicable to fabricate such a zero-thickness septum. Simulation of the full model illustrated in Fig. 10 sweeps with variable (the thickness of the septum) are carried out in order to analyze the impact on the performance, and the result is shown in Fig. 13. It can be seen that,

Fig. 13. Simulated return loss

of the full model sweeps with variable

.

for a more asymmetrical case, corresponding to a larger value of , the return loss becomes worse. The same is true for the isolation of the output ports. B. Fabrication and Assembly of the Resistive Septum An existing method of fabricating the resistive septum can be described as follows: The septum is implemented by stacking two rather thin low-loss dielectric substrates, and the mating surface of one substrate is coated with TaN film, as illustrated in Fig. 14(a). A benefit of such a method is that the resistive film is accurately inserted on the symmetrical plane, which ensures proper amplitude and phase balance. However, it is difficult to stack two substrates into one card with a rather tiny thickness. Therefore, to facilitate the manufacture and assembly, an easier solution is proposed in this paper. As shown in Fig. 14(b), the septum consists of a single alumina substrate with both surfaces designed for 220 TaN films. With a certain accuracy of manufacturing, the thickness of the septum can be reduced using the improved method. The drawback is that the resistive sheet is not perfectly on the symmetrical plane. However, in this paper, the thickness of the alumina substrate is 0.254 mm, corresponding to an average as 19 dB, which is an acceptable value by observing the data in Fig. 13.

CHU et al.: AN ISOLATED RADIAL POWER DIVIDER VIA CIRCULAR WAVEGUIDE

Fig. 14. (a) Existing fabrication method of septum. (b) Improved solution proposed in this paper.

-MODE TRANSDUCER

Fig. 15. Simulated return loss

3993

with deviation of the sheet resistance.

The placement of the septum is another challenge. To offer the solution, the grooves are slotted on the bottom of the waveguide wall to hold the septum in place. Therefore, a cover plate is used for capping the slot in case of power leakage. C. Discussion Regarding the Power Handling Capability For the conventional radial power divider–combiner based on the TEM transmission mode, the power capacity is limited by the components, such as the microstrip or coaxial lines. The full-metal waveguide structure has a greater power capacity. However, the power capacity of the septum radial power divider–combiner is limited by the amount of power that can be dissipated by the resistive septum without causing damage. With ideally symmetrical distribution, the output ports are under equal excitation while very little power is dissipated in the resistive element. However, the asymmetrical case is a more common case, which may be caused by the fabrication and assembly error. In addition, when one or more output ports are mismatched due to the amplifier failures, the imbalanced power is absorbed by the resistive septum. Therefore, the RF heating may change the features of the resistive elements. The sheet resistance shift is one of the most significant variation, which may be caused by the RF heating. To evaluate the performance when the resistance fluctuation happens, the simulation of the return loss sweeps with approximately 50% sheet resistance deviation (the designed value is 220 ) is carried out. The result is shown in Fig. 15; it can be seen that the output match is a weak function of the sheet resistance within a certain range, indicating a good error tolerance since the standard tolerance of sheet resistance is approximately 10%. The radial septum power divider in this paper was not tested under high-power excitation due to limitation of the measurement condition. However, some reference data is given as follows: the 32-way septum binary power combiner proposed in [10] has achieved the output power 50 W, while even higher power 120 W is also possible. Another measurement of a dual-way septum power combiner is carried out in [9], while the performance of the return loss shows a gradual degradation until the drive power increased to over 23 W.

Fig. 16. Prototype of the 20-way power divider.

Fig. 17. Overall structure proposed in this paper.

Moreover, it should be claimed that the thermal dissipation can also improve the power-handling capability, which is an effective solution to avoid overheating of the resistive elements.

3994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 18. Simulated and measured results of the overall power divider. (a) Magnitude of measured transmission coefficient for all 20 ways . (b) Phase data . (c) Simulated and measured . (d) Simulated and measured . (e) Comparison of and of the case before and after using the septum. of

IV. SIMULATION AND MEASURED RESULT A prototype of the proposed 20-way power divider with the septum installed was carried out, as shown in Fig. 16. The

output circular-waveguide port of the proposed transducer in Section II and the input port of the twenty-way radial power divider in Section III was connected. The photograph is shown in Fig. 17. The overall structure has one input port and 20 output

CHU et al.: AN ISOLATED RADIAL POWER DIVIDER VIA CIRCULAR WAVEGUIDE

ports, which are all in standard rectangular-waveguide form. This structure is also compact in size where the dimension of the baseplate is only 117 mm 117 mm. The simulated and measured results are shown in Fig. 18. From 28 to 36 GHz, the transmission coefficient is around 14 dB, since the ideal value with zero insertion loss is 13 dB, which means that the average insertion loss is approximately 1 dB, including the insertion loss of the mode transducer and the power divider for 0.35 and 0.65 dB, respectively. A maximum amplitude imbalance of 0.45 dB and a phase imbalance of 6 are observed in Fig. 18(a) and (b). Good agreement between the simulated and measured return losses are demonstrated in Fig. 18(c) and (d). The return loss at port 0 is better than 10 dB. Since the power divider is symmetric with respect to the cylindrical axis, only the return loss at port 1 (one of the 20 output ports) is given, the value is better than 13 dB over the frequency band. To analyze the impact by the resistive septum, the measurement was taken before and after the resistive septum is inserted into the waveguide; the results are shown in Fig. 18(e). It can be seen that, after inserting the resistive septum, the average value of has improved by more than 15 dB, from approximately 5 dB (before) to 20 dB (after). Moreover, the isolation among the output ports ( , the value of is from 2 to 11) is also improved. The curves of are much more flat across the aimed frequency band. For example, the worst value of from 28 to 36 GHz is 7 dB without the septum; while this value increases to 12 dB after using the septum, the average value has increased from 10 dB to around 15.5 dB. The curves of , , , , , , , , and are not plotted, since they are the same as , , , , , , , , and due to the symmetry. The worst isolation is observed between the adjacent ports. In conclusion, except for , , and , the measured isolation is better than 20 dB, which is competitive comparing with other radial power divider. V. CONCLUSION An isolated 20-way radial power divider via circular waveguide -mode transducer has been analyzed, fabricated, and measured. This paper mainly focuses on achieving a radial power divider with good isolation, compact size, and featuring ease of fabrication by combining the technique of mode converter and radial power divider–combiner. Therefore, some novel solution has been proposed. First, a -mode transducer is carried out and applied. The function of such mode transducer is to transform the -mode in rectangular waveguide to the -mode in circular waveguide. The back-to-back transducer is fabricated and tested, which demonstrates good agreement between the simulated and measured results. Moreover, the transducer has shown great advantages in size and mode purity. Second, a 20-way power divider is achieved, and the resistive septum is inserted to improve the matching and isolation of the output ports. The following measurement on the scattering parameters shows that the application of the septum has greatly improved the performance, just as we expected. Also, since it is challenging to fabricate and assemble the septum,

-MODE TRANSDUCER

3995

the improved solution has been proposed. All these features have shown that this structure is suitable for a millimeter-wave multi-ways power divider. REFERENCES [1] K. Chang and C. Sun, “Millimeter-wave power-combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 2, pp. 91–107, Feb. 1983. [2] T. I. Hsu and M. Simonutti, “A wideband 60 GHz 16-way power divider/combiner network,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1984, vol. 84, no. 1, pp. 175–177. [3] K. Song and Q. Xue, “Planar probe coaxial-waveguide power combiner/divider,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2761–2767, Nov. 2009. [4] M. H. Chen, “A 19-way isolated power divider via the TE01 circular waveguide mode transition,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2, 1986, vol. 86, no. 1, pp. 511–513. [5] P. Khan, L. Epp, and A. Silva, “A Ka-band wide-bandgap solid-state power amplifier: Architecture performance estimates,” Jet Propulsion Lab., Pasadena, CA, USA, Interplanetary Network Progress Rep., Nov. 15, 2005, vol. 42–163. [6] S. S. Saad, J. B. Davies, and O. J. Davies, “Analysis and design of a mode transducer,” Microw., Opt., Acoust., vol. 1, pp. circular 58–62, Jan. 1977. [7] L. Epp, P. Khan, and A. Silva, “A Ka-band wide-bandgap solid-state power amplifier: Hardware validation,” Jet Propulsion Lab., Pasadena, CA, USA, Interplanetary Network Progress Rep., Nov. 15, 2005, pp. 1–22. [8] H. A. Hoag, S. G. Tantawi, R. Callin, H. Deruyter, Z. D. Farkas, K. Ko, N. Kroll, R. L. Lavine, A. Menegat, and A. E. Vlieks, “Flower-petal mode converter for NLC,” in Proc. Particle Accelerator Conf., May 17–20, 1993, vol. 2, pp. 1121–1123. [9] P. Khan, L. Epp, and A. Silva, “Ka-band wide-bandgap solid-state power amplifier: Prototype combiner spurious mode suppression and power constraints,” Jet Propulsion Lab., Pasadena, CA, USA, Interplanetary Network Progress Rep., Feb. 15, 2006, vol. 42–164, pp. 1–18. [10] L. W. Epp, D. J. Hoppe, A. R. Khan, and S. L. Stride, “A high-power Ka-band (31–36 GHz) solid-state amplifier based on low-loss corporate waveguide combining,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1899–1908, Aug. 2008. [11] F. Takeda, O. Ishida, and Y. Isoda, “Waveguide power divider using metallic septum with resistive coupling slot,” in IEEE MTT-S Int. Microw. Symp. Dig., Aug. 1982, pp. 527–528. [12] Q. X. Chu, Z. Y. Kang, Q. S. Wu, and D. Y. Mo, “An in-phase output Ka-band traveling-wave power divider/combiner using double ridgewaveguide couplers,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 9, pp. 3247–3253, Sep. 2013. -mode [13] C. F. Yu and T. H. Chang, “High-performance circular converter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3794–3798, Dec. 2005. Qing-Xin Chu (M’99–SM’11) received the B.S., M.E., and Ph.D. degrees in electronic engineering from Xidian University, Xi’an, Shaanxi, China, in 1982, 1987, and 1994, respectively. From January 1982 to January 2004, he was with the School of Electronic Engineering, Xidian University, and since 1997, he was a Professor and the Vice Dean of the School of Electronic Engineering, Xidian University. He is currently a Chair Professor with the School of Electronic and Information Engineering, South China University of Technology, Guanzhou, China, where he is also the Director of the Research Institute of Antennas and RF Techniques of the university, the Chair of the Engineering Center of Antennas and RF Techniques of Guangdong Province. He is also with Xidian University, where he has been a Distinguished Professor in the Shaanxi Hundred-Talent Program since 2011. He has authorized more than 30 Chinese invention patents. His current research interests include antennas in mobile communication, microwave filters, spatial power combining array, and numerical techniques in electromagnetics. Dr. Chu is the Foundation Chair of IEEE Guangzhou AP/MTT Chapter and Senior Members of the China Electronic Institute (CEI). He has published over 300 papers in journals and conferences, which were indexed in SCI more than

3996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

1500 times. One of his papers published in IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATIONS in 2008 became the top ESI (Essential Science Indicators) paper within ten years in the field of antenna (SCI indexed self-excluded in the antenna field ranged top 1%). In 2014, he was elected as the Highly Cited Scholar by Elsevier in the field of electrical and electronic engineering. He was the recipient of the Science Awards by the Education Mnistry of China in 2002 and 2008, the Educational Award by Shaanxi Province in 2003, the Singapore Tan Chin Tuan Exchange Fellowship Award in 2003, the Fellowship Award by Japan Society for Promotion of Science (JSPS) in 2004, and the Science Award by Guangdong Province in 2013.

Da-Yi Mo was born in Shaoguan, Guangdong, China, on May 3, 1990. He received the B.S. and M.E. degrees from South China University of Technology, Guangzhou, China, in 2012 and 2015, respectively. He is currently working as an RF Engineer in Mindray Bio-Medical Electronics Company, Limited, Shenzhen, China. His research interests include millimeter-wave power-combining circuits, RF circuit, and wireless communication techniques.

Qiong-Sen Wu was born in Maoming, Guangdong, China, on July 6, 1989. He received the B.S. and M.E. degrees from South China University of Technology, Guangzhou, China, in 2011 and 2014, respectively. He is currently working toward the Ph.D. degree at the University of Macau. His research interests include millimeter-wave power-combining circuits, periodic guided-wave structures, and impedance transformers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

3997

Reliability Analysis of Ku-Band 5-bit Phase Shifters Using MEMS SP4T and SPDT Switches Sukomal Dey, Student Member, IEEE, and Shiban K. Koul, Fellow, IEEE

Abstract—This work presents a Ku-band microelectromechanical systems (MEMS) based 5-bit phase shifter using dc contact single-pole-four-throw (SP4T) and single-pole-double-throw switches. The design is implemented using a coplanar waveguide transmission line. Two individual 2-bit phase shifters and one 1-bit phase shifter are cascaded to develop the complete 5-bit phase shifter. The phase shifters are fabricated on 635- m alumina substrate using a surface micromachining process. The 5-bit phase shifter demonstrates an average insertion loss of 2.65 dB in the 13–18-GHz band with a return loss better than 22 dB and average phase error less than 0.68 at 17 GHz. Total area of the fabricated 5-bit phase shifter is 4.7 2.8 mm . The reliability of the single-pole-single-throw and SP4T switches show more than 10 million cycles with an RF power of 0.1–2 W. Furthermore, reliability of the MEMS phase shifter is extensively investigated and presented with cold and hot switched conditions. To the best of our knowledge, this is the first reported MEMS 5-bit phase shifter in the literature that has undergone different reliability and qualification testing including 3-axis vibrations. Index Terms—Contact resistance, dc contact, phase shifter, RF microelectromechanical system (RF MEMS).

I. INTRODUCTION

A

LOW-LOSS and miniature microwave phase shifter is a critical component of a transmit/receive (T/R) module in passive electronically scanned arrays (ESAs) used widely in military and commercial applications [1]. Utilizing low-loss phase shifters in a T/R module lowers the power requirements, and hence, lower component count, smaller size, and lower costs [2]. The T/R module operating at Ku-band frequencies enable the use of ESA antennas for wide-swath high-resolution synthetic aperture radar (SAR) and imaging of terrestrial snow covers [3]. The module was designed to operate over the full frequency range of 13–18 GHz, although typically 17 GHz is used in SAR radar application. The module size allows four T/R modules to feed the 16 16 element sub-array on an antenna panel. A 5-bit phase shifter is an essential component in each channel out of four transmits channels and eight receiver channels.

Manuscript received January 13, 2015; revised June 25, 2015, September 17, 2015, and September 24, 2015; accepted October 05, 2015. Date of publication October 27, 2015; date of current version December 02, 2015. This work was supported by the Synergy Microwave Corporation, Paterson, NJ, USA. The authors are with the Centre for Applied Research in Electronics (CARE), Indian Institute of Technology (IIT) Delhi, New Delhi 110016, India (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2491938

Different types of digital phase shifters are implemented in the last seven years using monolithic microwave integrated circuit (MMIC) and CMOS technologies [4]–[9]. Although CMOS based phase shifters are compact in size, to compensate for the loss and noise such active phase shifters require a T/R module at each antenna element. This greatly increases the cost of such phased arrays. On the other hand, one T/R module connected to multiple low-loss phase shifters in phased arrays always gives lower component count, and thus is less expensive. The RF MEMS based digital phase shifter has advanced significantly over the past seven years with its high linearity, low loss, and excellent phase accuracy within a compact size [10]. RF MEMS digital phase shifters have been reported up to 6 bits using switched-line, reflect-line, low-pass/high-pass type, and distributed MEMS transmission line (DMTL) topology in different frequency bands [12]–[18]. A 4-bit MEMS phase shifter with four single-pole-four-throw (SP4T) switches with 21 mm area reported by Tan et al. provides an average insertion loss of 1.1 dB and phase accuracy of 2.3 at 10 GHz [12]. The switched line based 5-bit phase shifter provides an average insertion loss of 3.6 dB at 10 GHz within a 28-mm area [13]. A 6-bit phase shifter was demonstrated in [14] with 2.8-dB loss at 18 GHz over a 40-mm area. A packaged X-band 5-bit low-pass/high-pass phase shifter provides an average insertion loss of 4.5 dB at 12 GHz in a 9.2-mm area [15]. A DMTL based 4-bit phase shifter has been reported with 1.7 dB of average loss at 15 GHz with 7 of average phase error [16]. A triple-stub topology based DMTL phase shifter recently reported an average loss of 3.6 dB over the 15–22.5-GHz band in a 63.7-mm area [17]. A 5-bit switched line phase shifter was recently reported with 5.4-dB loss at 17.2 GHz over a 36-mm area [18] and 4.7-dB loss from a DMTL type 5-bit phase shifter over a 19.4-mm area at 10 GHz [19]. Major challenges of these phase shifters are to achieve low loss with desirable phase shift and with good repeatability within a small area. These challenges become very critical with higher bit configurations at lower microwave frequency ( 20 GHz). The DMTL is one of the choices for good insertion-loss performance, but its operation become nonlinear with variation of phase delay over the band once it crosses the Bragg frequency [20]. Moreover, area (along the length) of the DMTL phase shifter will also become large with higher bit ( 3-bit) configurations at lower frequency. Almost all the higher bit ( 4-bit) phase shifters reported so far have experienced a challenge to achieve low loss and good matching simultaneously within a small area. Furthermore, all MEMS based digital phase shifters reported so far have not had their reliability performance addressed over large cycles

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

3998

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 1. Schematic diagram of the 5-bit MEMS switched-line phase shifter based on SP4T and SPDT switches.

of operations. The work reported here attempts to address all these points with thorough detailed analysis and experimental investigation. This work is primarily focused on the development of the 5-bit switched-line phase shifter using four SP4T and two single-pole-double-throw (SPDT) switches with minimum insertion loss and good phase accuracy within the smallest possible area at 17 GHz. Although the phase shifter is primarily required to be operated over the frequency band of 16.75–17.25 GHz, its performance in the entire Ku-band is presented. The phase shifter was encapsulated within a module and its reliability was observed. Moreover, reliability of the reported phase shifter was extensively investigated with cold and hot switched conditions up to large cycles of operations for end-user applications. Finally, the performances of the phase shifter are compared with the present-state-of-the-art MMIC, CMOS, and MEMS phase shifters. II. PROPOSED DESIGN TOPOLOGY OF 5-bit PHASE SHIFTER

THE

Ku-BAND

Different topology of 5-bit phase shifters have been designed and optimized with four SP4T and two SPDT switches using different delay and reference lines combinations. The final schematic of the 5-bit TTD phase-shifter model proposed for the present work is shown in Fig. 1. Compared with the conventional switched line phase shifter, in which a minimum of ten switches are actuated at a time [18], the present design requires only six switches to be actuated at a time to activate one phase state for 5-bit operation. Small and simple cantilever beam structures are used for switching in the proposed design, which introduces a few sealant features such as the following. 1) The switch is less sensitive to stress due to its small size and with a fast switching time. 2) A single-contact cantilever switch is less sensitive to planarity and stress, which significantly improves the overall contact force and equal division of electrostatic force over all different paths in phase shifter. 3) A multi-contact cantilever switch is very prone to single contact failure (one contact permanently stuck down) or an actuator failure (permanent up). Single switch failure can completely damage the overall phase-shifter performance for long-range operations. 4) Multi-contact and complex designs of cantilever switches are sensitive to stress gradient. The residual stress effects uneven distribution of tip deflection between all identical structures. Hence, most of the cases’ different blocks need different voltages to actuate. It decreases overall yield of the device where, at a time, six switches are actuating.

5) A simple cantilever beam can easily be placed on the coplanar waveguide (CPW) line, which substantially improves the compactness in the SP4T and SPDT structures. 6) Due to its small thickness (2 m), it can also be easily packaged using a thin-film package, which is very compatible with the CMOS process. The complete work is divided into two stages: phase 1 and phase 2. Phase 1 primarily focuses on detailed analysis and experimental observations at different stages of a 5-bit phase shifter. Finally, phase 2 provides a more reliable and improved version of a 5-bit phase shifter. III. SP4T SWITCH DESIGN AND CHARACTERIZATION: PHASE 1 A. Design and Simulation of the MEMS SP4T Switch To improve the simplicity, repeatability, and compactness, four cantilever based in-line MEMS switches are used at four different paths in SP4T. The equivalent circuit model of the single-pole single-throw (SPST) switch is shown in Fig. 2(a). The top view of the SP4T switch layout and dimensions are shown in Fig. 2(b). In order to make compact size of the SP4T switch, all adjacent CPW ground planes are connected together. The signal linewidth is 50 m and the optimal simulated performance was observed with a spoke width of 22 m. Moreover, in order to achieve desired performance bandwidth up to Ku-band, spoke length is optimized to be 34 m from the center of the central junction where switches are closely packed without causing problems in fabrication. As a result, the area of the SP4T switch is 0.74 mm . To equalize the voltages on the two ground planes, saw-shaped air-bridge structures were introduced at each discontinuity to short out the parasitic slot line modes. As shown in Fig. 2(b), , , and t-line elements are used to represent different sections. To overcome the off-path resonance and to compensate for the impedance mismatch at the central junction, a capacitance fF was introduced with 20- m beamwidth and 50 m away from central junction and the effect of its matching ( and ) was also investigated until up to 18 GHz using the High Frequency Structure Simulator (HFSS), as shown in Fig. 3(a). To investigate the near-port (port 2 and port 5) and far-port (port 3 and port 4) performances with the effect of coupling between lines in the SP4T switch with bias lines (20-k bias resistance) and dc pads 50 50 m , a full-wave simulation was carried out using HFSS. The Advanced Design System (ADS) model is very useful to look inside through the equivalent circuit model, but it does not differentiate the difference between near- and far-ports with the coupling between lines within the SP4T switch. Moreover, for far-port return loss, full-wave simulations reasonably match with the circuit model, as depicted in Fig. 3(b). It reveals that the SP4T switch delivers outstanding matching up to 18 GHz with a return loss of better than 27 dB and worst case insertion loss of 0.36 dB. Moreover, impedance matching between inputs to near-port (port 2) is 2.7 dB better than the far-port (port 3) response. Although, near-port is at an acute angle to the input port, but it is also believed that coupling between input and near-port improves the impedance matching

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

3999

Fig. 4. Fabrication process steps of MEMS SPST switch.

and the resistivity of the bias lines. Furthermore, little deviation between the near-port and far-port in terms of return loss and isolation are mostly due to the small upstate capacitance (3.5 fF) and topology difference between the ports, which also agrees well with the circuit model up to a reasonable extent. B. Fabrication Process The device is fabricated on a 635- m alumina substrate after the RCA cleaning of the wafer using the process reported in [18]. First, 70 nm titanium–tungsten (TiW) is deposited and patterned using the lift-off technique to form the bias lines [see Fig. 4(a)]. A 0.7- m SiO layer is then deposited and patterned on the last layer (TiW) [see Fig. 4(b)]. 2- m gold is electroplated to form fixed electrode and CPW transmission line [see Fig. 4(c)]. 0.7 m of SiO is then deposited as a dielectric layer on the fixed electrode [see Fig. 4(d)]. Spin-coated polyimide (PI) is coated to a thickness of 2.5 m [see Fig. 4(e)] to form the sacrificial layer. Next, anchor holes and dimples openings (with 1 m) are performed in the PI layer. A 2- m gold layer is electroplated on the PI, which will be the structural layer for the devices [see Fig. 4(f)] and the switch is then released by an oxygen plasma dry etch process [see Fig. 4(g)]. The fabrication process steps are drawn in Fig. 4. Fig. 2. (a) Equivalent circuit model of the SPST switch. (b) Schematic and equivalent circuit representation of SP4T switch (P1–P2 connected).

Fig. 3. (a) Simulated input and output return loss of the SP4T switch with dif. (b) Simulated return and insertion loss of ferent junction capacitances SP4T switch using equivalent circuit model and HFSS simulation.

at near-port compared to the far-port. Hence, the far-port exhibits a narrower return-loss bandwidth than the near-port in the SP4T switch. A little influence on isolation response with added parasitic (30-k bias resistance instead of 20 k , which was initially taken) was observed with a connection between port 1 to port 2 and it is better than 30 dB up to Ku-band. The isolation and matching responses are mostly dominated by the input and output transmission lines, SP4T switch, spoke length,

C. Measurements of the SPST and SP4T Switches The SP4T switch performance is mostly dominated by the SPST switch and its proximity near to the central junction. Thus, prior to the SP4T switch, SPST switch performances were critically evaluated. Switch deflection was found to be 0.4 m [see Fig. 5(a)] with 2.8 MPa m of stress gradient along the length of the cantilever. The CV profile shows the measured pull-in and release voltages of 30–43 V, as depicted in Fig. 5(b). The measured mechanical resonance frequency of the switch is 38.8 kHz, as shown in Fig. 5(c). Measured ON–OFF times of the switch are 28 and 21 s, respectively. RF measurement was done using an Agilent PNA series E8361C vector network analyzer using cascade dc probes and calibrated using the short-open-load-through. No package was placed on top of the measured switch. The measured characteristic from 33 to 29.5 dB at 13–18 GHz [see Fig. 5(d)] indicates that the fabricated MEMS switch has a good isolation characteristic with 3.8 fF . The measured return and insertion loss are 19–25 and 0.56–0.27 dB, respectively up to 18 GHz with an applied voltage of 43–53 V. It is mostly attributed to the reduction of contact resistance as the applied voltage and contact force are increased [25]. 2.8 of was extracted

4000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. (a) Measured profile of cantilever beam after release. Measured: (b) pull-in and release voltages, (c) mechanical resonance frequency, and (d) S-parameter performances of the SPST switch.

Fig. 8. Microscopic images of the individual sections of 5-bit phase shifter.

Fig. 6. (a) Microscopic image of the fabricated SP4T RFMEMS switch. (b) S-parameter performances of SP4T RF MEMS switch [22].

Fig. 7. Measured IIP3 of the SPST and SP4T switches.

using the measured data. An of 57–60 pH and of 11–14 fF are obtained, respectively, up to 18 GHz. The microscopic image of the fabricated SP4T switch is shown in Fig. 6(a) [22]. The SP4T switch demonstrates 0.38 dB of worst case insertion loss, better than 24 dB of return losses, and isolation of 29.7 dB (at one ON-port condition) over 13–18 GHz, as shown in Fig. 6(b). Although the S-parameter response of this SP4T switch can be found in [22], this study is greatly expanded upon with more measurement results and will be presented in detail in subsequent sections. The third-order intermodulation intercept point (IIP3) values were measured for SPST and SP4T switches using a two-tone test at GHz and GHz, respectively. SPST and SP4T switches show an IIP3 of 43 and 45 dBm, respectively, as shown in Fig. 7. Here, switch passive intermodulation is mostly limited by the contact resistance of the ground–signal–ground (GSG) probe and input, output CPW transmission lines on the ceramic substrate [26]. IV. INDIVIDUAL 2-, 1-, AND COMPLETE 5-bit PHASE-SHIFTERS DESIGN AND CHARACTERIZATION: PHASE 1 A. Design and Measurements of 2- and 1-bit Phase Shifters A Ku-band 2-bit phase shifter is fabricated using four delay lines and two SP4T switches connected to the input and output transmission line. Design details and measurement results of the proposed 2- and 1-bit phase shifters were reported in

[23]. The primary aim of the design was to achieve an optimum performance with low loss over the compact size from two different fine-bit (11.25 /22.5 /33.75 ) and coarse-bit (45 /90 /135 ) sections. Design scheme of the reported phase shifter is motivated by the work reported in [12] and [24]. Electrical length of the different bits was designed with respect to the reference line at 17 GHz [23]. All three delay lines from the fine and coarse-bit sections contain a section equal to the reference line plus an additional delay line to obtain the desired phase shift. Coupling between various delay lines were also checked using an eight-port simulation in HFSS and results were presented in [23]. Inductive and 90 CPW bends were used in design to overcome the exitation of coupled slotline modes at CPW discontinuities and to achieve little transmission distortion caused by intra-coupling in the line. The microscopic images of the two 2-bit and one 1-bit section are shown in Fig. 8. The overall size of the fine-bit section is 3.84 mm and coarse-bit section is 6.45 mm . An optical profilometer shows from 0.4- to 0.57- m variation in tip deflection of the switch along the length of the cantilever. It leads to 3.97–5.3-fF variation in (at zero bias) and 2.8–3.7- variation in (applied bias) throughout different delay lines with 53-V actuation voltage. The fine-bit section gives measured return loss of better than 21 dB and worst case insertion loss of 0.82 dB over 13–18 GHz [23], whereas the coarse-bit section provides a return loss of better than 24 dB and worst case loss of 0.92 dB (135 ) over 16–18 GHz [23]. Maximum phase error of 0.7 was obtained experimentally at 17 GHz from both sections. The 1-bit bit section demonstrates measured return loss of better than 27 dB, maximum loss of 1.16 dB, and phase error of 0.58 at 17 GHz [23]. B. Design and Measurement of 5-bit Phase Shifter The microscopic image of the complete 5-bit phase shifter is shown in Fig. 9. The complete area of the phase shifter is 15.8 mm . All three sections were cascaded together and simulated using HFSS and verified in ADS for completeness. An unwanted off-path resonance was observed in full-wave simulation at 11 GHz and it was removed completely using

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

4001

Fig. 10. Measured and voltage versus: (a) temperature and (b) incident power at three different temperatures.

Fig. 9. Microscopic image of the fabricated Ku-band 5-bit phase shifter [23].

383- m lengths between the fine-bit to coarse-bit section and 34- m lengths between the coarse-bit to 1-bit section [23]. The S-parameters performance of the 5-bit phase shifter was measured systematically over the band. The results show that matching is better than 19 dB over 0.1–18 GHz and average loss is 3.89 dB within 13–18 GHz [23]. The maximum phase error is 1.14 at 17 GHz in a 258.75 phase state. The is maximum (4.3 ) in the 258.75 state and minimum (2.4 ) at the 0 state. The maximum measured group delay of 182 ps with the delay step of 4.67 ps was obtained at 17 GHz. Finally, the present phase shifter demonstrates figure-of-merit (FOM) (dB/bit) of 0.9 at 17 GHz [23]. V. RELIABILITY MEASUREMENT OF THE SPST SP4T SWITCHES: PHASE 1

AND

To ensure the optimum phase-shifter performance where all six switches are performing at a time, an extensive measurement process was adopted. Reliability and power-handling measurements were performed on the switches at 2 GHz with various levels of RF power. As a matter of fact, RF frequency is not a primary parameter to measure a dc contact switch reliability or power-handling capability. Initially, switch actuation voltage was measured with different incident power levels. Later, switch contact resistance variations were critically observed at different power and temperature scales and will be reported in subsequent sections. A. Temperature Stability of the MEMS Switch The temperature stability of the MEMS switch was observed by measuring the change in and voltages as a function of temperature. The 3-D measured profile of the switch shows 270-nm downward deformation from a 130- m-long cantilever beam from 25 C to 85 C temperature scales. It indicates the presence of thermomechanical behavior. A temperature controller (Temptronic Corporation, Mansfield, MA, USA) was attached to the chuck of the probe station. It was used to set a stable operating temperature during the measurement. The chuck temperature was increased from 25 C up to 85 C and then again decreased to room temperature. The

switch voltage changes 7 V in their and over the period, as depicted in Fig. 10(a). Beam in-plane stress turns into compressive stress with high temperature due to different thermal coefficient of expansions between gold and alumina substrate . It deflects the beam downwards and decreases and , respectively. It is also proven analytically for any tilted cantilever structure using (1)–(4). The pull-in voltage of the cantilever switch with the tilted tip is expressed by (1), as given in [31] where

(1)

where is the spring constant, is the electrode area, is the vacuum dielectric permittivity, is the gap height or anchor height, is the dielectric thickness, is dielectric permittivity, is the beam length, and is the tip deflection. The spring constant of a cantilever structure do not have a stress dominating region, whereas cantilever tip deflection is a function of stress or stress gradient and it is represented by (2), (2) (for mathematical simwhere plicity) is the linear stress gradient due to a different deposition condition and is the Poisson ratio. The variation of inertial stress due to temperature or thermally induced stress is defined by (3), as given in [20]

(3) where and are the coefficient of thermal expansion of the cantilever beam and substrate, respectively. As temperature is increased there is a relaxation of existed residual stress in the suspended cantilever structure [27]. Here, combining (1)–(3) yields a fundamental relationship of the switch over temperature for a cantilever beam, (4) This equation reveals that the change in or voltage with temperature is solely due to the different thermal expansion coefficient between the alumina substrate and gold beam. For, GPa (gold), m m K , m m K (for worst case), and C, the calculated variation of

4002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

the inertial stress is 38 MPa, which results in 5-V reduction in the and voltages. The same process was repeated on 20 identical MEMS switches and their average response is also plotted in Fig. 10(a). The primary reason of this deviation ( 2.5 V) between all identical switch results is due to different electroplating thickness (1.9–2.4 m) of the gold cantilever beam that was found from the scanning electron microscope (SEM) and also validated under the optical profilometer. Nonuniform tip deflections (from 0.4 m to 0.54 m) were also observed over 20 identical switches under an optical profilometer. It leads to the and variation with the same bias voltage level (53 V).

Fig. 11. Simulated heat dissipations on the dc contact switch at 2- and 17-GHz frequencies.

RF power level and at higher temperature. The effective actuation voltage or change in switch actuation voltage is calculated using (9) as a function of ,

B. Power-Handling Measurement on the MEMS Switch and voltages Measurement starts with the variation of with the incident power at 2 GHz. A power amplifier was used during this process. Test setup of this measurement was reported in [19]. Fig. 10(b) presents the power-handling capability of the switch at three different temperatures. The results show that switch voltage decreases by 4 V after 1 W, 8 V after 2 W, and 15 V after 3 W of the incident power at 25 C. At incident power of 3–4-W switch lose, its control and enters into the failure zone with 15–18-V change in voltage. The result also shows that the switch can withstand a maximum of up to 2 W of power with V from 25 C to 70 C. During this process, maximum changes from 43 to 27 V, but an abrupt change in was observed. It is mostly due to the dielectric charging with incident power due to an increase in temperature and also due to contact point degradation and contaminations with additional attractive force from the RF power [27]. For clean metal contact, the incident RF power and contact voltage are represented by (5) and (6), respectively, as a function of temperature, where , (5) (6) where is the incident RF power, is the root mean square (rms) value of the RF current, is the contact temperature, is the ambient temperature, and is the Lorenz number V/K . For contaminated contacts, and are expressed by

(7) (8) where is the thermal conductivity of the gold contact [(318 W/(m K)], is gold hardness (1.63 GPa), and is the contact force. From the above analysis, it is evident that is decreased with higher and it simultaneously increases the that also increases the . During this process, beam is reduced periodically with the effect from spring softening at higher incident

(9) where is the RF signal amplitude. For the present case, the incident RF power is limited to 1.8–2 W (at 70 C) with 0.16–0.28 mN of contact force before softening the temperature of gold is reached (370 K). In this work, power-handling measurement of the dc contact switches was performed at 2 GHz. Sensitivity of the S-parameters is affected at higher frequency and even at a higher incident power level. This is mostly due to self biasing and self heating at higher RF power at high frequency. This fact is justified with full-wave simulation in CST Microwave Studio. The maximum simulated heat dissipation at 2 GHz and at 17 GHz are 277 and 289 K, respectively, in the ON-state, at 0.5 W of RF power, as shown in Fig. 11. This variation was observed up to 2 W of RF power and maximum temperature rise was found to be 337 K at 17 GHz (291 K at 2 GHz). The rise in temperature or self heating at higher RF power level changes the S-parameter performances of the dc contact switch. The results show from 0.13- to 0.32-dB variation in insertion loss from 0.1 to 2 W of RF power at 17 GHz, as shown in Fig. 12(a). Results also show a negligible variation of loss (0.03–0.09 dB) over 0.1–2 W of power at 2 GHz. Moreover, the variation of switch loss was also observed at three different boundary temperatures (273, 300, and 325 K) over 0.5–2 W of RF power with a 0.5-W step. Fig. 12(b) shows variation of loss is higher at high frequency and at higher temperatures. The maximum variation of loss of 0.55 dB was obtained from the simulation at 17 GHz (0.15 dB at 2 GHz) with 2 W of RF power and with 325-K temperature. Practically, these variations will be more due to additional contact heating and contaminations, which were difficult to consider in full-wave simulation. At higher incident power level ( 2 W), sensitivity of loss and matching characteristics will be affected more and probability of RF latching increases. Nevertheless, switch OFF-state performance is also affected due to the reduction in switch effective spring contact as well as initial contact gap height at a higher incident RF power level. It changes sensitivity of the switch isolation with higher . The temperature distribution on the beam follows the standard steady-state heat equation [10] and it is also equivalent to the power loss per unit volume. Fig. 13 shows the change in surface current at 2 and 17 GHz, respectively. The current spreads nearly evenly throughout the beam at 2 GHz

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

4003

Fig. 12. Simulated variation of switch insertion loss with: (a) 0.1–2 W of RF power and (b) with 0.5–2 W of power at three different temperatures.

Fig. 15. Measured: (a) SPST and (b) SP4T switch reliability under different incident RF powers at 2 GHz.

Fig. 13. Simulated current distributions on the SP4T switch at 2 and 17 GHz with 0.1 W of incident RF power at 273-K temperature.

Fig. 14. Measured contact resistance versus applied voltage for the switch.

with ideally constant current density, whereas current spreads mostly outside the edges of the beam at 17 GHz with no current on the beam interior due to skin effect. As a result, the effective cross-sectional area of the beam decreases and resistance increases more at 17 GHz compared to at 2 GHz. It leads to more heat dissipation on the beam at 17 GHz. C. Cold Switched Reliability Measurement on the Switches Before starting with the cold switched reliability process, switch versus applied voltage was measured using a four-point probe method. The was varying from 3.9 to 2.84 at 43–55 V of applied bias with a standard deviation of 0.34 , as shown in Fig. 14. The measurement was repeated on 20 identical MEMS switches. Performance was also validated by fitting the measured two-port S-parameters to a transmission-line capacitance–inductance–resistance (CLR) model [see Fig. 2(a)] and was plotted simultaneously from the measured data at different bias voltages (Fig. 14). Switch resistances were measured periodically for several identical MEMS switches during the cycling test. The cold switched reliability was done at a 20-kHz switching rate with 0.5, 1, 1.5, and 2 W at 2 GHz, as shown in Fig. 15(a). Bias was given to the MEMS switch using a graphical user interface (GUI) from a local PC and a driver circuit. The bias tee and all cable losses were normalized out from the measurement. During this process, was measured after every 100 cycles

Fig. 16. (a) Test setup for the reliability measurement of MEMS switch and phase shifter and (b) 5-bit digital TTD phase shifter mounted on a test jig.

to ensure that no stiction occurs during the cycling process. The SPST switch can handle 0.5–1.5 W of RF power with 50 V of bias without failure or stiction. An abrupt change in was observed between 1.5–2 W of RF power after 10 cycles of operations. Again, it is mostly due to contaminants with excessive temperature rise in contact at a high power level in non-hermetic conditions [27]. The similar measurement was repeated on the SP4T switch and the switch was found to handle less RF power since each arm was actuated independently. The SP4T switch can withstand an RF power of 1 W up to 10-M cycles and 1.5 W for 300-k cycles. Fig. 15(b) shows the performance of one arm of the SP4T switch although other arms performed with the same response at 0.5–1 W of RF power. To ensure the optimum switch performance, both of the SPST and SP4T switches were tested at 0.1 to 0.5 W until up to 100-M cycles and the test was stopped with no switch failures. VI. RELIABILITY MEASUREMENT PHASE SHIFTER: PHASE-1

OF THE

To observe the phase shifter reliability over a practical environment, a few more tests were adopted in this work. Reliability measurements were performed with a test setup as shown in Fig. 16(a). A phase shifter is diced as a chip form and mounted onto a carrier. After connecting all relevant bias lines, the phase shifter is encapsulated within a module. The module is made of gold coated brass material, as shown in Fig. 16(b). The total size of the module is 15 16 mm . A driver circuit was used in this work, which was capable enough to produce 40–80 V with a 10-V step from a 5-V supply. A. Step 1: Phase-Shifter Testing on a Chip and Within Module Initially, reliability of the phase shifter was measured on the chip at a 17-GHz frequency and then the same measurement process was repeated on the phase shifter within a module.

4004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 17. (a) Phase-shifter reliability performances on a chip and within a module. (b) Phase-shifter reliability at different temperature at 17 GHz.

Bias was given at the respective bias points and corresponding changes in average loss and phase error were recorded after every 50 cycles with 0.1 W of RF power at room temperature, as shown in Fig. 17(a). Result shows average loss and phase error variation of 3.89–4.83 dB and 1.14 –2.6 , respectively, at 17 GHz. Later, the phase shifter was tested on the package with the same 50-V bias using a driver circuit. The phase shifter takes 15 s times to complete one phase state and 5-s delays were given between two consecutive phase states (one complete measurement taking 12 min). All cable losses were calibrated out from the measurement. Results show 4.13–5.36 dB and 1.26 –3.73 variations on average loss and phase error, respectively; at 17 GHz, up to 10-M cycles [see Fig. 17(a)]. The added bond-wire parasitic and cable loss are reasons for the deviation between the phase shifter in the bare die and in the module form. This variation is limited by the degradation of contact quality from thermal effects due to Joule heating.

Fig. 18. Phase-shifter cold switched reliability performance with 500 mW, 800 mW, and 1 W of RF power.

were recorded at 17 GHz, as shown in Fig. 18. A power amplifier was used during this process, which was capable enough to produce 2.5 W of power until up to 17 GHz. Phase-shifter loss performance degraded from 4.17 to 6.2 dB under 1 W of RF power at the same 25 C over the 10-M cycle period. Although a total of five identical phase shifters were tested under the same power level, a few phase shifters failed after 10 cycles at 1-W power. Results in Fig. 18 show the best case performance of the phase shifter. In most of the cases, failure occurs at the higher bit sections, especially at 101.25 , 168.75 , 281.75 , 292.5 , 337.5 , and 348.75 phase bits. Note that results also show that the phase shifter works satisfactory until up to 0.5–0.8 W of RF power during the cold switched condition. Later, for the sanity check, the phase shifter was tested with 0.1–0.5 W of RF power and it worked 100-M cycles without any self actuations or failure. Maximum power-handling limitation of this kind of phase shifter can be defined by (10)–(12),

B. Step 2: Reliability Under Different Temperatures Phase-shifter reliability was observed under different temperature scales and corresponding change in average loss and phase-error variations were recorded. As similar to the MEMS switch, chuck temperature was varying from 25 C to 70 C and then decreased to room temperature. The result shows average loss and phase error variations at 50 C, 60 C, and 70 C [see Fig. 17(b)] with 0.1 W of RF power. The phase shifter shows average loss variation from 4.13 to 6.56 dB and phase error variation from 1.84 to 4.48 , respectively, after 10-M cycles at 50 C and with 50 V of bias voltage. Phase-shifter performance started to degrade after 10 cycle at 60 C (70 C) with 7.2 dB (8.1 dB) of average loss and 5.8 (5.3 ) of average phase error until up to 10-M cycle. Five phase shifters were tested during this operation, and in most of the cases, maximum loss and phase errors occurs at 101.25 , 191.25 , 168.75 , 236.25 , 281.75 , 292.5 , 337.5 , and 348.75 phase bits over the reliability cycles. Phase-shifter performance degradation at high temperatures is likely due to be the reduction of thermal conductivity of the gold beam (317 W/mK) during a conduction heat transfer process. Conviction and radiation heat transfers are negligible in this case. C. Step 3: Reliability Under Cold Switched Condition A cold switched reliability test was performed on the phase shifter with different RF powers (500 mW, 800 mW, and 1 W) and corresponding changes in average loss and phase errors

(10) (11) (12) where

is the open-state voltage of the dc contact switch, is the closed-state current of the switch, and is the characteristic impedance of the transmission line. In the reported phase shifter, the contact switch can withstand high open-state voltage ( 40 V), and so therefore, the main power-handling limitation comes from the current density in the closed state. For W and , and values are 14.14 V and 0.14 A, respectively. Note that the switch can withstand 14 V of open-state voltage [see Fig. 5(b)], but it fails with 0.14 A of the closed-state current over large cycles where six switches were performing at a time in the phase shifter. Moreover, large ohmic heat dissipation takes place during the contacting period and that also affects the linearity performance of the switch [20]. Latching and self actuations were also observed in some of the cases even at 1 W of RF power after a few million cycles. To improve the reliability of the reported phase shifter, one solution could be the reduction of with higher 50 , but it will increase losses and degrade and matching. Low sensitivity of stress with temperature rise and nonuniform heating on the beam can be

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

improved with a thicker beam (thickness 4 m) or stiffer cantilever beam structure. Efficient heat transfer through anchors and medium value spring constant with higher release voltage are very essential facts to improve the phase-shifter reliability for high-power applications. All these aspects were taken care of in the next phase to improve the overall phase-shifter performances up to a reasonable extent. VII. DESIGN MODIFICATION AND MEASUREMENT THE 5-bit PHASE SHIFTER: PHASE-2

4005

Fig. 19. Microphotograph of individual sections of 5-bit phase shifter.

OF

To improve the performance and reliability of the 5-bit phase shifter, a few design modifications were carried out in “phase-2.” The sealant features of the phase shifter in terms of design modifications are listed as follows. 1) In this work, the dc-contact switch has dimensions of 90 m by 30 m with a dimple dimension of 12 m 12 m 1 m. It results in negligible tip-deflection (140 nm upward) after an O -plasma dry release process. It is mostly due to the miniature switch profile, which is less sensitive to stress gradient [25]. The main purpose of using a miniature switch profile in phase-2 is to improve the yield of the switch and that is also to be reflected on the overall phase-shifter performance. 2) The switch is implemented using an 18- m/40- m/18- m (50 ) CPW line. It makes a more symmetric and compact SP4T switch. The SP4T switch was fabricated from “phase-2” and has a total area of 0.55 mm , which is 24% more compact than “phase-1” SP4T switch. It leads to the reduction in the overall phase-shifter area since area is directly proportional to the cost in large-volume manufacturing processes. 3) A few more design parameters like: a) junction capacitance ; b) spoke length; c) inductive bends; and d) bias line resistivity were modified accordingly during this phase to accelerate the phase-shifter performance. 4) In addition, a parasitic inductive effect of the CPW lines between the central junction and switches is significantly improved here with smaller switch and lower CPW (18/ 40/18) dimensions. It permits switches to be placed very close to one another without any fabrication difficulties. It also leads to the improvement on matching over the entire Ku-band. Note that fabrication process steps of “phase-2” are similar to that of “phase-1.” The actuated switch has a measured pull-in voltage of 62 V and a stable contact appears to be at 70 V. Measured switching time was 13–9 s for 65–80 V with a overall settling time of 16 s due to the switch bounce. Switch release time is 5 s with a final settling time of 7.6 s. The present switch gives 52 kHz of mechanical resonance frequency with a -factor of 8.7. The switch demonstrates measured return loss of better than 31 dB, worst case insertion loss of 0.16 dB, and isolation of 30 dB up to 18 GHz. The measured IIP3 of the switch is 46 dBm. The circuit model of the switch is shown in Fig. 22. The port definition of the SP4T switch is similar as in “phase-1.” To eliminate the unwanted off-path resonance and

Fig. 20. (a) Measured S-parameter response of the SP4T switch. Measured S-parameter response of individual sections of 5-bit phase shifter. (b) 0–18-GHz return-loss performance. (c) Insertion loss within 13–18 GHz. (d) Phase versus frequency response over the band of interest.

to improve the matching, a 4.4 fF of was introduced on the input line on the SP4T switch. Furthermore, spoke length was also reduced to 22 m, which leads to the significant improvement in matching. The line length of the signal line and the other four arms were also optimized to achieve good wideband matching. As a result, the SP4T switch demonstrates worst case insertion loss of 0.23 dB and return losses were better than 27 dB for all ports up to 18 GHz, as shown in Fig. 20(a). An average measured isolation of 30 dB was obtained with all of the OFF-port condition, which was 1.8 dB worse than the isolation with the one-port (P-2) ON condition. Note that, Fig. 20(a) shows the average isolation in all of the OFF-port condition. SEM images of “fine-bit” and “coarse-bit” sections of the 5-bit phase shifter is shown in Fig. 19. The total area of the fine-bit section is 2.6 mm and the coarse-bit section is 5.6 mm . The optical profilometer shows very negligible variation (0.092–0.17 m) in tip deflection on the switch profile of the overall 2-bit phase shifters (both fine and coarse bit sections). As a results, variation in and were much less throughout different states on the phase shifters for the same actuation bias (70 V). Inductive sections and 90 CPW bends were also introduced here to overcome the intra-coupling effect and any unwanted resonance. As in “phase-1,” line lengths of different reference and delay lines of two 2-bit phase shifters were also optimized using full-wave simulation in HFSS, as mentioned in Fig. 22. The measured return loss of better than 24 dB up to 18 GHz and insertion loss of 0.7 dB were obtained with 70-V bias voltage between 13–18 GHz from the “fine-bit” section, as shown in Fig. 20(b) and (c). The “coarse-bit” section gives

4006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 23. Measured: (a) return-loss and (b) insertion-loss performances of the 5-bit phase shifter fabricated from phase-2 up to 18 GHz. Fig. 21. SEM image of the fabricated Ku-band 5-bit phase shifter (Phase-2).

maximum measured group delay of 159 ps with the delay step of 4.06 ps was achieved at 17 GHz. Phase shifter also demonstrates 0.7 dB/bit of FOM at 17 GHz. Detailed phase shift and loss data are tabulated in Table I. VIII. RELIABILITY MEASUREMENT OF SWITCHES: PHASE-2 Similar to “phase-1,” here switch reliability was systematically characterized under different temperatures and RF power levels. Hot and cold switched reliability were also measured and presented in the subsequent sections. A. Temperature Stability of the MEMS Switch

Fig. 22. Schematic of the complete 5-bit phase shifter (PS 2) at 0 state.

return loss better than 25 dB and less than 0.86 dB of insertion loss [see Fig. 20(b) and (c)]. Phase error of less than 0.48 (33.75 ) and 0.33 (45 ) were obtained from fineand coarse-bit sections, respectively, at 17 GHz, as shown in Fig. 20(d). The 1-bit section demonstrates measured return loss of better than 28 dB and worst case insertion loss of 0.98 dB from both of the states [see Fig. 20(b) and (c)] with an excellent phase accuracy (0.26 of phase error) over the band of interest [see Fig. 23(d)]. SEM image of the complete 5-bit phase shifter and its complete schematic are shown in Figs. 21 and 22, respectively. The total size of the phase shifter is 13 mm (including bias pads and bias lines). All three sections were cascaded together and connecting line lengths ( and ) were optimized to be 333 and 298 m, respectively. Return loss was better than 22 dB up to 18 GHz and average insertion loss was less than 2.65 dB from all states over 13–18 GHz with 70 V, as shown in Fig. 23. The maximum average phase error was 0.68 at 17 GHz, which shows 40% improvement compared to “phase-1.” Furthermore, the

The temperature stability was observed on the SPST switch and as a function of temby measuring the change in perature, as depicted in Fig. 24(a). The measured 3-D profile shows negligible difference (114 nm) from 25 C to 85 C on the switch profile, which indicates a robust thermomechanical performance. The switch shows a variation of 6.7 V in their and voltages until up to 85 C. It is due to the temperature-induced plastic deformation when temperature is higher than the critical temperature. It mostly happens in the cooling phase where stress becomes much more tensile in nature. Temperature induced elastic deformation was also observed in some particular cases where the beam deforms elastically even at lower temperature. This may be likely due to higher adhesion in gold–gold contact [10]. B. Power-Handling Measurement on the MEMS Switch Power-handling capability of the switch is significantly improved in phase-2 at different operating temperatures, as shown in Fig. 24(b). A similar measurement process was adopted as in phase-1. Results show that the present switch can handle 0.1–3 W of RF power at four different temperatures with maximum 15.8-V reductions in voltage. In all the cases, V, which is quite good enough for long-time operation. Switch performance started to degrade between 3–4 W after 50 C with a 23.6-V reduction in voltage. Again, it is mostly due to the contact point degradation and contaminations at high RF power and with an elevated temperature [27]. C. Switch Reliability Under Cold Switched Condition was measured periodically using four point probes Switch to ensure the switch performance at different RF powers. During this process, both SPST and SP4T switches underwent up to 10-M cycles and corresponding changes in were recorded at four different RF powers (0.5, 1, 2, 3, and 4 W) at 2 GHz.

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

4007

TABLE I PHASE SHIFT AND LOSS DATA OF PHASE-2 AT 17 GHz

Fig. 24. (a) Measured and voltages of SPST switch versus temperatures and (b) switch power-handling response at different temperatures.

Fig. 26. Reliability of the: (a) SPST and (b) SP4T switches for 0.1–1 W of RF power at 50 C and 70 C with 70-V actuation voltage.

Fig. 25. Cold switched reliability of SPST and SP4T switches at 25 C.

All measurements were carried out at room temperature (25 C) with 70 V, as shown in Fig. 25. As similar to phase-1, was recorded after every 100 cycles to ensure the stiction-free condition. Result shows that the SPST switch can handle 10-M cycles until up to 3 W with no contact failure or stiction. However, reliability started degrading over 3–4 W of RF power with an abrupt change in after 10 cycles and failed after 1-M cycles. Finally, the SPST switch can handle 2.5–3 W of RF power until up to 10-M cycles at 25 C. The SP4T switch was also characterized during this process. Each arm of the switch was actuated independently with 0.8–1.1 mN of contact force. During this cold switched reliability process, the reported SP4T switch can withstand up to

1.5–2 W of incident power until up to 10-M cycles and 3 W for 10 cycles without failure of any of the contacts [see Fig. 25]. Again, to ensure the phase-shifter performance, five identical SP4T switches were tested up to 100-M cycles with 0.5–1 W of power and the test was stopped without failure. D. Switch Reliability Under Hot Switched Condition A hot switched reliability was examined on the SPST and SP4T switches. Fig. 26(a) and (b) presents the reliability results of the SPST and SP4T switches with 0.1–1 W at 50 C and 70 C, respectively. The SPST switch shows that for an incident power of 0.1–0.5 W, the reliability is 50-M cycles at 50 C and 20-M cycles at 70 C. At 1 W, reliability was measured 1-M cycles at both temperatures [see Fig. 26(a)], whereas SP4T switch reliability is 1-M cycles with 0.5 W of power at both of the temperatures, but it quickly failed ( 10 cycles) with 1-W power at 70 C [see Fig. 26(b)]. Microwelding

4008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE II STATE-OF-THE-ART RELIABILITY COMPARISON OF THE OHMIC CONTACT MEMS SPST SWITCHES OVER THE LAST FOUR YEARS

TABLE III STATE-OF-THE-ART COMPARISON OF MEMS SP4T SWITCHES OVER THE LAST FOUR YEARS

Fig. 27. (a) Reliability performances of individual sections of the 5-bit phase shifter. (b) Performance comparison of the complete 5-bit phase shifter on a chip and on a package at 17 GHz with 0.1 W of RF power.

Fig. 28. Simulated performance variation between chip and module: (a) return and insertion loss and (b) phase at reference state of the phase shifter.

typically limited the switching lifetime under hot switching conditions and especially for the gold–gold contact [10]. Table II presents a state-of-the-art comparison of the SPST switch reliability. Table III gives a state-of-the-art performance comparison of the SP4T switch. IX. RELIABILITY MEASUREMENTS OF THE PHASE SHIFTER: PHASE-2 Phase-shifter reliability was observed on a chip with 0.1 W of power at 25 C. Initially, reliability performances were observed on individual sections (fine bit, coarse bit, and higher bit), prior to starting with the complete 5 bit. All sections were measured up to 100-M cycles to ensure the optimum phase-shifter performance. The reliability performance of this 5-bit phase shifter is entirely driven by the upper limit of higher bit section reliability, as also was observed in phase-1. No failure was observed until up to 100-M cycles of operations in all fundamental blocks of the 5-bit phase shifter. Results show maximum average loss and phase error of 1.64 dB and 1.1 , respectively, at 17 GHz, as shown in Fig. 27(a). Finally phase-shifter reliability was measured on a chip and within a module (module area is 12 10 mm ) and is shown in Fig. 27(b). The results show 20% degradation in average loss and phase errors compared to the bare die results with 0.1 W of RF power at room temperature and at 17-GHz frequency. These changes are mostly due to the added bond wire parasitic and , transitions. Note that all cable losses were calibrated out before the measurements in the module form. In addition to this, variation in loss and phase shift from bare die to module was also validated using a full-wave simulation at the reference state and results show 15%–20% tolerances due to added parasitic, as shown in Fig. 28.

Fig. 29. Reliability of the phase shifter for 0.5–2 W of RF power and (b) reliability of the phase shifter under hot switched condition for 0.5–1 W of RF power and at two different temperatures (50 C and 70 C).

A. Reliability Under Cold Switched Condition Fig. 29(a) shows the cold switched reliability at four power levels (0.1, 0.5, 1, and 2 W) at 25 C. The result shows phase shifter works satisfactorily up to 30-M cycles with a maximum average loss and phase error of 5.34 dB and 2.8 , respectively, with 0.5–1 W of power levels. Furthermore, failure in the phase shifter was observed after 30- and 5-M cycles at 1 and 2 W of power levels, respectively. Most of the cases failure occurs in a higher bit section. At 1–2 W, the total rms current in the switch was 0.14–0.2 A and each contact was handling 35–50 mA . Thus, reliability of the phase shifter at a high power level was limited by the current density in the closed state and due to the effect of electromigration like Joule heating [10]. B. Reliability Under Hot Switched Condition Phase-shifter reliability was checked under a hot switched condition at two different temperatures (50 C and 70 C) with 0.5 and 1 W of RF powers. The phase shifter performs satisfactorily ( 10-M cycles) at 0.5-W power until up to 70 C. Fig. 29(b) shows that for an incident RF power of 0.5 W, reliability is 5-M cycles at both temperatures. At 1 W, reliability is 5 M at 50 C and 1 M at 70 C. Maximum average loss and phase-error variation during this process are 5.8 dB and

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

Fig. 30. Measured change in loss and phase error at: (a) 25 C and (b) 50 C; over 6 h of prolonged actuation (ON-state) with 0.1 W of power at 17 GHz.

3.78 , respectively. Note that all power-handling and reliability measurements of the reported phase shifter were carried out under the CW mode of operation. Phase-shifter performance may change under the pulse mode conditions because of different physical failure phenomena. A quick simulation shows that the phase shifter can sustain up to 380 C and average 20%–28% improvement in loss with a 4- m thicker beam and rhodium as a contact material. C. Phase-Shifter Testing Under Prolonged Actuation To observe the phase-shifter performance under a prolonged actuation condition, one more on-wafer testing was performed for completeness. The phase shifter was measured under an ON-state condition at 25 C and 50 C temperatures with 0.1 W of RF power and with 65–70-V bias. The process was continued up to 6 h and corresponding changes in loss and phase error were recorded after every 10 min. Although Fig. 30 shows the measured responses at three different phase states, all but 31 states were observed with respect to the “ref”-state at 17 GHz. During this stress relaxation process, the phase bits show 1.36 dB (3.55–4.91 dB) of loss variation from the initial value and maximum 1.24 (0.87 –2.11 ) change in phase error at 17 GHz and at 25 C. This variation was more at 50 C with an extra 1.88 dB (3.57–5.44 dB) of loss and 1.8 (0.87 –2.68 ) of phase error. These variations can be justified by (13), (13) where is the specific heat of the material (0.129 J/g C at 25 C for gold), is the mass, and is the change in temperature. Equation (13) expresses that the maximum power loss as heat in a given time ( h is this case) is proportional to the heat dissipation from the device-under-test (DUT) ( and are constant here) over a prolonged ON-state condition. It leads to an increase in temperature by and affects the sensitivity of the S-parameter. This measurement is entirely limited by the time where the beam curvature decreases with time. Moreover, sensitivity of the S-parameter can change further with an increase in power and with more time of operation . This effect can be improved further with an appropriate choice of beam material like aluminum alloy and well-suited contact material like rhodium or gold–palladium alloys. D. Three-Axis Vibration Measurement The three-axis vibration testing was performed in phase-2 for the qualification testing. The phase shifter was encapsulated

4009

Fig. 31. PSD versus frequency during shaker table testing under 0.04 g Hz PSD in the -axis.

within a module to measure its performance with externally applied vibration and shock. An Unholtz-Dickie-made electrodynamic shaker table was used during testing. Vibration modes from the shaker table were controlled by a power amplifier and a dc power source. The phase shifter was accelerated over 0.04 g Hz of power spectral density (PSD) and responses were recorded from 20 Hz to 2 kHz of the frequency range in all three axes. The measured response was taken with 20–80 Hz under 3 dB/octave, 80–350 Hz under PSD, and 350 Hz to 2 kHz under 3 dB/octave. Each axis measurement was carried out for 5-min durations. The phase shifter was sustained within a safety limit of 6.47 at 0.04 g Hz PSD. The PSD value is higher in the -axis compared to the other axis and is shown in Fig. 31. Gee-level rms value can be found from Bandwidth [30] and the value is 48.79 g. Phase-shifter performance was observed after a vibration test and it worked satisfactory with no abnormal change in the behavior. Finally, Tables IV and V show performance comparison of the proposed 5-bit phase shifter with the present state-of-the-art CMOS and MEMS phase shifters. Reliability comparison between the two reported phase shifters is tabulated in Table VI. E. Failure Analysis The reliability operation of this reported phase shifter brings a few interesting facts. Here, one switch cycle is defined by only one actuation state (ON and OFF), but in the case of the phase shifter, one cycle counts 32 states of operation where fine and coarse bit switches were individually actuated 8 times per cycle, whereas the higher bit section actuated 16 times per cycle. Thus, the probability of failure is always higher at the higher bit section compared to other sections (fine and coarse bits) over the continuous reliability cycles. Thus, in this proposed 5-bit topology, a nonuniform switch actuation was found on the device throughout the reliability operation. Fig. 32 clearly shows switches and (total of four switches) are actuated 16 times compared to other switches on the phase shifter over one complete cycle. This nonuniform switch actuation is the primary reason for the device failure after tens of millions of cycles of operation and it will definitely not be the common case for an even-bit phase shifter such as 4 bit (with two SP4T) or 6 bit (with two single-pole eight-throw (SP8T) switches). The FOM for this kind of RF MEMS devices is defined by mean time to failure (MTTF) or mean time to the first failure. Here, in this reported 5-bit phase shifter, the lower limit of the reliability is defined by MTTF. The reason of MTTF of the proposed phase shifter is not one, there are multiple reasons of fail-

4010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE IV COMPARISON OF STATE-OF-THE-ART PHASE SHIFTERS OVER THE LAST SEVEN YEARS

IL

Insertion Loss, RL

Return Loss TABLE V COMPARISON OF STATE-OF-THE-ART MEMS PHASE SHIFTERS OVER THE LAST SEVEN YEARS

TABLE VI RELIABILITY COMPARISON BETWEEN TWO REPORTED PHASE SHIFTERS AT 17 GHz AFTER 10 CYCLES

where is the cross-section area-dependent constant, is the conduction current density, is the Bolzmann constant, is the effective activation energy, and is the scaling factor (usually is set to 2). The source of the MTTF in the proposed 5-bit phase shifter is due to the affect of current crowding, which gives rise to the contact heating with larger at the operating frequency. Note that mean time to first failure of the device is limited by a factor of over the operation. F. Design Guidelines for a Reliable MEMS 5-bit Phase Shifter With an Alternative Topology

Fig. 32. Schematics of individual switch actuations from the 5-bit phase shifter over one complete cycle.

ures that were encountered during the reliability test, and primarily it is due to the effect from electromigration for contact type switches and it is defined by (14), as given in [10],

(14)

1) Reliability of the proposed 5-bit phase shifter is primarily limited by the number of switch counts per phase state. Moreover, nonuniform actuation of switch per cycle also leads to an early failure. To improve the 5-bit phase-shifter reliability further, a new topology is proposed and shown in Fig. 33. This topology contains two SP8T and two SP4T switches and connecting lines. This design requires only four switches to be actuated at a time to activate one phase state and it leads to a uniform actuation over the cycle. 2) The loss and matching of the phase shifter are entirely limited by the SP8T and SP4T switching networks. The

DEY AND KOUL: RELIABILITY ANALYSIS OF KU-BAND 5-bit PHASE SHIFTERS

Fig. 33. Schematics of a 5-bit MEMS phase shifter using two SP8T and two SP4T switches.

circular type configuration is very much useful for this kind with a 40 and 72 angle between two in-line series switches for the SP8T and SP4T switching network, respectively. 3) This configuration also permits switches to be placed closed together with more compactness without any fabrication difficulties. It leads to the reduction of an overall area of the device up to few micrometers or millimeters square. 4) Matching and loss of the overall phase shifter can be improved by reducing the parasitic inductive effect between the central junction and switches. 5) A few more design parameters like junction capacitance, spoke length, and inductive bends are to be placed at each CPW discontinuity to eliminate the higher order modes. 6) High resistive bias lines should be critically optimized and routed accordingly without affecting the overall device performance with signal leakage and added parasitic. 7) The connecting line length between fine and coarse bit sections needs to be optimized using full-wave simulation to nullify the effect of any off-path resonance over the band. 8) An inline MEMS switch is one of the most favorable options for better matching. In addition, a thicker cantilever beam can significantly improve the reliability of the overall device. 9) Power handling and temperature stability of the reported phase shifter can be drastically improved by proper selection of the contact material with a higher softening temperature. 10) Hermetic packaging of the device can significantly improve the reliability with lower contact contaminants. Note that this kind of topology is very much useful at lower microwave frequency ( 20 GHz). To use the proposed topology at a higher frequency, one needs to critically design the Sp T switching network to improve the performance. X. CONCLUSION In this work, design, development, and characterization of 5-bit MEMS phase shifters are presented using four SP4T and two SPDT switches. Two different stages of design, fabrication, and characterizations have been performed to improve the performance of the overall phase shifter. Finally, the fabricated 5-bit phase shifter demonstrates average return loss of better than 22 dB, average insertion loss of 2.65 dB, and phase error

4011

of 0.68 (at 17 GHz) over the band of interest. Reliability of the SPST and SP4T switches have been extensively investigated and presented with cold and hot switched conditions. Switches performed more than 10-M cycles with 0.1–1 W of RF power with cold and hot switched conditions. Furthermore, phase-shifter reliability measurements have been performed on the chip and within a low-cost module under different temperatures and power variations. Phase shifters have been demonstrated with more than 10-M cycles with 0.1–1 W of RF power. Finally, to the best of our knowledge, the proposed device demonstrates low loss, good matching, excellent phase accuracy, and good reliability performance over the entire Ku-band reported to date. Moreover, the area of the phase shifter is fairly comparable with the present state-of-the-art MEMS phase shifters. To the best of the authors’ knowledge, this is the first reported MEMS 5-bit phase shifter in the literature, which has undergone different reliability and vibration testing. In the future, the authors intend to do experimental justifications of the reported phase shifter within a thin-film packaging environment. ACKNOWLEDGMENT The authors are thankful to U. L. Rohde and A. K. Poddar for providing valuable input and suggestions throughout the development of this research. The authors are jointly working with U. L. Rodhe and A. K. Poddar on filing U.S. patent applications based on the outcome of this research. The authors are also thankful to the Synergy Microwave Corporation, Paterson, NJ, USA, for supporting the collaborative research project on the development of RF MEMS components and providing the measurement facility for carrying out joint research and development work. REFERENCES [1] B. R. Norvell, R. J. Hancock, J. K. Smith, M. L. Pugh, S. W. Theis, and J. Kviatkofsky, “Micro electro mechanical switch (MEMS) technology applied to electronically scanned arrays for spaced based radar,” in Proc. Aerosp. Conf., 1999, pp. 239–247. [2] S. K. Koul and B. Bhat, Microwave and Millimeter Wave Phase Shifter. Norwood, MA, USA: Artech House, 1991, vol. II. [3] D. Parker and D. Zimmermann, “Phased arrays—Part I: Theory and architectures,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 3, pp. 678–687, Mar. 2002. [4] D. W. Kang, H. D. Lee, C. H. Kim, and S. Hong, “Ku-band MMIC phase shifter using a parallel resonator with 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 294–301, Jan. 2006. [5] K. Kwang-Jin and G. M. Rebeiz, “0.13- m CMOS phase shifters for X-, Ku-, and K-band phased arrays,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2535–2546, Nov. 2007. [6] B. Min and G. M. Rebeiz, “Single-ended and differential-band BiCMOS phased array front-ends,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2239–2250, Oct. 2008. [7] K.-J. Koh and G. M. Rebeiz, “A 6–18 GHz 5-bit active phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, USA, May 2010, pp. 792–795. [8] J. Y. Choi, M.-K. Cho, D. Baek, and J.-G. Kim, “A 5–20 GHz 5-bit true time delay circuit in 0.18 m CMOS technology,” J. Semicond. Technol. Sci., vol. 13, no. 3, pp. 193–197, Jun. 2013. [9] S. P. Sah, X. Yu, and D. Heo, “Design and analysis of a wideband 15–35 GHz quadrature phase-shifter with inductive loading,” IEEE Trans. Microw. Theory Techn, vol. 68, no. 8, pp. 3024–3033, Aug. 2013. [10] S. Lucyszyn, Advanced RF MEMS. Cambridge, MA, USA: Cambridge Univ. Press, Aug. 2010. [11] A. Q. Liu, RF MEMS Switches and Integrated Switching Circuits. New York, NY, USA: Springer, 2010.

4012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[12] G.-L. Tan, R. Mihailovich, J. Hacker, J. DeNatale, and G. M. Rebeiz, “Low-loss 2- and 4-bit TTD MEMS phase shifters based on SP4T switches,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 1, pp. 297–304, Jan. 2003. [13] Z. Jian, Y.-Y. Weil, C. Chen, Z. Yong, and L. Le, “A compact 5-bit switched-line digital MEMS phase shifter,” in IEEE Int. Nano/Micro Eng. Molecular Syst. Conf., Jan. 2006, pp. 623–626. [14] C. D. Nordquist, C. W. Dyck, G. M. Kraus, C. T. Sullivan, F. Austin, P. S. Finnegan, and M. H. Ballance, “Ku-band six-bit RF MEMS time delay network,” in IEEE Compound Semicond. Integr. Circuits Symp., Oct. 2008, pp. 1–4. [15] M. A. Morton and J. Papapolymerou, “A packaged MEMS-based 5-bit X-band high-pass/low-pass phase shifter,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 9, pp. 2025–2031, Sep. 2008. [16] B. Pillans, L. Coryell, A. Malczewski, C. Moody, F. Morris, and A. Brown, “Advances in RF MEMS phase shifters from 15 GHz to 35 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [17] M. Unlu, S. Demir, and T. Akin, “A 15–40-GHz frequency reconfigurable RF MEMS phase shifter,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2397–2402, Aug. 2013. [18] S. Dey and S. K. Koul, “Design and development of a CPW-based 5-bit switched-line phase shifter using inline metal contact MEMS series switches for 17.25 GHz transmit/receive module application,” J. Micromech. Microeng., vol. 24, no. 1, Nov. 2013, 24 pp. [19] S. Dey and S. K. Koul, “Design, development and characterization of an X-band 5 bit DMTL phase shifter using an inline MEMS bridge and MAM capacitors,” J. Micromech. Microeng., vol. 24, no. 1, Jun. 2014, 15 pp. [20] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. Hoboken, NJ, USA: Wiley, 2003. [21] R. N. Simons, Coplanar Waveguide Circuits, Components, and Systems. New York, NY, USA: Wiley, 2001. [22] S. K. Koul and S. Dey, “RF MEMS single-pole-multi-throw switching circuit,” in Micro and Smart Devices and System. New Delhi, India: Springer, 2014. [23] S. K. Koul and S. Dey, “RF MEMS true-time-delay phase shifter,” in Micro and Smart Devices and System. New Delhi, India: Springer, 2014. [24] S. Gong, H. Shen, and N. S. Barker, “A 60-GHz 2-bit switched-line phase shifter using SP4T RF-MEMS switches,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 894–900, Apr. 2011. [25] R. Stefanini, M. Chatras, P. Blondy, and G. M. Rebeiz, “Miniature MEMS switches for RF applications,” J. Microelectromech. Syst., vol. 20, no. 6, pp. 1324–1335, Dec. 2013. [26] H. Zareie and G. M. Rebeiz, “Compact high-power SPST and SP4T RF MEMS metal-contact switches,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2397–2402, Aug. 2014. [27] C. D. Patel and G. M. Rebeiz, “A high-reliability high-linearity highpower RF MEMS metal-contact switch for DC–40-GHz applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3096–3112, Oct. 2012. [28] A. Q. Liu, W. Palei, M. Tang, and A. Alphones, “Single-pole-fourthrow switch using high-aspect-ratio lateral switches,” Electron. Lett., vol. 40, no. 18, pp. 1281–1282, Sep. 2008. [29] Z. Peng et al., “Impact of humidity on dielectric charging in RF MEMS capacitive switches,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 299–301, May 2009. [30] A. L. Hartzell, M. G. da Silva, and H. R. Shea, MEMS Reliability. New York, NY, USA: Springer, 2011. [31] V. Mulloni, G. Resta, and B. Margesin, “Clear evidence of mechanical deformation in RF-MEMS switches during prolonged actuation,” J. Micromech. Microeng., vol. 24, no. 7, p. 9, May 2014.

Sukomal Dey (S’10) received the B.Tech degree in electronics and communication engineering from the West Bengal University of Technology, Kolkata, India, in 2006, the M.Tech degree (under the joint program in mechatronics engineering) from the Indian Institute of Engineering Science and Technology (IIEST), Shibpur, India, and the Central Electronics Engineering Research Institute (CEERI), Pilani, India, in 2009, and is currently working toward the Ph.D. degree at the Indian Institute of Technology Delhi, New Delhi, India. He is currently with the Centre for Applied Research in Electronics (CARE), Indian Institute of Technology Delhi. His research interests are RF microelectromechanical systems (RF-MEMS) devices and related tunable circuits for microwave and millimeter-wave applications. Shiban K. Koul (S’81–M’83–SM’91–F’10) received the B.E. degree in electrical engineering from the Regional Engineering College, Srinagar, India, in 1977, and the M.Tech and Ph.D. degrees in microwave engineering from the Indian Institute of Technology Delhi, New Delhi, India, in 1979 and 1983, respectively. He is the Dr. R. P. Shenoy Astra Microwave Chair Professor with the Centre for Applied Research in Electronics (CARE), Indian Institute of Technology Delhi, where he is involved in teaching and research activities. He is currently the Deputy Director (Strategy and Planning) of the Indian Institute of Technology Delhi. He is also the Chairman of M/S Astra Microwave Pvt. Ltd., a major private company involved in the development of RF and microwave systems in India. He has authored or coauthored 280 research papers, 7 books, and 3 book chapters. He has successfully completed 34 major sponsored projects, 52 consultancy projects, and 47 technology development projects. He holds 7 patents and 6 copyrights. His research interests include RF microelectromechanical systems (MEMS), high-frequency wireless communication, microwave engineering, microwave passive and active circuits, device modeling, millimeter-wave integrated circuit (IC) design, and reconfigurable microwave circuits including antennas. Dr. Koul is a Fellow of the Indian National Academy of Engineering (INAE) India and the Institution of Electronics and Telecommunication Engineers (IETE) India. He currently serves as an Administrative Committee (AdCom) member and a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S)’s Microwave and Millimetre Wave Integrated Circuits (MTT-6) Technical Committee and RF MEMS (MTT-21) Technical Committee. He is a member of the India Initiative Team of IEEE MTT-S, the Membership Services regional co-coordinator Region-10, vice chair of the Sight Adhoc Committee IEEE MTT-S, and the IEEE MTT-S speaker bureau lecturer. He served as a Distinguished Microwave Lecturer of the IEEE MTT-S (2012–2014). He was a recipient of the Gold Medal of the Institution of Electrical and Electronics Engineers Calcutta (1977), the S. K. Mitra Research Award (1986) of the IETE for the best research paper, the Indian National Science Academy (INSA) Young Scientist Award (1986), the International Union of Radio Science (URSI) Young Scientist Award (1987), the top Invention Award (1991) of the National Research Development Council for his contributions to the indigenous development of ferrite phase shifter technology, the VASVIK Award (1994) for the development of Ka-band components and phase shifters, the Ram Lal Wadhwa Gold Medal (1995) of the Institution of Electronics and Communication Engineers (IETE), the Academic Excellence Award (1998) of the Indian Government for his pioneering contributions to phase control modules for Rajendra Radar, the Shri Om Prakash Bhasin Award (2009) in the field of electronics and information technology, the Teaching Excellence Award (2012) of the Indian Institute of Technology Delhi, the award for contributions made to the growth of smart material technology (2012) of the ISSS, Bangalore, India, the Vasvik Award (2012) for contributions made to the area of information, communication technology (ICT), the M. N. Saha Memorial Award (2013) of the IETE for the best application-oriented research paper, and the IEEE MTT-S Distinguished Educator Award (2014).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4013

Wideband Balanced Network with High Isolation Using Double-Sided Parallel-Strip Line Wenjie Feng, Member, IEEE, Chaoying Zhao, Wenquan Che, Senior Member, IEEE, and Quan Xue, Fellow, IEEE

Abstract—A new wideband balanced power dividing/combining network with high isolation is proposed in this paper. The differential/common mode equivalent circuits of the balanced network can be easily reduced based on the matrix transformation. Two doublesided parallel-strip line (DSPSL) 180 phase inverters loaded with four isolation resistors are used to realize high isolation for the power division output ports. A planar wideband balanced network with bandwidth 52.7% of (1.68–2.84 GHz, dB) for the differential mode and high isolation for the differential/common mode is designed and fabricated. The measured results show good agreement with the theoretical expectations. Index Terms—Wideband, balanced network, double-sided parallel-strip line (DSPSL), differential/common mode.

I. INTRODUCTION

RF

and microwave circuit and system is becoming a more complicated, more function space, balanced circuits with wideband common-mode rejection capability, and high immunity to the environmental noise are imperatively needed to suppress the electromagnetic mutual interference among the interconnection, and nodes of different dielectric layer circuits in communication system [1]. In the past few years, different balanced filters, balanced driven antennas, balanced amplifiers with high performance are illustrated in [2], [3], [4], [5], [6], [7], [8], [9], [10], [11], [12], [13], [14], [15], [16]. In addition, former in-phase and out-of-phase power dividing/combining networks were mainly focused on single-ended components [17]–[20]. Balanced power dividing/combining networks without single-ended networks are also highly required in balanced networks [1]. In [21], [22], two balanced networks with high isolation are introduced, however, the bandwidths of the differential mode power division is less than 30% ( dB). In our former works,

Manuscript received June 19, 2015; revised October 12, 2015; accepted October 23, 2015. Date of publication November 12, 2015; date of current version December 02, 2015. This work was supported by the 2012 Distinguished Young Scientist awarded by the National Natural Science Foundation Committee of China(61225001), Natural Science Foundation of China(61401206, 61571231) and Jiangsu Province(BK20140791), and the 2014 Zijin Intelligent Program of Nanjing University of Science and Technology. Wenjie Feng, Chaoying Zhao, Wenquan Che are with the Department of Communication Engineering, Nanjing University of Science and Technology, 210094 Nanjing, China (e-mail: [email protected], [email protected], [email protected]). Quan Xue is with the State Key Laboratory of Millimeter Waves, Department of Electronic Engineering, and CityU Shenzhen Research Institute, City University of Hong Kong, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495357

two new wideband in/out-of-phase balanced networks with wideband common mode suppression are proposed in [23]. The main advantages of the two balanced networks are the wideband for the differential mode power division (bandwidth over 50%, dB), and wideband common mode suppression (bandwidth over 100%, dB). However, due to the fact that there are no isolation resistors for the two networks, the isolation of the differential/common mode power division cannot be deduced from the equations of the standard matrix [21], so the isolation results are not as good as the ideal mixed-mode -parameters. The double-sided parallel-strip line (DSPSL), as one kind of balanced transmission lines, is quite useful and convenient for the balanced microwave components designs. DSPSL has important advantages of easy realization of low and high characteristic impedance, simple circuit structures of wideband transitions [24], [25]. Using these advantages, some novel doublesided parallel-strip line (DSPSL) passive/active circuits are illustrated in [26], [27], [28]. In this paper, a new wideband balanced power dividing/combining network with high isolation and wideband power division for the differential mode is proposed, and the circuit is shown as Fig. 1. When the differential mode is excited in the balanced input Port 1 (Port ), an equal in-phase power division can be realized in the balanced output Ports 2, 3 (Ports 2 , 3 ); and when the common mode is excited in the balanced input Port 1 (Port 1 ), a bandstop transmission characteristic can be realized due to the two transmission lines for the balanced network. The circuit and structure of the balanced network is simulated with Ansoft Designer v3.0 and Ansoft HFSS v.11.0, and constructed on the dielectric substrate Rogers5880 with mm, and . II. SYNTHESIS DESIGN OF THE BALANCED POWER DIVIDING/COMBINING NETWORKS A. Mixed-Mode Scattering Matrix of Six-Port Balanced Network As discussed in [21], [22], [23], the mixed-mode scattering matrix of a six-port balanced power dividing/combining network can be defined as

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

(1)

4014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 1. Ideal transmission line circuit of the wideband balanced power dividing/ combining network.

and . For the wideband balanced power dividing/combining network, . As an ideal wideband balanced power dividing/combining network, the mixed-mode -parameters can be illustrated as

(2) of the sixTo meet the mixed-mode -parameters port balanced network, the following equations for circuit of Fig. 1 must be needed as

(3) (4) So, for the wideband balanced power dividing/combining network of Fig. 1, when the differential mode is excited from the Port 1 (Port 1 ), Ports 2, 3 (Ports 2 , 3 ) can be seen as an equal in-phase power divider; when the common mode is excited from the Port 1 (Port 1 ), stopband structures must be realized to Ports 2, 3 (Ports 2 , 3 ) for common mode suppression. Next, the analysis and design of the wideband balanced power dividing/combining network will be given. B. Wideband Balanced Power Dividing/Combining Network The equivalent circuit of the wideband balanced network is shown as Fig. 1, two improved wideband power dividers [27] with two 180 phase inverters are connected between Ports 1, 1 , and two transmission lines with characteristic impedance of and electrical length of ( at is the center

Fig. 2. (a) Differential mode circuit of the balanced network and (b) common mode circuit of the balanced network.

frequency of the network) are located in the center of Ports 1, 1 and Ports 2, 2 (Ports 3, 3 ), The characteristic impedances of the transmission lines at the input/output ports are . When the differential mode and common mode signals are excited from Ports 1, 1 in Fig. 1, a virtual short/open appears along the symmetric line of - , as shown in Figs. 2(a)-(b). For the differential mode circuit of Fig. 2(a), the two shorted stubs are all wide passband structures [23], and they can be seen as an ideal open circuit in the center frequency of the network. The input characteristic impedance for Ports 1, 2, and 3 can be given as [27]. For the equal power dividing condition, the design formulas can be summarized as

(5) Based on the relationships of (5), the bandwidth of the differential mode power division is mainly determined by the characteristic impedance of the shorted stubs , the simulated results of Fig. 2(a) versus different are shown in Figs. 3(a)-(c), by properly choose the characteristic impedance of the two shorted stubs , the bandwidth of differential mode power division is almost the same as the power divider as [27], and the bandwidth of the differential mode increases as increases (48.6% to 72.7% ( dB). Due to the factor of the passband for the shorted stub (parallel resonance circuit) is proportional to the susceptance slope parameter , when the factor increases ( decreases), the bandwidth for the passband will become narrower [23]. Moreover, when the signals flowing from Ports 2 to 3, due to the introduced 180 phase inverters, the two transmission paths have same magnitude, but 180 out-of-phase, and the 180 out-of-phase is frequency-independent, so wideband high isolation for Ports 2, 3 can be easily realized with less than dB (0–3.5 GHz) [27]. For the common mode circuit of Fig. 2(b), the two open stubs are bandstop structures [23], and it is very easy to realize common mode suppression of the differential mode passband. The simulated results of Fig. 2(b) versus different are shown in Figs. 4(a)-(b). Due to the two quarter-wavelength open stubs, the transmission poles located at produced by

FENG et al.: WIDEBAND BALANCED NETWORK WITH HIGH ISOLATION USING DOUBLE-SIDED PARALLEL-STRIP LINE

4015

Fig. 4. Simulated frequency responses of the common mode for the balanced versus , (b) network. (a) versus .

Based on the above theoretical analysis, the prototype of the proposed wideband balanced network structure with size of 86 mm 60 mm is shown in Figs. 5(a)-(b). The sections of the 180 phase inverters and double-sided parallel-strip lines are simulated using Ansoft HFSS v.11.0. For the 180 phase inverters, the upper and lower strip lines are connected by two vertical metical via holes. Due to the current signals interchange and reversed between the two the upper and bottom balance transmission lines, it provides 180 phase shift with frequency-independent. The final parameters for the circuit of Fig. 1 and structure Fig. 5 are Fig. 3. Simulated frequency responses of the differential mode for the balanced network. (a) N-without , Y- with (b) versus , (c) versus .

the quarter-wavelength open stubs can be realized to improve the common mode suppression, and high isolation can be also realized for Ports 2, 3 when the common mode is excited from Port 1 ( dB, 0–3 GHz).

mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and the impedance for each transmission path is calculated from the formula in [29]. The simulated results of structures of the wideband balanced network are shown in Fig. 6, the of the

4016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. Geometry of wideband balanced network (a) Top view and (b) 3-D view.

differential mode is greater than dB from 1.52 to 2.76 GHz (bandwidth 56.3%, GHz) with less than dB (1.68–2.46 GHz), the isolation is less than dB from 0 to 2.8 GHz; the of the common mode is less than dB from 1.78 to 2.6 GHz , and the is less than dB from 0 to 3.2 GHz; in addition, the and between the differential mode and common mode conversion are less than dB and dB from 0 to 7.5 GHz , respectively. III. EXPERIMENT AND RESULTS DISSCUSION The photograph of the proposed wideband balanced network is shown in Fig. 7. For the wideband DSPSL balanced network, the differential/common mode are excited from Ports 1, 1 , the upper metal layer can be seen the signals input ports, and bottom layer can be seen as the ground of the DSPSLs, and it will not affect the balanced signals transmit [28]. In addition, some transitions can be used to realize the DSPSLs to microstrip line, and the SMA connectors can be connected to microstrip line for measurement [27]. For comparison, the measured -parameters of the wideband balanced network are also illustrated in Fig. 6. Good agreements can be observed between the simulation and the experiments. As shown in Figs. 6(a)-(f), for the differential mode, the is greater than dB from 1.68 to 2.84 GHz (bandwidth 52.7%) with less than dB

Fig. 6. Measured and simulated results of the wideband balanced network. (a) , (b) , (c) , (d) , , and (f) (S-Simulation, (e) M-Measurement).

(1.82–2.67 GHz), the isolation is less than 0 to 5 GHz ; for the common mode, the

dB from is

FENG et al.: WIDEBAND BALANCED NETWORK WITH HIGH ISOLATION USING DOUBLE-SIDED PARALLEL-STRIP LINE

4017

tors. Compared with former balanced networks [21], [22], the proposed balanced network has wider suppression bandwidth between differential mode and common mode conversion, and simpler design theory. The theoretical and measured results agree well with each other and show good in-band performances. ACKNOWLEDGMENT

Fig. 7. Photograph of the proposed wideband balanced network. (a) Top view and (b) bottom view. TABLE I COMPARISONS OF MEASURED RESULTS FOR SOME BALANCED NETWORKS

The authors would like to thank Dr. B. Xia, Shanghai Jiao Tong University, Shanghai, China and Dr. F. Lin, Michigan State University, Michigan, USA, for their valuable discussions and help during this work. In addition, the authors would like to thank the editors and reviewers of this paper for their valuable comments and suggestions, which have greatly improved the quality of this paper. REFERENCES

less than dB from 1.73 to 2.65 GHz , and the isolation is less than dB from 0 to 5 GHz ; in addition, the and between the differential mode and common mode conversion are less than dB from 0 to 7.6 GHz and dB from 0 to 7.2 GHz , respectively. For the purpose of comparisons, Table I illustrates the measured results for some wideband balanced networks. Compared with the other balanced networks [21], [22], the fractional bandwidth of the differential mode power division is over 50% ( dB), and the bandwidth of the common mode suppression of the network is a little narrow. While the isolation of the differential/common mode suppression can be extended to 230% ( dB), the suppression bandwidths between differential mode and common mode conversion are greater than 345% , 340% ( dB), respectively. The suppression bandwidths between differential mode and common mode conversion for the proposed wideband balanced network have been further improved. In addition, unequal power division (less than 1:12 ratio) wideband balanced network can be also realized by using the offset double-sided parallel-strip lines [27]. IV. CONCLUSION In this paper, a new wideband balanced power dividing/combining network with high isolation is proposed in this paper. Wideband power division for the differential mode with high isolation can be obtained by two double-sided parallel-strip line (DSPSL) 180 phase inverters loaded with four isolation resis-

[1] W. R. Eisenstant, B. Stengel, and B. M. Thompson, Microwave Differential Circuit Design Using Mixed-Mode S-Parameters. Boston, MA: Artech House, 2006. [2] Y. S. Lin and C. H. Chen, “Novel balanced microstrip coupled-line bandpass filters,” in Proc. URSI Int. Electromagn. Theory Symp., 2004, pp. 567–569. [3] C. H. Wu, C. H. Wang, and C. H. Chen, “Novel balanced coupled-line bandpass filters with common-mode noise suppression,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 2, pp. 287–295, Feb. 2007. [4] J. Shi and Q. Xue, “Dual-band and wide-stopband single-band balanced bandpass filters with high selectivity and common-mode suppression,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2204–2212, Aug. 2010. [5] T. B. Lim and L. Zhu, “A differential-mode wideband bandpass filter on microstrip line for UWB application,” IEEE Microw. Compon. Lett., vol. 19, no. 10, pp. 632–634, Oct. 2009. [6] X. H. Wang, Q. Xue, and W. W. Choi, “A novel ultra-wideband differential filter based on double-sided parallel-strip line,” IEEE Microw. Compon. Lett., vol. 20, no. 8, pp. 471–473, Oct. 2010. [7] W. J. Feng and W. Q. Che, “Novel wideband differential bandpass filter based on T-shaped structure,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1560–1568, June 2012. [8] A. M. Abbosh, “Ultra-wideband balanced bandpass filter,” IEEE Microw. Compon Lett., vol. 21, no. 9, pp. 480–482, Sept. 2011. [9] W. J. Feng, W. Q. Che, Y. L. Ma, and Q. Xue, “Compact wideband differential bandpass filter using half-wavelength ring resonator,” IEEE Microw. Compon. Lett., vol. 23, no. 2, pp. 81–83, Feb. 2013. [10] W. J. Feng, W. Q. Che, and Q. Xue, “Balanced filters with wideband common mode suppression using dual-mode ring resonators,” IEEE Trans. Circuits Syst. I: Reg. Papers, vol. 62, no. 6, pp. 1499–1507, June 2015. [11] R. Meys and F. Janssens, “Measuring the impedance of balanced antennas by an S-parameter method,” IEEE Antennas Propag. Mag., vol. 40, no. 6, pp. 65–68, Dec. 1998. [12] W. J. Feng, W. Q. Che, and Q. Xue, “The proper balance: Overview of microstrip wideband balanced circuits with wideband common mode suppression,” IEEE Microw. Mag., vol. 16, no. 5, pp. 55–68, June 2015. [13] H. Y. Jin, K.-S. Chin, W. Q. Che, C.-C. Chang, H.-J. Li, and Q. Xue, “Differential-fed patch antenna arrays with low cross polarization and wide bandwidths,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 1069–1072, 2014. [14] J.-D. Jin and S. S. H. Hsu, “A 0.18-m CMOS balanced amplifier for 24-GHz applications,” IEEE J. Solid-State Circuits, vol. 43, no. 2, pp. 440–445, Feb. 2008. [15] S. A. Maas, “Novel single device balanced resistive HEMT mixers,” IEEE Trans. Microw. Theory Techn., vol. 43, no. 12, pp. 2863–2867, Dec. 1995. [16] P.-Y. Chiang, C.-W. Su, S.-Y. Luo, R. Hu, and C.-F. Jou, “Wide-IF band CMOS mixer design,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 831–840, Apr. 2010. [17] T. Yang, J. X. Chen, and Q. Xue, “Three-way out-of-phase power divider,” Electron. Lett., vol. 44, no. 7, pp. 198–199, Apr. 2008. [18] J. N. Hui, W. Feng, and W. Che, “Balun bandpass filter based on multilayer substrate integrated waveguide power divider,” Electron. Lett., vol. 48, no. 10, pp. 571–572, May 2012.

4018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[19] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupled- line dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 286–294, Feb. 2011. [20] S. Z. Ibrahim, A. Abbosh, and M. Bialkowski, “Design of wideband six-port network formed by in-phase and quadrature Wilkinson dividers,” IET Microw. Antennas Propag., vol. 6, no. 11, pp. 1215–1220, June 2012. [21] B. Xia, L.-S. Wu, and J. F. Mao, “A new balanced-to-balanced power divider/combiner,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 287–295, Sept. 2012. [22] L. S. Wu, B. Xia, and J. F. Mao, “A half-mode substrate integrated waveguide ring for two-way power division of balanced circuit,” IEEE Microw. Compon. Lett., vol. 22, no. 7, pp. 333–335, July 2012. [23] W. J. Feng, H. T. Zhu, W. Q. Che, and Q. Xue, “Wideband in-phase and out-of-phase balanced power divider and combiner networks,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1192–1202, May 2014. [24] J. X. Chen, C. H. K. Chin, and Q. Xue, “Double-sided parallel-strip line with an inserted conductor plane and its applications,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 9, pp. 1899–1904, Sept. 2007. [25] M. E. Bialkowski, A. M. Abbosh, and N. Seman, “Compact microwave six-port vector volmeters for ultra-wideband appications,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 10, pp. 2216–2223, Oct. 2007. [26] J. Shi, J. X. Chen, and Q. Xue, “A differential voltage-controlled integrated antenna oscillator based on doubled-sided parallel-strip line,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 10, pp. 2207–2212, Oct. 2008. [27] L. Chiu and Q. Xue, “A parallel-strip ring power divider with high isolation and arbitrary power-dividing ratio,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 11, pp. 2419–2426, Nov. 2007. [28] W. J. Feng, Q. Xue, and W. Q. Che, “Compact planar magic-T based on the double-sided parallel-strip line and the slotline coupling,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp. 2915–2923, Nov. 2010. [29] W. Q. Che, L. M. Gu, and Y. L. Chow, “Formula derivation and verification of characteristic impedance for offset double-sided parallel strip line (DSPSL),” IEEE Microw. Compon. Lett., vol. 20, no. 6, pp. 304–306, June 2010.

Wenjie Feng (M'13) was born in Shangqiu, Henan Province, China, in 1985. He received the B.Sc. degree from the First Aeronautic College of the Airforce, Xinyang, China, in 2008, and the M.Sc. and Ph.D. degrees from the Nanjing University of Science and Technology (NUST), Nanjing, China in 2010 and 2013, respectively. From November 2009 to February 2010 and March 2013 to September 2013, he was a Research Assistant with the City University of Hong Kong. From October 2010 to March 2011, he was an exchange student with the Institute of High-Frequency Engineering, Technische Universität München, Munich, Germany. He is currently a teacher with the Nanjing University of Science and Technology, Nanjing, China. He has authored or coauthored over 90 internationally referred journal and conference papers. His research interests include ultra-wideband (UWB) circuits and technologies, substrate integrated components and systems, planar microstrip filters and power dividers, and LTCC circuits. Dr. Feng is a reviewer for over 10 internationally referred journal and conferences, including three IEEE Transactions and Letters.

Chaoying Zhao was born in Wuhu, Anhui Province, China, in 1992. She received the B.E. degree from the Shandong University, Weihai, China, in 2014. From October 2014, she went to Nanjing University of Science and Technology (NUST), Nanjing, China, for further study as a postgraduate. Her research interests include ultra-wideband (UWB) circuits and technologies, power dividers and planar microstrip filters.

Wenquan Che (M'01-SM'11) received the B.Sc. degree from the East China Institute of Science and Technology, Nanjing, China, in 1990, the M.Sc. degree from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 1995, and the Ph.D. degree from the City University of Hong Kong (CITYU), Kowloon, Hong Kong, in 2003. In 1999, she was a Research Assistant with the City University of Hong Kong. From March 2002 to September 2002, she was a Visiting Scholar with the Polytechnique de Montréal, Montréal, QC, Canada. She is currently a Professor with the Nanjing University of Science and Technology, Nanjing, China. From 2007 to 2008, she conducted academic research with the Institute of High Frequency Technology, Technische Universität München. During the summers of 2005–2006 and 2009–2012, she was with the City University of Hong Kong, as Research Fellow and Visiting Professor. She has authored or coauthored over 110 internationally referred journal papers and over 60 international conference papers. She has been a reviewer for IET Microwaves, Antennas & Propagation. Her research interests include electromagnetic computation, planar/coplanar circuits and subsystems in RF/microwave frequency, microwave monolithic integrated circuits (MMICs), and medical application of microwave technology. Dr. Che is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. She was the recipient of the 2007 Humboldt Research Fellowship presented by the Alexander von Humboldt Foundation of Germany, the 5th China Young Female Scientists Award in 2008 and the recipient of Distinguished Young Scientist awarded by the National Natural Science Foundation Committee (NSFC) of China in 2012.

Quan Xue (M'02-SM'04-F'11) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined UESTC, as a Lecturer and became a Professor in 1997. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, where he is currently a Professor with the Department of Electronic Engineering. He also serves the City University of Hong Kong as the Associate Vice President (Innovation Advancement and China Office), the Deputy Director of the Shenzhen Research Institute, and the Deputy Director of the State Key Lab of Millimeter Waves (Hong Kong). He has authored or coauthored over 200 internationally referred journal papers and over 80 international conference papers. He is the Editor of the International Journal of Antennas and Propagation. His research interests include microwave passive components, active components, antenna, microwave monolithic integrated circuits (MMICs), RF integrated circuits (RFICs), etc. Dr. Xue is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom). He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and an associate editor for the IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4019

Expedited Geometry Scaling of Compact Microwave Passives by Means of Inverse Surrogate Modeling Slawomir Koziel, Senior Member, IEEE, and Adrian Bekasiewicz

Abstract—In this paper, the problem of geometry scaling of compact microwave structures is investigated. As opposed to conventional structures [i.e., constructed using uniform transmission lines (TLs)], re-design of miniaturized circuits (e.g., implemented with artificial TLs) for different operating frequencies is far from being straightforward due to considerable cross-couplings between the circuit components. Here, we develop a simple and computationally efficient methodology for dimension scaling of the compact circuits. The proposed approach utilizes an equivalent circuit representation to identify a fast inverse model that determines the relationship between the geometry parameters of the structure at hand and its operating frequency. Upon suitable correction, the inverse model is applied to find dimensions of the scaled design at the highfidelity (electromagnetic (EM) simulation) model level. Owing to reasonable correlations between the low- and high-fidelity models, the circuit geometry scaled to a requested operating frequency can be found using just a single EM simulation of the structure, despite possible absolute discrepancies between the models. The proposed methodology is demonstrated using two exemplary compact couplers scaled in wide ranges from 0.5 to 2 GHz and from 0.5 to 1.8 GHz, respectively. The numerical results are supported by physical measurements of the fabricated coupler prototypes. Index Terms—Circuit scalability, compact microwave circuits, geometry scaling, inverse modeling, miniaturized couplers, simulation-driven design, surrogate modeling.

I. INTRODUCTION

M

INIATURIZED microwave passive components such as couplers [1]–[4], impedance transformers [5], and power dividers [6] play an important role in modern wireless communication systems [7]–[9]. A number of miniaturization strategies have been proposed over the years, the majority of which rely on replacing the uniform transmission lines (TLs) by alternative topologies (most commonly T-shaped [8] [10] or -shaped [5], [8]) that exhibit similar behavior (in terms

Manuscript received March 20, 2015; revised July 13, 2015; accepted October 10, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported in part by the Icelandic Centre for Research (RANNIS) under Grant 130450051 and in part by the National Science Centre of Poland under Grant 2014/15/B/ST7/04683. S. Koziel is with the Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 80-233 Gdansk, Poland, and also with the School of Science and Engineering, Reykjavik University, IS-101 Reykjavik, Iceland (e-mail: [email protected]). A. Bekasiewicz is with the Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 80-233 Gdansk, Poland, and also with the School of Science and Engineering, Reykjavik University, IS-101 Reykjavik, Iceland (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490662

of their complex scattering parameters), but with a considerably reduced size. The resulting structures are characterized by highly compressed layouts [4], [11], [12]. Their design is a very challenging task. On one hand, the stringent requirements concerning electrical performance parameters have to be satisfied together with maintaining the small size of the structure. On the other hand, densely packed layouts of the compact circuits exhibit significant electromagnetic (EM) cross-couplings between various building blocks of the structure (such as the composite cells [4], [13], [14]). These couplings cannot be adequately represented by equivalent circuit models traditionally utilized in the design of the microwave passives [15]. Consequently, applicability of such models for compact circuit design is very limited [15]. Other design issues that also arise from complexity of the circuit layout include the increased number of geometry parameters to be adjusted and complex (often counter-intuitive) relations between the circuit dimensions and its responses. Accurate performance evaluation requires high-fidelity EM analysis, which is computationally expensive. This poses additional problems, especially from the point of view of automated design optimization through adjustment of geometry parameters of the structure. In particular, the use of conventional numerical optimization algorithms is often computationally prohibitive [16], whereas hands-on methods such as repetitive parameter sweeps are laborious and unable to yield truly optimum designs. Design speedup can be obtained by using, for example, surrogate-based optimization (SBO) techniques (see, e.g., [16] and [17]), where direct optimization of the high-fidelity EM-simulation model is replaced by iterative construction and re-optimization of a cheaper representation of the structure (the so-called surrogate model). Recently reported results indicate that successful compact circuit design can be realized using SBO methods such as space mapping [18], multi-fidelity optimization [19], or SBO methods enhanced by local [15] or global response surface approximation models [20]. Due to the complex geometries of miniaturized passives as well as related issued mentioned above, dimension scaling when re-designing the circuit from one operating frequency to another is far from trivial [21]–[24]. At the same time, it is highly desirable because it considerably simplifies the design process by allowing the designer to reuse the results obtained for a given reference frequency of operation. In this paper, we propose a simple, yet computationally efficient procedure for dimension scaling of compact passive components. Our methodology exploits an inverse nonlinear regression model that determines relationships between the dimensions of the circuit at hand for various operating frequencies.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

The inverse model is identified based on the scaled designs obtained at the level of a fast equivalent circuit model, and subsequently enhanced to ensure sufficient alignment with the high-fidelity EM model of the structure. Due to reasonably good correlations between the equivalent circuit and the EM model, application of the inverse surrogate leads to acceptable results even though the absolute differences between the models are considerable. The residual discrepancies are accounted for by a one-step correction procedure. The proposed technique is verified using two examples of compact coupler structures. The numerical results are experimentally validated through physical measurements of the fabricated coupler prototypes. II. DIMENSION SCALING OF COMPACT CIRCUITS: INVERSE SURROGATE MODELING In this section, we formulate the geometry scaling problem and outline the proposed scaling methodology that exploits an inverse surrogate modeling procedure. We also discuss the issue of the design uniqueness given several objectives to be simultaneously fulfilled in the design process.

Fig. 1. Scaling of compact microwave circuits. (a) Responses of a miniaturized GHz. (b) Response of coupler [19] at a reference operating frequency GHz. For scaling purposes, a scaled circuit at the operating frequency the definition of a “good” response should be as unique as possible, which may require some arbitrary choices given multiple specifications.

A. Problem Formulation We will denote by a vector of geometry parameters of a compact microwave structure of interest. We also denote by a corresponding response vector(s) of a high-fidelity EM simulation model of the circuit. Typically, the response is represented by complex -parameters versus frequency. It is assumed that the structure is designed for a certain operating frequency so that given performance specifications are met for this frequency. The design for the frequency will be referred to as a reference design. The task is to scale the structure to a different operating frequency , i.e., to find the dimensions so that the design specifications corresponding to that frequency are met as well. We will use the notation to denote the dimensions of a “good” design at the operating frequency . Thus, the problem can be formulated as follows: given , find for frequencies within a certain range around . It should be emphasized that—contrary to conventional circuits—constructed using TLs—scaling of miniaturized designs (e.g., those implemented using slow-wave resonant structures, etc. [22]) is far from trivial because of nonlinear relations between geometry and resonant frequencies of their building blocks [21]. Clearly, from a practical point of view, it would be desirable to realize the scaling process at a possibly low computational cost.

• equal power split, , at the operating frequency ; • minimization of matching and isolation at ; • maintaining minimum of and at ; • increasing 20-dB bandwidth (i.e., the range of frequencies for which both and are below 20 dB); • making the 20-dB bandwidth symmetric with respect to . Any practical design is a tradeoff between these objectives. Consequently, there are various sets of dimensions that correspond to an acceptable design for any given . From the point of view of the circuit scaling, it is important to reduce the aforementioned nonuniqueness, which can be achieved by a priori preference articulation for the design objectives. For the sake of example, the following set of specifications is used here: • equal power split, i.e., at frequency ; • minimization of and at . Moreover, only the second objective is handled directly (which also ensures that the and minima of the optimum design are at ), whereas the first one is enforced by an equality constraint implemented during the optimization procedure. As shown in Fig. 2, this way of enforcing uniqueness of the optimum designs works well, as shown using the example compact coupler of Section III-B and its optimized equivalent circuit models.

B. Uniqueness of a “Good” Design An important issue of the circuit scaling that has to be addressed is a definition of a “good” design, which should be as unique as possible in order to reduce the uncertainty of the inverse models utilized in the scaling process as formulated in Section II-C. Fig. 1 shows the responses of a compact microwave coupler structure at a certain reference operating frequency and upon scaling to another frequency . Normally, there are several design specifications to be satisfied for the coupler structures, i.e.,

C. Inverse Models for Circuit Scaling The foundation of the proposed scaling procedure is an inverse model of the circuit of interest, i.e., a model of its geometry parameters as a function of frequency, , constructed—for the sake of computational efficiency—at the level of equivalent circuit of the compact structure of interest. The model has an explicit analytical form (1)

KOZIEL AND BEKASIEWICZ: EXPEDITED GEOMETRY SCALING OF COMPACT MICROWAVE PASSIVES

4021

Fig. 3. Extraction of the inverse model . The training data comes from the optimal designs obtained for the equivalent circuit model at the operating , covering the required frequency range of the frequencies , structure scaling. The inverse model parameters are obtained by solving (2).

Fig. 2. Sequence of the equivalent circuit model responses of the compact coupler of Section III-B, redesigned for the operating frequencies from 0.5 to 1.875 GHz with a 125-MHz step. It can be observed that the overall shape of the responses is very similar (note good alignment of the matching and isolation responses and their centering around the operating frequency, as well as equal power split at ) for all operating frequencies as it is enforced by the appropriate objective handling (cf. Section II-B). The operating frequencies are , , , and are marked marked with vertical lines, whereas using (—), (– –), ( ), and (– –), respectively.

where is a model of the th independent geometry parameter with being the model coefficients. is the aggregated coefficient vector for the entire model. The specific analytical form of is decided based on visual inspection of the training data gathered, as described below. The model is obtained as follows. 1) Find the optimum designs of the structure for a set of operating frequencies , , by optimizing its equivalent circuit model. 2) Find, for , the inverse model coefficients by solving nonlinear regression problems (curve fitting), (2) Fig. 3 illustrates the concept of the inverse modeling. Note that directly returns the values of geometry parameters for the structure scaled to the given operating frequency . On the other hand, one should remember that the inverse model (1) is operating at the equivalent circuit model level. The next section shows how it can be used to implement scaling at the high-fidelity EM model level. It should also be noticed that the optimized equivalent circuit model design are only the approximations of the “ideal” geometry parameter values corresponding to any given operating fre-

quency. The actual values obtained by model optimization normally differ from these “ideal” values for various reasons such as the optimization process being not perfect, as well as certain ambiguity concerning the definition of the good design (which has been removed—but only to some extent—by the measures described in Section II-B). This is indicated in Fig. 3 where the sequence of the optimized designs does not follow any smooth curve, but contain some “numerical noise.” Given the above, it is therefore important that the analytical form of the inverse model is relatively simple (i.e., contains just a few degrees of freedom), otherwise, it would not model the actual relationship between the operating frequency and the optimal circuit dimension, but rather the aforementioned numerical “noise.” D. Scaling Algorithm In order to apply the inverse model for scaling of the structure at the high-fidelity (EM simulation) level, one needs to implement suitable correction that accounts for the misalignment between the low- (equivalent circuit) and the high-fidelity (EM) model. Let and denote the optimum designs of the low- and high-fidelity model, respectively, at the reference operating frequency . The high-fidelity model scaling is then defined as follows: (3) The correction term in brackets is used to shift the inverse model so that we have . The model (3) assumes that the correlation between the low- and high-fidelity models is reasonably good. In practice, the actual operating frequency of the design may be slightly different from and equal to ( may be positive or negative). In other words, is a frequency scaling error that normally results from a nonperfect inverse model. The latter comes from the fluctuations of the training data for inverse model construction due to imperfect optimization of the equivalent circuit model or certain degree of nonuniqueness of the optimum design, as explained in Section II-B. In order to accommodate this, the following correction should be made: (4) More specifically, given the scaling error of , the corrected design should be obtained by evaluating the inverse

4022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. Layout of the folded RRC [19].

model (3) at the operating frequency to compensate for . It should be emphasized that the above correction is optional because, in many cases, there is no need to execute it, specifically, when the quality of the design obtained directly from the inverse model is sufficiently good. The computational cost of scaling the structure to an arbitrary operating frequency starting from the reference design at is just one evaluation of the high-fidelity model [including the correction (4)].

Fig. 5. Responses of the low- (gray lines) and high-fidelity (black lines) RRC GHz). model at the reference design (operating frequency

III. VERIFICATION EXAMPLES The geometry scaling procedure formulated in Section II is demonstrated here using two examples of miniaturized coupler structures. In the case of the first coupler, the design is scaled for the operating frequencies varying from 0.5 to 2 GHz with the reference design corresponding to 1.5 GHz. For the second case, the scaling is performed within the 0.5–1.8-GHz band (the reference design at 1 GHz). A. Miniaturized Folded Rat-Race Coupler Our first example is a folded rat-race coupler (RRC) shown in Fig. 4. Miniaturization of the structure is achieved by folding each 70.7- section of a conventional design. The circuit is implemented on Taconic RF-35 dielectric substrate ( , mm, ). We assume 50- port impedance and 1.5-GHz operating frequency for the prototype design. Designable parameters are with , fixed (all dimensions in millimeters). The low-fidelity (circuit) representation of a coupler is implemented in Agilent ADS. The high-fidelity model of a structure is constructed in CST Microwave Studio ( 210 000 mesh cells, simulation time 20 min). The objective is to scale the structure for operating frequencies from 0.5 to 2 GHz. The reference design corresponds to the operating frequency GHz. The inverse model has been extracted using 13 optimum designs obtained for operating frequencies from 0.5 to 2 GHz with a 125-MHz step. The design corresponds to . Fig. 5 shows the discrepancies between the low- and high-fidelity model at . One can observe that these discrepancies are visible, but not very significant, which is because a relatively simple topology of the coupler. The analytical form of the inverse model was assumed to be , where are model parameters. Fig. 6 shows the dimensions of the above-mentioned 13 designs, as well as the plots of the extracted inverse model. It should be noticed that the fluctuations of the “training” designs around the extracted inverse model curves are minor, which not only indicates a very good correlation between the

Fig. 6. Dimensions of the 13 optimum designs of the equivalent circuit coupler and the extracted inverse model (—). model

equivalent circuit and the EM model, but also decent uniqueness of the optimum circuit model designs. One such fluctuation can be observed in Fig. 6 for variable (however, in this case, the relative value of the fluctuation is low: note a small range of variability of , from 0.87 to 0.89). For the sake of verification, the coupler dimensions were scaled for the following six operating frequencies: 0.5, 0.7, 1, 1.2, 1.7, and 2.0 GHz. The high-fidelity model responses of the scaled structure are shown in Fig. 7, indicating that the proposed procedure works correctly. As a matter of fact, the correction step (4) was only necessary for the operating frequency of 1.7 GHz (correction by 50 MHz). B. Compact RRC Consider a compact equal-split RRC composed of two vertical and four horizontal slow-wave resonant structures [25] shown in Fig. 8. The RRC is implemented on a Taconic RF-35 dielectric substrate ( mm, , ); design variables are , whereas dimension is set constant to ensure 50- input impedance. Moreover, variables , , and . All dimensions are in millimeters. The low-fidelity model is prepared in an Agilent ADS circuit simulator, while the high-fidelity one is designed in

KOZIEL AND BEKASIEWICZ: EXPEDITED GEOMETRY SCALING OF COMPACT MICROWAVE PASSIVES

4023

Fig. 9. Responses of the low- (gray lines) and high-fidelity (black lines) RRC GHz). model at the reference design (operating frequency

Fig. 7. Responses of the compact RRC coupler scaled using the proposed methodology for the following operating frequencies of: (a) 0.5 GHz, , (b) 0.7 GHz, (c) 1.0 GHz, (d) 1.2 GHz, (e) 1.7 GHz, and (f) 2.0 GHz. , , and are marked using (—), (– –), ( ), and (– –), respectively.

Fig. 10. Dimensions of the 13 optimum designs of the equivalent circuit couand the extracted inverse model (—). pler model

Fig. 8. Microstrip RRC constructed of slow-wave resonant structures—geometry [25].

CST Microwave Studio and simulated using its frequency-domain solver with 800 000 mesh cells. Its simulation time is 75 min. The objective is to scale the structure for certain frequencies from 0.5 to 1.8 GHz. The reference design corresponds to the operating frequency GHz. The inverse model has been extracted using 13 optimum designs obtained for operating frequencies from 0.5 to 2 GHz with a 125-MHz step. The design corresponds to . Fig. 9 shows the discrepancies between the low- and high-fidelity model at . They are considerable compared to those for the previous example. One can observe a large-frequency shift of about 150 MHz, but also differences in the levels, particularly for .

Fig. 10 shows the plots of the extracted inverse model. The analytical form of the model is the same as for the first example. It should be noted that relations between operating frequency and coupler geometry are highly nonlinear. Also, one can observe fluctuations around the extracted inverse model curves, which are particularly large for variables, , , and . For the sake of verification, the coupler dimensions were scaled for the following six frequencies: 0.5, 0.7, 1.2, 1.5, 1.7, and 1.8 GHz. The high-fidelity model responses of the scaled structure are shown in Fig. 11. It should be noted that the agreement between the required and the actual operating frequencies of the scaled coupler designs is good. All the designs exhibit equal power split although there is a small frequency shift in the minima of and for the designs corresponding to the operating frequencies of 0.5 and 0.7 GHz. IV. EXPERIMENTAL VERIFICATION The inverse surrogate modeling procedure presented in this work has been experimentally validated by fabrication and

4024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 11. Responses of the compact RRC coupler scaled using the proposed methodology, for the following operating frequencies: (a) 0.5 GHz, (b) 0.7 GHz, (c) 1.2 GHz, (d) 1.5 GHz, (e) 1.7 GHz, and (f) 1.8 GHz. Scattering parameters , , , and are marked using (—), (– –), , and (– –), respectively.

Fig. 12. Photographs of the fabricated prototypes of the compact RRC of Fig. 4, corresponding to the scaled designs as presented in Fig. 7.

TABLE I DIMENSIONS OF SELECTED FOLDED RRC DESIGNS

TABLE II DIMENSIONS OF SELECTED COMPACT RRC DESIGNS

measurements of the scaled coupler structures of Section III. The detailed dimensions of the designs have been collected in Tables I and II. The photographs of the fabricated folded RRC (four out of six scaled designs were fabricated) and compact RRC circuits are shown in Figs. 12 and 13, respectively. Comparisons of the measured and the simulated scattering parameters for both couplers are provided in Figs. 14 and 15. The simulations and measurements of coupler of Fig. 4 (cf. Section III-A) are in excellent agreement. For the compact RRC coupler of Fig. 8 (cf. Section III-B), the misalignments between

Fig. 13. Photographs of the fabricated prototypes of the compact RRC of Fig. 8, corresponding to the scaled designs as presented in Fig. 11(a) and (b) and (e) and (f).

the simulated and measured characteristics are acceptable for the designs operating at 0.5 and 0.7 GHz [see Fig. 15(a) and (b)]. However, the discrepancies for circuits designed to operate on higher frequencies, here, 1.7 and 1.8 GHz [see Fig. 15(c) and (d)] are much more considerable. On the other hand, these kinds of discrepancies have been expected due to

KOZIEL AND BEKASIEWICZ: EXPEDITED GEOMETRY SCALING OF COMPACT MICROWAVE PASSIVES

4025

Fig. 16. Statistical analysis of the compact RRC of Fig. 8 scaled to the operating frequency of 1.8 GHz (gray) versus the nominal response (black) using the statistical model of the manufacturing tolerances described in the text. The deviations from the nominal response are consistent with the observed discrepancies between the simulated and the measured results.

Fig. 14. Comparison of simulated (black lines) and measured (gray lines) frequency responses of the folded RRC designs scaled to the operating frequencies of: (a) 1.0 GHz, (b) 1.2 GHz, (c) 1.7 GHz, and (d) 2.0 GHz (photographs of fab, , , and ricated circuits are shown in Fig. 12). Responses are marked using (—), (– –), , and (– –), respectively.

Fig. 15. Comparison of simulated (black lines) and measured (gray lines) frequency responses of the compact RRC designs scaled to the operating frequencies of: (a) 0.5 GHz, (b) 0.7 GHz, (c) 1.7 GHz, and (d) 1.8 GHz (photographs , , , and of fabricated circuits are shown in Fig. 13). Responses are marked using (—), (– –), , and (– –), respectively.

manufacturing tolerances. The detailed explanations including the results of the statistical analysis are provided in the following paragraph. The fundamental factors that explain relatively large discrepancies between the simulated and the measured responses for the compact RRC of Fig. 8, in particular considerable frequency shifts for higher operating frequencies [cf. Fig. 15(c) and (d)], are manufacturing tolerances as well as high sensitivity of the operating frequency to the fabrication inaccuracies for higher frequencies. In particular, it can be observed in Fig. 10 that the sensitivities are much smaller for the operating frequencies close to the upper end of the considered scaling range

TABLE III STATISTICAL ANALYSIS OF COMPACT RRC SCALED OPERATING FREQUENCY OF 1.8 GHz

TO

than for its lower end (meaning that are much higher there). Below, we illustrate the effect of the manufacturing tolerances for the RRC scaled to the operating frequency GHz [see Fig. 11(f)], and assuming the following simple statistical model: inaccuracies due to under-etching with the maximum deviation of 0.05 mm and the mean of 0.017 mm, described by a non-symmetric Gaussian distributions with the variances of 1/3 and 2/3 of the mean for the deviations lower and higher than 0.017 mm, respectively. The distributions are independent, except those for and (under-etching increases and decreases by the corresponding amount, cf. Fig. 8), similarly for and . Fig. 16 shows the simulated S-parameter plots for the 20 random samples generated with the above distribution. Considerable frequency shifts towards the lower frequencies, as well as other response changes, fully consistent with the measurements, can be observed. As indicated in Table III, the expected shift of the operating frequency is as large as 110 MHz with the standard deviation of 65 MHz. V. CONCLUSION The surrogate-assisted procedure for dimension scaling of miniaturized microwave passives with respect to the operating frequency has been proposed. Our approach relies on the inverse model that determines the relations between the operating frequency and the circuit dimensions, and it is established using the designs obtained—for a set of the training frequencies—through optimization of the equivalent circuit model. Using the correlations between the circuit representation and the high-fidelity EM model, as well as suitable correction techniques, the inverse model is applied to predict the dimensions of the scaled structure at the EM model level. The two most important features of our methodology are rigorous formulation and low computational cost of only one EM simulation of the structure (given the reference design). To

4026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

the best of our knowledge, this is the first systematic attempt to practical realization of compact component scaling. The performance of the proposed technique has been verified using two exemplary couplers. The numerical results have been confirmed experimentally. The future work will be focused on further improvement of scaling accuracy, including fine tuning of the scaled circuit. ACKNOWLEDGMENT The authors would like to thank Computer Simulation Technology AG, Darmstadt, Germany, for making CST Microwave Studio available. REFERENCES [1] C. Jung, R. Negra, and F. M. Ghannouchi, “A design methodology for miniaturized 3-dB branch-line hybrid couplers using distributed capacitors printed in the inner area,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 2950–2953, Dec. 2008. [2] M.-L. Chuang, “Miniaturized ring coupler of arbitrary reduced size,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 16–18, Jan. 2005. [3] J.-T. Kuo, J.-S. Wu, and Y.-C. Chiou, “Miniaturized rat race coupler with suppression of spurious passband,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 46–48, Jan. 2007. [4] A. Bekasiewicz and P. Kurgan, “A compact microstrip rat-race coupler constituted by nonuniform transmission lines,” Microw. Opt. Technol. Lett., vol. 56, no. 4, pp. 970–974, 2014. [5] H.-R. Ahn, “Modified asymmetric impedance transformers (MCCTs and MCVTs) and their application to impedance-transforming threeport 3-dB power dividers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3312–3321, Dec. 2011. [6] R. Mirzavand, M. M. Honari, A. Abdipour, and G. Moradi, “Compact microstrip Wilkinson power dividers with harmonic suppression and arbitrary power division ratios,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 61–68, Jan. 2013. [7] R. Gilmore and L. Besser, Practical RF Circuit Design for Modern Wireless Systems. Norwood, MA, USA: Artech House, 2003. [8] H.-X. Xu, G.-M. Wang, and K. Lu, “Microstrip rat-race couplers,” IEEE Microw. Mag., vol. 12, no. 4, pp. 117–129, Jun. 2011. [9] H.-R. Ahn and K. Bumman, “Toward integrated circuit size reduction,” IEEE Microw. Mag., vol. 9, no. 1, pp. 65–75, Feb. 2008. [10] S.-S. Liao, P.-T. Sun, N.-C. Chin, and J.-T. Peng, “A novel compactsize branch-line coupler,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 9, pp. 588–590, Sep. 2005. [11] C.-H. Tseng and C.-L. Chang, “A rigorous design methodology for compact planar branch-line and rat-race couplers with asymmetrical T-structures,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2085–2092, Jul. 2012. [12] C.-H. Tseng and H.-J. Chen, “Compact rat-race coupler using shunt-stub-based artificial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 734–736, Nov. 2008. [13] K.-O. Sun, S.-J. Ho, C.-C. Yen, and D. van der Weide, “A compact branch-line coupler using discontinuous microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 501–503, Aug. 2005. [14] C.-W. Wang, T.-G. Ma, and C.-F. Yang, “A new planar artificial transmission line and its applications to a miniaturized Butler matrix,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2792–2801, Dec. 2007.

[15] S. Koziel and P. Kurgan, “Rapid design of miniaturized branch-line couplers through concurrent cell optimization and surrogate-assisted fine-tuning,” IET Microw. Antennas Propag., 2015, to be published. [16] N. V. Queipo, R. T. Haftka, W. Shyy, T. Goel, R. Vaidynathan, and P. K. Tucker, “Surrogate based analysis and optimization,” Progr. Aerosp. Sci., vol. 41, no. 1, pp. 1–28, 2005. [17] J. W. Bandler et al., “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 1, pp. 337–361, Jan. 2004. [18] A. Bekasiewicz, P. Kurgan, and M. Kitlinski, “A new approach to a fast and accurate design of microwave circuits with complex topologies,” IET Microw. Antennas Propag., vol. 6, no. 14, pp. 1616–1622, 2012. [19] S. Koziel, A. Bekasiewicz, and P. Kurgan, “Size reduction of microwave couplers by EM-driven optimization,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, USA, 2015, pp. 1–3. [20] S. Koziel and A. Bekasiewicz, “Fast multi-objective optimization of narrow-band antennas using RSA models and design space reduction,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 450–453, 2015. [21] F. Zhang, “Miniaturized and harmonics-rejected slow-wave branchline coupler based on microstrip electromagnetic bandgap element,” Microw. Opt. Technol. Lett., vol. 51, pp. 1080–1084, 2009. [22] D. La, Y. Lu, N. Liu, and J. Zhang, “A novel compact bandstop filter using defected microstrip structure,” Microw. Opt. Technol. Lett., vol. 53, pp. 433–435, 2009. [23] P. Kurgan and M. Kitlinski, “Novel doubly perforated broadband microstrip branch-line couplers,” Mirow. Opt. Technol. Lett., vol. 51, no. 9, pp. 2149–2152, Sep. 2009. [24] C.-H. Ahn, D.-J. Jung, and K. Chang, “Compact parallel-coupler line bandpass filter using double complementary split ring resonators,” Microw. Opt. Technol. Lett., vol. 55, pp. 506–509, 2013. [25] A. Bekasiewicz, S. Koziel, and B. Pankiewicz, “Accelerated simulation-driven design optimization of compact couplers by means of two-level space mapping,” IET Microw. Antennas Propag., 2015, to be published. Slawomir Koziel (M’03–SM’07) received the M.Sc. and Ph.D. degrees in electronic engineering from the Gdansk University of Technology, Gdansk, Poland, in 1995 and 2000, respectively, and the M.Sc. degrees in theoretical physics and in mathematics and Ph.D. degree in mathematics from the University of Gdansk, Gdansk, Poland, in 2000, 2002, and 2003, respectively. He is currently a Professor with the School of Science and Engineering, Reykjavik University, Reykjavik, Iceland. He is also a Visiting Professor with the Gdansk University of Technology. His research interests include computeraided design (CAD) and modeling of microwave circuits, simulation-driven design, surrogate-based optimization, space mapping, circuit theory, analog signal processing, evolutionary computation, and numerical analysis.

Adrian Bekasiewicz received the M.Sc. degree in electronic engineering from the Gdansk University of Technology, Gdansk, Poland, in 2011, and is currently working toward the Ph.D. degree in wireless communication engineering at the Gdansk University of Technology. He is also a Research Associate with the School of Science and Engineering, Reykjavik University, Reykjavik, Iceland. He has authored or coauthored over 70 peer-reviewed papers. His research interests include multi-objective optimization, metaheuristic algorithms, design of compact microwave antennas, and miniaturization of microwave/RF components.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4127

High-Performance Coplanar Waveguide to Empty Substrate Integrated Coaxial Line Transition Angel Belenguer, Senior Member, IEEE, Alejandro L. Borja, Member, IEEE, Hector Esteban, Senior Member, IEEE, and Vicente E. Boria, Senior Member, IEEE

Abstract—Recently, a new empty coaxial structure, entirely built with printed circuit boards, has been proposed. The resulting coaxial line has low radiation, low losses, high-quality factor, and is nondispersive. Up to now, this coaxial line has not been completely integrated in a planar substrate, since a working transition to a traditional planar line has not been defined yet. Therefore, in this paper, a high-quality transition from coplanar waveguide to this new empty coaxial line is proposed. With this transition, the coaxial line is completely integrated in a planar circuit board, so that it truly becomes an empty substrate-integrated coaxial line. The proposed transition has been fabricated. Both full-wave simulated and measured results show an excellent agreement. Therefore, the proposed transition is suitable to develop completely substrate-integrated components for applications in wideband communication systems that require very high quality responses and protection from external interferences. To show this fact, this new transition has been applied to integrate a high-performance empty coaxial filter in a planar substrate. The measured response of this filter is excellent, and proves the goodness of the proposed transition that has enabled, for the first time, the complete integration of an empty coaxial line in a planar substrate. Index Terms—Bandpass filter, empty substrate-integrated coaxial line (ESICL), substrate-integrated coaxial line (SICL), substrate-integrated waveguide (SIW).

I. INTRODUCTION

I

INTEGRATION of microwave/radiofrequency components in communication systems is of key importance to design and fabricate circuits with small size, low weight, low cost, high reliability, easy assembling, and possibility of mass production. Full system integration is, therefore, playing an important role in current telecommunication developments. As a consequence, a great deal of effort has been dedicated to propose novel devices in planar technology. Some years ago, Deslandes and Wu [1] presented in 2001 an initial research Manuscript received May 03, 2015; revised September 23, 2015; accepted October 07, 2015. This work was supported by the Ministerio de Economía y Competitividad, Spanish Goverment under Research Projects TEC2013-47037C5-3-R and TEC2013-47037-C5-1-R. A. Belenguer and A. L. Borja are with the Departamento de Ingeniería Eléctrica, Electrónica, Automática y Comunicaciones, Universidad de Castilla-La Mancha, Escuela Politécnica de Cuenca, Campus Universitario, 16071 Cuenca, Spain (e-mail: [email protected]). H. Esteban and V. E. Boria are with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2496271

work that gave and is giving rise to a vast number of new substrate-integrated components. In this paper, a novel concept for substrate-integrated waveguide (SIW) transmission line was presented. The proposed structure employed rods of metallic via holes to confine a propagating wave between the upper and bottom plates of a substrate layer. By this mean, the vertical dimension of a conventional 3-D waveguide transmission line can be reduced. Thereafter and based on this former study, several solutions including filters [1]–[10], antennas [11]–[16], transitions and tapers [17]–[19], baluns [20], [21], couplers [22]–[25], and new transmission lines [26]–[30] were proposed. Among all these works, it is of interest the excellent properties of substrate-integrated coaxial lines (SICL) reported in [30]. This transmission line was shown to have single mode propagation, nondispersion, and low radiation. Due to these properties, this sort of coaxial line can be suitable for applications with wider bandwidth responses and lower losses than other proposed substrate integrated circuits. For instance, wideband filters [31], [32], couplers [33], [34], baluns [35], and power dividers [36] have been designed using SICLs. In all the aforementioned structures, the substrate-integrated design offers a range of benefits in comparison with conventional 3-D waveguide and coaxial transmission lines. However, the losses introduced by the dielectric permittivity of the substrate limit the use of these devices, specially as frequency is increased. This fact was clearly demonstrated in the work presented by Belenguer et al. [37]. In this particular case, the proposed structure, called empty substrate-integrated waveguide (ESIW), showed significantly lower insertion loss than SIW for two bandpass filter designs working at different frequencies. In addition, it was also shown that the quality factor is increased around eight times due to the absence of dielectric material. Following the same idea, in [38] an empty coaxial line is proposed. This coaxial line is entirely built using printed circuit boards (PCBs), but, strictly speaking, it is not integrated in a dielectric substrate, since, in this work, it has not been proposed a transition to, at least, one traditional planar transmission line: microstrip, coplanar waveguide, stripline, etc. [39]. However, the complete integration of this empty coaxial line is of great interest for developing high-quality, low-cost, and shielded integrated microwave devices. Therefore, we present in this paper a transition from grounded coplanar waveguide (GCPW) to this new empty coaxial transmission line. The integration of a coaxial line into a partially empty substrate permits to obtain nondispersive and shielded lines with low loss, low radiation, and suitable for wideband applications. Microstrip or coplanar lines are low cost and straightforward to fabricate, but

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

are not shielded and exhibit radiation losses and cross-talk problems. On the other hand, striplines present lateral leakage in addition to cross-talk. The proposed coaxial topology solves these drawbacks and combines the advantages of coaxial cables and planar transmission lines. Also, it permits to easily control the dimensions of the coaxial line. As a result, the impedance of the line can be adjusted modifying the widths of the inner and outer conductors, or even loading these conductors with inductive/capacitive elements as well as tunable components to obtain reconfigurable responses. The proposed design has the advantage of fast full-wave simulation as a perfect electric conductor (PEC) background material can be used during calculations. This is not possible for open structures, where a radiation boundary condition is necessary. This fact considerably reduces the computation time, which is of great importance during optimization processes (e.g., for design purposes). To show one possible application of the proposed transition to this new empty substrate-integrated coaxial line (ESICL), a wideband bandpass filter is designed and fabricated. In this regard, it is important to note that this bandpass filter response cannot be obtained with SIW/ESIW structures, and has several advantages compared to microstrip, coplanar, or stripline filters such as nonradiation, nondispersion, noncrosstalk, faster simulation, and lower insertion loss. The proposed coaxial line has a wide range of potential applications. For instance, communication systems incorporating services that operate in a wide band and that requires protection from external electromagnetic interferences. The paper is organized as follows. Section II presents the layout, design, and performance of the coaxial line. Section III presents a high-performance GCPW to ESICL transition. The response of a bandpass filter prototype is shown in Section IV. The experimental results are presented in Section V. Finally, the main conclusions of the work are discussed in Section VI. II. ESICL ASSEMBLING AND PERFORMANCE At least three substrate layers plus two covers, which close the whole structure, are required to fabricate an ESICL (see Fig. 1). One or more inner layers, which must be separated from the covers by, at least, one substrate layer, sustain the internal conductor of the coaxial line as it is shown in Fig. 1. The covers can be manufactured by simply using thin metallic sheets, or they can be built using two additional substrate sheets, which would allow to integrate external circuitry or lumped elements that could interact with the ESICL device. The different layers of this structure can be of different thickness and material, but, in any case, they can be entirely fabricated using standard PCB fabrication processes. Once the different layers have been manufactured, the structure can be easily assembled. In order to prevent misalignment errors, a set of screws has been distributed uniformly along the structure with excellent results (see Section V). These screws can be maintained and used to join the different layers by pressure. Due to the current distribution of the coaxial line, this gives very good results. The different ESICL layers can be also assembled using soldering paste, which is a standard process in PCB fabrication

Fig. 1. Simplest construction of an ESICL (three inner substrate layers plus two covers). (a) Separated layers 3-D view. (b) Cross-section.

TABLE I SIMULATED LOSSES AND UNLOADED FACTORS OF SEVERAL WELL-KNOWN TRANSMISSION LINES AND ESICL. SUBSTRATE (IF APPLIES): ROGERS 4003C mm, , AND ). METALIZATION: ( S/m PLAIN SOLID COPPER

and could be easily automated, providing better metallic contact and lighter devices, since, in this case, the alignment screws can be removed. Both assembling strategies provide excellent results. In order to evaluate the performance of the ESICL, in Table I, the losses of an ESICL are compared, at 15 GHz, with the losses of several transmission lines: microstrip, GCPW, SIW, ESIW, and rectangular waveguide (RWG). These losses have been computed from full-wave simulations using the commercial software CST Studio Suite 2014. The dimensions for all of the compared lines are also shown in Table I. These dimensions have been determined considering the following facts: the planar lines have been devised for a Rogers 4003C substrate of 0.813 mm thickness and m of metallization; the QTEM/TEM lines have been designed to exhibit a characteristic impedance of ; and, finally, the waveguides have been designed to match the desired bandwidth, from 12 to 18 GHz. Additionally, in order to provide a more exhaustive comparison of the performance of these lines, the unloaded factor of a resonator has been also estimated. To perform this analysis, a piece of the lines of Table I, of length approximately equal to , has been tightly coupled to identical feeding lines, so that the desired resonator has been obtained. A capacitive gap has

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BELENGUER et al.: HIGH-PERFORMANCE COPLANAR WAVEGUIDE TO ESICL TRANSITION

4129

Fig. 2. Three-dimensional detail of a complete GCPW-to-ESICL transition without covers.

been used to couple the feeding lines and the resonator for the QTEM/TEM lines, i.e., microstrip, GCPW, and ESICL, while an inductive iris has been used to couple the feeding lines to the resonator for the waveguides, i.e., RWG, ESIW, and SIW. These resonators have been simulated with CST Studio Suite 2014, and then the unloaded factor has been estimated applying the following formula [40]: (1) is the half-power where is the resonant frequency, bandwidth, and IL is the insertion loss at . The performance of the ESICL is comparable to ESIW, quite close to the performance of the rectangular waveguide, and much higher than the performance of classical planar lines like microstrip or GCPW, or even SIW, which is considered a high-performance planar line. Therefore, very high quality devices can be designed using this novel and promising transmission line. III. GCPW-TO-ESICL TRANSITION Without a proper transition to a traditional planar line, the usefulness of the ESICL is very limited. Therefore, in this section, a high-quality transition to a GCPW line has been designed [41]. In Fig. 2, a 3-D view of this new transition without covers is shown. In this figure it can be seen that, globally, the transition consists of three different sections. The first section is simply the GCPW feeding line. The second section is also a GCPW line, but, in this case, covered with a dielectric and surrounded by a metallic housing. Finally, the third section is the ESICL itself. In Fig. 3, it can be seen the top and bottom view of the transition for the central substrate layer, which sustains the inner conductor of the coaxial line. In this figure, only the separation between the vias surrounding the coplanar waveguide has been

Fig. 3. GCPW-to-ESICL transition in the central layer. Dark gray is metal covering the substrate. White represents holes emptied in the substrate. Light gray stands for substrate without metallic cover. Black represents the metallization along substrate edges. (a) Top. (b) Bottom.

specified, . The other vias simply shield the structure, and they barely affect the response of the transition. Therefore, it is not necessary to give a fixed value for their separation, . Its actual value depends on the width of the substrate layer for the vertical rows of vias, and the length of the ESICL for the horizontal rows. Nevertheless, is always chosen as close as possible to . In Fig. 4, it is shown the layout of the substrate sheet that is placed above the main substrate layer of Fig. 3. In this layer, the transition is quite simpler. A frame around the hole that defines the coaxial line is necessary in order to provide mechanical stability to the whole structure. Due to this necessary frame, the line that crosses below it, in the central layer, becomes a CGPW covered with dielectric and metal, leading to the second section of the transition. In order to keep the same line impedance in this second section of the transition, the central strip of the covered GCPW is slightly narrower than the central strip of the conventional GCPW feeding line. In Fig. 5, one can see the transition in the lower layer, which is the simplest one. In this case, a frame of dielectric material is also necessary to provide the structure with the necessary mechanical stability. However, in this case, the presence of this external frame has no consequence from the electromagnetic point of view.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. GCPW-to-ESICL transition in the lower layer. Dark gray is metal covering the substrate. White represents holes emptied in the substrate. Black represents the metallization along substrate edges.

DIMENSIONS

FOR THE

TABLE II TRANSITION IN ROGERS 4003C , mm

Fig. 4. GCPW-to-ESICL transition in the upper layer. Dark gray is metal covering the substrate. White represents holes emptied in the substrate. Light gray stands for substrate without metallic cover. Black represents the metallization along substrate edges. (a) Top. (b) Bottom.

Finally, a specific transition has been designed. This transition has been optimized for a Rogers 4003C substrate ( , mm thickness, and m of copper metallization). In this case, all of the layers that form this structure have been implemented using the same substrate, although a cheaper substrate, for example FR-4, could have been used to build the lower layer and the covers. The dimensions of the designed transition can be read in Table II. The procedure followed to design this transition is quite simple. In the first place, the lines are designed separately: the feeding GCPW, the covered GCPW, and the ESICL, so that all of them have the same characteristic impedance ( in this case). The dimensions obtained in this first step are translated to the structure. Then, the transition is optimized with a full 3-D electromagnetic simulator for: , , and , so

Fig. 6. Simulated response with a full-wave 3-D electromagnetic simulator of the designed GCPW-to-ESICL transition.

that the return losses are maximized in the band of interest (in this case from 0 to 20 GHz). In Fig. 6, one can see the simulated response for the transition using a full-wave 3-D electromagnetic software and considering losses. This transition exhibits an excellent response in the band of interest (0–20 GHz) and a really low loss level (0.07 dB at 20 GHz). The ESICL and this new transition are quite easy to fabricate, since only standard printed circuit board (PCB) manufacturing processes are involved in its fabrication, i.e., milling, cutting, drilling, and plating. Thanks to this transition, it has

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BELENGUER et al.: HIGH-PERFORMANCE COPLANAR WAVEGUIDE TO ESICL TRANSITION

4131

Fig. 7. Top view and dimensions for the eight cavity filter in ESICL.

Fig. 9. Custom calibration kit used to de-embed the coaxial connectors from measurements. (a) Thru. (b) Line. (c) Reflect.

Fig. 8. Bandpass filter with shorted stubs.

been possible to completely integrate an actual TEM line (not QTEM) in a planar substrate. Most of the traditional design methods conceived for planar TEM or QTEM lines (microstrip, coplanar, stripline, etc.) are based on the assumption that the line supports the propagation of a pure TEM mode. Therefore, they can be applied to this new transmission line, and, indeed, since this line is actually a TEM line, the results obtained will be even more accurate than the results obtained for QTEM lines. On the other hand, it is necessary to remark that ESICL is a line that exhibits very low losses. Devices implemented in ESICL, mainly those incorporating resonators, will provide very high quality responses, since the ESICL resonators will have very high quality factors. The response of these new ESICL devices will be undoubtedly much better than the responses that could be obtained with any conventional planar line. IV. BANDPASS FILTER In order to show the integration capabilities of the proposed transition, a real device will be fully integrated in a printed circuit board. In parallel, the performance of this real device will be also evaluated, in order to remark the interest of the proposed transition, since it will allow to integrate high-quality and completely shielded devices in a traditional PCB. Specifically, a very wideband filter will be integrated in a Rogers 4003C substrate ( , 0.813 mm thickness, and m of copper metallization). This filter exhibits a fractional bandwidth of 100%, a central frequency, GHz, and a passband ripple of 0.05 dB. In order to design this filter, a traditional configuration for designing wideband bandpass filters with planar lines has been applied [42]. In this configuration, the resonators of the filter have been implemented with shorted stubs of length equal to . These resonators have been coupled through impedance inverters, which have been synthesized with line sections of length equal to (see Figs. 7 and 8).

The dimensions of the filter can be seen in Fig. 7 and Table III. In Fig. 7, one can see that the filter is indeed the direct implementation in ESICL of the filter of Fig. 8. This filter can be analyzed as a closed and empty structure. The size of the problem is electromagnetically small, so that it can be analyzed very fast. In this case, optimization is possible even with a commercial full-wave electromagnetic software. Therefore, it has been possible to tune the response of the filter, which ends up being very close to the response of the filter prototype, as it can be seen in the simulated results of Fig. 12. These results are undoubtedly excellent, since they consider the losses produced by the whole device. These losses are very small, only 0.14 dB at . V. RESULTS In order to experimentally verify the simulated results of the previous sections, the back-to-back configuration for the transition (Fig. 10) and the filter (Fig. 11) has been fabricated. To connect the fabricated prototypes with the vector network analyzer, K coaxial connectors have been used. Since these connectors can degrade the measured results, they have been de-embedded from measurements using a TRL calibration kit. This kit is composed of a GCPW transmission line of 29.4 mm (Line), a shorter transmission line of 26.6 mm (Thru), and a shorted line of 13.3 mm (Reflect). The photographs of these calibration standards are shown in Fig. 9. In Fig. 10, it can be seen a comparison between measurements and the simulated results for the back-to-back of the proposed transition. Although, due to fabrication tolerances, the measured response has deteriorated, the line is still very well integrated in the PCB. Therefore, it can be concluded that the main objective of this work has been accomplished, i.e., the complete integration of the novel ESICL line in a dielectric substrate. In order to determine the extent to which the fabrication tolerances affect the quality of the transition, a yield analysis has been performed using CST Studio Suite 2014. In this analysis, it has been considered that the positions of several key faces of the whole structure admit certain degree of error. In this context, the most sensitive design parameters of the transition are those that affect the impedance of the lines. For example, it has

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 12. Simulated response with CST (FEM solver) and measurements (deembedded to the GCPW) for the eight cavity ESICL filter.

TABLE III DIMENSIONS FOR THE EIGHT CAVITY FILTER IN ESICL

Fig. 10. (a) Simulated response with a full-wave 3-D electromagnetic simulator versus measurements (de-embedded to the GCPW) for the back-to-back of the GCPW-to-ESICL transition. (b) Photograph of the back-to-back.

Fig. 11. (a) Photograph of the manufactured ESICL filter. (b) Detail of the transition which has been used to feed the filter prototype.

been considered that the lateral limits of the central strip, which determine the impedance of the second section of the transition (a covered GCPW), can vary its position following a normal distribution with zero mean (the design position) and a standard deviation of 25 microns (the typical error we have observed for milled geometries). Other sensible faces in this design have been included in the yield analysis: the outer walls that laterally close the ESICL with a standard deviation of 50 microns (cutters are less precise than mills), the lateral walls of the internal conductor of the ESICL with a standard deviation of 50 microns, the upper and lower walls of the inner conductor of the ESICL with a standard deviation of 50 micros, and finally the position of the upper and lower walls that close the ESICL

(the total height of the line) with a standard deviation of 100 microns, since the actual height of the line is affected by many factors: the actual height of the substrates, the actual metallization depth, and imperfections that prevent the different layers to match perfectly. The results of the yield analysis show that: 99.9% of the back-to-back realizations will exhibit return losses greater than 10 dB, 96.7% will exhibit return losses greater than 15 dB, and 79.1% will exhibit return losses greater than 20 dB. Of course, this is a very complex design and it is impossible to perform a full yield analysis considering all the possible errors, but, since the selected dimensions are the most critical ones, the results given by this analysis could be considered a very good approximation of the fabrication process performance. Fig. 11(a) shows a photograph of the filter prototype without the upper cover. Fig. 11(b) shows a detailed view of one of the transitions that feed the aforementioned prototype. Finally, in Fig. 12, one can see a comparison between simulations and measurements. In Fig. 12, both results, experimental and simulated, exhibit a high degree of coincidence, although, again, the adaptation is slightly deteriorated in measurements. Results, simulations without considering the GCPW-to-ESICL transitions, and measurements including both transitions, prove that the new transition barely affects the response of the filter, i.e., both show very similar levels of insertion loss. This fact validates again the transition, and confirms that the main objective of this work has been accomplished, since these new ESICL devices can be easily integrated in a traditional PCB. VI. CONCLUSIONS The ESICL is a novel structure that can be entirely fabricated with standard dielectric substrate layers, and exclusively using PCB standard manufacturing procedures. This structure shows very interesting properties, i.e., low-loss, nondispersion, immunity to interferences or cross-talk, etc., which makes it

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. BELENGUER et al.: HIGH-PERFORMANCE COPLANAR WAVEGUIDE TO ESICL TRANSITION

very attractive for developing high-quality passive or active devices. In this paper, for the first time, a high-performance transition from a traditional planar transmission line, a GCPW, to the novel ESICL has been designed. As a result, the promising ESICL has been, for the first time, successfully and truly integrated in a planar substrate. In order to illustrate this fact, a wide band (100% fractional bandwidth) bandpass eight cavity filter in ESICL has been designed and, using this new transition, integrated in a planar substrate. The filter exhibits a very high quality response in the whole band of interest, with measured insertion losses of 0.23 dB at 11.15 GHz (considering both feeding GCPW-to-ESICL transitions). The results presented in this paper are very promising, and open a wide range of possibilities to develop high-quality PCB-integrated devices in ESICL exhibiting: very low losses, wide working bandwidths, high stability, and immunity to interferences, cross-talk, and dispersion. REFERENCES [1] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [2] D.-D. Zhang, L. Zhou, L.-S. Wu, L.-F. Qiu, W.-Y. Yin, and J.-F. Mao, “Novel bandpass filters by using cavity-loaded dielectric resonators in a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1173–1182, May 2014. [3] P. Chu, W. Hong, L. Dai, H. Tang, J. Chen, Z. Hao, X. Zhu, and K. Wu, “A planar bandpass filter implemented with a hybrid structure of substrate integrated waveguide and coplanar waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 2, pp. 266–274, Feb. 2014. [4] S. W. Wong, K. Wang, Z.-N. Chen, and Q.-X. Chu, “Design of millimeter-wave bandpass filter using electric coupling of substrate integrated waveguide (SIW),” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 1, pp. 26–28, Jan. 2014. [5] S. Sirci, J. Martinez, and V. Boria, “Low-loss 3-bit tunable SIW filter with PIN diodes and integrated bias network,” in Proc. Eur. Microwave Conf. (EuMC), Oct. 2013, pp. 1211–1214. [6] L. Xia, J. Xie, and G. Hua, “Design of a novel structure SIW filter,” in IEEE MTT-S Int. Microwave Workshop Series on Millimeter Wave Wireless Technol. and Applicant. (IMWS), Sep. 2012, pp. 1–4. [7] F. Mira, J. Mateu, S. Cogollos, and V. Boria, “Design of ultra-wideband substrate integrated waveguide (SIW) filters in zigzag topology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 281–283, May 2009. [8] X.-P. Chen, W. Hong, T. Cui, J. Chen, and K. Wu, “Substrate integrated waveguide (SIW) linear phase filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 787–789, Nov. 2005. [9] H. J. Tang, W. Hong, Z. C. Hao, J. X. Chen, and K. Wu, “Optimal design of compact millimetre-wave SIW circular cavity filters,” Electron. Lett., vol. 41, no. 19, pp. 1068–1069, Sep. 2005. [10] Z.-C. Hao, W. Hong, J.-X. Chen, X.-P. Chen, and K. Wu, “Compact super-wide bandpass substrate integrated waveguide (SIW) filters,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 2968–2977, Sep. 2005. [11] T. Y. Yang, W. Hong, and Y. Zhang, “Wideband millimeter-wave substrate integrated waveguide cavity-backed rectangular patch antenna,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 205–208, 2014. [12] W. Han, F. Yang, and H. Zhou, “Slotted substrate integrated cavity antenna using TE330 mode with low profile and high gain,” Electron. Lett., vol. 50, no. 7, pp. 488–490, Mar. 2014. [13] H. Zhou and F. Aryanfar, “Millimeter-wave open ended SIW antenna with wide beam coverage,” in Proc. IEEE Antennas and Propagation Soc. Int. Symp.(APSURSI), Jul. 2013, pp. 658–659. [14] L.-R. Tan, R.-X. Wu, C.-Y. Wang, and Y. Poo, “Magnetically tunable ferrite loaded SIW antenna,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 273–275, 2013. [15] J. Liu, D. Jackson, and Y. Long, “Substrate integrated waveguide (SIW) leaky-wave antenna with transverse slots,” IEEE Trans. Antennas Propag., vol. 60, no. 1, pp. 20–29, Jan. 2012. [16] H. Hizan, I. Hunter, and A. Abunjaileh, “Integrated SIW filter and microstrip antenna,” in Proc. Eur. Microwave Conf. (EuMC), Sep. 2010, pp. 184–187.

4133

[17] E. Diaz, A. Belenguer, H. Esteban, O. Monerris-Belda, and V. Boria, “A novel transition from microstrip to a substrate integrated waveguide with higher characteristic impedance,” in IEEE MTT-S Int. Microwave Symp. Dig., 2013, pp. 1–4. [18] E. Diaz Caballero, A. Belenguer, H. Esteban, and V. Boria, “Thru-reflect-line calibration for substrate integrated waveguide devices with tapered microstrip transitions,” Electron. Lett., vol. 49, no. 2, pp. 132–133, Jan. 2013. [19] D. Deslandes, “Design equations for tapered microstrip-to-substrate integrated waveguide transitions,” in IEEE MTT-S Int. Microwave Sym. Dig., 2010, pp. 704–707. [20] J. Hui, W. Feng, and W. Che, “Balun bandpass filter based on multilayer substrate integrated waveguide power divider,” Electron. Lett., vol. 48, no. 10, pp. 571–573, May 2012. [21] Z.-Y. Zhang and K. Wu, “A broadband substrate integrated waveguide (SIW) planar balun,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 843–845, Dec. 2007. [22] A. Ali, H. Aubert, N. Fonseca, and F. Coccetti, “Wideband two-layer SIW coupler: Design and experiment,” Electron. Lett., vol. 45, no. 13, pp. 687–689, Jun. 2009. [23] A. Patrovsky, M. Daigle, and K. Wu, “Coupling mechanism in hybrid SIW-CPW forward couplers for millimeter-wave substrate integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 11, pp. 2594–2601, Nov. 2008. [24] T. Djerafi and K. Wu, “Super-compact substrate integrated waveguide cruciform directional coupler,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 11, pp. 757–759, Nov. 2007. [25] B. Liu, W. Hong, Y.-Q. Wang, Q.-H. Lai, and K. Wu, “Half mode substrate integrated waveguide (HMSIW) 3-dB coupler,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 22–24, Jan. 2007. [26] F. Xu and K. Wu, “Substrate integrated nonradiative dielectric waveguide structures directly fabricated on printed circuit boards and metallized dielectric layers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3076–3086, Dec. 2011. [27] W. Hong, B. Liu, Y. Wang, Q. Lai, H. Tang, X. X. Yin, Y. D. Dong, Y. Zhang, and K. Wu, “Half mode substrate integrated waveguide: A new guided wave structure for microwave and millimeter wave application,” in Proc. Joint 31st Int. Conf. Infrared Millimeter Waves and 14th Int. Conf. Terahertz Electronics (IRMMW-THz), Sep. 2006, p. 219. [28] Y. Cassivi and K. Wu, “Substrate integrated nonradiative dielectric waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 89–91, 2004. [29] D. Deslandes, M. Bozzi, P. Arcioni, and K. Wu, “Substrate integrated slab waveguide (SISW) for wideband microwave applications,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, vol. 2, pp. 1103–1106. [30] F. Gatti, M. Bozzi, L. Perregrini, K. Wu, and R. Bosisio, “A novel substrate integrated coaxial line (SICL) for wide-band applications,” in Proc. 36th Eur. Microwave Conf., Sep. 2006, pp. 1614–1617. [31] P. Chu, W. Hong, L. Dai, H. Tang, Z. Hao, J. Chen, and K. Wu, “Wide stopband bandpass filter implemented with spur stepped impedance resonator and substrate integrated coaxial line technology,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 4, pp. 218–220, Apr. 2014. [32] P. Chu, W. Hong, J. X. Chen, and H. J. Tang, “A miniaturized bandpass filter implemented with substrate integrated coaxial line,” Microw. Opt. Technol. Lett., vol. 55, no. 1, pp. 131–132, Jan. 2013. [33] S. Jun-Yu, L. Qiang, W. Yong-Le, L. Yuan-An, L. Shu-Lan, Y. Cui-Ping, and L. Gan, “High-directivity single- and dual-band directional couplers based on substrate integrated coaxial line technology,” in IEEE MTT-S Int. Microwave Symp. Dig. (IMS), Jun. 2013, pp. 1–4. [34] W. Liang and W. Hong, “Substrate integrated coaxial line 3 dB coupler,” Electron. Lett., vol. 48, no. 1, pp. 35–36, Jan. 2012. [35] F. Zhu, W. Hong, J.-X. Chen, and K. Wu, “Ultra-wideband single and dual baluns based on substrate integrated coaxial line technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3062–3070, Oct. 2012. [36] F. Gatti, M. Bozzi, L. Perregrini, K. Wu, and R. Bosisio, “A new wide-band six-port junction based on substrate integrated coaxial line (SICL) technology,” in Proc. IEEE Mediterranean Electrotechnical Conf. (MELECON), May 2006, pp. 367–370. [37] A. Belenguer, H. Esteban, and V. Boria, “Novel empty substrate integrated waveguide for high-performance microwave integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 832–839, Apr. 2014. [38] N. Jastram and D. Filipovic, “PCB-based prototyping of 3-D micromachined RF subsystems,” IEEE Trans. Antennas Propag., vol. 62, no. 1, pp. 420–429, Jan. 2014.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[39] D. M. Pozar, Microwave Engineering, 2nd ed. Hoboken, NJ, USA: Wiley, 2005. [40] X.-C. Zhu, W. Hong, K. Wu, K.-D. Wang, L.-S Li, Z.-C. Hao, H.-J. Tang, and J.-X. Chen, “Accurate characterization of attenuation constants of substrate integrated waveguide using resonator method,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 677–679, Dec. 2013. [41] A. Belenguer, A. L. Borja, H. Esteban, and V. E. Boria, “Estructura de transición de dos líneas de transmisión de señal en PCB,” Patent Application ES Application No 201530408 03 27, 2015. [42] J.-S Hong and M. Lancaster, Microstrip Filters for RF/Microwave Applications, ser. Wiley in microwave and optical engineering. Hoboken, NJ, USA: Wiley, 2001. Angel Belenguer (M'04–SM'14) received the degree in telecommunications engineering and the Ph.D. degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2000, and 2009, respectively. He joined the Universidad de Castilla-La Mancha in 2000, where he is now Profesor Titular de Universidad in the Departamento de Ingenieria Electrica, Electronica, Automatica y Comunicaciones. He has authored or coauthored more than 50 papers in peerreviewed international journals and conference proceedings and frequently acts as a reviewer for several international technical publications. His research interests include methods in the frequency domain for the full-wave analysis of open-space and guided multiple scattering problems, the application of accelerated solvers or solving strategies (like grouping) to new problems or structures, electromagnetic metamaterials, and substrate-integrated waveguide devices and their applications.

Alejandro L. Borja (M'15) received the M.Sc. degree in telecommunication engineering and the Ph.D. degree from the Universidad Politecnica de Valencia, Valencia, Spain, in 2004 and 2009, respectively. From 2005 to 2006, he was with the University of Birmingham, Birmingham, U.K. From 2007 to 2008, he was with the Universite de Lille 1, Lille, France. Since 2009, he has been with the Universidad de Castilla-La Mancha, Spain, where he is an Assistant Lecturer. He has published more than 50 papers in peer-reviewed international journals and conference proceedings, and frequently acts as a reviewer for several technical publications. In 2012, he served as a Lead Guest Editor for a special issue of the International Journal of Antennas and Propagation. His research interests include electromagnetic metamaterials, substrate-integrated waveguides, and reconfigurable devices and their applications in microwave and millimetric bands. Dr. Borja was the recipient of the 2008 Computer Simulation Technology short paper award.

Héctor Esteban González (S'03–M'99–SM'14) received the degree in telecommunications engineering from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 1996, and the Ph.D. degree in 2002. He worked with the Joint Research Centre, European Commission, Ispra, Italy. In 1997, he was with the European Topic Centre on Soil (European Environment Agency). He rejoined the UPV in 1998. His research interests include methods for the full- wave analysis of open-space and guided multiple-scattering problems, CAD design of microwave devices, electromagnetic characterization of dielectric and magnetic bodies, and the acceleration of electromagnetic analysis methods using the wavelets and the FMM.

Vicente E. Boria (S'91–A'99–SM'02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and the Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993 he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where he has been Full Professor since 2003. In 1995 and 1996, he was holding a Spanish Trainee position with the European Space Research and Technology Centre, European Space Agency (ESTEC-ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic analysis and design of passive waveguide devices. He has authored or coauthored seven chapters in technical textbooks, 75 papers in refereed international technical journals, and over 150 papers in international conference proceedings. His current research interests are focused on the analysis and automated design of passive components, left-handed and periodic structures, as well as on the simulation and measurement of power effects in passive waveguide systems. Dr. Boria has been a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He is member of the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, Proceeding of the IET (Microwaves, Antennas and Propagation), IET Electronics Letters, and Radio Science. Since 2013, he has served as Associate Editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE-MTT International Microwave Symposium and of the European Microwave Conference.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4035

Design and Validation of Microstrip Gap Waveguides and Their Transitions to Rectangular Waveguide, for Millimeter-Wave Applications Astrid Algaba Brazález, Eva Rajo-Iglesias, Senior Member, IEEE, José Luis Vázquez-Roy, Member, IEEE, Abbas Vosoogh, and Per-Simon Kildal, Fellow, IEEE

Abstract—The paper describes the design methodology, experimental validation, and practical considerations of two millimeter-wave wideband vertical transitions from two gap waveguide versions (inverted microstrip gap waveguide, and microstrip packaged by using gap waveguide) to standard WR-15 rectangular waveguide. The experimental results show smaller than 10 dB over relative bandwidths larger than 25% and 26.6% when Rogers RO3003 and RO4003 materials are used, respectively. The vertical transition from standard microstrip line packaged by a lid of pins to WR-15 shows measured return loss better than 15 dB over 13.8% relative bandwidth. The new transitions can be used as interfaces between gap waveguide feed networks for 60-GHz antenna systems, testing equipment (like vector network analyzers), and components with WR-15 ports, such as transmitting–receiving amplifiers. Moreover, the paper documents the losses of different gap waveguide prototypes compared with unpackaged microstrip line and substrate integrated waveguide (SIW). This investigation shows that in -band, the lowest losses are achieved with inverted microstrip gap waveguide. Index Terms—Artificial magnetic conductor (AMC), dissipation loss, feed network, gap waveguide, microstrip, millimeter waves, packaging, perfect magnetic conductor (PMC), rectangular waveguide, transition.

I. INTRODUCTION

T

HERE is a growing amount of applications at the millimeter-wave frequency band (30–300 GHz), such as high-data-rate wireless communications (operating over the unlicensed frequency band between 57 and 64 GHz) and automotive radar systems (which operate from 76 to 81 GHz). This has motivated the need for developing new technologies suitable to cost effectively fulfill the stricter tolerance requirements at these frequencies. Manuscript received March 07, 2015; revised July 06, 2015; accepted October 04, 2015. Date of publication November 06, 2015; date of current version December 02, 2015. This work was supported by the next 4 parties: the Swedish Research Council VR, by the Swedish Governmental Agency for Innovation Systems VINNOVA via a project within the VINN Excellence center Chase, the European Research Council (ERC) via the advanced investigator grant ERC-2012-ADG-20120216, and the Spanish Government under project TEC2013-44019-R. A. A. Brazález, A. Vosoogh and P.-S. Kildal are with the Department of Signals and Systems, Chalmers University of Technology, Göteborg SE-412 96, Sweden (e-mail: [email protected]; [email protected]). E. Rajo-Iglesias and J.-L. Vázquez-Roy are with the Department of Signal Theory and Communication, University Carlos III of Madrid, Madrid 28911, Spain. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495141

Common planar circuit technologies such as microstrip or coplanar waveguide (CPW) are typically used at millimeterwave frequencies for integration of active and passive components and for designing millimeter-wave planar array antennas. A low-cost, lightweight, compact profile and easy manufacturing are the key factors that make planar structures attractive for high-frequency applications. However, they experience high conductive and dielectric losses, radiation leakage, and the presence of surface waves [1]. The losses become especially critical when designing microstrip feed networks [2]. The consequences of all these limitations are a considerable reduction in the gain and antenna efficiency, as well as high sidelobes. On the other hand, hollow waveguide slot arrays show low loss, high gain, and high radiation efficiency. Still, the manufacturing of multilayer waveguide distribution feed networks [3], [4] and the integration of active and passive components becomes very challenging as the operating frequency increases. The reason is the severe tolerances requirements to ensure good conducting joints between the split metal blocks composing the waveguide. Substrate integrated waveguide (SIW) constitutes a planar printed circuit board (PCB) solution by which the substrate has ground planes on both sides, and waveguides are formed between rows of metalized via holes embedded in the substrate [5], [6]. SIW has electromagnetic characteristics similar to standard hollow waveguides, and at the same time, preserving the advantages of PCB technology. An extensive amount of research has been done during the past ten years on the design of passive components and antennas in SIW technology [7]–[9]. However, SIWs suffer from the disadvantage of higher loss than hollow waveguides due to the unavoidable loss tangent of the dielectric. Low loss substrates can become expensive, and they are often mechanically soft, which will increase the cost of providing via holes when mass-produced. Moreover, the design of SIW components may become a complex process due to the need of finetuning of the placement of the large amount of via holes present in the SIW circuits. A new guiding structure called gap waveguide was proposed to overcome the above-mentioned limitations of the traditional technologies used at millimeter-wave frequencies (microstrip, standard waveguides, and SIW). The theoretical background of the gap waveguide technology can be found in [10]–[13], and the verification by measurements of a first ridge gap -band was presented waveguide demonstrator operating in in [14]. The gap waveguide approach is able to merge the benefits of the traditional millimeter-wave technologies. First,

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

it has low losses [15] because dielectric material is not really needed. In addition, the fields are strictly confined within the air gap, thereby allowing for an increase of the line dimensions, resulting in a reduction of the conductive losses. Another relevant factor is that the gap structure is properly packaged by itself, since the employed periodic structure together with the smooth metal plate removes any possible leakage. Furthermore, the non-conductive assembly of the two metal plates of gap prototypes makes them more flexible and cost-effective to manufacture than hollow waveguides. The integration of active RF parts, passive components, and antennas in the same gap waveguide millimeter-wave module is our overall goal. This integration requires compatibility towards both planar structures (microstrip, CPW) and rectangular waveguides. The reason is that monolithic microwave integrated circuits (MMICs) are substrate-based and their inputs–outputs are typically 50- planar transmission lines. Some good transitions to microstrip–CPW have been already studied in order to integrate MMIC chips into gap waveguides [16]–[18]. On the other hand, millimeter-wave measurement equipment is usually standardized to rectangular waveguides and CPW, the latter for ground–signal–ground probe stations. In [17], a vialess air-bridge transition from CPW to ridge gap waveguide operating at 100 GHz was proposed, showing a return loss smaller than 15 dB over almost 10% bandwidth. In the present paper, we introduce two wideband and compact gap waveguide transitions to standard WR-15 rectangular waveguide operating in the 60-GHz band. Sections II–VI deal with a first transition design that interconnects an inverted microstrip gap waveguide to WR-15. Sections VII–VIII introduce the geometry of a transition from microstrip packaged by a lid of nails, to a WR-15. Section IX presents a millimeter-wave comparative study of loss of the two gap waveguide versions mentioned before. We also include two additional cases in the comparison: an unpackaged microstrip line and a substrate integrated waveguide, both operating in the 60-GHz band. II. INVERTED MICROSTRIP GAP WAVEGUIDE The inverted microstrip gap waveguide technology is based on the presence of a thin substrate that lies over a periodic pin pattern, i.e., a bed of nails [19], [20]. This bed of nails constitutes an artificial magnetic conductor (AMC) material, which, combined with a smooth metal plate, defines a cutoff of all parallel-plate modes and surface waves within an air gap (the distance between the bed of nails and the metal plate, which should be smaller than quarter wavelength). Thereby, all waves are prohibited also in the presence of the dielectric layer. Only local waves are allowed to propagate along strips etched on this substrate. The suppression of parallel-plate modes and surface waves is ensured within a certain frequency band referred to as a stopband [21]. Fig. 1 shows the basic layout of the inverted microstrip gap waveguide. Since our transition needs to cover the whole unlicensed 60-GHz frequency band, the pin dimensions of the inverted microstrip gap waveguide have been suitably chosen to reach a stopband that includes as much as possible of the -band. These dimensions are described in Table I, and Fig. 2(a) shows the corresponding dispersion diagram for the infinite unit cell

Fig. 1. Basic geometry of the inverted microstrip gap waveguide.

without metal strip on the printed circuit board (PCB), whereas Fig. 2(b) illustrates the resulting dispersion diagram for the infinite unit cell considering a metal strip on the top side of the PCB. The dispersion diagram has been obtained by using the Eigenmode solver of CST Microwave Studio. The considered substrate material is Rogers RO3003 with permittivity , loss tangent (specifications are at 10 GHz according to Rogers material data sheet), and thickness 0.25 mm. Fig. 2(a) shows that there is a parallel-plate stopband between 50.55 and 75 GHz, and Fig. 2(b) illustrates that there is a single propagating mode between 52.4 and 68 GHz, which involves the whole 60-GHz frequency band. This is the desired quasi-TEM mode following the strip. The stopband of the parallel-plate modes appears between 50.55 and 75 GHz. The mode appearing at 68 GHz is discussed in more detail in Section IV. The inverted microstrip gap waveguide technology constitutes an attractive alternative to standard microstrip, especially for designing corporate feed-networks of horn/slot antenna array systems [22]. A critical obstacle so far has been the absence of good transitions that allow connection of the inverted microstrip gap prototypes to measurement equipment at millimeter-wave frequencies. A previous transition investigation [23] shows a wideband behavior, but the rectangular waveguide opening extents vertically upwards from the top side of the PCB. The best option for antenna applications would be to use vertical transitions that extent downwards. This means that the rectangular waveguide opening is placed on the bottom side of the PCB, in a similar way as the transition from microstrip ridge gap waveguide to WR-15 presented in [24] used for the gap waveguide feed-network in [25]. In this way, the rectangular waveguide opening is located on the opposite plate compared to that of the radiating elements of the array, and we can allow connection to the transmitting or receiving amplifiers from the back-side of the PCB without affecting the antenna radiation pattern. Reference [26] presents a preliminary downwards transition from inverted microstrip gap waveguide to WR-8, but the performance shows a limited bandwidth (return loss is better than 15 dB over 10.5%). In the next section we will present the design of a new transition that ensures much better compatibility between inverted microstrip gap waveguide and WR-15. III. INVERTED MICROSTRIP GAP WAVEGUIDE TO WR-15 TRANSITION DESIGN We can distinguish between three main types of transitions: 1) inline transitions (positioned along propagation direction of the waveguide) [27], [28];

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

DIMENSIONS

TABLE I GAP WAVEGUIDE LAYER (REFERRED UNIT CELL SHOWN IN FIG. 2)

OF

TO

TABLE II DIMENSIONS OF PCB LAYER (REFERRED LAYOUT SHOWN IN FIGS. 3 AND 4)

4037

TO

Fig. 3. Transition geometry (top view). Substrate is hidden to allow visualization of rectangular waveguide opening details.

Fig. 2. (a) Dispersion diagram for the corresponding infinite periodic unit cell without metal strip. (b) Dispersion diagram for the corresponding infinite periodic unit cell including metal strip on the top of the PCB.

2) vertical transitions (placed transversally to propagation direction of the waveguide) [29]–[32]; 3) aperture-coupled patch transitions: the field/impedance matching is achieved via a resonant patch through an aperture in the ground plane of the transmission line. This usually constitutes a subtype within the vertical transitions group [33], [34].

In [33] and [34], vertical transitions from standard microstrip to rectangular waveguide based on type 3 were described. The main issue of this type of transition is the potential leakage of parallel-plate modes into the substrate due to the presence of different parallel metal layers. The only way to avoid these modes is by adding via holes, which means an increase in the design complexity and manufacturing cost. These metalized via holes are also needed to create the extension of the waveguide walls into the PCB. In this section, we present a wideband vertical transition between inverted microstrip gap waveguide and WR-15. The principle of operation of the here proposed gap waveguide transition is based on well-known -plane probe transitions that have been widely employed to interconnect microstrip lines with rectangular waveguides [29]–[32]. In our design, there is no need to add any via holes to avoid parallel-plate or higher order modes. The reason for this is that any possible excitation of these modes is eliminated by the gap waveguide itself. Moreover, the transition is easily integrated into the rectangular waveguide, and no complex modifications or holes in the walls of the waveguide are needed in order to ensure such integration. The transition presented in [29] shows a small hole in the broad wall of the waveguide that allows the insertion of a microstrip probe. The transition comprises two main parts. First, a PCB is positioned over a bed of pins, and it contains a 50- feeding line terminated by a two-step tapered matching circuit. These tapered-

4038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. (a) Cross section of complete transition geometry. (b) Cavity backshort placed on top metal lid above PCB and opposite to the rectangular waveguide opening (substrate material is hidden to visualize position of strips with respect to cavity backshort).

line sections act as an impedance transformer and are properly placed over the rectangular waveguide opening. A parametric sweep of the position and dimensions of the matching circuit has been carried out in order to achieve optimum return loss within the frequency band under interest. The layout of the transition circuit is shown on Fig. 3, and all parameter values are specified in Table II. On the other hand, the transition geometry is complemented by adding a cavity backshort on the upper metal lid (see cross section of the complete transition geometry illustrated in Fig. 4). Theoretically, this cavity should be from the inverted microstrip placed at a distance equal to probe in order to establish an open boundary condition on the PCB plane. In this way, we force the quasi-TEM fields of the inverted microstrip gap waveguide to propagate down into the mode of WR-15. waveguide and match the fundamental The backshort is positioned opposite to the rectangular waveguide opening, and its transversal dimensions are the same as those of the standard WR-15. The distance between the backand then tuned in short and the substrate was initially set as order to compensate the reactance introduced by the two-step matching probe and optimize the transition behavior. Hereby, the backshort together with the tapered line contained in the PCB contributes to provide field matching as well as impedance matching over a wide bandwidth. IV. SIMULATED RESULTS AND LOSSES IN INVERTED MICROSTRIP GAP WAVEGUIDE This section presents simulation results of three prototypes. First, a transition between inverted microstrip gap waveguide and WR-15 in single configuration (see Fig. 5) is numerically analyzed. Afterwards, a 10-cm (approximately 20 wavelengths) straight inverted microstrip gap waveguide, and another inverted gap prototype that includes two 90 bends, are studied. The second and third prototypes contain the transitions proposed in Section III placed at both sides of a 50- feeding line, i.e., constituting two back-to-back transition configurations. The complete analyzed back-to-back geometries are shown in Fig. 6. The simulations of the -parameters are carried out by

Fig. 5. Simulated single transition from inverted microstrip gap waveguide to rectangular waveguide, with distinction of all different components of the structure.

Fig. 6. Simulated inverted microstrip gap waveguide prototypes (top metal plate containing cavity backshorts is hidden in order to allow visualization of PCB details): (a) straight 10-cm line and (b) line with 90 bends.

using CST Microwave Studio. We should point out that the substrate material employed in our designs is Rogers RO3003, as was mentioned in Section II. In the Rogers Corporation at 10 GHz is given. datasheet, a loss tangent of However, no data of loss tangent as a function of frequency is provided. We did some investigations in order to find further dielectric specifications of Rogers RO3003 material at higher frequencies. In [35], dielectric constant and loss tangent as a function of frequency for different materials are presented. It is stated that the loss tangent of Rogers RO3003 remains below 0.003 between 30 and 67 GHz. Thereby, we have considered this data in the simulations of our -band transitions. The resulted -parameters are illustrated in Fig. 7 for the single configuration case and in Fig. 8 for back-to-back structures. parameter, the mismatch factor In the represented ) has been removed in order to just visualize the ( dissipative contribution of the loss that results from conductive, dielectric, and radiation losses. We can also assume that radiation loss is very small since the gap prototypes are properly packaged and any possible radiation due to discontinuities is

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

Fig. 7. Simulated -parameters of a single transition between inverted microstrip gap waveguide and WR-15.

Fig. 8. Simulated -parameters of two back-to-back configurations: (a) straight 10-cm geometry and (b) prototype with bends.

reduced to a minimum. The expression of dissipation loss is thereby Loss(dB)

(1)

4039

Fig. 9. Transmission coefficient without mismatch factor contribution of a 10-cm-long inverted microstrip gap waveguide (it includes transitions at both sides of the line).

We should point out that in all the plots shown in this paper, parameter the mismatch factor has been removed from the in this way. Simulated results of -parameters for the transition in single configuration (see Fig. 6) show that return loss is larger than 15 dB from 55 to 70.5 GHz, which implies approximately 24.7% relative bandwidth. The dissipation loss attributed to a single transition is smaller than 0.5 dB over the same bandwidth. The simulated reflection coefficient of the back-to-back configuration represented in Fig. 8(a) remains below 10 dB from 53.75 to 70.7 GHz, which means 27.2% relative bandwidth. In the simulated -parameters of the gap prototype with two 90 bends [see Fig. 8(b)], we can appreciate that return loss is larger than 10 dB between 54 and 68.5 GHz (i.e., 23.7% relative bandwidth). The upper limit of the stopband shows up at lower frequency (at around 68.5 GHz) than in the straight inverted microstrip gap waveguide case. The upper limit of the single-mode frequency band can be identified as the frequency at which resonance peaks start to appear. The dispersion diagram presented in Fig. 2(b) establishes a single-mode band between 52.4 and 68 GHz. This dispersion diagram shows a second strip mode appearing at around 68 GHz. This mode shows by further investigations to be asymmetric and causes the ripples of the simulated -parameters of the line with two bends shown in Fig. 8(b). These ripples do not appear in the straight back-to-back line [simulated results presented in Fig. 8(a)] because this geometry is symmetric. In spite of this second mode appearing at around 68.5 GHz, the transition covers the whole unlicensed 60-GHz band with wide margins. Dissipation loss for the back-to-back structures is smaller than 1.9 dB over the previously mentioned bandwidths. The corresponding dissipation loss value at 60 GHz is about 1.3 dB for both prototypes. Fig. 9 shows the simulated dissipation coefficient, i.e., transmission coefficient without the contribution due to the mismatch factor, of the 10-cm straight back-to-back inverted microstrip gap waveguide when considering the combination of different metal and dielectric materials (lossless or lossy materials). The

4040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

purpose of this study is to examine which is the main contributor to the overall loss of the inverted microstrip gap waveguide. As we can appreciate in Fig. 9, the best case occurs when the conductor material is set as perfect electric conductor (PEC) and the dielectric material is chosen as lossless Rogers RO3003, i.e., the loss tangent is modeled as zero. When the gap waveguide layer is modeled as real silver, and the metal strips of the PCB remain as PEC and the dielectric is lossless, the loss increases around 0.4 dB at 60 GHz. If all metallic parts are real (gap layers are silver and metal strips on the PCB are modeled as copper) and the dielectric material is still considered as lossless, the loss increases by an additional 0.43 dB at 60 GHz. The total loss in the previous situation is larger than if we consider PEC and lossy Rogers RO3003 (setting the real value of loss tangent) where the increase in the dissipation loss with respect to the ideal case is around 0.53 dB. Therefore, we can conclude that the main contributor to the losses of the inverted microstrip gap waveguide is the conductive loss. V. MEASURED RESULTS In order to experimentally validate the transition geometry introduced in Section III, two back-to-back structures as the ones shown in Section IV have been manufactured and measured. The mechanical drawings of the straight inverted microstrip gap waveguide are presented in Fig. 10. These drawings are analogous for the prototype with bends. The fabrication of the pin patterns to compose the gap waveguide interface has been realized by using metallic posts created by a computer numerical control (CNC) milling machine. The rectangular waveguide openings needed to fix the WR-15 flanges on the measurement setup and cavity backshorts are milled out from the lower and upper metal plates, respectively. The different building metal blocks are fabricated on brass and are silver-plated afterwards. On the other hand, the PCB is manufactured by standard etching. The ground plane of the PCB is removed, and all metal strips are made of copper. The mechanical assembling of the complete structure is done by using two guiding pins and six screws. Therefore, the prototypes are easy to assemble and disassemble, and no extra gluing or soldering is needed to hold all the different parts of the geometry together. The required air gap is established by adding two metal rectangular steps of thickness equal to 0.25 mm on the upper metal layer. Measurements were realized by employing a HP8510C vector network analyzer (VNA) and two -band HP V85104A testset modules. A standard thru-reflect-line (TRL) calibration was performed in order to extract the effect of the connectors and be able to move the measurement reference plane to the WR-15 opening created in the lower metal plate of the fabricated gap waveguides. Photographs of the measurement set up and the two manufactured prototypes are shown in Fig. 11(a), (b), and (c), respectively. Fig. 12 presents a comparison between simulations and measurements of -parameters for the straight 10-cm-long inverted microstrip gap waveguide. We can observe that there exists a big shift in frequency of the transition performance (around 7.5 GHz at lower frequencies and 2.5 GHz at higher frequencies). Measured return loss is about 5 dB worse in most of the band with respect to simulations, but there is good agreement regarding response in Fig. 13), showing dissipation loss (see zoomed

Fig. 10. Mechanical drawings of the manufactured gap waveguide prototypes including back-to-back transitions.

Fig. 11. (a) Measurement equipment, (b) 10-cm straight inverted microstrip gap waveguide prototype, and (c) inverted microstrip gap waveguide with bends.

only around 0.17 dB of discrepancy that can be originated from mechanical and assembling tolerances. These measurement results show that dissipation loss is smaller than 2 dB over 19% relative bandwidth, whilst return loss is better than 10 dB over the mentioned bandwidth. The shift in frequency and the degradation of the transition performance are limitations that need to be explained. After some investigations in terms of manufacturing and assembling tolerances, we found that the substrate material (Rogers RO3003) is mechanically too soft and therefore bends easily. A consequence of this is that the PCB does not remain rigidly supported over the bed of pins, and there are some points in

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

4041

Fig. 12. Simulated and measured -parameters of back-to-back transition between inverted microstrip gap waveguide and WR-15 (straight gap waveguide prototype by using Rogers RO3003 as substrate material).

Fig. 15. (a) 10-cm straight inverted microstrip gap waveguide prototype with foam attached to the top metal lid (zoomed area shows the section cut out from the foam), and (b) simulations versus measurements of -parameters of backto-back straight inverted microstrip gap waveguide to WR-15 transition (Rogers RO3003 as substrate and Rohacell HERO71 foam attached to top metal lid). (straight gap waveguide Fig. 13. Zoomed view of simulated and measured prototype with Rogers RO3003 as substrate material).

Fig. 14. Sketch of inverted microstrip gap waveguide showing a curved dielectric profile.

which the pins do not have a good contact with the substrate (see Fig. 14). We verified by simulations that such non-touching pins become electrically shorter, and thereby the stopband in Fig. 2 shifts towards higher frequencies. As a result, unwanted parallel-plate modes appear at frequencies where the transition is supposed to work well according to our simulated results. This “non-contact effect” between pins and PCB will be demonstrated by simulations in Section VI. This effect constitutes a critical limitation for the inverted microstrip gap waveguide technology, but different alternative so-

lutions can help to mitigate it. One option is to employ more rigid dielectrics such as Rogers RO4003, at the expense of some additional loss. A second alternative is to fill up the gap with thin foam material in order to push the PCB down and thereby ensure good contact with the pins. However, the foam may add loss as well, and we found that foam suppliers do not provide dielectric specifications for millimeter-wave frequencies. Another solution would be to estimate the non-contact effect in advance and design the inverted microstrip gap waveguide with longer pins. In this way, we will still get a shift in frequency, but we will cover the desired frequency band. We have performed new measurements of the prototypes by considering the first two alternatives. First, a thin Rohacell HERO71 foam of thickness equal to 0.22 mm is tested to fill the air gap. Dielectric properties of this foam are not available, so we assume that it is similar to Rohacell HF71 since the chemical natures of HF and HERO are the same according to the supplier. The permittivity is , both specified and loss tangent at 26.5 GHz according to the data sheet of HF71 foam. We performed new measurements by filling the gap with the men-

4042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

tioned foam. Fig. 15(a) shows how the foam is placed in the top metal lid. There is an area that has been cut out from the foam in order to allow the fields to propagate within air and reduce the loss attributed to the foam. In this way, the foam still contributes to push down the PCB and ensure better contact between the substrate and the pins, and, moreover, the additional loss is reduced. A comparison between new simulations and measurements is illustrated in Fig. 15(b). The new measured reflection coefficient is lower than 10 dB, and the experimental dissipation loss is better than 2 dB from 56.6 to 72.8 GHz, which implies 25% bandwidth. These results are better than those we got without using foam, proving that the non-contact effect between pins and PCB is the reason for the discrepancies between measurements and simulations. Moreover, there is good agreement between the measured and simulated insertion loss, as seen in Fig. 16. The dissipation factor at 60 GHz is 1.38 dB for both simulations and measurements. Even though these new experimental results are better than the plotted ones in Fig. 12, there is still a shift in the frequency band. Since the structure is very long (10 cm), it might be difficult to ensure a uniform contact between the bed of pins and PCB in all the points along the geometry. Furthermore, the foam that we use is 0.22 mm thick, since there were no available foams of exactly 0.25 mm, which is the size of the gap. All this can provoke that we do not yet get perfect contact everywhere. Nevertheless, we still observe an important improvement in the measured -parameters. Another way to reduce the non-contact effect between pins and PCB is to replace the soft Rogers RO3003 material by a more rigid substrate. We have chosen Rogers RO4003 mate, loss tangent rial with permittivity (values are specified at 10 GHz), and thickness 0.203 mm. With these values, the dispersion diagram is very similar to that obtained in Fig. 2. Furthermore, since the fields of the inverted microstrip gap waveguide are mainly confined within the air gap, the fact of using a new substrate with similar permittivity and thickness does not affect the dimensions of the circuit. Thereby, the performance of the transition will be the same without redesigning it (the insertion loss will be a bit higher though due to the new value of the loss tangent). New PCBs were manufactured on Rogers RO4003 and tested reusing the same gap waveguide layers. Measurements and simulations of -parameters are plotted together on Fig. 17, as well as a zoomed version of simulated and measured dissipation factors shown in Fig. 18. First of all, we observe a flat frequency re-parameter over a wider frequency bandwidth sponse of the than the results presented in Fig. 12 when Rogers RO3003 was the selected substrate. However, there is about a 1.4-dB discrepancy between simulated and measured dissipation losses. The main reason for this may be that our simulations take into account a loss tangent value for Rogers RO4003 specified by the data sheet at 10 GHz since no available data has been found at 60 GHz. Therefore, this comparison is not perfectly realistic. The measured dissipation loss is better than 3 dB over a 22.65% relative bandwidth (between 58.25 and 73.13 GHz). The reflection coefficient remains below 10 dB over the same frequency band. These values make clear that using a more rigid material instead of RO3003 improves the circuit performance in terms of bandwidth but with a consequent increase in the loss (Rogers RO4003 has higher loss tangent than RO3003).

Fig. 16. Zoomed view of simulated and measured (straight gap waveguide prototype using Rogers RO3003 as substrate and foam material to fill the gap).

Fig. 17. Simulated and measured -parameters of back-to-back transition between straight inverted microstrip gap waveguide and WR-15 by using Rogers RO4003 as substrate material.

Fig. 18. Zoomed view of simulated and measured (straight gap waveguide prototype using Rogers RO4003 as substrate material).

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

Fig. 19. Simulated and measured -parameters of back-to-back transition between straight inverted microstrip gap waveguide and WR-15 by using Rogers RO4003 as substrate material and Rohacell HERO71 foam to fill the gap.

Fig. 20. Zoomed view of simulated and measured (straight gap waveguide prototype using Rogers RO4003 as substrate material and Rohacell HERO71 foam to fill the gap).

As an additional part of this transition study, we also tried to improve the results of Fig. 17 by filling the gap with Rohacell HERO71 foam when using RO4003 substrate. These new measurements are depicted in Fig. 19. The plots of both measured return loss and dissipation loss show improved performance compared with Figs. 17 and 18 where no foam was added. Fig. 20 illustrates a comparison of simulated versus measured results for -parameter, and it is clear that the better contact between the pins and PCB, the lower losses and larger operating bandwidth. Dissipation losses are lower than 3 dB from 56.1 to 73.3 GHz, which means 26.6% bandwidth. There is again certain discrepancy between simulated and measured losses. The explanation is again the fact that we do not simulate the structure with the real loss tangent that RO4003 should have at 60 GHz. Return loss level remains above 10 dB over all the previously specified bandwidths. The last part of our study was to measure an inverted microstrip gap waveguide prototype containing two 90 bends

4043

with Rogers RO3003 substrate and filling the air gap with Rohacell HERO71 foam. We can predict in advance that the non-contact effect will be much more critical on this situation for two reasons. The first is that the PCB is wider than in the straight prototype case so that there will be more points where there is no good contact between pins and dielectric. The second reason is that there is a limited number of rows of only 11 pins each supporting the PCB. This number is more than enough to provide cutoff of fields outside the metal strip that composes the gap waveguide. However, outside the pin area the PCB has no support and bends more easily if we use a soft material such as RO3003. To reduce the consequence of this issue, we added two metal blocks of thickness equal to 1 mm (the closest to the pin height that we could achieve in the workshop) in the empty areas next to the pin patterns, as seen in Fig. 11(c). These metal blocks, together with the foam, are aimed to alleviate the consequences of the non-uniform contact between pins and PCB. Fig. 21(a) shows the inverted microstrip gap waveguide prototype with bends and how the foam is attached into the upper metal lid. There is an area that has been cut out from the foam and has approximately the same shape as the metal strip along which the fields propagate. Fig. 21(b) presents resulting simulated versus measured results of the inverted microstrip gap waveguide with bends including transitions (back-to-back configuration). We observe that there is more discrepancy between simulations and measurements than for the previous situations where we were analyzing a straight geometry. Even by using foam material, there is a large shift in the operating band of the prototype, and there exist some ripples in the lower part of this band. We can conclude that the wider the structure is, the more critical is the non-contact effect. Moreover, it is very probable that the presence of discontinuities combined with the non-contact between pins and PCB at certain points is the main cause for the performance degradations. It would be possible to improve the measured results by employing foams that can fill the gap everywhere to ensure uniform contact. Also, the area that has been cut out from the foam could be realized in a more accurate way in particular around the bends. The best measured dissipation loss is 2.1 dB at 63.45 GHz, and return loss larger than 10 dB over a 18% relative bandwidth (from 58.75 to 70.4 GHz). Table III summarizes the most important results achieved for the straight inverted microstrip gap waveguide prototypes, including the back-to-back transitions to WR-15 analyzed in this section. From the data of this table, we can conclude that by using a more rigid substrate material such as RO4003 and filling at the same time the gap with thin foam, we can reach the best circuit performance (the closest to simulations) in terms of bandwidth and return loss. The drawback is higher insertion loss compared to using Rogers RO3003. VI. SENSITIVITY DUE TO NON-CONTACT BETWEEN PINS AND PCB In this section, we are going to demonstrate by simulations that the deterioration in the circuit performance, as well as the shift in the operating band (both experienced during measurements), are due to the non-contact effect between the bed of pins and the substrate material. For this aim, we generate some curved substrate profiles with a cosine variation along the longitudinal axis, and other profiles with a cosine variation in the

4044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE III COMPARISON OF MEASURED DISSIPATION LOSS AND OPERATING BANDWIDTH FOR ALL STRAIGHT INVERTED MICROSTRIP GAP WAVEGUIDE PROTOTYPES ANALYZED (INCLUDING BACK-TO-BACK TRANSITIONS TO WR-15)

Fig. 22. Simulated -parameters by using generated curved PCB (longitudinal variation and good contact in the transition area). Fig. 21. (a) Inverted microstrip gap waveguide prototype with bends, foam is attached to top metal lid (zoomed area shows the section cut out from the foam to allow fields to propagate through air) and (b) simulated and measured -parameters of back-to-back transition between inverted microstrip gap waveguide and WR-15 by using Rogers RO3003 as substrate material and Rohacell HERO71 foam to fill the gap.

transverse direction. In these surfaces, the peak-to-peak value and the number of periods can be controlled. First, we consider longitudinal cosine variation and use a small planar piece of substrate to ensure good contact with the bed of nails at the points where the transitions are operating. There is also contact between the substrate and the bed of nails in those points where the cosine has minima. The microstrip line, which is etched on the substrate, is generated using the same set of equations. Fig. 22 presents the simulated results including nine different cases, corresponding to one, two, and three periods in the cosine variation, for peak-to-peak excursions of 0.065, 0.075, and 0.085 mm. We observe that the performance degrades when there is no uniform contact between pins and substrate. Some low-frequency resonant peaks have appeared (indicated with an arrow in Fig. 22). This means that the stopband where the gap waveguide should work has shifted to higher frequencies (around 5–7 GHz, depending on the case).

Furthermore, there exists a degradation in the -parameter of approximately 5 dB with respect to the initial simulations. Next, we remove the small planar pieces of substrate at the ends of the lines (shown as green flat areas in Fig. 22) and let the whole substrate follow the variation of the cosine function. We consider here two subcases: randomly generated longitudinal cosine variations of the dielectric surface, and variations in the transverse direction, as illustrated in the insets of Fig. 23. This figure presents four simulated results for these two cases with a peak-to-peak excursion of 0.065 mm, and the obtained effect is the same as that observed in Fig. 22, plus an additional one: the upper frequency limit of the parameters moves upwards in the same way as in the measurements. The frequency shift is indicated with arrows in Fig. 23. These results confirm that the observed frequency shift and degradation of the measured -parameters are due to the nonuniform contact between the pin surface and the PCB. The experienced shifts in the lower and upper limits of the band are similar to the measured results (around 7.5 GHz in the lower limit and 2 GHz in the upper one). Some other test with two-dimensional randomly generated surfaces with variations in both longitudinal and transverse directions showed similar results.

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

Fig. 23. Simulated -parameters by using generated curved PCB (transversal/ longitudinal variation and no good contact in the transition area).

4045

Fig. 24. (a) Cross-section of complete transition geometry. (b) Cavity backshort placed on top metal lid above PCB and opposite to the rectangular waveguide opening (red lines), and slot made on ground plane of PCB, blue surface is the substrate material under the ground plane.

This effect is a critical limitation for the inverted microstrip gap waveguide technology, but different alternative solutions can mitigate it, which we already explained thoroughly in Section V. VII. TRANSITION FROM MICROSTRIP PACKAGED BY GAP WAVEGUIDE TO WR-15 We present here the design of a wideband millimeter-wave transition from standard microstrip (being packaged by gap waveguide technology) to WR-15. The purpose is to compare the losses of the inverted microstrip gap waveguide and a standard microstrip line packaged by gap waveguide. The previously manufactured gap waveguide layers could be reused, but the PCB was redesigned and assembled to the gap waveguide structure in a different way (see the next section). The cross section of the complete geometry is shown in Fig. 24(a). We can distinguish two main parts in this structure. A first section composes the gap waveguide layer that contains a pin pattern surrounding the rectangular waveguide opening. This enables connection to rectangular WR-15 waveguide flanges. The second part of the structure consists of a standard microstrip circuit that includes a 50- feeding line terminated by a T-shaped probe which faces the rectangular waveguide opening. A cavity backshort is still needed to be integrated in the upper metal lid. The combination of the T-shaped probe and the cavity backshort ensure field/impedance matching between the local quasi-TEM mode of the microstrip line, and mode of the rectangular waveguide. The ground the plane of the PCB contains a slot that allows the backshort to force the fields to propagate downwards into the waveguide from the microstrip probe. The cavity backshort embedded in the top metal lid and the slot made in the ground plane of the PCB have equal transversal dimensions and are both illustrated in Fig. 24(b). The layout of the microstrip circuit is depicted in Fig. 25, where the specified parameters are referred to in Table IV. The dimensions of the pin surface are the same as shown in Fig. 2 and

Fig. 25. Transition geometry (top view). Substrate layers and ground plane of PCB are hidden to allow visualization of rectangular waveguide opening details.

TABLE IV DIMENSIONS OF PCB (REFERRED TO LAYOUT SHOWN IN FIGS. 24 AND 25)

Table I. Therefore, we can reuse the manufactured gap waveguides for performing measurements of this new transition. It is important to point out that the air gap now is filled with Rogers RO3003 material for two reasons. The first reason is illustrated in Fig. 26. If the gap is filled with air [Fig. 26(a)], the obtained dispersion diagram of the new geometry does not coincide with the 60-GHz band that is covered by the prototypes investigated in Sections II–VI. However, if we fill the gap with

4046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 26. (a) Dispersion diagram for the corresponding microstrip geometry when gap is filled with air. (b) Dispersion diagram for the corresponding microstrip geometry when gap is filled with substrate material.

Rogers RO3003, the pins are electrically longer, and the stopband is shifted to lower frequencies. Thus, it covers the frequency band from 53 to 68.3 GHz [Fig. 26(b)]. In this way, we can make a fair loss comparison in the same frequency interval, between the obtained measured results of the inverted microstrip gap waveguide circuits and the new designed microstrip prototypes. The second reason is that we take the advantage of fixing the gap by using a substrate of the same thickness and without the need of making any extra step in the metal blocks. In spite of filling the gap with RO3003, the fields still mainly propagate within the corresponding substrate material of the PCB since the geometry is packaged by gap waveguide and there is cutoff of fields between the pin surface and the metal strip of the PCB. VIII. SIMULATED VERSUS MEASURED RESULTS The transition design proposed in Section VII is numerically analyzed in terms of -parameters in single and back-to-back configurations. A sketch of the different parts composing the single transition geometry is presented in Fig. 27(a), and the corresponding simulated -parameters are shown in Fig. 27(b). The simulated return loss is larger than 15 dB over about 28.3% relative bandwidth (from 50.75 to 67.45 GHz), while the resulting dissipation loss is smaller than 0.5 dB over the same bandwidth. The dissipation factor for the presented single transition within the stopband shown in Fig. 26(b) would be smaller than 0.2 dB. As we said, the manufactured gap waveguide prototypes employed to build the inverted microstrip gap waveguide designs investigated in Sections II–VI were reused to constitute the standard microstrip lines packaged by gap waveguide technology. The assembling of the different building blocks is illustrated in Fig. 28. Fig. 29(a) shows the measured prototype, and Fig. 29(b) presents a comparison between simulations and measurements of two back-to-back transitions connected by a 10-cm 50- microstrip line. The plotted parameters show good

Fig. 27. (a) Sketch of simulated single transition from standard microstrip packaged by gap waveguide to rectangular waveguide, with distinction of all different components of the structure. (b) Simulated -parameters of a single transition between standard microstrip packaged by gap waveguide and WR-15.

agreement between measurements and simulations in terms of losses. However, there is again a shift in the operating band of the measured prototype. As before, this is attributed to the non-uniform contact between the pin surface and the substrate layer that fills the gap. Rogers RO3003 material is so soft that it can have a curved profile even when it is used to fill the air gap. Since the structure is quite long, there might be points where the dielectric layer does not make good contact with the pins, thereby shifting to higher frequencies. Fig. 30 presents a zoomed version of the transmission coefficient showing a

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

4047

Fig. 28. Mechanical drawings of the manufactured gap waveguide prototypes including back-to-back transitions from microstrip to WR-15.

smaller value than 5 dB over about 13.8% bandwidth (between 58.6 and 67.3 GHz). The experimental return loss is larger than 15 dB over the mentioned frequency band. IX. LOSS COMPARISON After the validation of the proposed millimeter-wave back-to-back transitions from two different gap waveguide versions to rectangular waveguide, we compare the simulated/measured loss in this section. Four planar back-to-back structures are involved in this comparison: inverted microstrip gap waveguide, standard microstrip packaged by using gap waveguide technology, standard microstrip without including any type of packaging (data obtained from the catalogue of Southwest Microwave, in the corresponding section about end launch connectors), and substrate integrated waveguide (measurements and simulation results are presented in [36]). Table V summarizes the minimum and maximum dissipation over the operating bandwidth (also loss expressed in dB/ specified in the table). In all analyzed cases, the mismatch -parameters in factors have been extracted from the original order to only visualize the dissipative component of the insertion loss and achieve a fair comparison among all prototypes. The microstrip geometry used in the Southwest Microwave catalogue has the same substrate thickness as the Rogers RO4003 material employed on the design of our inverted microstrip gap waveguide prototypes explained in previous sections. This allows us to realize a straightforward comparison between both structures. We can clearly see that the loss attributed to the unpackaged microstrip is much larger than for the inverted microstrip gap waveguide case. One of the reasons for this is a reduction of the conductive loss in the

Fig. 29. (a) Microstrip packaged by gap waveguide, (b) Simulated and measured –parameters of back-to-back transition between standard microstrip packaged by gap waveguide and WR-15 by using Rogers RO3003 as substrate material of PCB and layer to fill the gap.

inverted microstrip gap waveguide compared to microstrip. This is caused by the fact that for achieving same characteristic impedance, the obtained transversal dimensions of the lines in the inverted microstrip gap waveguide become wider than in the microstrip geometry, hence reducing the conductive loss. On the other hand, the fields are propagating within air in the inverted microstrip gap waveguide geometry, thereby reducing the dielectric loss. Furthermore, since the microstrip line is not properly packaged, the radiation loss is also an important additional contributor to the overall loss. The inverted microstrip gap waveguide is inherently packaged and the radiation loss is non-existent. When we package a microstrip circuit with gap waveguide technology (as we did in Sections VII–VIII), the radiation loss is reduced to zero and the fields are completely confined within the substrate. This confirms that the attenuation for a microstrip

4048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 30. Zoomed view of simulated and measured (back-to-back configuration of transition from standard microstrip packaged by gap waveguide to WR-15).

TABLE V COMPARISON OF DISSIPATION LOSS

been performed in order to get an approximate idea of the corresponding loss with that material. The simulated results show a minimum loss of 0.045 dB/ , which constitutes a smaller value than that obtained for SIW case in [36]. We should remark that we are still using the same transition geometry as proposed in Section III. This design could have been retuned in order to optimize the gap waveguide circuit performance when using RT/duroid 5880 material, and thus obtaining a better loss value. We have also compared the transition geometry presented in [36] and our inverted microstrip gap waveguide transition design in terms of design complexity and fabrication. In such SIW transition, a multilayer structure is required to achieve a wide operating frequency band. Each layer has via holes with different periods and are placed in a non-uniform way. Therefore, there are many design parameters involved in the structure, which implies a more complex and time-consuming design process. However, in our structure, we fix a uniform pattern of pins that we never optimize to attain a good transition performance. The only dimensions we need to optimize are the matching probe sections and the depth of the backshort. Moreover, the SIW transition structure requires via holes to be included around the coupling patch in the upper substrate layer, to avoid potential leakage coming from surface waves. This is not needed in the proposed gap waveguide transitions, since all parallel-plate modes and surface waves are suppressed within the stopband. On the other hand, the manufacturing of a uniform pin pattern is quite simple and can be done in techniques suitable for mass production such as micromachining and molding. The manufacturing of the required PCB in the inverted microstrip gap waveguide can be simply done by standard photolithography technique. However, we should remember that for designing inverted microstrip gap waveguides, rigid substrate materials are needed in order to mitigate the non-contact effect between pins and PCB. We should consequently make a trade off when choosing the substrate type considering loss, rigidness and cost. X. CONCLUSION

packaged with gap waveguide is lower than for an open microstrip geometry as it is mentioned in Table V. On the other hand, the measured loss of SIW is slightly larger than for the inverted microstrip gap waveguide designed with RO3003, and has about the same loss magnitude compared with the gap prototype with RO4003 material. However, it is important to point out that the SIW geometry shown in [36] has been designed with low loss RT/duroid 5880 material that has a loss tangent close to 0.001 at 60 GHz (see material specifications in [37]). Therefore, if the inverted microstrip gap waveguide would have been designed with such material, the resulted insertion loss would be lower than the obtained for SIW. A CST simulation of our inverted microstrip gap waveguide design considering RT/duroid 5880 (with thickness equal to 0.25 mm) has

Two broadband millimeter-wave gap waveguide transitions have been presented. The first geometry acts as an interface between inverted microstrip gap waveguide and standard WR-15. The performance has been determined by simulations (in both single and back-to-back configurations) and measurements of electrically long (around 20 wavelengths) back-to-back smaller than prototypes. The experimental results show 10 dB over relative bandwidths larger than 25% and 26.6% when Rogers RO3003 and RO4003 are employed, respectively. A shift in the operating band appears in all measurements. This reveals a critical limitation of the inverted microstrip gap waveguide technology. The reason for this frequency shift is the non-uniform contact between the PCB and the pins along the gap waveguide, and it is more critical the larger the PCB is. We have proposed different ways to mitigate this effect, and we carried out a numerical study of the circuit performance when the substrate was curved. The simulations confirm our explanation. In spite of the non-contact effect, the proposed transition covers the whole 60-GHz band, and its simple and compact configuration makes it suitable to be integrated into an inverted microstrip gap waveguide feed-network for slot/horn array antenna applications. There is no requirement for any

BRAZÁLEZ et al.: DESIGN AND VALIDATION OF MICROSTRIP GAP WAVEGUIDES AND THEIR TRANSITIONS TO RECTANGULAR WAVEGUIDE

complex geometry modifications in the waveguide structure itself to ensure a good integration with the transition. We only need a waveguide opening milled out in the lower metal plate to attach the rectangular waveguide flange. The second design consists of a transition from standard microstrip packaged by a bed of pins to WR-15. The performance was determined by simulating it in single and back-to-back configuration, and by measuring back-to-back prototypes. There is good agreement between simulated and measured results. However, there is a frequency shift in the performance, caused by the substrate material (Rogers RO3003) filling the gap, being so soft that again it gave non-uniform contact to the pins. The measured return loss is still larger than 15 dB over 13.8% relative bandwidth. Finally, we have studied the losses of the two investigated gap waveguide types in the 60 GHz band, and compared them with unpackaged microstrip and substrate integrated waveguide. Unpackaged microstrip presents the largest attenuation, caused by dielectric, conductive and radiation loss. Inverted microstrip gap waveguide with Rogers RO3003 material has lowest measured losses. The obtained loss of the inverted microstrip gap waveguide with Rogers RO4003 is slightly larger than when using RO3003, and about the same as in the SIW case. However, the comparison is not so straightforward since SIW employs RT/duroid 5880 with lower loss tangent than RO3003 and RO4003 at 60 GHz. Thereby, we could expect even smaller losses in inverted microstrip gap waveguide than SIW if designed with same dielectric material.

ACKNOWLEDGMENT The authors would like to thank Prof. V. Vassilev from the Microtechnology and Nanoscience Department at Chalmers University of Technology, Sweden, for his useful advice on this project as well as help during the setup of the measurement equipment and assembling of the gap waveguide prototypes.

REFERENCES [1] F. Gardiol, “Radiation from microstrip circuits: An introduction,” Int. J. Microw. Millimeter-Wave Comput.-Aide. Eng., vol. 1, no. 2, pp. 225–235, 1991. [2] E. Levine, G. Malamud, S. Shtrikman, and D. Treves, “A study of microstrip array antennas with the feed network,” IEEE Trans. Antennas Propag., vol. 37, no. 4, pp. 426–434, 1989. [3] Y. Miura, J. Hirokawa, M. Ando, Y. Shibuya, and G. Yoshida, “Double layer full-corporate-feed hollow-waveguide slot array antenna in the 60 GHz-band,” IEEE Trans. Antennas Propag., vol. 59, no. 8, pp. 2844–2851, Aug. 2011. [4] S. S. Oh, J. W. Lee, M. S. Song, and Y. S. Kim, “Two-layer slotted waveguide antenna array with broad reflection/gain bandwidth at millimeter-wave frequencies,” Proc. Inst. Electr. Eng.—Microw. Antennas Propag., vol. 51, no. 5, pp. 393–398, Oct. 2004. [5] D. Deslandes and K. Wu, “Accurate modeling, wave mechanisms, and design considerations of a substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2516–2526, Jun. 2006. [6] F. Xu and K. Wu, “Guided-wave and leakage characteristics of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 66–73, Jan. 2005. [7] M. Bozzi, F. Xu, D. Deslandes, and K. Wu, “Modelling and design considerations for substrate integrated waveguide circuits and components,” in Proc. 8th Int. Conf. Telecommun. Modern Satellite, Cable, Broadcast. Services , 2007, pp. P-VII–P-XVI.

4049

[8] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [9] D.-F. Guan, Z.-P. Qian, Y.-S. Zhang, and Y. Cai, “Novel SIW cavitybacked antenna array without using individual feeding network,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 423–426, 2014. [10] P.-S. Kildal, “Artificially soft and hard surfaces in electromagnetics,” IEEE Trans. Antennas Propag., vol. 28, no. 10, pp. 1537–1544, 1990. [11] P.-S. Kildal, E. Alfonso, A. Valero-Nogueira, and E. Rajo-Iglesias, “Local metamaterial-based waveguides in gaps between parallel metal plates,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 84–87, 2009. [12] P.-S. Kildal, “Three metamaterial-based gap waveguides between parallel metal plates for mm/submm waves,” presented at the 3rd Eur. Conf. Antennas Propag., Berlin, Germany, Mar. 23–27, 2009. [13] A. Valero-Nogueira, E. Alfonso, J. I. Herranz, and P.-S. Kildal, “Experimental demonstration of local quasi-TEM gap modes in single-hardwall waveguides,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 536–538, Sep. 2009. [14] P.-S. Kildal, A. U. Zaman, E. Rajo-Iglesias, E. Alfonso, and A. ValeroNogueira, “Design and experimental verification of ridge gap waveguides in bed of nails for parallel plate mode suppression,” IET Microw., Antennas, Propag., vol. 5, no. 3, pp. 262–270, Mar. 2011. [15] E. Pucci, A. U. Zaman, E. Rajo-Iglesias, P.-S. Kildal, and A. Kishk, “Study of -factors of ridge and groove gap waveguide resonators,” IET Microw., Antennas, Propag., vol. 7, no. 11, pp. 900–908, Aug. 2013. [16] A. U. Zaman, T. Vukusic, M. Alexanderson, and P.-S. Kildal, “Design of a simple transition from microstrip to ridge gap waveguide suited for MMIC and antenna integration,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 1558–1561, 2013. [17] A. A. Brazález, A. U. Zaman, and P.-S. Kildal, “Design of a coplanar waveguide-to-ridge gap waveguide transition via capacitive coupling,” presented at the 6th Eur. Conf. Antennas Propag., Prague, Czech Republic, Mar. 26–30, 2012. [18] A. A. Brazález, A. U. Zaman, and P.-S. Kildal, “Investigation of a microstrip-to-ridge gap waveguide transition by electromagnetic coupling,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., 2012, pp. 1–2. [19] E. Pucci, A. U. Zaman, E. Rajo-Iglesias, and P.-S. Kildal, “New low loss inverted microstrip line using gap waveguide technology for slot antenna applications,” presented at the 5th Eur. Conf. Antennas Propag., Rome, Italy, Apr. 11–15, 2011. [20] A. Valero-Nogueira, M. Baquero, J. I. Herranz, J. Domenech, E. Alfonso, and A. Vila, “Gap waveguides using a suspended strip on a bed of nails,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 1006–1009, 2011. [21] E. Rajo-Iglesias and P.-S. Kildal, “Numerical studies of bandwidth of parallel plate cut-off realized by bed of nails, corrugations and mushroom-type EBG for use in gap waveguides,” IET Microw., Antennas, Propag., vol. 5, pp. 282–289, Mar. 2011. [22] E. Pucci, E. Rajo-Iglesias, J.-L. Vázquez-Roy, and P.-S. Kildal, “Planar dual-mode horn array with corporate-feed network in inverted microstrip gap waveguide,” IEEE Trans. Antennas Propag., vol. 62, no. 7, pp. 3534–3542, 2014. [23] C. Gahete Arias, M. Baquero Escudero, A. Valero Nogueira, and A. Vila Jiménez, “Test-fixture for suspended-strip gap-waveguide technology on Ka-band,” IEEE Microw. Wireless Compon. Lett., vol. 23, pp. 321–323, 2013. [24] H. Raza, J. Yang, P.-S. Kildal, and E. Alfonso, “Microstrip-ridge gap waveguide – study of losses, bends and transition to WR-15,” IEEE Trans. Microw. Theory Tech., vol. 62, no. 9, pp. 1943–1952, 2014. [25] S. A. Razavi, P.-S. Kildal, L. Xiang, E. Alfonso, and H. Chen, “2 2-slot element for 60 GHz planar array antenna realized on two doubled-sided PCBs using SIW cavity and EBG-type soft surface fed by microstrip-ridge gap waveguide,” IEEE Trans. Antennas Propag., vol. 62, no. 9, pp. 4564–4573, 2014. [26] A. Algaba Brazález, E. Rajo-Iglesias, and P.-S. Kildal, “Investigation of transitions for use in inverted microstrip gap waveguide antenna arrays,” presented at the 8th Eur. Conf. Antennas Propag., The Hague, Netherlands, Apr. 6–11, 2014. [27] B. Boukari, E. Moldovan, S. Affes, K. Wu, R. G. Bosisio, and S. O. Tatu, “Robust microstrip-to-waveguide transitions for millimeter-wave radar sensor applications,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 759–762, 2009. [28] K. Y. Han and C.-K. Pao, “A V-band waveguide to microstrip inline transition,” in IEEE MTT-S Dig., 2012, pp. 1–3. [29] P. Hallbjörner, “Circuit board integrated patch transition between microstrip and rectangular waveguide, optimised for producibility,” Proc. Inst. Electr. Eng.—Microw., Antennas, Propag., vol. 153, no. 4, pp. 330–334, 2006.

4050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[30] Y.-C. Shih, T.-N. Ton, and L. Q. Bui, “Waveguide-to microstrip transitions for millimeter-wave applications,” in IEEE MTT-S Dig., 1998, pp. 473–475. [31] Y. Tikhov, J.-W. Moon, Y.-J. Kim, and Y. Sinelnikov, “Refined characterization of -plane waveguide to microstrip transition for millimeter-wave applications,” in Proc. Asia–Pac. Microw. Conf., 2000, pp. 1187–1190. [32] Y.-C. Leong and S. Weinreb, “Full band waveguide-to-microstrip probe transitions,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 4, pp. 1435–1438. [33] A. Artemenko, A. Maltsev, R. Maslennikov, A. Sevastyanov, and V. Ssoring, “Design of wideband waveguide to microstrip transition for 60 GHz frequency band,” in Proc. 41st Eur. Microw. Conf., 2011, pp. 838–841. [34] K. Seo, K. Sakakibara, and N. Kikuma, “Microstrip-to-waveguide transition using waveguide with large broad-wall in millimeter-wave band,” in Proc. IEEE Int. Conf. Ultra-Wideband, 2010, vol. 1, pp. 1–4. [35] A. L. Vera-López, S. K. Bhattacharya, C. A. Donado-Morcillo, J. Papapolymerou, D. Choudhury, and A. Horn, “Novel low loss thin film materials for wireless 60 GHz application,” in Proc. 60th IEEE Electron. Compon. Technol. Conf., 2010, pp. 1990–1995. [36] L. Yujian and L. Kwai-Man, “A broadband V-band rectangular waveguide to substrate integrated waveguide transition,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 9, pp. 590–592, Sep. 2014. [37] A. Bakhtafrooz and A. Borji, “Novel two-layer millimeter-wave slot array antennas based on substrate integrated waveguide,” Progr. Electromagn. Res., vol. 109, pp. 475–491, 2010.

Astrid Algaba Brazález was born in Alicante, Spain, in 1983. She received the Telecommunication Engineering degree from Miguel Hernández University of Elche, Alicante, Spain, in 2009, and the Licentiate of Engineering and Ph.D. degrees from Chalmers University of Technology, Gothenburg, Sweden, in 2013 and 2015, respectively. She joined Ericsson Research, Ericsson AB, Gothenburg, Sweden, in November 2014. Her main research interests include the development of gap waveguide technology for millimeter and submillimeter-wave applications, microwave passive gap waveguide components, packaging of microstrip filters, design of high-frequency transitions between gap waveguide and other technologies, and metamaterials.

Eva Rajo-Iglesias (SM’08) was born in Monforte de Lemos, Spain, in 1972. She received the M.Sc. degree in telecommunication engineering from the University of Vigo, Spain, in 1996, and the Ph.D. degree in telecommunication engineering from the University Carlos III of Madrid, Spain, in 2002. She was a Teacher Assistant with the University Carlos III of Madrid from 1997 to 2001. She joined the Polytechnic University of Cartagena, Cartagena, Spain, as a Teacher Assistant, in 2001. In 2002, she joined University Carlos III of Madrid as a Visiting Lecturer, where she has been an Associate Professor with the Department of Signal Theory and Communications since 2004. She visited the Chalmers University of Technology, Göteborg, Sweden, as a Guest Researcher, in 2004, 2005, 2006, 2007, and 2008, and has been an Affiliate Professor with the Antenna Group, Signals and Systems Department, since 2009. She has coauthored more than 50 papers in JCR international journals and more than 100 papers in international conferences. Her current research interests include microstrip patch antennas and arrays, metamaterials, artificial surfaces and periodic structures, MIMO systems, and optimization methods applied to electromagnetism.

Dr. Rajo-Iglesias was the recipient of the Loughborough Antennas and Propagation Conference Best Paper Award in 2007, the Best Poster Award in the field of Metamaterial Applications in Antennas, at the conference Metamaterials 2009, and the 2014 Excellence Award to Young Research Staff at the University Carlos III of Madrid, and she was the Third Place Winner of the Bell Labs Prize 2014. She is currently an Associate Editor of the IEEE Antennas and Propagation Magazine and of the IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS.

José Luis Vázquez-Roy (M’00) was born in Madrid, Spain, in 1969. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1993 and 1999, respectively. In 1999, he joined the Teoria de la Señal y Comunicaciones Department, Universidad Carlos III de Madrid, Spain, where he is currently an Associate Professor. His research activities and interests include the analysis and design of planar antennas, artificial surfaces, and periodic structures for microwave and millimeter-wave applications.

Abbas Vosoogh received the B.Sc. degree in electrical engineering from the University of Sistan and Baluchestan, Zahedan, Iran, in 2007 and the M.Sc. degree from the K. N. Toosi University of Technology, Tehran, Iran, in 2011. He is currently working towards the Ph.D. degree with Chalmers University of Technology, Gothenburg, Sweden. His current research interests include millimeter- and submillimeter-wave guiding structures, EBG, soft and hard surfaces, and planar array antennas.

Per-Simon Kildal (M’82–SM’84–F’95) received the M.S.E.E. and Ph.D. degrees from The Norwegian Institute of Technology, Tronheim, Norway. Since 1989, he has been a Professor at Chalmers University of Technology, Götenburg, Sweden, where he now heads the Division of Antenna Systems at Department of Signals and Systems. He has authored an antenna textbook and more than 150 journal articles and letters, most of them in IEEE or IET journals. He has designed two very large antennas, including the Gregorian dual-reflector feed of the Arecibo radiotelescope. He has invented several reflector antenna feeds, the latest being the so-called eleven antenna. He is the originator of the concept of soft and hard surfaces, recently resulting in the gap waveguide, a new low-loss metamaterial-based transmission line advantageous in particular above 30 GHz. His research group has pioneered the reverberation chamber into an accurate over-the-air (OTA) measurement tool for antennas and wireless terminals subject to Rayleigh fading. This has been successfully commercialized in Bluetest AB. Prof. Kildal received two Best Paper Awards for articles published in the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and he was the recipient of the 2011 Distinguished Achievements Award of the IEEE Antennas and Propagation Society. He has received large individual grants from the Swedish research council VR and from the European Research Council ERC for research on gap waveguides.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4051

Highly Efficient Concurrent Power Amplifier With Controllable Modes Yinjin Sun, Member, IEEE, Xiao-Wei Zhu, Member, IEEE, Jianfeng Zhai, Lei Zhang, and Fan Meng

Abstract—This paper presents a controllable mode design method for a concurrent dual-mode power amplifier (PA). The principle of the controllable mode combination is proposed by investigating the characteristics of different highly efficient PA modes, which is analyzed from frequency response of the low-pass matching circuit. Then a dual-mode PA with Classand Class-J mode is taken for example to verify this mode combination concept. A GaN device PA with 10-W output is designed at two frequency ranges of 1.9 2.0 GHz and 2.3 2.6 GHz. Measurement results show an output power of 40.2 42.9 dBm and drain efficiency of 75.6 80.3% and 76.6 68.8% in two frequency bands. It also provides a broadband operation with drain efficiency of 60 80.3% over 1.7 2.8 GHz. To evaluate its modulated signal performance with concurrent multiband and broadband appli20 MHz) with 140- and cations, dual-band signals (20 MHz 300-MHz frequency spacing and 100-MHz long-term evolution advanced (LTE-A) signals at two modes are both employed. With digital pre-distortion algorithm, adjacent channel leakage ratio lower than 47.5, 48.6 and 46.1 dBc are achieved, indicating its excellent performance in mobile communication systems. Index Terms—Class-F , Class-J, concurrent controllable mode, low-pass matching, long-term evolution advanced (LTE-Advanced), multimode combination, PA.

I. INTRODUCTION

T

O meet the Gb/s peak data rate in fourth-generation (4G)/fifth-generation (5G) mobile communication systems, carrier aggregation (CA) technology is employed to support the very high data transmissions over a wide bandwidth. For the CA technology, three different aggregation scenarios of intra-band with contiguous carriers, inter-band, and intra-band with noncontiguous carriers will combine multiple available component carriers that are adjacent or separated along the frequency band. By choosing the unused scattered frequency bands and those already allocated for some legacy systems, CA enables the mobile systems fully utilize their current spectrum resources. Furthermore, different operators adopting different protocols and standards inevitably coexist in modern mobile

Manuscript received March 09, 2015; revised August 16, 2015 and September 15, 2015; accepted September 23, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. This work was supported in part by the National Science and Technology Major Project of China under Grant 2013ZX03001017-003 and NSFC under Grant 61401008. The authors are with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2486759

systems which also introduce huge demands for multiple frequency bands operation. A power amplifier (PA) is the key component in mobile communication systems. Therefore, its RF characteristic is required to cover or vary with the specific frequency bands, which always presents the highly efficient broadband and multiband operation [1]–[3]. However, a multiband PA design depends on the passive component in the matching circuit, which places big limitations for the choice of the bandwidth and the frequency ratio in the flexible CA technique. Also, for broadband PAs in working ranges, efficiency peaks cannot vary with the aggregated carrier component or operator channels so that the best performance might not be obtained. To solve this problem, a controllable-modes PA allocating the highly efficient mode to required bands would be a very promising choice for CA or multistandard systems. Supporting high efficiency in the working band, harmonic-tuned PAs have been investigated by many researchers [4]–[7]. In [4], Class-F and Class-F are combined to obtain high efficiency at dual band with a fixed frequency ratio . A multimode transferred PA with Class-F J J B F modes at specific multifrequencies has been designed to fit more communication channels in [5]. Many open stubs are utilized in the output matching circuit to realize required harmonic impedances at harmonic frequencies. Also, the sequence of these modes is carefully arranged to reduce the series of the controlled harmonic impedance. Another broadband PA with dual continuous mode is proposed to achieve high efficiency over wide bandwidth [3]. Series of harmonic impedance up to the third-harmonic frequency are provided by this continuous mode design. However, the principle of combining different modes is not illustrated, nor is how to control the modes in this combination. In this paper, mode controlled PA is proposed and then investigated by detailed analysis between parasitic and low-pass matching circuit. Class-F and Class-J mode are combined together for broadband or concurrent applications. Two modes are designed to work together at two frequency ranges, where a series of harmonic impedance in each mode is decreased compared with the only mode broadband PA design. For the broadband design of [3], the Class-J mode only needs to control the second-harmonic impedance, which greatly reduces the design difficulty. Different from [4] and [5], this proposed design avoids using open stubs in the output matching network, therefore, it simplifies the design complexity. Moreover, there is no fixed frequency ratio of 3:2 in [4] or imposed restrictions on multimode introduced by the associated harmonic impedance [5]. The efficiency peaks of these modes can be controlled to locate at the objective frequency ranges which guarantee

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

the tunable frequency allocation and the best performance for concurrent or CA application. The remainder of this article is organized as follows. In Section II, mode combination and controllable mode concept is proposed from the study of different highly efficient PA modes. Then, a dual-mode controlled PA is presented from the comprehensive investigation of parasitic and low-pass matching behaviors. In Section III, a PA with Class-F and Class-J modes is clearly illustrated and implemented by low-pass matching circuits. For the verification, this designed dual-mode PA is fabricated and measured in Section IV. In this section, the simulation and experimental results present an excellent performance for concurrent multiband and broadband applications. Finally, a concise conclusion is drawn in Section V. Fig. 1. Engineered harmonic impedance for different highly efficient PA modes.

II. OPERATIONAL PRINCIPLE OF CONTROLLABLE MODES WITH LOW-PASS MATCHING METHOD A. Mode Combination and Control Highly efficient PA modes like Class-F, Class-F , Class-J and Class-J are classified from their engineered different harmonic impedances. From this point of view, different highly efficient PA modes could be combined to work together by engineering their required harmonic impedances in the same matching circuit. By controlling the provided harmonic impedances combinations, the PA will exhibit different modes combination performance. Engineered harmonic impedances for different highly efficient PA modes are illustrated in the Smith chart as shown in Fig. 1. Regions of short and open harmonic impedance can realize the Class-F/F or continuous Class-F/F mode operation. Regions of capacitive and inductive harmonic impedance can perform the Class-J/J mode operation. In terms of the clockwise frequency response of one matching circuit, operational mode of a PA provides clockwise cycles of Class-F, Class-J , Class-F , and Class-J in sequence. By arranging four reactive harmonic regions (i.e., open, capacitive, short, and inductive) into a suitable clockwise alignment, some of the four modes can be combined together at multiple bands in clockwise sequence. Hence, a multiband or broadband highly efficient PA can be realized by this harmonic impedance combination. For example, harmonic impedance combination with shortopen-short alignment can design Class-F and Class-F mode into dual-band operation as in [4]. In this combination, the harmonic impedance as open state is both shared by the third-harmonic frequency of the lower band and the second-harmonic frequency of the upper band. Proper harmonic impedance alignment makes the combination of Class-F, Class-J , Class-J, and Class-F modes at four frequencies [5]. Similar to [4], open impedance is also shared by Class-F and Class-F mode. For a simple harmonic impedance combination, the curve in the upper half plane of Fig. 1 is noted, and it aligns short-inductive-open harmonic impedance in sequence. Short and open harmonic impedance could be assembled for the Class-F mode, and inductive harmonic impedance can be utilized for the Class-J mode. This alignment controls a dual-mode combination. In the

same way, the curve in the lower half plane with open-capacitive-short alignment combines Class-F and Class-J mode together as shown in Fig. 2(a). To control and realize these multimodes into one matching circuit, reactive harmonic impedance is required at specific harmonic frequencies. For this reason, the low-pass matching circuit which presents reactance behavior at higher frequency is considered here. To verify this mode controlled design method, examples of dual-mode PA with Class-F and Class-J modes combination as given below followed by the low-pass matching circuit. B. Short and Capacitive Impedance Realization for Class-F and Class-J Mode by Low-Pass Matching Circuit Impedance behavior of a Chebyshev low-pass matching circuit is analyzed for further investigation. As shown in Fig. 2, output impedance of the low-pass matching circuit with even number elements shows inductive valued response at higher frequency. This frequency response extends to the open state in Smith chart and it is related to the out-of-band restriction of the low-pass behavior. This inductive behavior can also be derived from the first series inductor and second parallel capacitor of Chebyshev low-pass matching circuit in Fig. 2(b). At higher frequency, a small impedance is produced by as (1) Then, the rest of the network behind is shorted by this capacitor, and an inductive reactance is generated by . For a packaged PA device, the entire output matching circuit consists of an internal parasitic and low-pass matching circuit discussed above. Fig. 3 shows the typical equivalent-circuit of a GaN HEMT device (CGH40010) and its extracted parasitic circuit [6]–[8]. Cascaded with this parasitic circuit in Fig. 4, the output impedance at the intrinsic current plane provides a completely different behavior from the original low-pass matching circuit. A parallel structure of and other elements is made by this introduced parasitic circuit. For a 1.5-pF valued , reactance at 9 GHz is calculated as by (1). Thus, a smaller

SUN et al.: HIGHLY EFFICIENT CONCURRENT POWER AMPLIFIER WITH CONTROLLABLE MODES

Fig. 2. (a) Impedance trajectory of the low-pass matching circuit and combined two modes of Class-F matching circuit. (c) Topology of low-pass filter design.

4053

and Class-J. (b) Transmission feature of low-pass

Fig. 3. Equivalent circuit of packaged CGH40010 and the extracted component values.

Fig. 4. Cascaded connection of parasitic and output matching circuit.

reactance is obtained by this parallel structure, whether other elements and low-pass matching circuit give a large inductive value or not. Nearly shorted impedance at intrinsic current plane is obtained in the highest frequency corresponding to the end of low-pass matching trajectory in Fig. 2(a). Moreover, the capacitive impedance at relatively lower frequency is obtained by the . parallel Realization of short and capacitive impedance at the highest and relatively lower frequency makes the possible combination of Class-F and Class-J modes in the same output circuit. C. Open State Realization for Class F Matching Circuit

Mode by Low-Pass

From the above analysis, the small reactance at the 3rd harmonic frequency (highest frequency) for Class-F and the capacitive reactance at the 2nd harmonic frequency (relatively lower frequency) for Class-J can be realized by the parallel structure of and other elements. To obtain the important

at the 2nd harmonic, some derivaopen state for Class-F tions need to be carried out at the middle frequency, which locates between the fundamental and the 3rd harmonic frequency. These derivations are based on the interaction of the low-pass matching and the parasitic circuit. , To simplify the analysis of circuit (a) in Fig. 5, and in the parasitic circuit are all neglected due to is also their small values. Also, the parasitic capacitor neglected since its small value makes high impedance, which is and nearly open to the main circuit. For example, 0.2 nH make j5 and reactance at 4 GHz 0.15 pF by (1) and (2) Therefore, the whole output network can be simplified as the circuit Fig. 5(b) in the middle frequency. From the illustrated characteristic of the low-pass matching circuit at the middle frequency, it provides the inductive behavior as an inductor. So a parallel LC network is built by

4054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. Simplified output matching network for the resonant analysis. (a) Parasitic circuit connecting with low-pass matching circuit. (b) connecting with equivalent inductance. low-pass matching circuit. (c)

Fig. 6. Impedance of the parasitic

and inductor.

Fig. 7. Reactance behavior of parallel LC network with

and the inductive output of the low-pass matching circuit in middle harmonic frequency. A further simplified network (c) is generated from circuit (b) in Fig. 5. As a parallel structure, the resonance will occur at some frequencies. By this resonant characteristic, opened impedance is nearly generated at the intrinsic current plane in the middle harmonic frequency by

connecting with

and different

.

in Fig. 7. Small capacitive impedance at the highest frequency verifies the investigation above about the capacitive and short impedance in Section II-A. Thus, the open harmonic impedance in the middle frequency can be obtained by the resonance of the parasitic and low-pass matching circuit. D. Investigation of Resonant Characteristic

when (3) The reactance of and the inductor of 1.2, 1.4, and 1.6 nH are calculated to illustrate the resonant effect as shown in Fig. 6. It can be noted that two components are with the equivalent magnitude but different signs in the middle frequency. So the resonance must occur and its frequency is related to the different inductive reactance of the low-pass matching circuit. Besides, the impedance of the whole parallel LC network is shown

The required open, capacitive and short harmonic impedances for the combined Class-F and Class-J modes have been achieved from the analysis above, so the dual-mode combination can be realized by this proposed low-pass matching circuit. However, to make a proper alignment of open-capacitive-short impedance and control these two mode combinations, the resonant behavior of the circuit in Fig. 5(c) needs to be studied in depth. The impedance of the low-pass matching in circuit in Fig. 5(b) can be approximately expressed as (4) (5)

SUN et al.: HIGHLY EFFICIENT CONCURRENT POWER AMPLIFIER WITH CONTROLLABLE MODES

4055

where represents the whole reactance produced by the rest component of the low-pass matching except and . represents the whole reactance produced by the low-pass matching excluding , , and . M denotes the reactance of paralleled with . denotes the reactance of paralleled with , which is illustrated in the circuit in Fig. 5(a). By solving (2)–(4) together and using the resonant condition, the resonance occurs when the impedance of the parasitic capacitor and low-pass matching circuit have the same magnitude and reverse signs. Therefore, (2) equals (4) with a minus sign as shown by

(6) Equation (6) can be transformed into a quadratic equation as (7) In terms of the formula giving roots , the resonant frequency can be solved as

(8) The plus sign of the roots is selected to ensure the real resonant frequency. This solution indicates the resonant frequency is determined by values of the inductor and the component . In order to figure out the relationship between two variables and the resonant frequency, the characteristic of M will be analyzed and its scale will be defined. Since ( paralleled with ) is similar to the structure of paralleled with a low-pass matching circuit, a theoretical resonance of should occur at some frequencies as well. Thus, the impedance behavior from the inductive, inductive infinity, capacitive infinity to capacitive is obtained like the parallel LC network in Fig. 7. It can be observed from Fig. 2 that the low-pass matching circuit (impedance of in series with ) never extends to the infinity value, so the reactance of must be capacitive and located at the frequency which is higher than the resonant point. Since the component is a parallel structure ( paralleled with ), its value should be smaller than any of the paralleled element. Generally speaking, is the same or larger scale than pF, so impedance of is smaller than in Fig. 6. Similar to the component , is also a small capacitive reactance and has the weak impact on the impedance of . In summary, impedance of component is mainly determined by and . Given the analysis above, and are quantified in (8) to illustrate their relationship with the resonant frequency. is varied from 0.6 1.4 nH. Also, the component is defined by since reactance of presents from 3.5 to 8.5 GHz in Fig. 6. Then, the resonant frequency is calculated and plotted in Fig. 8. From Fig. 8, it can be noted that the resonant frequency decreases slightly with increased , and the small valued component produces a lower resonant frequency. This can be explained from (6) that the addition of a large and a small

Fig. 8. Resonant frequency calculated by (8).

makes a large inductive reactance, so a lower frequency is required by to generate an equivalent capacitive reactance. It can also be observed in Fig. 8 that the impact of component on the large inductor is depressed. This is because its value is too small compared with the given inductive reactance of large . According to these investigations, high impedance for the second harmonic of Class-F mode can be obtained and tuned by and component M (mainly and ). Then, the required open-capacitive-short impedances are fully achieved and the open state is controllable for the proper alignment in mode combination. III. DESIGN PA WITH CONTROLLABLE MODE OPERATION To design this controllable mode PA with the dual-mode combination, 1.9 2.0 GHz is selected for Class-F mode as a 3G/LTE frequency range. Then the 2nd frequency range of 2.3 2.6 GHz is also selected for LTE communication standards. Since the 2nd frequency region occupies a relative wide bandwidth, Class-J mode is suitable for it. A. Designing a Dual-Mode Output Matching The fundamental impedance with optimal value is obtained by the load-pull procedure at the intrinsic current plane and then transformed by parasitic circuit into package plane, varying around in two frequency ranges. The second- and third-harmonic frequencies for Class-F mode are located at the 3.8 4.0 GHz and 5.7 6.0 GHz regions, and the second harmonic of Class-J ranges from 4.6to 5.2 GHz. Harmonic loadpull results are shown in Fig. 9. Then, the dual-mode output matching is designed by the low-pass matching circuit. 1) Lumped Low-Pass Matching Circuit: In order to make broadband matching covering 1.9 2.6 GHz, a three-stage low-pass prototype of Chebyshev transformer with transformation ratio 5:1 is selected. Then this real-to-real impedance transformer is tuned to achieve a real-to-complex impedance transformer matching to . Parameters of the two matching circuits are listed in Table I. When tuning the low-pass matching circuit, the value of the lumped element is carefully optimized to align the required harmonic impedance at 3.8, 4.9 and 5.9 GHz regions. As shown in Fig. 8, the resonant frequency is lower to about 4 GHz and

4056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 9. Efficiency contours by harmonic load-pull results. (a) Fundamental, second-, and third-harmonic impedance for Class-F mode at 2 GHz. (b) Fundamental and second-harmonic impedance for Class-J mode at 2.5 GHz.

TABLE I LOW-PASS MATCHING CIRCUIT WITH LUMPED NETWORK FOR DUAL-MODE DESIGN Fig. 10. Lumped output design. (a) Low-pass matching cascaded connection of parasitic circuit. (b) Impedance trajectory from intrinsic current plane. (c) Impedance trajectory from low-pass matching circuit plane.

becomes less sensitive to the component M when gradually increases. For this reason, is tuned to a greater value as 1.2 nH and are also slightly tuned to present open state at the intrinsic current plane of 3.8 GHz. Finally, the optimization of the whole matching circuit is required to keep the fundamental matching with the suitable harmonic alignment. Impedances at the intrinsic current and the package plane are both simulated to indicate this dual-mode operation. As shown in Fig. 10, impedance at package plane gives a nearly inductive reactance of starting from 3.8 GHz. Connected with the parasitic circuit, the output matching circuit presents a high impedance of at 3.8 GHz for the second harmonic of Class-F mode due to the resonance effect. To the shorted state of the 3rd harmonic impedance for Class-F , it is clearly obtained by the parasitic which is illustrated as well in Fig. 10. A capacitive impedance of is performed at the second-harmonic frequency of 4.9 GHz for Class-J mode. 2) Transformation and Optimization: Completing the dualmode matching, lumped inductor and capacitor values in the prototype are translated into transmission lines (TLs) with highimpedance and open stubs with low-impedance respectively by the equivalent transformation [8] (9) where and denote the lumped inductor and capacitor values, and denote the high and low characteristic impedance of TLs, and is the transformed electrical length of TLs in radian. Taking the fabrication tolerance into account, high and low characteristic impedances of TLs are set as 74.5 and 15 separately. Thus, the corresponding widths are 0.8 and 8.5 mm. The transformed low-pass matching circuit with TLs is shown in the second row of Table II. The transformed matching network is then cascaded with the parasitic circuit and optimized to align the required harmonic

TABLE II LOW-PASS MATCHING CIRCUIT WITH DISTRIBUTED NETWORK DUAL-MODE DESIGN

FOR

impedance at their frequency region. Optimal results are listed in the third row of Table II. In Fig. 11, the frequency responses of the impedance behavior are simulated at the intrinsic current and package plane. Similarly, harmonic impedances for Class-F mode at 3.8 and 5.9 GHz present high impedance of and low reactance of , respectively, as shown in Fig. 11(b). The required impedance for the second harmonic of Class-J is also shown as at 4.9 GHz in Fig. 11(b). The impedance behavior verifies that Class-F mode at 1.9 2.0 GHz and Class-J mode at 2.3 2.6 GHz is well performed by this low-pass matching design. B. Designing Input Matching Circuit and Bias Network For the input matching circuit design, a two-stage low-pass matching circuit with transformation ratio 20:1 is used with the same procedure. Lumped matching circuit is obtained from the Chebyshev prototype and then transformed into TLs elements. Without introducing extra interference to the main matching circuit, bias networks are designed to present high impedance over two frequencies. A series inductor of 12 nH and 7.5 pF bypass capacitor is utilized as output bias circuit with high impedance about . For the input bias circuit, 100 resistor in series with 22 nH inductor is used to ensure stability of the PA. Impedances of input bias circuit give high impedance of and at 2.0 and 2.3 GHz.

SUN et al.: HIGHLY EFFICIENT CONCURRENT POWER AMPLIFIER WITH CONTROLLABLE MODES

4057

Fig. 13. Photograph of the fabricated controllable mode PA.

Fig. 11. Distributed output design. (a) Distributed matching network cascaded connection of parasitic circuit. (b) Impedance trajectory from intrinsic current plane. (c) Impedance trajectory from low-pass matching circuit plane.

Fig. 14. Simulated and measured small-signal performance of this PA.

A. Small- and Large-Signal Measurements

Fig. 12. Schematic of this controllable mode PA.

C. Post-Optimization Finally, input and output circuits are connected to the actual PA device model, and the post-optimization is executed to achieve better performance in full schematic simulation. For the input circuit, the transmission line of TL1 is removed and TL5 is added to make a flat gain response. In order to reduce the fabrication difficulty, single open stub of OTL6 in output matching is utilized to replace the parallel two-stub structure. The final schematic is shown in Fig. 12.

IV. SIMULATION AND EXPERIMENTAL RESULTS This proposed controllable mode PA is fabricated on RF35 substrate with and 30 mil thickness as shown in Fig. 13. It is biased at a gate voltage of 3.3 V and drain voltage of 28 V with quiescent current 50 mA. Small, large, and modulated signals are both adopted to evaluate its performance.

Simulated and measured -parameters are plotted in Fig. 14, which shows a small-signal gain of 14.3 16.9 dB over two frequency ranges. Continuous-wave (CW) tests have been carried out to evaluate large-signal characteristic of this PA. Fig. 14 shows the measured output power of 40.2 42.9 dBm over 1.7 2.8 GHz. It indicates the effective broadband matching including the Class-F and Class-J modes. Measured large-signal gain gives 14.3 16.8 dB over 1.9 2.6 GHz on Class-F and Class-J mode. The obtained overall drain efficiency ranges from 60.3% 80.3% at 1.7 2.8 GHz, and it gives two maximum efficiency points with 80.3% and 76.6% corresponding to the controllable dual-mode, where Class-F mode locates at 1.9 2.0 GHz and Class-J mode is at 2.3 2.6 GHz. Efficiencies in these two modes are 75.6 80.3% and 68.8 76.6% respectively. It can be noted from Fig. 15 that the simulation agrees well with the experimental results. However, efficiency at the end of the Class-J mode does not maintain a continuous high behavior as 2.3 GHz, which is mainly due to the inaccuracies in the modeling and fabrication. A summary of some highly-efficient multimode researches are listed in Table III. Compared to the dual-mode operation in [4], this work provides a controllable mode combination with higher efficiency and a tunable modes alignment. And compared to [3], this work has controllable efficiency peaks which can be tuned to locate at required frequencies and Class-J only needs the second-harmonic impedance engineering. Also, compared with the multimode transferred design [5], this work

4058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 15. Simulated and measured results of proposed PA over 1.7 2.8 GHz.

TABLE III SUMMARY OF MULTIMODE OPERATIONAL PA

Fig. 16. Measured spectrum density of dual-band signals with and without DPD for concurrent application.

TABLE IV LINEARIZATION PERFORMANCE WITH CONCURRENT OPERATION FOR THIS PA

Calculated from the diagram.

presents a simplified matching method avoiding open stubs and fixed frequency ratio on multimode introduced by associated harmonic impedance. B. Modulated Signal Performance for Concurrent Application For the future multistandard communication systems, multiband signals will be produced and processed in one system as the concurrent application. So signals with 300-MHz frequency spacing and 9-dB PAPR is employed to evaluate concurrent application of this PA which is located at 2.0 and 2.3 GHz as Class-F and Class-J mode. A baseband board that can handle dual-band and broadband data processing and digital pre-distortion (DPD) is adopted in this research. The DPD algorithm is then applied to verify the linearity of the proposed PA. Different from the conventional DPD techniques, 2-D-DPD is employed to compensate for the distortion in each band separately and the effect of cross modulation [12]–[14]. Output signals are coupled to construct the independent inverse models for different signals. By passing through inverse models, the input signals are pre-distorted and combined together. Then the synthesized dual-band signals are used to linearize this PA. The generalized formulation of the eighth-order nonlinearities and the second-order memory depth is selected to construct the model. The measured output power spectral density with/without DPD is shown in Fig. 16. Adjacent channel leakage ratio (ACLR) lower than 46.1 dBc in each band is achieved as shown in Table IV. The output power at Class-F and Class-J mode is measured as 30.3 and 30.9 dBm with the entire drain

Fig. 17. Measured spectrum density of dual-band signals with and without DPD at 1.88 and 2.02 GHz.

TABLE V LINEARIZATION PERFORMANCE WITH DUAL-BAND SIGNAL FOR THIS PA

efficiency of 34.3%. This linearization results predict excellent performance of the dual-mode PA for the concurrent application at Class-F and Class-J mode. C. Dual-Band Signal Characteristic Dual-band signals (20 MHz 20 MHz) with 140-MHz frequency spacing and 8-dB PAPR are also employed for this PA to evaluate its dual-band characteristic in Class-F mode, where

SUN et al.: HIGHLY EFFICIENT CONCURRENT POWER AMPLIFIER WITH CONTROLLABLE MODES

4059

Fig. 18. Measured efficiency and ACLR with 100 MHz LTE-A signals. (a) At 1.9 GHz. (b) At 2.55 GHz.

Fig. 19. Measured spectral density with/without DPD. (a) At 1.9 GHz. (b) At 2.55 GHz.

the two carriers locate at 1.88 GHz and 2.02 GHz corresponding to the designed Class-F mode. The linearization result of dual-band signals at Class-F mode is shown in Fig. 17 and listed in Table V. It can be noted that ACLR of dual-band signals are both linearized to better than 49.4 dBc, which verifies the in-band concurrent operation in Class-F mode. The measured output powers at each band are 30.2 and 31.3 dBm with the average drain efficiency of 35.7% for total dual-band signals.

D. Broadband Modulated Signal Characteristic To evaluate the modulated signal characteristics in Class-F and Class-J mode, broadband 100 MHz long-term evolution advanced (LTE-A) signals with 7 dB PAPR are used to excite this dual-mode PA at 1.95 and 2.55 GHz, respectively. Efficiency and ACLR performance of this PA is also investigated and plotted in Fig. 18. The proposed PA is tested around an average output power of 31 dBm with the efficiency of 28% and 27%. Measured output power spectral density at Class-F and Class-J mode with/ without DPD are both plotted in Fig. 19. Table VI shows ACLR of the 100 MHz LTE-A signals are both linearized to lower than 47.5 dBc with DPD algorithm at 1.95 and 2.55 GHz, indicating that the performance meets the broadband mobile communication application.

TABLE VI LINEARIZATION PERFORMANCE WITH LTE-A SIGNAL FOR THIS PA

V. CONCLUSION This paper introduces a mode combination principle for the dual-band or broadband application. In terms of this concept, a controllable mode PA with dual Class-F and Class-J mode is proposed by the comprehensive investigation of the low-pass matching and parasitic circuit. From this design method, this controllable mode PA is simulated and designed as Class-F at 1.9 2.0 GHz and Class-J at 2.3 2.6 GHz. Drain efficiency in two modes ranges from 75.6 80.3% and 76.6 68.8% and measured output power is achieved as 42.9 40.2 dBm over 1.7–2.8 GHz. To the designed Class-F and Class-J mode, the concurrent performance is evaluated by dual-band signals with 300 MHz frequency spacing at 2.0 GHz and 2.3 GHz. ACLR lower than 46.1 dBc in two modes can be achieved with the drain efficiency of 34.3% by employing the 2-D-DPD program. Moreover, for the in-band concurrent application in the single Class-F mode, dual-band signals with 140 MHz frequency spacing are employed to excite the dual-mode PA. Well performed ACLR at 1.88 and 2.02 GHz are obtained which is lower than 48.6 dBc with the summed output power of 33.8 dBm and

4060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

the drain efficiency of 35.7%. Modulated signal characteristics in Class-F and Class-J mode are also tested by the broadband 100 MHz LTE-A signals at 1.95 and 2.55 GHz. DPD results show an excellent ACLR better than 47.5 dBc at two modes. All experimental results of this proposed PA indicate its excellent performance for multiband and concurrent application.

REFERENCES

[1] P. Saad, P. Colantonio, L. Piazzon, F. Giannini, K. Andersson, and C. Fager, “Design of a concurrent dual-band 1.8–2.4-GHz GaN-HEMT Doherty power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1840–1849, Jun. 2012. [2] W. Chen, S. A. Bassam, X. Li, Y. Liu, K. Rawat, M. Helaoui, F. M. Ghannouchi, and Z. Feng, “Design and linearization of concurrent dual-band Doherty power amplifier with frequency-dependent power ranges,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2537–2546, Oct. 2011. [3] K. Chen and D. Peroulis, “Design of broadband highly efficient harmonic-tuned power amplifier using in-band continuous Class-F/F mode-transferring,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4107–4116, Dec. 2012. [4] Y. Ding, Y. X. Guo, and F. L. Liu, “High-efficiency concurrent dualband class-F and inverse class-F power amplifier,” IET Electron. Lett., vol. 47, no. 15, pp. 847–849, Jul. 2011. [5] C. Liu, X. W. Zhu, Y. J. Sun, and J. Xia, “High efficiency broadband multi-Mode transferred power amplifier for LTE and 3G applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [6] K. Chen and D. Peroulis, “Design of highly efficient broadband Class-E power amplifier using synthesized lowpass matching networks,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3162–3173, Dec. 2011. [7] J. H. Kim, S. J. Lee, B.-H. Park, S. Hyun Jang, J.-H. Jung, and C.-S. Park, “Analysis of high-efficiency power amplifier using second harmonic manipulation: Inverse class-f/j amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 8, pp. 2024–2036, Aug. 2011. [8] K. Chen and D. Peroulis, “Design of broadband high-efficiency power amplifier using in-band class-F1/F mode-transferring technique,” in IEEE MTT-S Int. Microw. Symp. Dig, Jun. 2012, pp. 1–3. [9] K. Chen and D. Pertoulis, “A 3.1-GHz class-F power amplifier with 82% power-added-efficiency,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 8, pp. 436–438, Aug. 2013. [10] D. M. Pozar, Microwave Engineering, 3rd ed. Boston, MA, USA: Wiley, 2005. [11] G. L. Matthaei, “Tables of Chebyshev impedance-transformation networks of low-pass filter form,” Proc. IEEE, vol. 52, no. 8, pp. 939–963, 1964. [12] S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “2-D digital predistortion (2-D-DPD) architecture for concurrent dual-band transmitters,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2547–2554, Oct. 2011. [13] S. A. Bassam, W. Chen, M. Helaoui, F. M. Ghannouchi, and Z. Feng, “Linearization of concurrent dual-band power amplifier based on 2D-DPD technique,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 12, pp. 685–687, Dec. 2011. [14] S. A. Bassam, A. Kwan, W. Chen, M. Helaoui, and F. M. Ghannouchi, “Subsampling feedback loop applicable to concurrent dual-band linearization architecture,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1990–1999, Jun. 2012. [15] P. Wright, J. Lees, J. Benedikt, P. J. Tasker, and S. C. Cripps, “A methodology for realizing high efficiency Class-J in a linear and broadband PA,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [16] Y. Sun, X. Zhu, M. Yang, and J. Xia, “Design of 100 MHz wideband Doherty amplifier for 1.95 GHz LTE-advanced application,” in Proc. Int. Conf. Microw. Millimeter Wave Technol., 2012, vol. 1, pp. 1–4.

Yinjin Sun (M’15) received the B.S. degree in electronic and information engineering from Xidian University, Xi'an, China, in 2009. He is currently working toward the Ph.D. degree in information science and engineering at Southeast University, Nanjing, China. His current research interests include highly efficient and linear microwave PA design, highly efficient and broadband Doherty PA design, and linearization techniques.

Xiao-Wei Zhu (S'88–M'95) received the M.E. and Ph.D. degrees in radio engineering from Southeast University, Nanjing, China, in 1996 and 2000, respectively. Since 1984, he has been with Southeast University, Nanjing, China, where he is currently a Professor with the School of Information Science and Engineering. He has authored or coauthored over 90 technical publications. He holds 15 patents. His research interests include RF and antenna technologies for wireless communications, as well as microwave and millimeter-wave theory and technology, and power amplifier (PA) nonlinear character and its linearization research with a particular emphasis on wideband and high-efficiency GaN PAs. Dr. Zhu is president of the Microwave Integrated Circuits and Mobile Communication Sub-Society, the Microwave Society of CIE, and the secretary of the IEEE MTT-S/AP-S/EMC-S Joint Nanjing Chapter. He was the recipient of the 1994 First-Class Science and Technology Progress Prize presented by the Ministry of Education of China and the 2003 Second-Class Science and Technology Progress Prize of Jiangsu Province, China.

Jianfeng Zhai received the B.S. degrees in radio engineering from the Southeast University, Nanjing, China, in 2004, where he is currently working toward the Ph.D. degree at the School of Information Science and Engineering. His current research interests include digital signal processing, neural networks, nonlinear modeling, microwave circuits design, power amplifier linearization, and embedded systems.

Lei Zhang received the M.S. degree in signal and information processing and Ph.D. degree in electromagnetic field and microwave technology from Southeast University, Nanjing, China, in 1999 and 2009, respectively. He is currently with the School of Information Science and Engineering, Southeast University, Nanjing, China. His current researches include highly linear and efficient RF/microwave PA design, nonlinear modeling, linearization techniques, and microwave and millimeter-wave circuits design.

Fan Meng received the B.S. degree in information science and engineering from Southeast University, Nanjing, China, in 2011, where he is currently working toward the Ph.D. degree in information science and engineering. His current research interests include highly linear and efficient microwave PA design and highly efficient envelope tracking PA design

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4061

A Post-Matching Doherty Power Amplifier Employing Low-Order Impedance Inverters for Broadband Applications Jingzhou Pang, Songbai He, Member, IEEE, Chaoyi Huang, Zhijiang Dai, Jun Peng, and Fei You, Member, IEEE

Abstract—This paper presents a modified Doherty configuration with extended bandwidth. The narrow band feature of the conventional Doherty amplifier is discussed in the view of the broadband matching. To extend the bandwidth, the post-matching architecture is employed in the proposed design. Meanwhile, broadband low-order impedance inverters are adopted to replace the quarterwavelength transmission lines. Low-pass filter topologies are used to realize both the post matching network and the impedance inverters. A modified Doherty Power amplifier was designed and fabricated based on commercial GaN HEMT devices to validate the broadband characteristics of this configuration. The 6-dB backoff efficiencies of 47%–57% are obtained from 1.7 to 2.6 GHz (41.9% fractional bandwidth) and the measured maximum output power ranges from 44.9 to 46.3 dBm in the designed band. In particular, more than 40% efficiencies are measured at 10-dB backoff throughout the operation band. Index Terms—Broadband, Doherty, low-order impedance inverter, low-pass filter, post matching.

I. INTRODUCTION

I

N ORDER to save the rare and expensive spectrum resources, the high order modulation schemes are widely adopted in the modern communication systems. The accompanying signal characteristics with large peak-to-average power ratio (PAPR) create a demand for transmitter architectures with high efficiency performance at output power backoff (OBO). A wide variety of transmitter architectures have been presented to meet this demand. In the past, architectures like envelope elimination and restoration (EER) [1], envelope tracking (ET) [2], [3], polar transmitters [4], linear amplification with nonlinear components (LINC) [5] and Doherty techniques [6] have been proven to be useful to enhance the efficiency performance for large PAPR applications. It is interesting that all these architectures adopt two-way structures. From the perspective of the signal transmission,

Manuscript received March 09, 2015; revised September 07, 2015; accepted October 18, 2015. Date of publication November 19, 2015; date of current version December 02, 2015. This work was supported in part by the National Natural Science Foundation of China under Grant 61271036 and Grant 61001032 and by the Fundamental Research Funds for the Central Universities under project ZYGX2010Z005. The authors are with the School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731, China (e-mail:[email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495201

these architectures can be divided into two different types. The first type includes EER, polar transmitters, and LINC; they change the signal characteristics by transferring the high PAPR signals to constant envelope ones. The other type includes ET and Doherty transmitters, which keep the signal characteristics unchanged. With the development of the wireless communication systems, wider and wider signal bandwidths are adopted to meet the increasing demand of high data transmission rates. Unfortunately, the bandwidths of the separated signals in the former type systems will be tremendously extended [7], making the design of these kinds of systems difficult. Relatively, Doherty and ET are more able to adapt to the future wireless applications. Meanwhile, wider operation bands are also required to support multiband/multimode standards. During the narrow band era, the Doherty configuration was the most widely used architecture for the base-station applications. By employing Class E, F and saturation mode amplifiers, Doherty power amplifiers (DPAs) show excellent efficiency performance [8]–[10]. Recently, broadband PA design techniques have been discussed in many published papers [11]–[15]. However, it is still difficult to design broadband DPAs because of the load modulation. Some efforts to extend the DPA's bandwidth have been done. Extending the bandwidth of the conventional LMNs ( -impedance inverter) [16], [17], exploiting compensation stages to reduce the bandwidth restrictions from the -impedance inverter [18] or using wideband harmonicstuning to match the required loads in a wide operation band [19], different kinds of techniques have been used to improve the bandwidth performance of DPAs. However, without changing the conventional structure shown in Fig. 1, these efforts can not keep a good Doherty operation over their entire operation bands. Real frequency technique was used to modify the original Doherty structure [20], while resulting in a complicated design method. Post-matching architecture was introduced in some modified structures, achieving good bandwidth performance [21]–[23]. In fact, the conventional Doherty structure has its inherent defects in broadband applications, while employing the post-matching configuration is a good way to extend the bandwidth. Moreover, modified impedance inverters with broadband characteristics are required to adapt to the post-matching architecture. In this paper, we present a modified Doherty configuration which includes the post-matching architecture and low-order impedance inverters. In Section II, the bandwidth limitation of the conventional Doherty configuration is discussed again

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 1. Block diagram of the conventional Doherty amplifier.

in the view of broadband matching and the necessity of the post-matching is pointed out. Then, we use the low-order filter topologies to expand the category of impedance-inverters in Section III. In Section IV, the implementation of the modified Doherty amplifier is explained and all the measured results are presented in Section V. With the modified configuration, an overall operation band of 1.7–2.6 GHz was measured with 6-dB backoff efficiency of 47%–57% and kept higher than 40% at 10 dB backoff.

II. POST MATCHING DOHERTY ARCHITECTURE A conventional DPA's output network normally includes output matching networks (OMNs) of the carrier and peaking PAs and a load modulation network (LMN), as shown in Fig. 1. The output current of the peaking PA changes in different input power levels, making the LMN ports present different impedance values. The Doherty operation is realized through the impedance-inverter in the LMN [6]. To get a broadband Doherty configuration, it seems like employing broadband OMNs and LMNs is the most direct method. However, simply extending the bandwidths of these two networks can not achieve the goal. In a broadband PA design, the OMN normally employ high-order topologies to realize a certain impedance ratio in the operation band. For high power amplifiers, high-order topologies are necessary because of the high impedance ratio. Meanwhile, these high-order topologies are often constructed with filter structures, providing an appropriate pass band with impedance ratio and enough out-of-band rejection to ensure the transmission of the power. For a conventional DPA, the matching impedances are supposed to follow the variation of the LMN ports. Because of the use of the high-order filter matching networks, this assumption can not be simply generalized in the broadband DPA designs. Fig. 2 shows the most common filter topologies to realize the broadband matching networks, including stepped transmission-line (TL) impedance transformers and two kinds of multistage filter networks. The attenuation functions of these kinds of networks are described based on the same class of formulas (for

Fig. 2. Summaries of broadband matching networks. (a) Multistage low-pass matching network. (b) Stepped TL transformer. (c) Multistage band-pass matching network.

example Butterworth and Chebyshev), resulting in similar characteristics although they employ different circuit topologies. In the conventional Doherty operation, the impedance of the load modulation point (LMP) shown in Fig. 1 will change from to (assuming that the impedance inverter can work at any frequency), but this variation can not be transferred by the matching networks. This phenomenon is shown in Fig. 3. A 6-order low-pass Chebyshev matching network with impedance ratio of 5:1 and 40% matching band is presented in Fig. 3(a), the corresponding parameters are calculated based on the method introduced in [24]. The center frequency of the pass-band is normalized to 1. When the load of the network changes from to , the matching impedance does not follow the variation trend over the matching band. This feature tremendously limits the bandwidth of the conventional DPA. Because of the similar characteristics mentioned above, this phenomenon widely exists for the high-order topologies. In order to avert this restriction, the post-matching architecture shown in Fig. 4 is presented. In this modified configuration, all of the high-order matching topologies mentioned above can be employed as the post matching networks, providing an appropriate impedance ratio in a broadband design. How to decide the impedance ratio of the post-matching network is illustrated in Section III. In the proposed post-matching DPA, the modulated impedances at LMP can be calculated as (1) (2) In these equations, is the output current transferred to the post-matching network. and are the output currents of the carrier and peaking branches, respectively. Like the conventional DPA, the carrier device is biased for class-AB operation and the peaking device for class-C operation. For the symmetrical Doherty operation, we have at

PANG et al.: POST-MATCHING DPA EMPLOYING LOW-ORDER IMPEDANCE INVERTERS FOR BROADBAND APPLICATIONS

4063

At saturation, the carrier and peaking devices are now required to generate equal power to keep this operation. This means, the inverters in the carrier and peaking branches should provide appropriate matching from to the required impedances. On the other hand, at BO region, in order to achieve high efficiency performance, the carrier low-order impedance inverter is required to match to appropriate impedances which can make the carrier device saturated in advance. The power level of this saturation in advance is suggested to be 3 dB less than that at saturation region compared to conventional symmetrical Doherty PAs, which means the proposed DPA will also achieve high efficiency performance at 6-dB OBO region. The detailed design method of the impedance inverters are discussed in Section III. In the proposed DPA, the post-matching network would not limit the DPA's bandwidth anymore, because it is in the rear of the LMP, avoiding the influence of the load modulation. The LMN becomes the critical part limiting the post-matching DPA's bandwidth. Broadband impedance inverter is needed for the carrier branch to provide appropriate matching impedances at both saturation and backoff regions. Moreover, the output impedance of the peaking branch has big influence on the carrier matching at the backoff region. An offset line might be needed to reduce the bad influence from the peaking branch. On the other hand, the peaking inverter is required to provide appropriate impedance matching for the class-C biased peaking device at saturation. This also means the characteristic impedance of the offset line is suggested to be set as . III. LMNS BASED ON LOW-ORDER IMPEDANCE INVERTERS

Fig. 3. 40% bandwidth 5:1 6-order low-pass impedance transformer in a impedance and 1 rad/s angular frequency [24]. normalized system with 1 (a) Schematic. (b) Real part of impedances in different load conditions. (c) Imaginary part of impedances in different load conditions.

Fig. 4. Block diagram of the post-matching DPA.

backoff (BO) region and at saturation, which means the corresponding modulated impedances at BO and saturation are Saturation BO

(3)

Saturation BO

(4)

Quarter-wave TLs are used to realize the conventional impedance inverters. Because we employ the post-matching structure, the characteristic impedances of the -impedance inverters would become small if they were still used, sometimes making these TLs too wide to implement. Meanwhile, the -impedance inverters also restrict the bandwidth of the Doherty operation, many published papers have already illustrated this defect (e.g., [16]–[18]). Moreover, impedance inverters are directly connected to the transistors in the proposed DPA, which means they should provide not only impedance inverse but also appropriate matching. However, the -impedance inverters can only realize real to real impedance transfer. Additional offset lines are needed to provide appropriate matching impedances, which would make the DPA system more complex and restrict the bandwidth more. In order to find other structures to realize the applicable impedance inverters, we should discuss the optimal impedances of the power transistors in different output power levels. Fig. 5 shows the load-pull simulation results of Cree's GaN HEMT CGH40025F at 2.15 GHz (the center frequency of a 40% bandwidth from 1.7 to 2.6 GHz). It can be seen that different matching conditions should be set at saturation and 3-dB output backoff, which corresponds to the required matching impedances of the carrier amplifier in the two different power levels of the Doherty operation. The two shadow areas are the overlapping regions of the Pout and PAE contours. In order to show the changing trend of the impedance in different power levels clearly, the reference impedance for the smith chart in

4064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. Simulated load-pull results (PAE and Pout contours) of CGH40025F in different output power levels at 2.15 GHz.

TABLE I OPTIMAL IMPEDANCES FROM LOAD-PULL SIMULATIONS DIFFERENT INPUT POWER LEVELS

IN

Fig. 5 is chosen to be 10 . The blue arrow exhibit the variation trend of the optimal impedances required by the carrier PA when the input power increases. In this case, the optimal impedance changes from the area around to . This indicates that the Doherty operation requires a kind of impedance transformation which keeps the real part nearly invariant while making the value of the imaginary part decrease. This phenomenon is observed over a wide frequency range (more than 40% bandwidth), Table I shows the optimal impedances in different power levels over the 1.7–2.6-GHz band. This feature is caused by the nonideal parasitic and packaging parameters of the transistors. Load-pull results from Cree's CGH40010F in 1.2–1.8-GHz band are also presented in Table I showing similar feature. All the load-pull simulations are on the condition of open harmonic loads. The above discussion only indicates the needed features of the impedance inverters in the proposed DPA, but what structure can be used to realize those inverters is still unknown. The two-point matching technique provided in [25] presents a way for designing a matching network for changing loads, in which the conventional narrow band LMN can be removed. In the proposed DPA, we introduce a kind LMN based on low-order impedance inverters, which have simple topology to run the broadband Doherty operation.

Fig. 6. Normalized low-order low-pass impedance transformer. (a) Schematic. (b) Real part of impedances in different load conditions. (c) Imaginary part of impedances in different load conditions.

Fig. 6(a) shows the schematic of a normalized low-order lowpass impedance transformer. The transformed impedance is expressed as (5) This expression can be expanded to its real and imaginary parts as (6) From expression (6), we can know that the imaginary part of will become smaller as become larger and the real part will not change in a certain frequency . Considering when changes to and it is required that the real part of does not change at , we have (7) from (7),

is calculated as (8)

PANG et al.: POST-MATCHING DPA EMPLOYING LOW-ORDER IMPEDANCE INVERTERS FOR BROADBAND APPLICATIONS

Therefore, the real part of the matching impedance at puted as

4065

is com-

(9) Fig. 6(b) and (c) shows the variations of the impedance values when becomes . In these figures, the abscissa and we normalize and to 1. We can find that the real part values of changed a little (less than 16%) in a 40% bandwidth, and all the imaginary part values become smaller. These trends are just the same as the impedance conditions which are needed for running the Doherty operation mentioned above. Besides, the imaginary part of can be easily adjusted by changing the value of the inductor . From what has been discussed above, we can summarize the basic method to design a low-order low-pass impedance inverter. Besides, because is determined by the impedance inverter, the impedance ratio of the post matching networks can be calculated as , is normally the 50- load of the whole DPA system. The main steps to design the proposed DPA are described as follows. Step 1: Determine the basic parameters and . is determined by the center frequency of the preconceived DPA system while comes from the loadpull data of the active devices. is normally an average value of the load-pull results over the expected band. Step 2: Calculate all the parameters of the post-matching network and impedance inverters. These parameters are: the impedance value of the LMP , the impedance ratio of the post-matching network , the parameters of the inverters and . is calculated from (9) and then is decided by . For the carrier impedance inverter, is determined by (8) and should be tuned to an appropriate value based on the load-pull data. For the inverter in the peaking branch, the design method is different. The should be recalculated from the load pull data of the peaking device and has been already decided by the carrier branch, so (9) is not correct anymore. Because the main feature of the peaking inverter is to provide the required matching impedances for the peaking device at saturation, so for the peaking inverter can be calculated from (6) and should also be tuned to an appropriate value based on the load-pull data for the peaking device. The tuning procedure of design is to change its value to ensure that the final matching impedances are all in the load-pull contours. We will present the tuning method in detail in Section IV. Step 3: An offset line with characteristic impedance of is required to be added to the peaking inverter, reducing bad influence from the output impedances of the peaking branch on the carrier matching. Step 4: Design a high-order network to realize the post-matching network in the expected band and transfer the low-pass prototypes of the impedance inverters to physical circuits.

Fig. 7. Tuning procedure of design

for the carrier branch.

IV. PA IMPLEMENTATION A. Carrier Impedance Inverter Design In the proposed design, low-pass structures are chosen to realize both the post-matching network and the low-order impedance inverters. A 41.9% bandwidth from 1.7 to 2.6 GHz is set as the basic goal to achieve. Cree's GaN HEMTs CGH40025F are chosen as the active devices. From the simulated load-pull results shown in Table I, optimal impedances are observed within a range of 7–11.4 . Therefore, we set to the average value 9.2 . The impedance value of the LMP and parameters of the low-order impedance inverters are calculated using the method illustrated in Section III. The calculated parameters of the proposed DPA are presented as follows: , . The next step is tuning to an appropriate value based on the load-pull data. As shown in Fig. 7, different values of have direct influence on the matching results. To ensure a balanced performance for the DPA at backoff region, in the carrier branch was finally set as 1.6 nH. Fig. 8 shows the simulated load-pull results and matching impedances of this low-pass impedance inverter over the designed band. The results at 3-dB output backoff and saturation are presented in Fig. 8(b) and (c), respectively. The imaginary part of can not match the optimal impedance over the entire band, resulting in efficiency decrease in the proposed design. Despite this mismatch, the matching impedance curves are still in the 45% and 60% PAE contours’ region at the two different power levels as shown in Fig. 8(b) and (c). B. Peaking Impedance Inverter and Offset Line Design For the peaking impedance inverter design, the load-pull data of the peaking device need to be refreshed because of the different gate bias condition. The load-pull results for CGH40025F with Class-C operation are shown in Fig. 9. An average value of 11 is chosen as the matching goal of the peaking inverter at the center frequency . So the peaking inverter is required to realize the real part matching from to 11 at 2.15 GHz. As presented in (6), for the peaking inverter can be calculated as and for the peaking inverter is tuned to 1 nH. The tuning method is just the same as the carrier branch tuning design. These parameters are then transferred to a TL structure shown in Fig. 9. The matching results are also shown in Fig. 9.

4066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 10. Schematic of the LMN.

Fig. 8. Simulated results of the low-pass impedance inverter. (a) Schematic of the proposed impedance inverter. (b) Simulated matching impedances and load-pull results plotted in smith chart in the operation band at 3-dB output backoff. (c) At saturation.

Fig. 11. Simulated output impedances of the peaking branch with and without the designed offset line.

Fig. 12. Simulated carrier matching impedances and load-pull results plotted in smith chart with and without the impact from peaking output impedance.

Fig. 9. Simulated peaking matching impedances and load-pull results plotted in smith chart.

Fig. 10 presents the schematic of the proposed LMN. As mentioned in Section II, the output impedance of the peaking branch ( shown in Fig. 10) has crucial influence on the carrier matching at backoff region. As shown in Fig. 11, is now close to the zero point. An offset line should be added to the peaking inverter, providing large output impedances to avoid this influence. Meanwhile, in order not to affect the peaking

matching at saturation, the characteristic impedance of the offset line is suggested to set as 28 . It is difficult for the offset line to provide large output impedances for a broadband design. In practice, the offset line's length should be set to keep the carrier PA's high-performance running at the backoff region. In this design, a 60 offset line has been chosen. The simulated impedance of the peaking branch with a 60 offset line is shown in Fig. 11. It can be seen that the output impedance in the lower operation band is small (the value of the imaginary part at 1.7 GHz is only 19). Fortunately, the post-matching topology can reduce the bad influence from the peaking output impedance. That is because the post-matching network provide a small , while the is parallel with it. Fig. 12 shows the simulated carrier matching

PANG et al.: POST-MATCHING DPA EMPLOYING LOW-ORDER IMPEDANCE INVERTERS FOR BROADBAND APPLICATIONS

Fig. 14.

Fig. 13. Schematics.(a) Schematic of the proposed DPA. (b) Schematic of the input matching network. (c) Schematic of the uneven broadband power divider.

impedances in smith chart with and without the impact from peaking output impedance, the load-pull results are also plotted. It can be seen that the matching impedance curves are still in the 45% PAE contours at the backoff region.

C. Entire DPA Implementation Fig. 13(a) shows the schematic of the entire DPA, which was realized on the Rogers Duroid 5880 substrate with and . To realize the impedance matching from 50 to 14 in the 1.7–2.6 GHz band, a 6-order low-pass Chebyshev matching network, which is designed through the method introduced in [26], is used as the post-matching network. Meanwhile, the lumped in the impedance inverters are transferred to distributed circuits using the same method. The input matching networks(IMNs) of the carrier and peaking PAs are designed with three-stage TL networks, and they have similar topologies. The electrical length and impedance of each TL are calculated based on the synthesis theory in [24]. High-impedance TLs are used as the

4067

-parameters of the post-matching network.

supply lines to keep the low-order inverters' characteristics. Stabilization networks consisting of an RC-tank and a resistor at the gate bias are included in the IMNs. The resulting circuit is depicted in Fig. 13(b). Besides, in order to divide the input power in the designed operation band, a two-stage broadband power divider is designed based on the method introduced in [27]. Moreover, because the gain of the peaking PA is less than the carrier PA’s, this power divider is optimized to an uneven one to compensate the peaking PA's gain using the method illustrated in [28]. Fig. 13(c) shows the resulting schematic of this power divider. This uneven power divider is not necessary for the modified DPA system, while employing it would improve the DPA performance in the author's opinion. In the proposed design, the designed gain of the peaking branch is lower than that of the carrier branch at saturation, which means keeping an equal power input can not drive the peaking device to saturation while the carrier device has already been driven to. This means the load-modulation is imperfect at this situation. Increasing the input power can drive the peaking device to saturation also, but this means the gain will compress more, making the linearity deteriorate. By using the uneven power divider, more power can be transferred to the peaking device, which can release this defect. All the above mentioned circuits are simulated using Agilent ADS. In order to get the optimum performance, all the circuit parameters were optimized to a certain extent. Fig. 14 shows the simulated amplitude of the -parameters of the post-matching network. This network is nearly symmetrical which means and , so and are not plotted. From Fig. 14, we can see that is very close to zero and is below which implies the matching from 50 to 14 is realized successfully. The -parameters of the uneven broadband power divider are shown in Fig. 15, only the amplitudes are plotted versus the frequency. There is 0.4-dB difference between and . The reflections ( , , and ) and the isolation( ) are all below . The fabricated DPA circuit is shown in Fig. 16, all the testing ports (RF input, output, and dc supplies) use the SMAs. The size of the entire DPA is 12 cm 8 cm.

4068

Fig. 15.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

-parameters of the broadband power divider.

Fig. 18. Measured gain versus single-tone output power of the Doherty PA over 1.7–2.6 GHz.

Fig. 16. Fabricated DPA circuit.

Fig. 19. Measured gain and max output power over the entire band.

Fig. 17. Measured efficiency versus single-tone output power of the Doherty PA over 1.7–2.6 GHz.

V. MEASUREMENT RESULTS A. Continuous Wave Testing Single-tone large-signal measurements were first performed over the designed band from 1.7 to 2.6 GHz. In the measurement, the gate of the carrier PA was biased at and the peaking PA at , while the drain biases were both 28 V. Fig. 17 presents the measured drain efficiency with respect to the output power and the measured gain on dependence of the output power is presented in Fig. 18. It is obvious that the Doherty operation is successfully realized over the entire band. The gain of the carrier and peaking PA changes with the frequency, making the peaking PA turn on in different output power levels at different operation frequencies. This frequency-dependent turn-on timing results in different backoff

Fig. 20. Measured efficiency performance at different output power levels over the entire band.

efficiency performances. Meanwhile, although the gain presents different compressions as frequency changes, a gain fluctuation within was still obtained at the 6–9-dB backoff region. The DPA's performance on dependence of frequency is also presented. Fig. 19 shows the measured maximum output powers from 1.7 to 2.6 GHz, which ranges from 44.9 to 46.3 dBm. The measured power gain of this DPA is also plotted in Fig. 19, which is within the range of 10.2–11.6 dB at 8–9-dB backoff

PANG et al.: POST-MATCHING DPA EMPLOYING LOW-ORDER IMPEDANCE INVERTERS FOR BROADBAND APPLICATIONS

Fig. 21. Measured output power, efficiency, and ACPR levels over the operation band, using a single-carrier 5 MHz WCDMA signal with .

4069

Fig. 22. Measured drain efficiency and ACPR levels using a single-carrier 5 MHz WCDMA signal with at 2.3 GHz.

and 8.6–10.5 dB at saturation. Fig. 20 shows the drain efficiency at the saturation and different backoff levels measured in 100 MHz steps in the operation band. A good backoff efficiency performance was achieved showing 47%–57% drain efficiency from 1.7 to 2.6 GHz at 6-dB backoff. In the deep OBO region (10-dB backoff), the measured drain efficiency was still kept above 40%. B. Modulated Signal Testing To evaluate the performance of the DPA in modern wireless communication systems, modulated signal measurements have been performed in the operation band. Signals with different bandwidths and PAPRs were used to measure the DPA performance in different conditions. The DPA was first tested using a 5-MHz WCDMA signal with PAPR of 6.5 dB. In the experiment, the bias condition was the same as that used in the single-tone measurements. Fig. 21 shows the measured average output power and drain efficiency (higher than 45%) , as well as the adjacent channel power ratio (ACPR) ( to ) across the entire band. The ACPRs in upper and lower bands are exactly similar to each other, so only one of them is plotted. Fig. 22 shows the drain efficiency and ACPR at 2.3 GHz when the output power is swept from 31 to 42 dBm. Digital predistortion (DPD) technique has been performed to evaluate that the DPA has the potential to be linearized. The measured DPA output spectrum at 2.3 GHz, for an average output power of 40 dBm, with and without DPD, are shown in Fig. 23. Better than ACPR was obtained after DPD, more than 15-dB improvement was achieved compared to the original ACPR. In the above measurements, the modulated signal is generated by the vector signal generator while the output spectrum and ACPRs are measured by the vector signal analyzer. Indirect learning approach is used to realize the DPD function. A memory polynomial model with nonlinear order 9 and memory depth 3 is chosen to build the DPD structure. All the model parameters are estimated through the least mean square algorithm. A 20-MHz long term evolution (LTE) signal with 10.5-dB PAPR was also used in the experiment, to evaluate the DPA performance when driven by high PAPR and wide-band signals.

Fig. 23. Measured DPA output signal spectrum of a 5-MHz WCDMA signal at 2.3 GHz with and without DPD.

Fig. 24. Measured output power, efficiency and ACPR levels over the opera. tion band, using a single-carrier 20 MHz LTE signal with

The high PAPR implies that the DPA operates in deep backoff state, and the wide signal bandwidth results in linearization deteriorating. Fig. 24 shows the measured performance on dependence of frequency with drain efficiency still higher than 40% and ACPR from to . The corresponding output powers are also plotted in this figure. The upper and lower ACPRs become asymmetric as the signal bandwidth extends. A summary of state-of-the-art broadband DPAs performances is shown in Table II. In Table II, BW, and represent

4070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE II PERFORMANCE OF RECENTLY PUBLISHED BROADBAND DPAS

the bandwidth, peak drain efficiency, and 6-dB backoff drain efficiency of these PAs, respectively. From Table II we can see that the proposed DPA presents good performance on all the indicators. VI. CONCLUSION A new configuration for designing broadband DPAs by employing the post-matching network and low-order impedance inverters is presented in this paper. By employing the proposed architecture, a broadband Doherty PA with good performance can be easily designed. Calculation method of the circuit parameters is illustrated in this paper. A modified DPA using this configuration is designed and implemented with commercial GaN transistors. An overall 41.9% bandwidth (1.7–2.6 GHz), around 11-dB gain and higher than 47% efficiencies at 6-dB OBO are measured. In the deep OBO region (10-dB backoff), the measured drain efficiency still keeps above 40% over the entire operation band. Moreover, the modulated measurements using WCMDA and LTE signals show good potential for applications in nonconstant-envelope communication systems. ACKNOWLEDGMENT The authors would like to thank Q. Wei, Y. Wang, and Q. Wang from the Huawei Technologies Company for providing measurement support. The authors would also like to thank G. Naah from our laboratory for helping us with the grammatical checking. REFERENCES [1] F. Wang et al., “An improved power-added efficiency 19-dBm hybrid envelope elimination and restoration power amplifier for 802.11g WLAN applications,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4086–4099, Dec. 2006. [2] F. Wang, A. H. Yang, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Design of wide-bandwidth envelope-tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [3] F. You, B. Zhang, Z. Hu, and S. He, “Analysis of a broadband high-efficiency switch-mode - supply modulator based on a class-E amplifier and a class-E rectifier,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 2934–2948, Aug. 2013. [4] M. R. Elliott et al., “A polar modulator transmitter for GSM/EDGE,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2190–2199, Dec. 2004. [5] D. C. Cox, “Linear amplification with nonlinear components,” IEEE Trans. Commun., vol. COM-22, pp. 1942–1945, Dec. 1974. [6] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, pp. 1163–1182, Sep. 1936.

[7] M. S. Alavi, R. B. Staszewski, L. C. N. de Vreede, A. Visweswaran, and J. R. Long, “All-digital RF modulator,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 11, pp. 3513–3526, Nov. 2012. [8] Y. S. Lee, M. W. Lee, and Y. H. Jeong, “Highly efficient Doherty amplifier based on class-E topology for WCDMA applications,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 608–610, Sep. 2008. [9] P. Colantonio, F. Giannini, R. Giofr, and L. Piazzon, “Theory and experimental results of a class F–Doherty power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 8, pp. 1936–1947, Aug. 2009. [10] J. Kim, J. Son, J. Moon, and B. Kim, “A saturated Doherty power amplifier based on saturated amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 109–111, Feb. 2010. [11] P. Saad, C. Fager, H. Cao, H. Zirath, and K. Andersson, “Design of a highly efficient 2–4-GHz octave bandwidth GaN-HEMT power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 7, pp. 1677–1685, Jul. 2010. [12] C. Huang, S. He, F. You, and Z. Hu, “Design of broadband linear and efficient power amplifier for long-term evolution applications,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 653–655, Dec. 2013. [13] Z. Dai, S. He, F. You, J. Peng, P. Chen, and L. Dong, “A new distributed parameter broadband matching method for power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 449–458, Feb. 2015. [14] N. Tuffy, L. Guan, A. Zhu, and T. J. Brazil, “A simplified broadband design methodology for linearized high-efficiency continuous class-F power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1952–1963, Jun. 2012. [15] T. Canning, P. J. Tasker, and S. C. Cripps, “Continuous mode power amplifier design using harmonic clipping contours: Theory and practice,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 100–110, Jan. 2014. [16] A. Grebennikov and J. Wong, “A dual-band parallel Doherty power amplifier for wireless applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3214–3222, Oct. 2012. [17] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 934–944, Apr. 2011. [18] J. M. Rubio, J. Fang, V. Camarchia, R. Quaglia, M. Pirola, and G. Ghione, “3–3.6 GHz wideband GaN Doherty power amplifier exploiting output compensation stages,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2543–2548, Aug. 2012. [19] K. Bathich and G. Boeck, “Wideband harmonically-tuned GaN Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [20] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 1, pp. 99–111, Jan. 2012. [21] D. Kang, D. Kim, Y. Cho, B. Park, J. Kim, and B. Kim, “Design of bandwidth-enhanced Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3474–3483, Dec. 2011. [22] D. Y.-T. Wu and S. Boumaiza, “A modified Doherty configuration for broadband amplification using symmetrical devices,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3201–3213, Oct. 2012. [23] D. Gustafsson, C. M. Andersson, and C. Fager, “A modified Doherty power amplifier with extended bandwidth and reconfigurable efficiency,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 533–542, Jan. 2013. [24] G. L. Matthaei, “Tables of Chebyshev impedance-transformation networks of low-pass filter form,” Proc. IEEE, vol. PROC-52, no. 8, pp. 939–963, 1964. [25] M. Akbarpour, M. Helaoui, and F. M. Ghannouchi, “A transformerless load-modulated (TLLM) architecture for efficient wideband power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2863–2874, Sep. 2012. [26] K. Chen and D. Peroulis, “Design of highly efficient broadband class-E power amplifier using synthesized low-pass matching networks,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3162–3173, Dec. 2011. [27] S. B. Cohn, “A class of broadband three-port TEM-mode hybrids,” IEEE Trans. Microw. Theory Techn., vol. MTT-16, pp. 110–116, Feb. 1968. [28] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers- uneven power drive and power matching,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1802–1809, May 2005.

PANG et al.: POST-MATCHING DPA EMPLOYING LOW-ORDER IMPEDANCE INVERTERS FOR BROADBAND APPLICATIONS

Jingzhou Pang received the B.S. degree in electrical engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2010, and is currently working toward the Ph.D. degree in circuits and systems at the same university. He is currently with the Smart Hybrid Radio Laboratory, UESTC. He is now engaged in research on ultra wideband high efficiency power amplifier designs and bandwidth extension techniques for traditional transmitter architectures. His interests include high efficiency transmitter systems, broadband power amplifier design techniques and analog linearization techniques.

Songbai He (M’08) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1995, 1998, and 2003, respectively. In 2004, he visited Chiba University, Chiba, Japan, where he worked on the research of high-efficiency switch-mode power amplifiers. In 2005, he returned to the University of Electronic Science and Technology of China, where he is now a Professor. His current research plan of broadband high-efficiency linear transmitter is supported by the Hi-tech Research and Development Program of China. His research interests include RF/MW circuits and systems, frequency synthesis, wireless communication, and nonlinear dynamic systems.

Chaoyi Huang received the B.S. degree in electronic information engineering from the University of Electronic Science Technology of China (UESTC), Chengdu, China, in 2011, where he is currently working toward the Ph.D. degree. He is currently with the Smart Hybrid Radio Laboratory, UESTC. He is now engaged in research on design techniques for wideband high-efficiency linear power amplifiers.

4071

Zhijiang Dai received the B.S. degree in electrical engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2011, and is currently working toward the Ph.D. degree in circuits and systems at the same university. He is currently with the Smart Hybrid Radio Laboratory, UESTC. His interests lie in the area of automatic matching techniques of PA and wideband and linear RF PA design.

Jun Peng received the B.S. degree in electronic information engineering from the University of Electronic Science Technology of China (UESTC), Chengdu, China, in 2013, and is currently working toward the M.S. degree at the same university. His interests are in the area of RF power amplifier linearization techniques. He is currently engaged in research on digital predistortion techniques of strong nonlinearity systems.

Fei You (M’09) was born in Chongqing, China, in 1982. He received the B.S. degree in electronic engineering and the Ph.D. degree in circuits and systems from the University of Electronic Science and Technology of China, Chengdu, China, in 2004 and 2009, respectively. His research interests include high-efficiency power amplifier design and its application in linearization transmitters. Now, his research plan is to build a digital polar transmitter for the broadband communication systems. The design method of class-E power amplifier at microwave band, the high-efficiency broadband dc modulator, and the digital predistortion linearization method for the digital polar transmitter are the current key research points.

4072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Analysis of Far-Out Spurious Noise and its Reduction in Envelope-Tracking Power Amplifier Jooseung Kim, Student Member, IEEE, Dongsu Kim, Yunsung Cho, Student Member, IEEE, Daehyun Kang, Byungjoon Park, Kyunghoon Moon, and Bumman Kim, Fellow, IEEE

Abstract—This paper describes analysis of the far-out spurious noise and a method to reduce this noise in an envelope-tracking power amplifier (ET PA). The ET PA delivers a higher efficiency and a better linearity than the stand-alone PA, simultaneously. However, the ET PA generates spectral regrowth at a far-out spurious emission domain. The noise sources are analyzed, and the noise effects on a RF PA are estimated using mathematical models of the RF PA and supply modulator. To reduce the noise, a wideband supply modulator is designed using 40-nm CMOS process for a high speed operation, and an additional capacitor is connected to the supply line of the PA. These noise reduction techniques significantly reduce the far-out spurious noise of the ET PA to a level similar to that of the stand-alone PA. For a 10-MHz bandwidth and 6.5-dB peak-to-average power ratio long term evolution signal, the ET PA delivers a power-added efficiency of 41.8%, a gain of 23.8 dB, and an evolved universal terrestrial radio access adjacent channel leakage ratio of at an average output power of 27 dBm and an operating frequency of 1.85 GHz. The noise power spectrum densities of the ET PA are at 1.93/1.99 GHz, respectively, which is limited by our PA itself. Index Terms—Envelope-tracking, far-out spurious noise, long term evolution (LTE), power amplifier, receiver band noise (RxBN), supply modulator.

A

I. INTRODUCTION

DVANCED mobile systems adopt spectrally efficient complex modulation schemes to achieve high data throughput within limited spectrum resources. The signals in the fourth-generation (4G) systems and beyond have high peak-to-average power ratios (PAPR) and wide channel bandwidths (BWs). For amplification of the signals, a radio frequency power amplifier (RF PA) has low efficiency at an average power to maintain the required linearity. In mobile terminals, the transmitter efficiency is important due to the reduced battery lifetime, as well as to an overheating issue Manuscript received January 21, 2015; revised June 17, 2015; accepted October 12, 2015. Date of publication November 13, 2015; date of current version December 02, 2015. This work was supported by the IT R&D Program of MOTIE/KEIT (10044321, High Speed Long Range Wi-Fi Development for Future M2M Service). J. Kim, K. Moon, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk 790-784, South Korea. D. Kim is with Samsung Electronics, Suwon-si, Gyeonggi 443-742, South Korea. Y. Cho, B. Park, and B. Kim are with the Division of IT Convergence Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk 790-784, South Korea (e-mail: [email protected]). D. Kang is with the Broadcom Corporation, Matawan, NJ 07747 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495178

Fig. 1. (a) Block diagram of envelope-tracking system. (b) Efficiency curve of envelope-tracking PA.

as the mobile RF PA footprints shrink and the filter losses mount with new band interoperability and carrier aggregation (CA) requirements. Therefore, the RF PA should be efficient at the average power, while supporting the complex 4G signal integrity. For this purpose, many techniques have been investigated to improve efficiency of the RF PA [1]–[24]. Envelope-tracking (ET) is the most popular technique for power saving in the real RF transmitters for handset applications. Fig. 1(a) illustrates a block diagram of the ET system. An envelope signal is generated from the input baseband signals I(t) and Q(t), and it is shaped and delayed by an ET digital signal processing (DSP) for the optimum operation of the RF PA. A supply modulator supplies the envelope signal to the RF PA as a drain/collector bias. The supply voltage of the RF PA is varied in synchronism with the envelope of the RF signal. Therefore, the ET technique enables the RF PA to operate at an efficiency of the theoretical maximum over a broad power range, as shown in Fig. 1(b), thereby reducing heat, increasing battery lifetime, and enabling the RF PA to support complex 4G signals. The efficiency enhancement through the envelope modulation was introduced for the first time a few decades ago [1]. However, the technique has not been applied in real systems until recently because of difficulty in implementing the modulator that satisfies the efficiency, BW, and noise requirements for a wide BW signal. These difficulties have been explored by many researchers for a long period of time [2]–[23]. Their efforts have led to a point where the ET technique can now be applied to real mobile devices, and the ET technique has made great progress with specific analyses [14]. The ET system has been extensively studied to improve the efficiency as well as apply various functionalities. However, the ET PA shows spectral regrowth at the far-out spurious emission domain [15]–[19], and the research for this issue is still insufficient. An ET PA is carefully designed to meet the receiver band noise (RxBN) requirement [17], but it does not describe the noise performance at the far-out spurious emission domain

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

KIM et al.: FAR-OUT SPURIOUS NOISE AND REDUCTION IN ET PA

4073

except Rx band and does not show the far-out spectrum considering the long term evolution (LTE) spurious emission specification [25]. The far-out spurious noise is reduced by changing the architecture from a linear-assisted switching amplifier to a class-A mode [18], but the class-A mode modulator significantly degrades the overall efficiency of the ET PA. An ET PA achieves reasonable spurious emission by the supply modulator with the low average switching frequency and the linear class-AB stage [19], but it does not represent the power spectrum density (PSD) at the Rx band. In this paper, the far-out spurious noise sources are analyzed, and the noise effects on the RF PA are estimated using mathematical models of the supply modulator and RF PA. In addition, a wideband supply modulator is designed and an additional capacitor is connected to the supply line of the RF PA to further suppress the far-out spurious noise. The effectiveness of the proposed method is verified by the measured transmitter (Tx) and Rx far-out output spectra. The measurement setup for the RxBN is also presented. This paper is organized as follows. Section II describes the noise sources and noise effects on the RF PA. Section III details the design of the supply modulator for reduction of the far-out spurious noise. Section IV presents the measured results and the conclusions are discussed in Section V. II. ANALYSIS OF FAR-OUT SPURIOUS NOISE A. Noise Sources The most popular topology of the supply modulator is the hybrid switching amplifier (HSA). The hybrid structure combines a highly efficient switching converter with a wideband linear regulator via a feedback loop, as depicted in Fig. 2(a). The complete circuit schematic is clearly explained in [10]. In this architecture, the switching converter slowly operates as a quasi-constant current source, while the wideband linear regulator compensates the ripple current and operates as a voltage-controlled voltage source (VCVS), as shown in Fig. 2(b). The switching converter supplies most of the current to the load with a high efficiency, and the linear regulator provides the remaining high frequency component with a high speed. Therefore, the supply modulator achieves high efficiency and high speed operation, simultaneously. However, this structure generates noise at the far-out offset frequency. Several noise sources exist in the ET PA, but this paper discusses two main noise sources, as shown in Fig. 2(b). One is the switching noise of the switching converter. The linear regulator compensates the ripple current generated by the switching converter, but the compensation is not perfect. The other is crossover distortion noise, which is generated by the linear regulator during conversion between the sourcing and sinking operations. The switching and crossover distortion noises are directly fed to the drain/collector of the RF PA, and they are represented at the far-out spurious emission domain by mixing with the RF signal. The switching noise and crossover distortion noise are well known noise sources [16]–[19], but this paper presents more detailed analysis on these noise sources. A more detailed noise test is obtained by conducting a PSD simulation using three types of supply modulator: (A) supply modulator using only a linear regulator, as shown in Fig. 3(a),

Fig. 2. (a) Block diagram of hybrid switching supply modulator. (b) Conceptual modulator circuit and noise sources of the hybrid switching supply modulator.

Fig. 3. (a) Supply modulator using only a linear regulator. (b) Supply mod, ulator using a linear regulator with an ideal current source. .

(B) a supply modulator using a linear regulator with an ideal current source, as shown in Fig. 3(b), and (C) a conventional hybrid switching supply modulator. Three types of the supply modulators are designed and simulated in the cadence spectre circuit simulator. The RF PA in Fig. 3 is simply modeled as a parallel connected resistor and capacitor to conduct the PSD simulation of the supply modulator. (A) does not contain either switching and crossover distortion noises, (B) includes only the crossover distortion noise because the ideal current source is used instead of the real switching converter, and (C) contains the switching noise and crossover distortion noise, simultaneously. The noise sources are classified by comparing the noises of (A) and (B) to that of (C). Fig. 4 illustrates the simulated output spectra for the three supply modulators. The test signal is 10-MHz LTE with 6.5-dB PAPR. The input is scaled to the same level with

4074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. Output spectra of the linear regulator, linear regulator with ideal current source, and hybrid switching supply modulator. Fig. 6. Block diagram of the linear regulator.

Fig. 5. Simulation environment for test of noise effects on RF PA.

the output considering the closed loop gain of 5, and the spectrum is also presented. The PSD of the supply modulator using only the linear regulator is the similar level to that of the input without generating any significant noise. The supply modulator using the linear regulator with the ideal current source shows a significant spectral regrowth from the near offset frequency to 200-MHz offset frequency, while the hybrid switching supply modulator generates the spectral regrowth across the far-out offset frequency. This means that the crossover distortion noise is significant at the near offset frequency , and the switching noise is dominant across the far-out offset frequency. The switching noise at the near offset frequency is well compensated by the linear regulator; however, the crossover distortion noise of the linear regulator itself is the noise source at the offset frequency. B. Noise Effects on RF PA To estimate the noise effects on the RF PA, the PA characteristics are analyzed with the mathematical models of the RF PA and supply modulator using MATLAB. They are modeled based on the designed RF PA and supply modulator in the advanced design system (ADS) and cadence spectre circuit simulator, respectively. The simulation environment is depicted in Fig. 5. The hybrid switching supply modulator is modeled using an ideal op-amp, ideal switches, and other assisting blocks [14]. In the previous work [14], the linear regulator ideally compensates the high frequency component without any remaining noise. In this paper, however, the supply modulator is studied in more detail, modeling it with constraint of the loop BW over the entire operating voltage based on the designed supply modulator performance. Here, the loop means the gain-bandwidth loop.

Fig. 7. (a) Mathematical modeling for loop bandwidth of the linear regulator. together with ideal and . (b) Distorted

Fig. 6 shows the linear regulator, which regulates the output voltage ranging from 0.5 to 3.5 V with a supply voltage of 4 V. At the maximum (3.5 V) and minimum (0.5 V) output voltages, the BWs of the linear regulator are small because the PMOS or NMOS buffer , ) operates in triode region . In addition, the loop BW is also narrow at with a low the operating voltage when the regulator converts between the sourcing and sinking operations, because the currents through and are very low during the transit time, causing low transconductances of and . Therefore, the BW is simply modeled by a lookup table (LUT) as functions of the instantaneous switch current and

KIM et al.: FAR-OUT SPURIOUS NOISE AND REDUCTION IN ET PA

4075

Fig. 8. RF PA characteristics with ideal envelope voltage. (a) AM–AM curve. (b) AM–PM curve. (c) Drain efficiency, gain, and equivalent load resistance.

Fig. 9. RF PA characteristics with distorted envelope voltage. (a) AM–AM curve. (b) AM–PM curve. (c) Drain efficiency, gain, and equivalent load resistance.

original envelope voltage , as shown in Fig. 7(a), based on the performance of the designed linear regulator in the cadence spectre circuit simulator. The output voltage of the supply modulator is determined by the and BW, and can be expressed as (1) The distorted envelope output is plotted in Fig. 7(b) and compared with the ideal envelope output. The crossover distortion is generated during conversion between the sourcing and sinking operations. There are small noises at the maximum and minimum output voltage regions, but they are negligible compared to the crossover distortion noise because the probability density function (pdf) for the crossover transit is much higher compared to those of the maximum and minimum output voltage operations. The switching noise is also generated at the crossover instance and is not properly compensated by the linear regulator with the limited BW. However, the substrate coupling and power/ground coupling are not included in this model since those noises can be suppressed using a proper device structure. The RF PA model is composed of two 2-D LUT, including the amplitude-to-amplitude modulation (AM–AM) and amplitudeto-phase modulation (AM–PM) [14]. The LUTs are generated from a harmonic balance (HB) simulation of the designed PA by sweeping its input power and supply voltage. The output amplitude and output phase are generated using a 2-D interpolation of the AM–AM and AM–PM LUTs, and can be expressed as (2)

(3) and are an input amplitude and an input phase, where respectively. Figs. 8 and 9 show the simulated characteristics of the RF PA with the ideal and distorted envelopes, respectively. Figs. 8(c) and 9(c) show the simulated CW performances of the RF PA by sweeping the dc supply, and the instantaneous curves of the drain efficiency (DE), gain, and equivalent load resistance are overlaid on CW curves. In Fig. 9, the envelope signal is distorted due to the linear regulator's limited BW, which is dependent on the instantaneous and output voltage of the supply modulator as shown in Fig. 7(a). Therefore, at the same instantaneous RF input power, different improper supply voltages will be applied to the RF PA, generating different instantaneous output power levels. Consequently, the AM–AM, AM–PM, DE, gain, and characteristics are dispersed compared to those of the ideal envelope case (Fig. 8). The dispersion is similar to the memory effect of the RF PA. The ET PA delivers a better linearity than the stand-alone PA due to the sweet spot tracking [10], as shown in Fig. 10(a). However, the distorted envelope generates far-out spurious noise, as shown in Fig. 10(b). The switching noise and crossover distortion noise degrade the far-out spectrum performance of the ET PA. III. LOW FAR-OUT SPURIOUS NOISE SUPPLY MODULATOR ET PA cannot use a dc decoupling capacitor in supply line to modulate the supply voltage. However, the output impedance of the linear regulator functions as the dc decoupling capacitor and also filters out the noise generated by

4076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 11. Proposed envelope-tracking PA with an additional capacitor.

Fig. 10. (a) Spectra of input and outputs for stand-alone PA and ET PA with ideal envelope voltage. (b) Spectra of input and outputs for stand-alone PA and ET PA with distorted envelope voltage.

the switching converter. The output impedance of the linear regulator can be expressed as (4)

Fig. 12. Output impedances of the linear regulator without and with an additional capacitor.

is an output resistance of the buffer, is a feedback where gain, and is a frequency response of the linear regulator. and are nearly constant values, is dependent Since on the . Therefore, is small at a low frequency due to the large , but increases as the frequency increases due , which is an opposite frequency response to to the reduced a capacitor. This means that the linear regulator cannot properly compensate the ripple current of the switching converter at the far-out offset frequency and cannot function as a dc decoupling capacitor suppressing the noise up-conversion. To reduce the and filter out the switching noise over a broad frequency range, a wideband supply modulator is designed based on [20] using a 40-nm CMOS process. In addition, an additional capacitor is connected in parallel with the supply modulator to filter out the crossover distortion noise and remaining switching noise, as shown in Fig. 11. Fig. 12 presents the total impedance of the linear regulator without and with the additional capacitor. Compared to the previous work, the wideband supply modulator has a lower impedance at the near offset frequency region, and the impedance at the far-out offset frequency is significantly reduced by the additional capacitor. In

the proposed architecture, the low frequency noise is compensated by the wideband linear regulator and the far-out spurious noise is suppressed by the additional capacitor. Therefore, the far-out noise of the proposed ET PA can be suppressed to the same level as that of the stand-alone PA. As the additional capacitance is increased, the supply modulator achieves a better PSD at the far-out offset frequency, as shown in Fig. 13. On the other hand, it has a worse noise performance at the near offset frequency range, because the output impedance of the linear regulator is increased due to the degraded BW characteristic with the capacitive load. The simulated PSD has a similar tendency with the simulated total impedance of the linear regulator and additional capacitor. This means that the impedance is the most important factor for determining the far-out spurious spectrum. The additional capacitor is a large capacitive load to the supply modulator, causing an unstable operation of the linear regulator. The linear regulator is composed of a folded-cascode operational transconductance amplifier (OTA), class-AB biasing circuit, and buffer, as shown in Fig. 6 [10]. In this configuration, there are three poles located at the output of the OTA (N1), the output of the source follower (N2, N3), and the

KIM et al.: FAR-OUT SPURIOUS NOISE AND REDUCTION IN ET PA

4077

Fig. 13. Output spectra of the supply modulator without and with an additional capacitor.

output of the buffer (N4). The poles at N2 and N3 affect the frequency response for the sourcing and sinking operations, respectively. These poles can be expressed as (5) (6) (7) where is the output resistance of the OTA, is is the load resistance, the transconductance of the buffer, is the frequency compensation capacitance, and are the output resistances of the PMOS and NMOS source followers, and are the input capacitances of the PMOS and NMOS buffers, is the output resistance seen from the output load, and is the load capacitance. In these poles, is a domiand are nondominant poles. The nant pole, and additional capacitance pulls at the lower frequency range, as shown in Fig. 14. Thus, becomes a second pole, which degrades the phase margin (PM). This problem can be solved by designing an appropriate RC compensation in the linear regulator (see Fig. 6). The dominant pole and nondominant can be further split by increasing , as shown pole in Fig. 14. Frequency compensation resistance should be also appropriately adjusted to generate a negative zero. In this linear regulator, the negative zero can be expressed as (8) When is larger than , is negative, and it improves PM of the linear regulator by compensating the second pole . However, the BW is degraded for the stability, because the BW and PM are in trade-off relation. Since the BW of the linear regulator is degraded, the output impedance is increased at the near offset frequency as shown in Fig. 12, and the noise performance is degraded at that frequency range. The noise performance at the near offset frequency is degraded as the capacitance is increased (see Fig. 13). Although the BW of the linear regulator with the additional capacitor is degraded, the BW is still sufficiently wide to track 10/20-MHz LTE signal,

Fig. 14. Simulated frequency responses of the linear regulator without and with the additional capacitor (2.2nF). Re-design means that RC compensation and buffer size are appropriately adjusted to connect the capacitor at load.

as shown in Fig. 15(a). The buffer size of the linear regulator should be also increased to drive the large capacitive load. The additional capacitor generates a loss during charging and discharging process [ in Fig. 15(b)]. An ideal capacitor itself consumes no power but it could make other circuit elements consume power. In other words, the capacitor saves energy and discards it away through the charging and discharging process. If the process is coupled with an inductor, there is no power consumption. However, in the modulator circuit, the discharging loss occurs because of the sinking path of the linear regulator. Not all the saved power in the capacitor is wasted because some amount of the power is delivered to the load. Fig. 15(c) is depicted to compare the sourcing and sinking currents of the additional capacitor case to those of the no capacitor case. The sourcing and sinking currents are increased because the charging and discharging currents flow through the pushpull structure, increasing the linear regulator's power consumption. The efficiency degradation of the supply modulator is estimated according to the additional capacitance for 5/10/20-MHz LTE signals, and is shown in Fig. 16. The simulated efficiency is degraded as the size of capacitor is increased and the signal BW is broadened. Generally, the output user equipment (UE) transmitter spectrum is divided into three components: the emission within the occupied BW (channel BW), the out-of-band emissions , and the far-out spurious emission domain. is proportional to the channel BW of the signal, which means that the spurious emission domain of wider BW signal is further out from the center frequency [25]. Therefore, a smaller capacitor can be used to suppress the spurious noise for wider BW signal, and the efficiency degradation can be similar regardless of the signal BW. In this paper, the optimum capacitance is 2.2 nF to suppress the far-out spurious noise for a 10-MHz LTE signal, considering the output impedance, BW characteristic, stability, and efficiency degradation. The efficiency is degraded from 79.1% to 76.5% for 10-MHz LTE signal. For 20-MHz LTE signal, we can assume that 1.1 nF capacitor can be used to suppress the far-out spurious noise, because the spurious domain of 20-MHz LTE is 2 times far away from the center frequency compared to that of 10-MHz LTE. The efficiency is degraded

4078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 16. Simulated efficiencies of the supply modulator according to the additional capacitance for 5/10/20-MHz LTE signals.

Fig. 17. Chip microphotographs of the supply modulator and RF PA.

Fig. 18. Measured LTE time-domain input and output voltage waveforms of the proposed supply modulator. Fig. 15. (a) Simulated input and output voltages without and with the additional capacitor (2.2 nF). (b) Simulated load, linear, switch, and additional capacitor current waveforms. (c) Simulated load, switch, source, and sink current waveforms without and with the additional capacitor (2.2 nF).

from 77% to 74.3% for 20-MHz LTE signal by using 1.1 nF capacitor. The efficiency degradation for 20-MHz LTE signal is similar to that of 10-MHz LTE signal. Therefore, we can expect that the efficiency degradation can be similar regardless of the signal BW. IV. MEASUREMENT RESULTS The designed supply modulator is fabricated using a 40 nm CMOS process with thick oxide I/O devices for high-voltage operation. The RF PA is fabricated using InGaP/GaAs heterojunction bipolar transistor (HBT) process and its operating frequency is 1.85-GHz [21]. Chip microphotographs of the fabricated supply modulator and PA are shown in Fig. 17, and and , their sizes are

respectively, including all the pads. The overall block diagram of the supply modulator is depicted in Fig. 2(a), and the complete circuit schematic is represented in [10]. Performance of the supply modulator is tested using a simple model of the RF PA as a resistive load. Fig. 18 shows the measured time domain input and output envelope signals of the supply modulator. The output voltage properly tracks the input envelope signal, considering the closed loop gain of 5. For a 16-quadrature amplitude modulation (QAM) LTE envelope signal with 10-MHz BW and 6.5-dB PAPR, the supply modulator delivers the measured efficiencies of 77.6% and 74.9% without and with the additional capacitor, respectively. Fig. 19 shows the measured output spectra of the supply modulator with a scaled input spectrum. The supply modulator generates noise at the far-out spurious emission domain, while PSD of the modulator using only the linear regulator is almost the same as that of the original input envelope, as depicted in Fig. 19(a). The far-out spurious noise is suppressed by connecting the additional capacitor,

KIM et al.: FAR-OUT SPURIOUS NOISE AND REDUCTION IN ET PA

Fig. 19. (a) Measured output spectra of the linear regulator and hybrid switching supply modulator for 10-MHz LTE signal. (b) Measured spectra of the supply modulator without and with an additional capacitor for 10-MHz LTE signal.

as shown in Fig. 19(b). A larger capacitor strongly suppresses the far-out spurious noise, but the noise performance at the near offset frequency range is slightly degraded. The spectra of the supply modulator in the simulation are compared with those of the measurement. Although the deviations in terms of the magnitude between the simulation (Fig. 13) and experimental [Fig. 19(b)] results are large, the simulation and measurement show the similar spectrum tendency according to the additional capacitance. It can be verified by plotting the error spectrum densities of various cases (without capacitor, with 1-nF capacitor, and with 2.2-nF capacitor cases), as shown in Fig. 20. The reference spectra are the scaled input spectra in the simulation and measurement, respectively, and the error spectrum is the deviation between the reference spectrum and the spectra of the various cases. As depicted in Fig. 20, the magnitude and tendency of the error spectrum are similar in the simulation and measurement. Although the sweet spot frequencies in the error spectra are a little different between the simulation and measurement, it can be adjusted by the capacitor value. Among the networks on the global LTE high-bands, the band II is the worst case for RxBN, because the interval between the uplink (UL) and downlink (DL) is the nearest (80-MHz offset). The UL and DL frequency ranges of the band II are

4079

Fig. 20. (a) Simulated error spectra of the hybrid switching supply modulator without and with an additional capacitor for 10-MHz LTE signal. (b) Measured error spectra of the hybrid switching supply modulator without and with an additional capacitor for 10-MHz LTE signal.

and , respectively. The noise performance of the ET PA at the worst case is tested by designing a 1.85-GHz two-stage class-AB/F PA based on [24] using the InGaP/GaAs HBT process. It delivers a P1dB of 31.3 dBm, gain of 27.1 dB, and power-added efficiency (PAE) of 61.9% with the supply voltage of 3.4 V. Fig. 21 shows the measured results of the proposed ET PA. The ET PA achieves a PAE of 41.8%, a gain of 23.8 dB, an evolved universal terrestrial radio access adjacent channel leakage ratio of at an average output power of 27 dBm. Although the PAE of the proposed ET PA is degraded from 43.1% to 41.8% due to the charging/discharging loss of the additional capacitor, the ET PA still delivers the enhanced efficiency over the broad output power range compared to the stand-alone PA. The output spectra of the stand-alone PA and ET PA are plotted in Fig. 22. By the sweet spot tracking discussed in [10], [14], the of the ET PA is improved at compared to that of the stand-alone PA, as shown in Fig. 22(a). However, the ET PA shows spectral regrowth at the far-out spurious emission domain including Rx band, as depicted in Fig. 22(b). Similarly to the supply modulator, the far-out spurious noise performance of the ET PA is improved

4080

Fig. 21. Measured PAE, gain, and parison with a stand-alone PA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

of the ET PA and PAE com-

Fig. 23. (a) Test setup for measuring RxBN of ET PA. (b) Specifications of Rx and Tx Filters.

Fig. 24. Measured output spectra of the stand-alone PA and ET PA at Rx band.

Fig. 22. (a) Measured output spectra of the stand-alone PA and ET PA. (b) Measured far-out spectra of the stand-alone PA and ET PA.

by attaching the additional capacitor. Although the far-out spurious noise is significantly reduced, the noise is slightly grown at the near offset frequency region ( offset from the center frequency). The near band noise is increased due to the degraded BW characteristic of the linear regulator with the large capacitive load.

The RxBN is also measured, and the measurement setup is illustrated in Fig. 23(a). A low pass filter (LPF) and a Tx band pass filter (BPF) are used to suppress other noise applied to the input of the supply modulator and RF PA. Due to the limited resolution of the analog-to-digital converter in the power spectrum analyzer (PSA), it is difficult to measure the RxBN at the maximum Tx power. For measuring the RxBN of the ET PA, the Rx BPF is used to reduce the Tx power. Fig. 23(b) shows the measured S21 magnitude of Tx and Rx BPFs for Band II RF PA. The measured PSDs at Rx band are plotted in Fig. 24. The PSDs of the stand-alone PA are at 1.93/1.99GHz, respectively. Although the ET PA has more noise at the Rx band than the stand-alone PA, the noise performance of the ET PA with the additional capacitor is significantly improved from to at 1.93/1.99-GHz, respectively. If the PSD of the stand-alone PA is reduced, the PSD of the ET PA can be below .

KIM et al.: FAR-OUT SPURIOUS NOISE AND REDUCTION IN ET PA

4081

TABLE I PERFORMANCE COMPARISON WITH STATE-OF-THE-ART RESULTS

The performances of the proposed ET PA are summarized together with recent state-of-art results in Table I. V. CONCLUSION The far-out spurious noise of an ET PA is analyzed and a design method to suppress the noise is introduced. The ET PA is implemented using a 1.85-GHz InGaP/GaAs HBT PA and 40-nm CMOS supply modulator. The main noise sources of the supply modulator are classified as switching noise and crossover distortion noise, and the noise effects on the RF PA are estimated using the mathematical models. The output impedance is reduced over the broad frequency range by designing a wideband supply modulator and connecting an additional capacitor in parallel with the supply modulator. Although the additional capacitor slightly degrades the efficiency due to the charging/discharging process, the far-out spurious noise of the ET PA is significantly suppressed to a level similar to that of the standalone PA. For a 16-QAM LTE signal with 10-MHz BW and 6.5 dB PAPR, the ET PA delivers a PAE of 41.8%, a gain of at an average 23.8 dB, and an of output power of 27 dBm. The PSDs of the proposed ET PA are at 1.93/1.99 GHz, respectively, which are limited by the stand-alone PA. The proposed supply modulator can satisfy the RxBN specification [25] with a RF PA properly designed considering RxBN. REFERENCES [1] L. R. Kahn, “Single-sideband transmission by envelope elimination and restoration,” Proc. IRE, vol. 40, no. 7, pp. 803–806, Jul. 1952. [2] F. Wang, A. H. Yang, D. F. Kimball, L. E. Larson, and P. M. Asbeck, “Design of wide-bandwidth envelope-tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [3] T. Kwak, M. Lee, and G. Cho, “A 2W CMOS hybrid switching amplitude modulator for edge polar transmitters,” IEEE J. Solid-State Circuits, vol. 42, no. 12, p. 2666, Dec. 2007. [4] F. Wang, D. F. Kimball, D. Y. Lie, P. M. Asbeck, and L. E. Larson, “A monolithic high-efficiency 2.4-GHz 20-dBm SiGe BiCMOS envelopetracking OFDM power amplifier,” IEEE J. Solid-State Circuits, vol. 42, no. 6, pp. 1271–1281, Jun. 2007. [5] C. Hsia et al., “Digitally assisted dual-switch high-efficiency envelope amplifier for envelope-tracking base-station power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2943–2952, Nov. 2011.

[6] J. Choi, D. Kim, D. Kang, and B. Kim, “A polar transmitter with CMOS programmable hysteretic-controlled hybrid switching supply modulator for multistandard applications,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1675–1686, Jul. 2009. [7] P. Y. Wu and P. K. T. Mok, “A two-phase switching hybrid supply modulator for RF power amplifier with 9% efficiency improvement,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2543–2556, Dec. 2010. [8] J. Choi, D. Kim, D. Kang, and B. Kim, “A new power management IC architecture for envelope tracking power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1796–1802, Jul. 2011. [9] W. Chu, B. Bakkalogle, and S. Kiaei, “A 10 MHz-bandwidth 2 mV-ripple PA-supply regulator for CDMA transmitters,” in IEEE Int. Solid State Circuits Conf. Dig. Tech. Papers, Feb. 2008, pp. 448–449. [10] D. Kim, D. Kang, J. Choi, J. Kim, Y. Cho, and B. Kim, “Optimization for envelope shaped operation of envelope tracking power amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1787–1795, Jul. 2011. [11] J. Hoversten, S. Schafer, M. Roberg, M. Norris, D. Maksimovi, and Z. Popovi, “Codesign of PA, supply, and signal processing for linear supply-modulated RF transmitters,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 2010–2020, Jun. 2012. [12] D. Kang, D. Kim, J. Choi, J. Kim, Y. Cho, and B. Kim, “A multimode/ multiband power amplifier with a boosted supply modulator,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 10, pp. 2598–2608, Oct. 2010. [13] M. Hassan, L. E. Larson, V. W. Leung, D. F. Kimball, and P. M. Asbeck, “A wideband CMOS/GaAs HBT envelope tracking power amplifier for 4G LTE mobile terminal applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 5, pp. 1321–1330, May 2012. [14] J. Kim et al., “Analysis of envelope-tracking power amplifier using mathematical modeling,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1352–1362, Jun. 2014. [15] R. Shrestha, R. A. R. van der Zee, A. J. M. de Graauw, and B. Nauta, “A wideband supply modulator for 20 MHz RF bandwidth polar PAs in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1272–1280, Apr. 2009. [16] Y. Li, J. Lopez, R. Wu, and D. Y. C. Lie, “A fully monolithic BiCMOS envelope-tracking power amplifier with on-chip transformer for broadband wireless applications,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 6, pp. 288–290, Jun. 2012. [17] Y. Honda, Y. Yokota, N. Goto, N. Matsuno, and Y. Saito, “A wide supply voltage and low-Rx noise envelope tracking supply modulator IC for LTE handset power amplifiers,” in Proc. 42nd Eur. Microw. Conf., Oct. 2012, pp. 1253–1256. [18] M. Hassan, L. E. Larson, V. W. Leung, and P. M. Asbeck, “Effect of envelope amplifier nonlinearities on the output spectrum of envelope tracking power amplifiers,” in IEEE Topical Meet. Silicon Monolithic Integr. Circuits RF Syst. (SiRF), Jan. 2012, pp. 187–190. [19] Y. Li et al., “Design of high efficiency monolithic power amplifier with envelope-tracking and transistor resizing for broadband wireless applications,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2007–2018, Sep. 2012. [20] D. Kim, D. Kang, J. Kim, Y. Cho, and B. Kim, “Wideband envelope tracking power amplifier for LTE application,” in IEEE Radio Freq. Integr. Circuits (RFIC) Symp. Dig., Jun. 2012, pp. 275–278.

4082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[21] K. Moon et al., “Investigation of intermodulation distortion of envelope tracking power amplifier for linearity improvement,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1324–1333, Apr. 2015. [22] B. Park, J. Kim, Y. Cho, S. Jin, D. Kang, and B. Kim, “CMOS linear power amplifier with envelope tracking operation,” J. Electromagn. Eng. Sci., vol. 14, no. 1, pp. 1–8, Mar. 2014. [23] F. H. Raab, “Intermodulation distortion in kahn-technique transmitters,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 12, pp. 2273–2278, Dec. 1996. [24] D. Kang et al., “A highly efficient and linear class-AB/F power amplifier for multimode operation,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 77–87, Jan. 2008. [25] Evolved Universal Terrestrial Radio Access (E-UTRA)—User Equipment (UE) radio transmission and reception. (Release 8), 3rd Generation Partnership Project (3GPP), Technical Specification Group Radio Access Network, 2009.

Daehyun Kang received the B.S. degree in electronic and electrical engineering from the Kyungpook National University, Daegu, Korea, in 2006, and the Ph.D. degree in electrical engineering from POSTECH, Pohang, Gyungbuk, Korea, in 2012. He is currently with the Broadcom Corporation, Matawan, NJ, USA. His research interests include the design of power amplifiers and highly efficient and linear transmitter.

Jooseung Kim (S'12) received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at POSTECH. His research interests are CMOS RF circuits for wireless communications, especially focused on highly efficient and linear RF transmitter design.

Kyunghoon Moon received the B.S. degree in electrical engineering from Hanyang University, Ansan, Korea, in 2012, and is currently working toward the Ph.D. degree in electrical engineering from POSTECH,Pohang, Gyungbuk, Korea. His main interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF power amplifiers design.

Dongsu Kim received the B.S. and Ph.D. degrees in electronic and electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2007 and 2013, respectively. He is currently with Samsung Electronics, Suwon-si, Gyeonggi, South Korea. His research interests are CMOS RF circuits for wireless communications with a special focus on highly efficient and linear RF transmitter design.

Bumman Kim (M'78–SM'97–F'07) received the Ph.D. degree in electrical engineering from Carnegie Mellon University, Pittsburgh, PA, USA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories, Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a POSTECH Fellow and a Namko Professor with the Department of Electrical Engineering and Division of Information Technology Convergence Engineering (ITCE), and Director of the Microwave Application Research Center. He is involved in device and circuit technology for RF integrated circuits (RFICs) and power amplifier. He has authored over 400 technical papers. Prof. Kim is a member of the Korean Academy of Science and Technology and the National Academy of Engineering of Korea. He was an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and an AdCom member.

Yunsung Cho received the B.S. degree in electrical engineering from Hanyang University, Ansan, Korea, in 2010 and is currently working toward the Ph.D. degree in electrical engineering at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His main interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF power amplifiers design.

Byungjoon Park received the B.S. degree in electrical engineering from Hanyang University, Seoul, Korea, in 2010, and is currently working toward the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His main interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF power amplifiers design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4083

A 40-nm CMOS E-Band 4-Way Power Amplifier With Neutralized Bootstrapped Cascode Amplifier and Optimum Passive Circuits Dixian Zhao (

) , Member, IEEE, and Patrick Reynaert, Senior Member, IEEE

Abstract—This paper reports a fully integrated 40-nm CMOS power amplifier (PA) for E-band applications. A neutralized bootstrapped cascode amplifier (NBCA) topology is proposed to enhance the power gain and output power at millimeter wave (mmWave) frequencies while maintaining the stability. A broadband 4-way differential parallel-series power combiner is employed to further increase the output power. Besides, both interstage and input passive networks are optimized to improve the bandwidth and common-mode stability. Occupying 0.25 , the proposed PA achieves a measured output power of 22.6 dBm with 19.3% . The 2-stage PA has a peak power-added efficiency measured power gain of 25.3 dB. Index Terms—Bootstrapping, cascode, CMOS, E-band, millimeter wave, neutralization, power amplifier, power combining, transformer.

I. INTRODUCTION

T

HERE is a growing trend of utilizing E-band technology in future mobile network infrastructure, such as small cell or mobile backhaul [1]. It can also be deployed as a low-cost infrastructure complement to fiber. For a multi-Gb/s link exceeding a kilometer range, more than 20 dBm output power has to be delivered by the PA to ensure 99.9% weather availability worldwide [2]. It is obviously not an easy task for CMOS PAs due to the low breakdown voltage and high operating frequency [3], [4]. The power combining technique is the most commonly used way to enhance the output power in silicon-based technologies at mm-Wave. The power combiner at PA output sums the power from several unit PAs with moderate output power and relatively high gain. It also maintains low impedance transformation ratio of the output matching network and thus minimizes the insertion loss. The work in [2], [5] proposed a broadband 4-way parallel-series combiner and demonstrated one of the first CMOS PAs that deliver more than 20 dBm output power across E-band. To further improve the output power, techniques like Manuscript received February 16, 2015; revised July 14, 2015 and October 06, 2015; accepted October 23, 2015. Date of publication November 11, 2015; date of current version December 02, 2015. D. Zhao is with the National Mobile Communication Research Laboratory, School of Information and Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). P. Reynaert is with ESAT-MICAS, KU Leuven, Leuven B3001, Belgium (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2496341

cascode amplifier or stacking-FET [4], [6], [7] can be employed. By stacking two or three transistors, the output voltage swing at the drain of the topmost transistor is increased proportionally without degrading the PA long-term reliability. On top of delivering high output power, the PA is obliged to provide sufficient gain to maintain the input power below 0 dBm that can be readily fed by the I/Q up-conversion modulator in an integrated transmitter. This paper describes a fully integrated E-band PA in 40-nm bulk CMOS that utilizes a novel neutralized bootstrapped cascode amplifier (NBCA) topology to enhance both the power gain and the output power while ensuring stability and reliability. The parallel-series power combiner sums up the output power from 4 unit PAs efficiently in a compact silicon area. The potential common-mode stability issue for mm-Wave PAs is alleviated by the proposed interstage passive network. Further optimization is applied at the input passive network to minimize the reflection over broad bandwidth. The 0.25PA achieves 22.6-dBm saturated output power with 25.3-dB power gain and 19.3% peak power-added efficiency . To authors' knowledge, the proposed PA prototype achieves the highest output power and power gain per stage among silicon-based PAs at E-band. Section II describes the schematic, layout and design optimization of the proposed NBCA topology. The PA floor plan and passive circuits at output, interstage and input of the PA are detailed in Section III. Sections IV presents both the continuous-wave (CW) and modulated-signal measurement results. The conclusion is given in Section V. II. NEUTRALIZED BOOTSTRAPPED CASCODE AMPLIFIER (NBCA) TOPOLOGY The design and layout of the amplifier stage have a significant impact on the overall performance of mm-Wave PAs. The neutralized common-source amplifier [8] and the cascode amplifier [9], [10] are the two most commonly used topologies. The former technique neutralizes the gate-to-drain capacitance of the transistor and thus improve the power gain and stability while the latter one is mainly used to enhance the output voltage swing without over-stressing transistors. In this work, the neutralized bootstrapped cascode amplifier (NBCA) topology that incorporates both techniques is proposed. As shown in Fig. 1, it consists of both a neutralized commonsource stage to improve the gain and stability, and a bootstrapped common-gate stage to extend the output

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 2. Simulated and .

of the NBCA topology versus ratio between

Fig. 1. Schematic and layout of the neutralized bootstrapped cascode amplifier (NBCA) topology with transistor size indicated.

voltage swing without degrading the PA long-term reliability. In layout, gate and source lines are routed out from both sides while drain lines run on top of the transistor. Such layout has two major advantages for mm-Wave PAs [8]: (1) it minimizes the transistor parasitics (i.e., , and ) and thus enhances the transistor performance at mm-Wave; (2) it facilitates duplication of transistors to increase the transistor size for high output power (i.e., ). The layout orientation of is different from to ease the signal routing. The device ratio between and merits considerations. The total transistor size of is 1.3 as large as and this improves the simulated 1-dB compressed power of the NBCA stage by nearly 1 dB (from 12.8 to 13.7 dBm), as shown in Fig. 2. By increasing the size of , the overdrive voltage of decreases, which can increase the switching speed of at large signal level and reduce the loss associated with the transistor [4], [11]. Note that the gate voltage of (i.e., ) is slightly changed in the simulation to maintain nodes and biased at half . Besides, the 6spacing between and allows solid ground distribution on metal-1 and metal-2 to reduce the dc voltage drop and ensure signal integrity. At mm-Wave, the parasitic capacitance from the drain of (i.e., node or ) to ground shunts signal current to ground and reduces the power gain. To tackle this, a series or parallel inductor is normally employed at this intermediate node to tune out the parasitic capacitance [12]. However, such approach complicates the layout and introduces additional loss. In this work, the bootstrapping capacitor is introduced between the drain and source of . Due to the Miller effect, presents a negative capacitance of at node (or ) where is the voltage gain of transistor . It cancels the parasitic capacitance associated with node (or ) and thereby minimizes signal current shunted to ground. More importantly, is realized by drain and source lines

Fig. 3. (a) Stability -factor and (b) operating power gain of the PA stage and bootstrapping capacitor . versus neutralization capacitor

on metal-1, -2 and -3 inside the (4 ) layout. This greatly improves the reliability of metal lines due to electro-migration as drain and source lines of are routed out only from one side. The complete NBCA layout is designed to satisfy the electron-migration rules at 110 for long-term reliability. It is true that will cause potential instability in conventional cascode PAs. Such issue is resolved in this design thanks to the neutralization of the common-source stage that improves the stability. Fig. 3 summarizes the design strategies where the -stability factor and operating power gain1 are plotted versus and . At the optimum design point, it is seen that the PA stage is unconditional stable when is varied by 30% or is increased by more than 100%. The operating power gain of a single NBCA stage is beyond 15 dB when it is terminated by the optimum load impedance obtained from load-pull simulation. Compared to the neutralized common-source amplifier (NCS) [2], the proposed NBCA topology achieves improved output power and gain thanks to the transistor stacking and bootstrapping techniques. It also has enhanced common-mode stability [8] over NCS topology as the common-mode issue can be simply resolved by inserting a damping resistor (i.e., in Fig. 1) in the gate network of s. The NBCA topology also achieves better stability and higher power gain than the cascode amplifier. Note that cascode amplifier is not unconditional 1The operating power gain is defined as the ratio of the power delivered from the network to the load and the power fed to the network, which is independent of the source impedance [13].

ZHAO AND REYNAERT: 40-nm CMOS E-BAND 4-WAY POWER AMPLIFIER

4085

Fig. 4. Simplified schematic of the 4-way differential PA.

stable at E-band in advanced CMOS technologies (i.e., 40-nm CMOS and below). Stabilization of the cascode amplifier by inserting lossy components will further compromise the performance.

Fig. 5. (a) Layout of output passive network, and load impedance seen by PAs, presented by (b) Smith chart together with the power contours at 75 GHz and (c) the equivalent shunt resistance and inductance.

III. PA IMPLEMENTATION WITH OPTIMUM PASSIVE CIRCUITS Fig. 4 shows the schematic of the 4-way differential power combining PA. Both unit PAs and drivers are realized by the proposed NBCA topology with the same transistor size. The driver is sized sufficiently large to provide enough linear power to the PA and accommodate potential modeling inaccuracy. Besides, the driver stage with relatively large transistor size also facilitates broadband low-loss interstage and input matching circuits design. In the measurement, it can be seen that the linearity will only be constrained by unit PAs up to the saturated power. To meet the tight and gain requirements, the design of output, interstage and input passive circuits merits careful considerations. Compared to [2], the 4-way parallel-series combiner is improved for low insertion loss and wide bandwidth. The new interstage and input passive networks are proposed to improve the common-mode stability, minimize the mutual coupling and reduce the input reflection. The parallel-series 4-way power combiner is used to sum up the power from 4 unit PAs (see Fig. 5(a)). Compared to the distributed-active-transformer-series (DAT-series) [9], [14] and T-line-parallel combiners [15], it has the advantages of providing balanced load impedances to the 4 unit PAs and ensures compact layout [2]. Occupying only (including RF pads), the proposed combiner arranges 4 PAs in a row at its 4 differential input ports. This arrangement makes it easy to distribute input signals to each unit PA and thus ensures a compact floor plan of the complete PA. Besides, input impedances at 4 ports of the combiner are balanced so that the 4 identical PAs can be efficiently combined. In the design, floating metal strips are placed under differential transmission

lines (T-lines) and RF pads to form the slow-wave structure [16]. These metal strips shield the T-lines and RF pads from the silicon substrate to reduce the loss. More importantly, they can be exploited to alter the properties of the differential T-lines (e.g., characteristic impedance) and better the impedance matching. For the parallel combiner at PA output, the simulated characteristic impedance of the slow-wave T-lines is 83 . To fulfill strict design rules, metal dummies are manually pre-filled in proximity of the combiner with negligible influence on the combiner performance. In this design, the major improvement of the output combiner lies in that the primary winding of series combiner is implemented in both metal-9 and aluminum while the secondary winding in metal-10 (see the cross-section view in Fig. 5(a)). Such sandwich-like implementation gives two benefits: (1) it improves the magnetic coupling as well as the Q-factors of windings, leading to the in-band insertion loss of the complete 4-way combiner smaller than 0.85 dB (including the loss of RF pads) and (2) line impedances of primary and secondary windings now become close so that the series combiner gives exact 2:1 impedance transformation from its output to the two inputs. Together with the T-line-parallel combiner that provides intrinsic 1:2 impedance transformation, the 4-way parallel-series combiner has a theoretical input impedance of 50 at each input port. It is close to the PA optimum load of 53 , which minimizes the impedance transformation ratio of the whole passive network and achieves broadband power matching. It is worth mentioning that the optimum load resistance of NBCA is about twice as high as the one of the

4086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 6. (a) Layout of interstage passive network, and (b) differential- and common-mode insertion losses of the interstage passive network with the comparison to [5].

neutralized common-source amplifier with similar transistor size. It is reasonable as the output swing of NBCA is nearly doubled. Fig. 5 plots the power contours of the output stage at 75 GHz and the input impedance of the parallel-series combiner (i.e., load impedance seen by the output stage) from 70 to 90 GHz. The shunt load resistance maintains around 53 and the load inductance decreases at higher frequency which is desired for broadband matching. For mm-Wave PAs, the common-mode (CM) stability causes troubles at lower frequencies where the transistor has increased power gain. Note that such issue cannot be resolved by techniques such as neutralization used in a differential amplifier. In addition to damping supply and bias networks, an interstage matching network that has improved layout symmetry and rejects CM signals is proposed (see Fig. 6(a)). It consists of a T-line-based power divider and two transformers (XFMRs). Compared to the transformer-based power divider used in [2], [17],2 the proposed one splits the power in a much more balanced way through T-line-based divider and the unwanted CM signal is further attenuated by two transformers. In Fig. 6(b), both differential-mode (DM) and CM insertion losses are plotted. Note that here the insertion loss of a power divider refers to the extra loss in addition to the theoretical loss due to the power splitting (i.e., 3 dB for a 2-way power divider). Compared to [5], the proposed interstage network achieves similar in-band DM insertion loss (i.e., 2.2 0.3 dB) but has 10 dB higher CM insertion loss. This improves the CM stability of the complete amplifier which is a typical problem for mm-Wave PAs. The mutual coupling between output and interstage networks is a potential issue in the previous work [5]. 2The interwinding capacitance [17] between primary and secondary coils of the divider introduces capacitive current which causes imbalance and generates unwanted CM signal.

Fig. 7. (a) Layout of input passive network, and simulated chart and (c) in dB scale with and without LC resonator.

(b) in Smith

It can be alleviated by the proposed interstage network of which the transformers have small dimension and thus pick up less coupled power. In addition, extra differential T-lines between unit PAs and the series combiner further increase the distance between output and interstage networks. Simulations predict negligible mutual coupling existing between two networks, which greatly reduce EM-simulation efforts. The realization of the input matching circuit is similar to the interstage one, as shown in Fig. 7(a). The T-line-based power divider reduces the input impedance by a factor of 2 and simplify the input matching. To further minimize the input reflection over complete E-band, a resonator is added in parallel with input RF pads. The tank resonates at about 78 GHz and it appears inductive at lower frequencies and capacitive at higher ones [18]. As a result, it is able to bring both ends of the trajectory together in the Smith chart (see Fig. 7(b)) and achieve broadband matching. Note that the resonator introduces an equivalent shunt resistance of 1.1 in parallel with input pads, leading to negligible extra loss at input. Fig. 7(c) shows that the simulated is smaller than 15 dB from 72 to 90 GHz with the resonator. IV. MEASUREMENT RESULTS The PA prototype is fabricated in a 40-nm bulk CMOS process. The chip micrograph is shown in Fig. 8. Including input and output RF pads, the chip occupies an active area of only 0.25 . Measurements are performed on a high-frequency probe station. The input and output RF pads are accessed by GSG probes while dc pads are wire-bonded to a PCB. The supply voltages for the PA and driver stages are 1.8 V and 1.5 V respectively. Fig. 9 shows the measured S-parameters. The PA achieves a peak of 25.3 dB with the 3-dB bandwidth of 7.6 GHz.

ZHAO AND REYNAERT: 40-nm CMOS E-BAND 4-WAY POWER AMPLIFIER

4087

Fig. 10. Measured (solid) and simulated (dashed) power gain, output power versus input power at 73 GHz. and Fig. 8. Chip micrograph of the 40-nm E-band power amplifier.

Fig. 11. Measured (solid) and simulated (dashed) dc power consumption versus output power at 73 GHz. Fig. 9. Measured (solid) and simulated (dashed) S-parameters versus frequency.

The is larger than 20 dB from 68.5 to 86 GHz. The is better than -8 dB from 71 to 101 GHz and is smaller than -40 dB from 71 to 110 GHz. The PA is unconditional stable over the entire measured frequency range. The measured S-parameters match well with simulated ones considering the complexity of the circuit. The difference between simulation and measurement can be attributed to two causes: (1) inaccurate modeling of the transistor substrate network and (2) under-estimated parasitic inductance associated with gate metal lines. It is worth mentioning that the former cause can be mitigated in PA designs based on common-source amplifier topology as the source and the bulk are connected together. Nevertheless, the NBCA topology is preferred for its improved power gain and output power. The large-signal behavior of the PA at 73 GHz is shown in Fig. 10. The PA achieves a measured of 22.6 dBm and of 18.8 dBm. The and are 19.3% and 10.7% respectively. Note that the back-off (e.g., ) can be further improved by biasing the PA stages in deep Class-AB mode. However, it results in reduced power gain. Note that sufficient gain for a PA with more than 20 dBm output power is essential which relaxes the input power requirement. In this design, only 5.4-dBm input power is required at of 18.6 dBm, which can be directly fed by the I/Q up-conversion modulator in an integrated TX. The measured dc power of drivers and PAs is shown in Fig. 11. It is seen that the dc power consumed by drivers maintains constant

Fig. 12. Measured and simulated and supply voltages of 1.6 V and 1.8 V respectively.

versus frequency at

up to . It indicates that the linearity of the complete PA will not be constrained by the drivers. Together with the broadband parallel-series power combiner, the output power and PAE across the complete E-band can maintain relatively constant. This can be seen in Fig. 12 where measured and are shown across frequencies when unit PAs are biased at supply voltages of 1.8 V and 1.6 V respectively. The modulated signal measurement is performed based on the same test set-up as in [2]. Fig. 13 shows measured constellations and error vector magnitudes (EVMs) of 16-QAM and 64-QAM signals. Fig. 14 summarizes the measured EVMs for QPSK, 16-QAM and 64-QAM as a function of data rate. The measured peak data rates for QPSK, 16-QAM and 64-QAM are 8, 6, 3.75 Gb/s at average output powers of 14.5, 13.8 and 12.2 dBm, respectively. Note that the measurement is also performed only to

4088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I SILICON-BASED 70/80/90-GHZ PAS PERFORMANCE COMPARISON

Graphically estimated. The loss of on-chip balun was de-embedded. NCS: neutralized common source, CS: common source, CB: common base, CASC: cascode.

.

Fig. 14. Measured EVM of QPSK, 16QAM and 64QAM versus data rate.

V. CONCLUSION

Fig. 13. Measured constellations and EVMs of 16-QAM and 64-QAM signals.

the test set-up without the DUT PA. The measured EVMs with DUT PA are close to the EVMs that directly measured from the set-up. We found that the measured EVM is heavily limited by the input data generated by the AWG, the frequency response of the off-chip components and spurs in the oscilloscope. Table I compares the PA prototype to state-of-the-art 70/80/ 90-GHz PAs in silicon. It can be seen that most PAs achieve a peak output power of less than 20 dBm in spite of using power combining and stack-FET techniques or operating at a supply voltage as high as 4 V. Compared to prior arts, the 0.25PA achieves the highest , , gain/stage and with a recorded PA figure of merit (FOM) of 98 thanks to the proposed NBCA topology and optimized passive circuits.

A 4-way power-combining PA in 40-nm bulk CMOS is presented for long-haul E-band applications. To relax the input power requirement and improve the output power, a neutralized bootstrapped cascode amplifier topology is proposed that enhance the power gain and output voltage swing simultaneously while maintaining the stability. The bootstrapping capacitance is made of metal capacitance between drain and souce lines of , leading to improved reliability of metal lines due to electromigration. To further improve the performance, passive circuits at the output, interstage and input of the PA are optimized to enhance the output power, improve the common-mode stability, minimize the mutual coupling and reduce the input reflection. Thanks to the proposed design techniques, the 0.25E-band PA achieves measured 22.6-dBm and 19.3% with recorded 12.65-dB power gain per amplifier stage. ACKNOWLEDGMENT The authors would like to thank M. Keaveney from ADI.

ZHAO AND REYNAERT: 40-nm CMOS E-BAND 4-WAY POWER AMPLIFIER

REFERENCES [1] J. Wells, Multigigabit Microwave and Millimeter-Wave Wireless Communications. Norwood, MA, USA: Artech House, 2010. [2] D. Zhao and P. Reynaert, “An E-band power amplifier with broadband parallel-series power combiner in 40-nm CMOS,” IEEE Trans. Microw. Theory Tech., vol. 63, no. 2, pp. 683–690, Feb. 2015. [3] K.-Y. Wang, T.-Y. Chang, and C.-K. Wang, “A 1v 19.3 dbm 79 GHz power amplifier in 65 nm CMOS,” in Proc. ISSCC Dig. Tech. Papers, Feb. 2012, pp. 260–262. [4] A. Chakrabarti and H. Krishnaswamy, “High-power high-efficiency class-E-like stacked mmWave PAs in SOI and bulk CMOS: Theory and implementation,” IEEE Trans. Microw. Theory Tech., vol. 62, no. 8, pp. 1686–1704, Aug. 2014. [5] D. Zhao and P. Reynaert, “A 0.9 V 20.9 dBm 22.3%-PAE E-band power amplifier with broadband parallel-series power combiner in 40 nm CMOS,” in Proc. ISSCC Dig. Tech. Papers, Feb. 2014, pp. 248–249. [6] A. Agah, J. Jayamon, P. Asbeck, L. Larson, and J. Buckwalter, “Multidrive stacked-FET power amplifiers at 90 GHz in 45 nm SOI CMOS,” IEEE J. Solid-State Circuits, vol. 49, no. 5, pp. 1148–1157, May 2014. [7] J. Jayamon, A. Agah, B. Hanafi, H. Dabag, J. Buckwalter, and P. Asbeck, “A W-band stacked FET power amplifier with 17 dBm Psat in 45-nm SOI CMOS,” in Proc. IEEE Topical Conf. Power Amplifiers for Wireless Radio Applications (PAWR), Jan. 2013, pp. 85–87. [8] D. Zhao and P. Reynaert, “A 60-GHz dual-mode class AB power amplifier in 40-nm CMOS,” IEEE J. Solid-State Circuits, vol. 48, no. 10, pp. 2323–2337, Oct. 2013. [9] U. Pfeiffer and D. Goren, “A 23-dBm 60-GHz distributed active transformer in a silicon process technology,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 857–865, May 2007. [10] A. Y.-K. Chen, Y. Baeyens, Y.-K. Chen, and J. Lin, “An 83-GHz highgain SiGe BiCMOS power amplifier using transmission-line currentcombining technique,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 4, pp. 1557–1569, Apr. 2013. [11] M. Fathi, D. K. Su, and B. A. Wooley, “A 30.3 dBm 1.9 GHz-Bandwidth 2 4-array stacked 5.3 GHz CMOS power amplifier,” in Proc. ISSCC Dig. Tech. Papers, Feb. 2013, pp. 88–89. [12] B. Razavi, “Design of millimeter-wave CMOS radios: A tutorial,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 1, pp. 4–16, Jan. 2009. [13] D. M. Pozar, Microwave Engineering, 3rd Ed.. New York, NY, USA: Wiley, 2005. [14] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [15] W. Tai, L. Carley, and D. Ricketts, “A 0.7 W fully integrated 42 GHz power amplifier with 10% PAE in 0.13 um SiGe BiCMOS,” in Proc. ISSCC Dig. Tech. Papers, Feb. 2013, pp. 142–143. [16] T. S. D. Cheung and J. Long, “Shielded passive devices for siliconbased monolithic microwave and millimeter-wave integrated circuits,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1183–1200, May 2006. [17] Y. Zhao and J. Long, “A wideband, dual-path, millimeter-wave power amplifier with 20 dBm output power and PAE above 15% in 130 nm SiGe-BiCMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 1981–1997, Sept. 2012. [18] T. H. Lee, Planar Microwave Engineering: A Practical Guide to Theory, Measurement, Circuits. Cambridge, U.K.: Cambridge Univ. Press, 2004.

4089

[19] E. Kaymaksut, D. Zhao, and P. Reynaert, “E-band transformer-based doherty power amplifier in 40 nm CMOS,” in Proc. RFIC, Jun. 2014, pp. 167–170. [20] Z.-M. Tsai, Y.-H. Hsiao, H.-C. Liao, and H. Wang, “A 90-GHz power amplifier with 18-dBm output power and 26 GHz 3-db bandwidth in standard RF 65-nm CMOS technology,” in Proc. IMS, Jun. 2013, pp. 1–3.

Dixian Zhao (S'10–M'15) received the B.Sc. degree in microelectronics from Fudan University, Shanghai, China, in 2006, the M.Sc. degree in microelectronics from Delft University of Technology (TU Delft), the Netherlands, in 2009, and the Ph.D. degree in microelectronics at University of Leuven (KU Leuven), Belgium, in 2015. From late 2005 to 2007, he was with Auto-ID Lab, Shanghai, China, where he developed the non-volatile memory for passive RFID tags. From 2008 to 2009, he was an intern at Philips Research, Eindhoven, where he designed a 60-GHz beamforming transmitter for presence detection radar. From 2009 to 2010, he was a research assistant with TU Delft, where he worked on the 94-GHz wideband receiver for imaging radar. From 2010 to 2015, he was a research associate with KU Leuven, working on 60-GHz and E-band transmitters and power amplifiers. Since April 2015, he has been an Associate Professor at Southeast University, China. His current research interests include RF and millimeter-wave integrated transceiver and power amplifier for wireless communication and radar applications. He has authored and co-authored more than 25 journal and conference papers, one book, one book chapter, and has two U.S. patents issued. Dr. Zhao was the recipient of the IEEE Solid-State Circuits Society Predoctoral Achievement Award in 2014, the Chinese Government Award for Outstanding Students Abroad in 2013, the Top-Talent Scholarship from TU Delft in 2007 and 2008, and the Samsung Fellowship in 2005.

Patrick Reynaert (SM'11) was born in Wilrijk, Belgium, in 1976. He received the Master of Industrial Sciences in Electronics (ing.) from the Karel de Grote Hogeschool, Antwerpen, Belgium in 1998 and both the Master of Electrical Engineering (ir.) and the Ph.D. degree in engineering science (dr.) from the University of Leuven (KU Leuven), Belgium, in 2001 and 2006, respectively. During 2006–2007, he was a Post-doctoral Researcher at the Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, CA, USA. During the summer of 2007, he was a Visiting Researcher at Infineon, Villach, Austria. Since October 2007, he is an Associate Professor at the University of Leuven (KU Leuven), Department of Electrical Engineering (ESAT-MICAS). His main research interests include mm-wave and THz CMOS circuit design, high-speed circuits and RF power amplifiers. Dr. Reynaert is a chair of the IEEE SSCS Benelux Chapter. He serves or has served on the TPCs of several international conferences including the ISSCC-SRP, ESSCIRC, RFIC and IEDM. He has served as Associate Editor for IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, and as Guest Editor for the IEEE JOURNAL OF SOLID-STATE CIRCUITS. He received the 2011 TSMC-Europractice Innovation Award, the ESSCIRC-2011 Best Paper award and the 2014 Bell Labs Prize (2nd prize).

4090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

A Prototype SAW-Less LTE Transmitter With a High-Linearity Modulator Using BPF-Based I/Q Summing and a Triple-Layer Marchand Balun Takahiro Nakamura, Member, IEEE, Naoki Kitazawa, Kaoru Kohira, and Hiroki Ishikuro, Member, IEEE

Abstract—A 65-nm CMOS-technology-based surface-acousticwave filterless transmitter (TX) for a long-term evolution (LTE) system was developed and performance tested. The TX incorporates both a passive quadrature modulator (QMOD) using bandpass filter (BPF)-based in-phase/quadrature (I/Q) signal summing and a small triple-layer Marchand balun. The passive operation and BPF-based I/Q summing, respectively, contribute to low noise performance and high input impedance (seen from the baseband signal), resulting in low receiver (RX) band noise and high linearity of the TX. The triple-layer Marchand balun contributes to the small area of the TX. A prototype LTE TX using the QMOD and the balun exhibits in-specification RX-band noise ( 161.6 dBc/Hz), a high output third-order intercept point dBm , and a small chip area (0.224 mm ). Index Terms—Bandpass filter (BPF)-based in-phase/quadrature (I/Q) summing, long-term evolution (LTE), surface acoustic wave (SAW)-less, triple-layer Marchand balun.

I. INTRODUCTION

T

HE commercial success of multi-band/multi-mode cellular radios has been driving a demand for small-size RF modules. As the numbers of bands and modes increases, the parts count of the module also increases. Therefore, surface acoustic wave (SAW) filters, which are necessary for reducing out-band noise of a transmitted signal, must be removed from the RF module. To allow the SAW filters to be removed, the output noise of the transmitter (TX) (especially in the receiver (RX) band) must be low while current consumption is suppressed. The dominant contributors to the noise of the TX are the phase-locked loop (PLL) and the quadrature modulator (QMOD). Wideband all-digital PLLs [1] achieve an adequate phase noise at the allowable level of current consumption. The QMOD has to exhibit low output noise while maintaining both high linearity and low current consumption. The SAW-less TX using a switched-transconductance mixer [2] exhibits low out-band noise. However, it consumes a large amount of dc Manuscript received January 27, 2015; revised July 06, 2015; accepted September 07, 2015. Date of publication October 26, 2015; date of current version December 02, 2015. The authors are with the Department of Electronics and Electrical Engineering, Keio University, Yokohama 223-8522, Japan (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2489214

power. To address these issues, several techniques, namely, local oscillator (LO) masking [3] and a voltage mixer with 25%-duty LO [4]–[9] have been developed. QMODs using these techniques have achieved high enough performance to be applied to SAW-less TXs. However, they require additional circuits for adjusting LO timing or LO duty cycle, resulting in increased current consumption. To overcome these problems, a bandpass filter (BPF)-based in-phase/quadrature (I/Q) signal summing technique, which does not need a 25% duty-cycle LO, is proposed in this paper. Chip size is another important characteristic of an RF transceiver. In particular, to reduce the number of bonding pads, a single-ended RF output is required. To satisfy that requirement, output baluns are often used [1]–[9]; however, such baluns occupy large areas. Although spiral-type baluns [3]–[10] and the slow-wave Marchand balun [11] are small, they still occupy large areas of transceiver integrated circuits (ICs). To reduce chip size, the multi-layer spiral Marchand balun is proposed. In this work, a prototype TX for long-term evolution (LTE) band 1 was developed. The design of the prototype TX, and the results of performance measurements, was previously described [12]. In this paper, the design technique for a triple-layer Marchand balun is described, and the results of a performance measurement on the TX mounted on a printed circuit board are presented. The TX achieved a 20.5-dBm output third-order intercept point (OIP3) and 161.6-dBc/Hz RX-band noise, and it occupies a small area (i.e., 0.224 mm ). DC-power consumption of the QMOD (including a baseband (BB) buffer circuit and a frequency divider) is 25.5 mW under a 1.0-V supply. II. CIRCUIT DESIGN A. LTE TX Prototype (Band 1) A block diagram of a SAW-less multi-band LTE transceiver is shown in Fig. 1. Since the SAW filters between the TX output ports and power amplifiers (PAs) have been removed, the noise of the TX outputs in the RX band must be suppressed (to under 158 dBc/Hz), which depends on the isolation of a duplexer. Accordingly, a prototype TX for band 1, which is shown in Fig. 2, was developed. The TX is composed of a 1/2 frequency divider, BB buffers, QMOD cores, an RF programmable gain amplifier (RFPGA), and a balun. Source–follower circuits are used for the BB buffers. A logic-type divider is used for achieving low phase noise. The RFPGA is composed of

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

NAKAMURA et al.: PROTOTYPE SAW-LESS LTE TX WITH HIGH-LINEARITY MODULATOR

Fig. 1. Block diagram of SAW-less LTE transceiver.

4091

Fig. 4. Simulated BB input impedances of conventional QMOD and proposed one.

Fig. 2. Block diagram of developed LTE Band-1 TX prototype.

Fig. 5. Block diagram of proposed QMOD and a mechanism about impedance conversion from output impedance of BB buffer to input impedance of BB buffer.

Fig. 3. Schematic diagram of conventional passive QMOD.

ten-unit amplifiers, and the number of active amplifiers can be controlled by a control word based on 10-bit unary code. This topology provides a gain-control range of 20 dB. B. QMOD A passive modulator is used to achieve low Rx-band noise. Although a conventional passive modulator, shown in Fig. 3, contributes to low-noise performance, it suffers a problem of low linearity. The main reason for the low linearity is the feed-through current between the BBI (and BBIB) and BBQ (or BBQB) terminals (see Fig. 3). This current is caused by both switch NMOS transistors (NM1 and NM3) turning on simultaneously due to the overlap of LOI and LOQ. Input impedance seen from the BBI terminal when the frequency of the LO signals is 1950 MHz is shown in Fig. 4. is only 19 . A 25% duty-cycle LO [4] is quite effective for increasing . when the duty cycle of the LO signal is 25% is also shown in Fig. 4. is 79 , which is about four times larger than that when the duty cycle is 50%. However, the 25% duty-cycle LO needs an LO-generation circuit for creating

Fig. 6. Equivalent circuit of ZA considering impedance up/down conversions.

25% LO from two LO signals with a 50% duty cycle, thereby increasing current consumption. To solve this problem concerning current consumption, a novel technique, namely, I/Q summing through capacitors, as shown in Fig. 5, is proposed. As described above, to increase , the feed-through current must be reduced. By inserting two capacitors (C1 and C2) between the I/Q RF signals, impedance between BBI and BBQ becomes large at low frequency. Since the frequency of the BB signal is lower than 80 MHz, is at least 4000 in the BB-frequency range when the capacitances of C1 and C2 are lower than 1 pF.

4092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 7. (a) Circuit diagram of proposed QMOD for evaluating effects of output impedance on . (b) Simulated dependence of

However, in the modulator, impedance (ZA) seen from node A, which is shown in Fig. 5, is down-converted by LOI in a similar manner to that for a SAW-less RX [13]. The down-converted ZA is added to the above-mentioned . Therefore, ZA around the LO frequency must be taken into account. Additionally, in the TX case, impedance ZB up-converted by LOQ/LOQB also has to be considered. By the up-conversion, ZQ and ZQB seen from node BBQ and BBQB are up-converted and are also included in ZA. An equivalent circuit for ZA is shown in Fig. 6. To increase ZA around the LO frequency, a choke inductor is used, and resonance frequency is set at around LO frequency. To maximize resonant impedance, ZQ and ZQB are required to be resistively small or capacitive to avoid degradation of the quality factor of the resonator. Since ZQ is low-frequency impedance, ZQ cannot be capacitive. Output resistance of the BB buffer circuit must thus be small. Source followers were therefore selected as BB buffers. Simulated seen from BBI in consideration of is shown in Fig. 7(b). Simulated frequency is 100 kHz. A circuit diagram for evaluating is shown in Fig. 7(b). As increases, decreases. However, does not reduce significantly and stays high (114 ) when is 9 (which corresponds to the output impedance of the source follower). In Fig. 4, of the proposed QMOD (including the effect of of the source follower) is also shown. is 1.42 times larger than that of the QMOD using a 25%-duty-cycle LO. (Note that of the QMOD using 25% LO is reduced to 73 if the same source follower will be used.) This result indicates that the proposed configuration produces not only higher linearity, but also lower current consumption of the BB buffers than those of the conventional one. C. RFPGA Gain controllability is a mandatory function for the LTE TX. A circuit diagram of the designed RFPGA is shown in Fig. 8. The RFPGA is comprised of ten-unit amplifiers, which are controlled by 10-bit unary code. The unit amplifier is a two-stage type, namely, a common-source (CS) amplifier followed by a

of BB buffers on BB input impedance

of QMOD.

cascode amplifier. To achieve large gain, 1.1-V MOS field-effect transistors (FETs) are used for the CS amplifier; to avoid exceeding breakdown voltage, 3.3-V I/O MOS FETs are used for the cascode amplifier. The outputs of the cascode amplifiers of the ten-unit amplifiers are gathered and input to the balun. To maximize drain efficiency of the RFPGA while maintaining chip area, the balun is designed in consideration of its input impedance and line length. D. Spiral Marchand Balun Reducing the area of the balun, which usually occupies a large area of a TX, is another issue concerning TX design. To achieve a large common-mode rejection ratio (CMRR), a Marchand balun is used because it exhibits larger CMRR than that of a transformer balun. The simplified configuration of the Marchand balun is shown in Fig. 9(a). A conventional Marchand balun is composed of two stacked layers (or two lines on the same layer). Line length of the Marchand balun is given as (1) where and are unit inductance and unit capacitance of the balun, respectively. Equation (1) indicates that can be reduced if or becomes large. Although it was revealed that the area of the balun can be reduced by increasing or , input impedance of the balun (seen from the balanced port) has to be considered from the viewpoints of drain efficiency and breakdown voltage of the RFPGA. As shown in Fig. 8, gate bias of the cascode transistors is set at 1.5 V to prevent the 1.1-V MOS FETs of the CS amplifier exceeding their breakdown voltages. Operation points of the cascode transistors are also cared for as not to exceed their breakdown voltages. Since the source voltage of the cascode transistor is 1.0 V, the drain voltage must not exceed 4.3 V (i.e., 1.0 V 3.3 V). Therefore, voltage swing at the drain node must be less than 3.0 Vpp. On the other hand, maximal output power of 0 dBm must be delivered to the 50- load. Taking into account 8-dB peak-to-average power ratio (PAPR), 3-dB insertion loss of the balun, and 3-dB design margin, 14-dBm power is potentially input into the

NAKAMURA et al.: PROTOTYPE SAW-LESS LTE TX WITH HIGH-LINEARITY MODULATOR

4093

Fig. 8. Circuit diagram of RFPGA with balun.

Fig. 11. Configuration and equivalent circuit of a coupled transmission line.

Fig. 9. Configurations of: (a) conventional and (b) proposed triple-layer Marchand baluns.

Fig. 12. Electromagnetic simulated S21 of conventional and proposed triplelayer Marchand baluns.

Fig. 10. Electromagnetic simulated balanced-port impedances ( ventional and proposed triple-layer Marchand baluns.

) of con-

balanced port of the balun. To achieve 14-dBm power by applying a 3.0-Vpp voltage swing, must be below 179 . Simulated of the conventional Machand balun is shown in Fig. 10. is 180 . Thus, must not be increased by increasing or in (1). is given as [14] (2)

where and are the input impedance of the load connected at the unbalance port, i.e., 50 , and a coupling factor of the coupled transmission line, respectively. decreases if is increased by increasing or . The coupling factor is given as [14] (3) where and are even-mode and odd-mode impedances of a coupled transmission line, respectively. To increase , and have to be increased and reduced, respectively, by increasing or . The configuration and simple equivalent circuit of the coupled transmission line are shown in Fig. 11. and of the coupled transmission line are given as

4094

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 16. Measured dependence of wanted, LO leakage, image, and CIM3 signals on the RFPGA code.

Fig. 13. Chip micrograph of developed TX prototype.

Fig. 17. Measured dependence of output power of wanted signal and spurious tones (LO leakage signal, image signal, and CIM3) of developed TX on BB frequency.

Fig. 14. Measurement setup for developed TX prototype.

Fig. 18. Measured dependence of output power of developed TX on LO frequency.

(4) (5)

Fig. 15. Measured output spectrum of developed TX.

, , and are inductance, capacitance of each where , transmission line, mutual inductance, and capacitance between

NAKAMURA et al.: PROTOTYPE SAW-LESS LTE TX WITH HIGH-LINEARITY MODULATOR

Fig. 19. Measured output reflection (S22). Within a range of band 1, S22 is below 25 dB.

Fig. 20. Dependence of measured output power and conversion gain of developed TX on BB input voltage swing.

4095

Fig. 22. Measured out-band noise of developed TX. At 190-MHz offset, noise is below 161 dBc/Hz.

Fig. 23. Measured dependence of out-band noise density at 30-, 90-, 120-, and 190-MHz offset on output power of developed TX.

Fig. 24. Measured current consumptions from 2.8- and 1.0-V supply voltages of developed TX prototype. Fig. 21. Measured IM3 of developed TX.

the coupled lines. To simultaneously increase and reduce , and/or have to be increased. However, increased indicates reduced . On the other hand, increased corresponds to increased in (1). To simultaneously achieve small area and high drain efficiency, has to be increased while is kept constant. A triple-layer Marchand balun, as shown in Fig. 9(b), is therefore used. The added layer, (and ), can be twice in the case of the conventional Marchand balun. On the other hand, becomes small because the inductance of the secondary inductor, which is connected to the unbalanced port, becomes small. However the reduction ratio of is quite small (about 10%) compared with the above-mentioned increase ratio of (about 200%). Both small area and proper are thus

expected to be achieved. Electromagnetic simulated S21 of the conventional two-layer balun and that of the proposed triplelayer one are shown in Fig. 12. The peak frequency of the proposed one is 30% lower than that of the conventional one. This means that the length of the proposed Marchand balun can be shortened. Fig. 10 also shows the simulated of the triplelayer Marchand balun. is smaller than that of the conventional one and is 142 at its peak. In this work, a spiral-type of a triple-layer Marchand balun was used. III. MEASUREMENT RESULTS A chip micrograph of the developed TX is shown in Fig. 13. Chip size of the TX, excluding bonding pads, is 560 by 400 m. The QMOD (including the choke inductor) occupies an area of

4096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I PERFORMANCE COMPARISONS

80 by 200 m. The balun occupies an area of 120 by 350 m. All measurements results were obtained from the RF output port. The setup for measuring the characteristics of the developed TX is shown in Fig. 14. The TX IC was mounted on an evaluation board. The TX output signal was obtained through an RF connector. Differential LO signals were input through an off-chip balun and RF connectors. The spectrum of the output signal when the output power was set to be 1.8 dBm code max. and the BB signals were 100-kHz continuous wave (CW) (voltage swing (VinBB) is 400 mVpp) is shown in Fig. 15. LO leakage signal, image signal, and counter third-order intermodulation distortion (CIM3) signal are less than 49.2, 44.8, and 61.5 dBc, respectively. Dependence of the wanted, LO leakage, image, and CIM3 signals on the RFPGA code is plotted in Fig. 16. The wanted signal can be tuned in the 20-dB range, and is 18 dBm at its minimum. All the spurious signals are below 40 dBm within the entire RFPGA code. Dependence of RF output power when the RFPGA code was set to be 10 and the BB signal were 400-mVpp-swing CW on the BB frequency is plotted in Fig. 17. The developed TX exhibits small gain flatness of 0.4 dB below 20 MHz, and high 1-dB bandwidth of 220 MHz. This wide bandwidth meets the LTE specification; namely, maximal BB frequency for the LTE TX is 20 MHz. Dependences of spurious tones (LO leakage signal, image signal, and CIM3) are also plotted in Fig. 17. All the spurious signals are below 43 dBc within 20-MHz bandwidth. Dependence of RF output power on LO frequency is plotted in Fig. 18. The peak output power is obtained at 2070 MHz, which is only 90 MHz larger than the maximum frequency of LTE band 1. The output reflection of the TX is shown in Fig. 19. Within the band-1 frequency range, S22 is below 25 dB. These results indicate that both the choke inductor and the spiral Marchand balun were fabricated as expected. Dependence of RF output power on BB-input-voltage swing is plotted in Fig. 20. The output 1-dB compression point (OP1dB) is 9.9 dBm. The results of two-tone measurement are shown in Fig. 21. The output third intercept point (OIP3) was 20.5 dBm. These high-linearity performances are attributed to the BPF-based I/Q summing.

AT

BAND 1

Measured out-band noise of the RF output when output power was 1.8 dBm is shown in Fig. 22. At the Rx band (190-MHz offset), the noise is less than 161.6 dBc/Hz, which is adequately low for an LTE TX. Dependence of measured out-band noise (30-, 90-, 120-, and 190-MHz offset) on output power is plotted in Fig. 23. The output power was tuned by controlling the RFPGA code. Within the entire power range, out-band noise is below the specifications, even at 30-MHz offset. These results indicate that the proposed techniques for the developed TX (namely, a passive QMOD using BPF-based I/Q signal summing and a small triple-layer Marchand balun) can be not only used in the entire power range, but also applied to other LTE bands. Dependence of measured current consumptions of the developed TX on output power is plotted in Fig. 24. The output power was tuned by controlling the RFPGA code. Current consumption under a 1.0-V supply voltage is constant (25.5 mA) against output power. With increasing output power, current consumption under a 2.8-V supply voltage increases. At the maximum RFPGA code, it reaches 39 mA. Although maximum current consumption is an important performance parameter of the LTE TX, the current consumed when the output power is set at the most probable power, i.e., 10 dBm, is also important. At 10 dBm, it is only 12 mA. The measured performances of the proposed TX are compared with those of other state-of-the-art TXs in Table I. The proposed TX not only exhibits both high linearity and adequate Rx-band noise, but also occupies the smallest chip area. Moreover, even though the older-generation CMOS process is used, the proposed TX achieves comparable power consumption to those of the TXs integrating a BB buffer. IV. CONCLUSION A prototype SAW-filter-less LTE TX based on 65-nm CMOS technology, incorporating a passive QMOD with BPF I/Q summing and a triple-layer Marchand balun, was developed and evaluated. The TX exhibits both in-specification RX-band noise ( 161.6 dBc/Hz) and a high output third intercept point dBm . Moreover, it occupies a small area of

NAKAMURA et al.: PROTOTYPE SAW-LESS LTE TX WITH HIGH-LINEARITY MODULATOR

0.224 mm . These good performances indicate that the combination of BPF I/Q summing and a Marchand balun can be applied to multi-band/multi-mode LTE transceivers.

REFERENCES [1] K. Ueda, T. Uozumi, R. Endo, T. Nakamura, T. Heima, and H. Sato, “A digital PLL with two-step closed-locking for multi-mode/multi-band SAW-less transmitter,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2012, pp. 1–4. [2] M. Farazian, B. Asuri, and L. E. Larson, “A dual-band CMOS CDMA transmitter without external SAW filtering,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 5, pp. 1349–1358, May 2010. [3] T. Sowlati et al., “Single-chip multiband WCDMA/HSDPA/HSUPA/ EGPRS transceiver with diversity receiver and 3G DigRF interface without SAW filters in transmitter/3G receiver paths,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2009, pp. 116–117. [4] J. Craninckx, J. Borremans, and M. Ingels, “SAW-less software-defined radio transceivers in 40 nm CMOS,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2011, pp. 1–8. [5] T. Kihara et al., “A multiband LTE SAW-less CMOS transmitter with source-follower-driven passive mixers, envelope-tracked RF-PGAs, Marchand baluns,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2012, pp. 399–402. [6] S. D’Souza et al., “A progammable baseband anti-alias filter for a passive-mixer-based, SAW-less, multi-band, multi-mode WEDGE transmitter,” in IEEE Int. Circuits Syst. Symp. Dig., May 2011, pp. 450–453. [7] X. He and J. van Sinderen, “A low-power, low-EVM, SAW-less WCDMA transmitter using direct quadrature voltage modulation,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3448–3458, Dec. 2009. [8] V. Giannini, M. Ingels, T. Sano, B. Debaillie, J. Borremans, and J. Craninckx, “A multiband LTE SAW-less modulator with 160 dBc/Hz RX-band noise in 40 nm LP CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2011, pp. 374–375. [9] M. Ingels, Y. Furuta, X. Zhang, S. Cha, and J. Craninckx, “A multiband 40 nm CMOS LTE SAW-less modulator with 60 dBc C-IM3,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2013, pp. 338–339. [10] S.-C. Tseng et al., “Monolithic broadband Gilbert micromixer with an integrated Marchand balun using standard silicon IC process,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4362–4371, Dec. 2006. [11] T.-G. Ma et al., “Miniaturized distributed Marchand balun using coupled synthesized CPWs,” IEEE Microw. Wireless Compon. Lett., vol. 21, no. 4, pp. 188–190, Apr. 2011. [12] T. Nakamura, N. Kitazawa, K. Kohira, and H. Ishikuro, “A SAW-less LTE transmitter with high-linearity modulator using BPF-based I/Q summing,” in IEEE Eur. Solid-State Circuits Conf. Dig., Sep. 2014, pp. 387–390. [13] A. Mirzaei, H. Darabi, A. Yazdi, Z. Zhou, E. Chang, and P. Suri, “A 65 nm CMOS quad-band SAW-less receiver SoC for GSM/GPRS/EDGE,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 950–964, Apr. 2011. [14] W. J. Feng and W. Q. Che, “Ultra-wideband bandpass filter using broadband planar Marchand balun,” IET Electron. Lett., vol. 47, no. 3, pp. 198–199, Feb. 2011. [15] O. Olieri et al., “A multiband multimode transmitter without driver amplifier,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2012, pp. 164–165.

4097

Takahiro Nakamura (M’07) received the B.S. and M.S. degrees in physics from Keio University, Yokohama, Japan, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at Keio University, Yokohama, Japan. In 2001, he joined the Central Research Laboratory, Hitachi Ltd., Tokyo, Japan, where he has been engaged in research and development on circuit designs of both mixed-signal and analog integrated circuits (ICs) for wireless communication. In 2012, he joined the Department of Electronics and Electrical Engineering, Keio University, where he has been engaged in research and development on circuit design of transmitter ICs for cellular communications. His current research interests are digital-rich IC design for wireless communication and high-speed IC design for vehicle radar and terahertz systems.

Naoki Kitazawa received the B.S. degree in electrical engineering from Keio University, Yokohama, Japan, in 2014, and is currently working toward the M.S. degree at Keio University. Since 2013, he has been involved with RF-frontend integrated circuits (ICs) for high-speed communication systems.

Kaoru Kohira received the B.S. and M.S. degrees in electronics and electrical engineering from Keio University, Yokohama, Japan, in 2011 and 2013, respectively, and is current working toward the Ph.D. degree at Keio University. His current research interest lies in high-speed near-field communication.

Hiroki Ishikuro (M’06) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the University of Tokyo, Tokyo, Japan, in 1994, 1996, and 1999, respectively. In 1999, he joined the System LSI Research and Development Center, Toshiba Corporation, Kawasaki, Japan, where he was involved in the development of CMOS RF and mixed-signal circuits for wireless interface chips. In 2006, he joined the Department of Electronics and Electrical Engineering, Keio University, Yokohama, Japan, as an Assistant Professor and began his research on high-speed inductive-coupling links for 3-D chip integration and noncontact connectors. He is currently a Professor with Keio University, where he is focused on mixed-signal circuit and system designs for extremely low-power interfaces. Dr. Ishikuro is a member of the Technical Program Committee for Symposium on VLSI Circuits.

4098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

A CMOS Spectrum Sensor Based on Quasi-Cyclostationary Feature Detection for Cognitive Radios Paria Sepidband, Student Member, IEEE, and Kamran Entesari, Member, IEEE

Abstract—Sensitivity and sensing time are the key features for spectrum sensing in a cognitive radio (CR) which detects empty bands within VHF/UHF television broadcast bands and uses them as a secondary user. In this paper, an integrated CMOS CR spectrum sensor for a CR receiver in the 54–862 MHz band is presented. A quasi-cyclostationary feature (QCF) detector is proposed based on both energy and feature detection methods and can take advantage of both methods to reach a fast and accurate decision without the need for an analog-to-digital converter for decision making. The integrated chip has been fabricated in a standard m CMOS IBM technology and has achieved dB and minimum detection signal-to-noise ratio of as low as dynamic range (DR) of 39 dB. Index Terms—Cognitive radio (CR), cyclic autocorrelation, cyclostationary feature detection, low signal-to-noise ratio, OFDM DVB-T signal, quasi-cyclostationary feature (QCF) detector, spectrum sensing.

I. INTRODUCTION

T

HE increasing demand for radio frequency (RF) devices has created the need for efficient use of the existing frequency spectrum, which means reusing the licensed bands while primary users are inactive. Cognitive radio (CR) devices detect “white space,” which are frequency bands that are not being used by primary users at a specific time and location, using spectrum sensing methods on a noninterfering basis [1], [2]. IEEE 802.22 is a standard on wireless regional area networks (WRANs) which operate in low population density areas to provide broadband access to data networks [1]. According to the Federal Communications Commission (FCC), due to some restrictions [3], unlicensed use of white space, by WRAN systems, is allowed only for VHF/UHF television broadcast bands (54–862 MHz) as low population density areas. CR devices operate in two modes [1]: 1) sensing mode, in which no data are sent by the cognitive transmitter; however, the spectrum sensor and part of the cognitive receiver (depending on the spectrum sensor structure) are active, and 2) transmission mode, in which data are transmitted from cognitive transmitter and received by cognitive receiver, but spectrum sensing section is inactive. Manuscript received May 28, 2015; revised September 15, 2015; accepted October 23, 2015. Date of publication November 18, 2015; date of current version December 02, 2015. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA (e-mail: [email protected]: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2496239

Fig. 1. Basic block diagram for (a) matched filter detection technique and (b) energy detection technique.

Sensing function is done periodically. It is desired to minimize sensing time to increase data transmission time. Spectrum sensing is the process of finding white spaces for CRs. The job of a spectrum sensor is detecting primary signals for a CR user. When a secondary user selects an empty primary user band, the band can be reclaimed by a primary user and it is also shared with other secondary users that selected the same band [1]. Spectrum sensing has different approaches considering trade-offs among sensing time, accuracy, power consumption, and simplicity of implementation [2], [4]. One approach is noncooperative or transmitter detection which refers to detection based on information sent from a primary transmitter to only one CR user [4]. Transmitter detection itself can be classified into three groups [4], [5]: 1) matched filter detection; 2) energy detection [6]; and 3) cyclostationary feature detection [7]. Matched filter detection is based on correlating the unknown signal with a filter whose impulse response is the mirror and time-shifted version of a reference signal. This filter maximizes the signal-to-noise ratio [see Fig. 1(a)]. This method needs a preliminary knowledge of the primary user signal (reference signal) and should be implemented in the discrete time domain. The realization of this filter in the continuous time domain is difficult [8]. Energy detection measures the energy of the signal and compares it to a threshold to determine if there is any primary user in the selected channel. This method is rather fast but cannot guarantee if a channel is empty for low signal-to-noise ratios (SNRs). For this method, first a channel should be selected using filters. Then a squarer and an integrator are used to find the energy of the signal [see Fig. 1(b)]. Cyclostationary feature detection refers to a method in which received signals are coupled with periodic signals to make built-in periodicity and hence has

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

SEPIDBAND AND ENTESARI: CMOS SPECTRUM SENSOR BASED ON QUASI-CYCLOSTATIONARY FEATURE DETECTION

the ability to differentiate between noise and modulated signal and achieve high accuracy. This is a complex and time-consuming method, and can be used for those channels that have been already considered empty by the energy detection method to reduce the sensing time. This method is further discussed in Section II. Detectors based on any of the methods mentioned above can be realized either in digital or analog domains. Digital approaches commonly use a fast Fourier transform (FFT) block, and they need a high bandwidth (BW) analog-to-digital converter (ADC). So while they can be more accurate (not necessarily), area, complexity, power consumption, and sensing time are increased. As a result, analog approaches are more preferable. There have been quite a few circuit-level implementation of analog spectrum sensors for CRs reported in the literature [9]–[11]. All use an energy detection method and hence have the problem of low sensitivity. One structure is a digitally assisted implementation in which digital windows are used instead of bulky tunable filters to select the channel and calculate the energy by correlation-integration to the received RF signal after down-conversion to baseband (BB) and is called multiresolution spectrum sensing (MRSS) [9]. The decision making for the existence of the signal is performed in the digital domain. The main issue with this approach is although multiplying by a window increases the signal-to-noise ratio, it does not act as a channel select filter. Windowing selects all channels with higher signal-to-noise ratio; it does not reject any of them. This means not only the desired channel has higher SNR but the nondesired channels have higher SNR without any rejection, so it does not increase the maximum interference-to-noise ratio INR , which is the highest level of the signal in the adjacent channels to the level of noise that can be rejected by the detector. A more advanced MRSS technique is explored in [10] and is called spread spectrum technique. This technique correlates the received RF signal (using a mixer and an integrator) with a spread signal created by a synthesizer and is matched to the input signal. This makes a nonperfect autocorrelation (energy) of the input RF signal. The other implementation uses an adder-merged low-pass filter (LPF) followed by two cascaded second-order tunable low-pass BB filters to select the channel BW [11]. The second-order BB filters consist of four circuit units arranged in parallel and operate at optimized noise and power consumption in accordance with the desired signal level by changing the number of active circuit units. This method is based on a received signal strength indicator (RSSI) circuit; rectifiers and limiters are used as a squarer, and an RC filter is used as an integrator. The decision is made in a digital unit. While this architecture covers frequencies from 30 MHz to 2.4 GHz, it can only be used as an interferer detector for frequencies above 862 MHz. It achieves higher sensitivity compared to [9] but still does not cover negative SNRs. In this paper, a CMOS analog spectrum sensor for a CR receiver is presented based on both energy and feature detection methods to compromise between these two methods and use the advantage of both while eliminating their downsides. The rest of the paper is organized as follows: Section II discusses the basic idea behind the proposed method. Section III reports circuit implementation of the proposed detector. Section IV confirms the

4099

idea by simulation, and Section V discusses the fabrication and measurements. Finally, Section VI provides the conclusion. II. BASIC IDEA A. Cyclostationary Feature A signal is called to have first-order periodicity if it is periodic with a specific period; is periodic with period of . The power spectral density (PSD) of this signal has components at frequency of , so detection of this signal based on its spectrum is easy. A signal is called to have secondorder periodicity or is cyclostationary when its autocorrelation is a periodic function of with a period corresponding to carrier frequency [7], [12], [13]. Autocorrelation of , , is given by (1) where is the time shift between two correlated signals. The Fourier coefficient of the autocorrelation function of which is called cyclic autocorrelation with the cyclic frequency of , , is defined as [7], [12], [13] (2) Fourier transform of a cyclic autocorrelation is called a spectral correlation function (SCF) which for a cyclostationary signal has components at frequency of , and can be used for detection of the signal (3) Modulated signals are cyclostationary processes, while noise is not, so the SCF of modulated signals has components at frequency of while SCF of noise is zero [7], [12], [13]. This is the idea behind feature detection which can detect signals with low SNRs (4) where denotes pure signal, is white noise, and is signal with noise. The energy detector operates on SCF for ; thus noise uncertainty limits the detection, while the feature detector operates on SCF for , where noise has no components. So detection of low SNR signals is possible. Using FFT in feature detection, all possible values of for different frequencies can be considered. For a modulated signal with modulation frequency of , is a nonzero integer factor of [7], [12], [13]. From (2) and (3), feature detection for a single frequency of can be shown using the block diagram of Fig. 2 [12], [13]. The smoothening filter is used to obtain a substantial reduction in random effects which results in a better SCF. Note that Fig. 2 is the continuous time realization of the feature detection method. Discrete time realization requires the use of FFT [13]. B. DVB-T Signals and QCF Detection Digital Video Broadcasting-Terrestrial (DVB-T) is a standard for the broadcast transmission of digital terrestrial

4100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 2. Basic block diagram for the feature detection technique.

Fig. 3. CP in an OFDM symbol.

television which uses orthogonal frequency-division multiplexing (OFDM) modulation [14]. Here the primary signal is assumed to be a DVB-T signal. For a BB DVB-T signal with OFDM modulation, each subcarrier is modulated using one of B/QPSK, 16/64/256QAM modulations. In an OFDM symbol, orthogonality of the subcarriers destroys cyclostationary feature of OFDM signals [15], so cannot be easily an integer factor of . Cyclic prefix (CP), which is inserted at the beginning of each OFDM symbol interval as a guard interval, and is the copy of the last part of the symbol (see Fig. 3), creates cyclostationary feature and protects the DVB-T signal against intersymbol interference (ISI) [15]. In Fig. 3, is the timing duration of the CP, and is the symbol part duration; is the total symbol duration. Considering the cyclostationary feature created by CP, the cyclic frequencies of the received signal cyclic autocorrelation are defined as [16]. The pilot carriers aid the receiver in reception, demodulation, and decoding of the received signal [15]. Two types of pilots are included in an OFDM DVB-T signal: scattered pilots and continual pilots. The scattered pilots are uniformly spaced among the carriers in any given symbol, while, the continual pilots occupy the same carrier consistently from symbol to symbol. The location of all pilots is defined by the DVB-T standard [1], [14]. Fig. 4 illustrates the pilot spacing for a DVB-T OFDM frame where represent carriers and represent symbols. The cyclostationary feature also exists between each two pilots. Cyclic frequency of the cyclic autocorrelation between each two pilots is defined as [16], [17], where indicates the difference between each two pilots in each symbol. For a DVB-T signal, as shown in Fig. 4, scattered pilots are repeated every 12 carriers in any given symbol so . The cyclostationary feature created by scattered pilots is stronger than the one created by CP [17]. So here is chosen based on the scattered pilots. Autocorrelation of the input signal plus noise is the sum of autocorrelations of the data, pilots, and noise, and cross-correlations of the pilots, pilots and data, and signal and noise as follows: (5)

Fig. 4. Pilot spacing for a DVB-T OFDM frame.

where denotes the autocorrelation, denotes the cross-correlation, is for pilots, is for data, is noise, is pure signal, and is signal plus noise. Cyclic autocorrelation created by scattered pilots can be added constructively to create a strong cyclic autocorrelation. Table I shows the specifications of DVB-T OFDM symbols for two different modes: 2 and 8 K. From this table, scattered pilots are repeated in each symbol with a frequency of 10 kHz for 8 K mode and 40 kHz for 2 K mode. So can be a factor of 10 kHz or 40 kHz for 8 K or 2 K mode, within the channel BW, respectively. Simulation of the system in Fig. 2 using SystemVue software (version 2013.08) shows that peaking of SCF at frequency of for a BB DVB-T signal at different acceptable values of does not change, which makes sense because the SCF of the signal is based on correlation between different carriers [see (2), (3), and (5)] and the peaking does not change with changing which represents the location of the peaks. So there is no need to evaluate cyclic autocorrelation for different acceptable values of if only the value of the SCF peak at is of concern. Note that here the value of is chosen based on the position of the scattered pilots in the OFDM DVB-T signal and is different if other features of the DVB-T signal such as position of continual pilots or CP is considered or if a different signal with a different modulation is employed. In an actual feature detector, in which features of an unknown signal including its modulation, needs to be extracted, different values of need to be considered. But here, only the presence of the OFDM DVB-T signal with the purpose of achieving high SNR (not feature extraction) is considered. If there is a peaking at , the signal exists, and if not, the signal does not exist or is lower than the achievable SNR of the detector. This detector can be converted to a conventional energy detector if , which does not reject SCF of noise. This method can be implemented in the analog domain and does not require an FFT and hence is much easier and faster compared to feature detection itself, while it is more accurate than energy detection. It also does not need an ADC. We call this method quasi-cyclostationary feature (QCF) detection.

SEPIDBAND AND ENTESARI: CMOS SPECTRUM SENSOR BASED ON QUASI-CYCLOSTATIONARY FEATURE DETECTION

4101

TABLE I SPECIFICATIONS OF OFDM SYMBOL FOR DIFFERENT MODES

Fig. 5. Block diagram of a CR receiver with QCF detector.

C. QCF Detector Architecture Fig. 5 shows the block diagram of a CR receiver with QCF detector located after the down-converter. As shown in Fig. 5, in transmission mode, the entire receiver is active and QCF detector is inactive, while, in sensing mode, the LNA and mixers work as well as the detector. The output of the detector passes through a voltage gain amplifier for amplification to a desired level. Then, using an envelope detector and a comparator as in [18] a “1”-“0” pattern can be obtained, which indicates whether the signal exists or not. In order to implement a QCF detector, the feature detection structure shown in Fig. 2 needs to be employed to build the autocorrelation function of a signal, but here there is no need to change . So the implementation of the entire system is much easier. Since the goal is to implement the system in the analog domain, the multiplication of the signals given by Fig. 2 has to be expanded. Here, is a BB quadrature signal . So, the output signal of , in Fig. 2, after expanding the multiplications of the complex signals, is given by

(6) Due to the similarities in the spectrum of real and imaginary parts of , one of them is enough in analog detection of the

Fig. 6. Realization of

from Fig. 2.

incoming signal. As a result, only the real part of the output is considered here. The real part of this expression is

(7) and the imaginary part is

(8) , can be represented by the system shown The term, in Fig. 6 which can be implemented using integrated analog blocks. As shown in Fig. 6, two mixers are required to make each “A,” “B,” “C,” and “D” expressions in (7), which can be shared for “A” and “B” expressions ( and ), and for “C” and “D” expressions ( and ). Another two mixers ( and ) are required to make “ .”

4102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 7. Proposed system implementation of the QCF detector; pF, pF, m m , m

, m m ,

,

, m

m ,

III. CIRCUIT IMPLEMENTATION Fig. 7 is one possible analog implementation of the QCF detector system shown in Fig. 6 (including the smoothening filter and integrator) which is used in this paper. Op-amp-RC structure is used for input LPF, and passive mixers are used for multiplication. In Fig. 7, transimpedance amplifiers (TIAs) are part of the passive mixers (Gm-Switch-TIA), which are also used as adders/subtractors. with RC feedback has also the role of integrator, which is required by the original system in Fig. 2. The imaginary part can be created by adding another TIA as adder. The LO frequency of the first four switch-pairs is . Noise, linearity, and power consumption are the key features in designing each block. For a typical spectrum sensor, noise limits the accuracy, and linearity limits the dynamic range (DR) [5]. The low-noise feature is required by the detector to detect low-level signals, and high linearity is required to detect highlevel signals without saturation. However, a saturated detector still indicates that a signal exists, so in a typical detector linearity is of less concern compared to noise as long as the probability of false alarm (a scenario in which the signal does not exist but the detector detects a signal) due to nonlinearity is bearable. A strong out-of-band blocker may lead to false detection by the detector in the case of poor linearity, but it is not critical, because it is not a threat to primary users or the CR receiver, as in

m

,

,

m ,

m .

this scenario neither the CR user is interfering with the primary users nor the CR transceiver is working in a band close to a blocker. It only reduces the number of empty channels, although it is always desired to have out-of-band interferer detector in addition to signal detector and remove out-of-band interferers for the CR system to increase the number of white spaces. As mentioned in the previous section, SCF of noise is zero for . This feature relaxes the low-noise characteristic of each block in QCF detector to some degree. So each block of the QCF detector is designed to provide high enough linearity and low power consumption. The following subsections discuss the transistor-level implementation of each block. A. Input Filters The detector is placed after the down-converter as shown in Fig. 5. This means that a BB LPF with a BW equal to the down-converted channel BW, 4 MHz, (half of RF channel BW) is required at the beginning of the detector to attenuate adjacent channels and decrease false alarm probability. Note that this BB LPF in sensing mode is not the same as receiver BB LPFs in transmission mode due to the fact that QCF BB LPF has a fixed BW chosen based on primary signal channel BW, while receiver BB LPFs in the transmission mode, which are for secondary signals, are not based on the primary signal channel BW.

SEPIDBAND AND ENTESARI: CMOS SPECTRUM SENSOR BASED ON QUASI-CYCLOSTATIONARY FEATURE DETECTION

4103

B. Mixers and Adders/Subtractors

Fig. 8. Op-amp structure of the LPF (

in Fig. 7, m

m m m

m

m ,

m

m ,

m ,

m , m

V); m ,

m , m , m

m

m

m ,

m ,

m , m

m .

Here, five cascade first-order op-amp-RC LPFs, which compose a fifth-order LPF, are employed for each I and Q paths (LPF in Fig. 7) to remove the effect of adjacent channels to some degree. BW of each BB active-RC LPF is around 22 MHz, which makes a total BW of around 10 MHz to guarantee that the main channel is not attenuated much. A fully differential folded-cascode op-amp is used in the filter [19] ( in Fig. 7). transistor-level implementation is shown in Fig. 8. A continuous common mode feedback (CMFB) circuit is adopted in order to suppress variations at the output common mode and is used with four common source transistors (M1 to M4), as voltage-controlled resistors, operating in the linear region. A change in the tail current (M6) leads to the adjustment of the bias currents when the dc of the output voltage is different from the desired one. There are two methods employed here to increase the gain; one is using the symmetric output branch consisting of common gate transistors (M16 to M19) with cascode current loads (M10, M11, M24, and M25), and the other is using common-source gain boosting transistors (M12 to M15, and M20 to M23). Ten op-amps are used in the filter, five in each I and Q paths, so power consumption is critical here. This structure can be designed in a way to have low power consumption considering that M1, M2, M3, and M4 operate in a linear region and gain is high enough. Simulating this op-amp in m CMOS IBM technology results in gain-BW (GBW) product of 170 MHz for a 20 pF load, and phase margin (PM) of 72.4 . The whole filter section (including op-amps) only draws 4.3 mA from a 1 V power supply (Vdd').

Passive mixers are used in Fig. 7. They have the advantage of higher linearity compared to active mixers [20]. Transcoductance (Gm-Cell in Fig. 7) is a simple stage with active load (M7 and M8) which uses a simple linearity improvement technique called derivative superposition [21]. In this method, the third derivative of transcoductance of one transistor (G3) cancels the G3 of another transistor. To realize this approach, one transistor is in saturation region (M1) with negative G3 and the other transistor (M2) is in deep-triode with positive G3. A triode FET (M2) and a stacked FET (M5) are inserted in parallel with M1 as shown in Fig. 7. The reason of using stacked FETs (M5 and M6) is driving gate and drain of M2 and M3 with opposite polarities and hence increasing their G3 (large variation rate of M2 and M3 drain current in deep-triode region). So there is no need to increase M2 and M3 size to have sufficient G3 to cancel G3 of M1 and M4. Using this method the linearity improves by around 6 dB compared to the conventional Gm (without M2, M3, M5, and M6) while other specs did not change much because M2 works in triode region. Using large size MOS switch-pair (Switch-Pair in Fig. 7) provides better linearity performance, but with more switch parasitic capacitance which results in more noise [20]. By biasing switch transistors slightly into the OFF region, lower noise and higher performance will be achieved. NMOS transistors are selected here for their better transmission performance. The TIA converts the down-converted current into voltage. Normally a TIA is composed of an op-amp with a resistor in negative feedback configuration. Here resistors between switch-pairs and op-amps (R1 and R2) are also added. Using this approach, while the number of mixers can be reduced, TIAs also work as voltage adders/subtractors at the same time as shown in Fig. 7. An op-amp in the TIA at the output of the switch-pair in a conventional current-driven passive mixer provides low impedance node at the switch-pair output [20]. Inserting the excess resistors (R1 and R2) after the switch-pairs increases the output impedance of switch-pair, reducing the effective Gm, so this resistor should be small compared to output resistance of Gm itself. Here the main role of op-amp is for addition/subtraction. also works as a lossy integrator with the capacitor C added in the feedback. The op-amp employed for each TIA ( in Fig. 7) is shown in Fig. 9 and is a feedforward structure which can achieve high unity GBW [22]. Because BW is not critical here the gain is increased to achieve better linearity from the op-amp. Another advantage of this structure is having a left half s-plane zero which improves the PM of the op-amp, which is critical here due to severe parasitic capacitances of the succeeding blocks. This structure has drawback of high power consumption and is not suitable for input LPFs. Note that , used for input LPF, cannot be used here because there are no decoupling caps here to separate the dc bias from previous blocks and is too sensitive to input effects changing its bias. Although global feedback offers tighter control, which is not needed here, it has compensation and latch-up issues, so uses local CMFB. The mixer (Gm-switch-TIA) is simulated in m CMOS IBM technology. It achieves a noise figure (NF) of 33 to 15 dB,

4104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 9. Op-amp structure for the TIA of the mixer ( , m m m

in Fig. 7,

V); m ,

m

m , m ,

m ,

m

m .

Fig. 11. Simulated input power spectrum and SCF for signal power of dBm and different noise powers (in 4 MHz BW). (a) Input power spectrum dBm, (b) SCF for noise power of dBm, (c) for noise power of dBm, (d) SCF for noise power input power spectrum for noise power of dBm, (e) input power spectrum for noise power of dBm, and (f) of dBm. SCF for noise power of

Fig. 10. Mixer simulation results. (a) NF versus frequency, (b) CG versus LO power for LO frequency of 1 MHz, (c) IIP3 versus RF frequency in a two-tone test for a LO frequency of 1 MHz.

and relatively constant conversion gain (CG) of dB for LO power of dBm to dBm, for input frequency changing from 100 kHz to 10 MHz and LO frequency of 1 MHz. Mixer IIP3 changes from 5.5 dBm to 10 dBm for a LO frequency of 1 MHz in a two-tone test in which RF1 and RF2 change from 100 kHz to 10 MHz with 100 kHz separation (see Fig. 10). It also dissipates 4.8 mA from the 1.8 V voltage source. NF is high at this low frequency due to flicker noise but is bearable by the system. which is used in the mixer has a GBW of 1.35 GHz for a 3 pF load, and PM of 60.3 . The whole Mixers and adders/subtractors section (including op-amps) draws 29.3 mA from a 1.8 V power supply (Vdd). IV. SIMULATION RESULTS The QCF detector is insensitive to input noise. This means increasing the input noise power has no effects on the output

of the detector. The only sources for noise are the components building the detector. This advantage means that any sensitivity can be achievable as long as enough amplification is applied to the input signal by the receiver (LNA and RF mixers). To see the effect of input noise rejection in simulation, an I/Q BB DVB-T signal with a power of dBm, with 4 MHz BW, is applied to the system, and a white-noise source with different noise amplitudes is added to the OFDM signal. The input BB I/Q data has extracted from SystemVue software, with the noise added in MATLAB, and the simulations are performed in Cadence for m CMOS IBM technology. Fig. 11 shows the simulated input power spectrum and the resulted SCF for signal power of dBm and noise power of dBm, dBm, and dBm (in 4 MHz BW). As shown in Fig. 11 all inputs (with similar input signal and different noise values) result in similar SCFs, and this confirms that the SCF of input noise is zero. So any SNR is achievable as long as input noise level is changing. Increasing the number of samples and simulation time reduces the variations seen in the spectrum. Fig. 12 shows the simulated detected output power (peak of SCF) versus BB input power. As shown in Fig. 12 the minimum and the maximum detected input signal powers are dBm and dBm, in 4 MHz BW, respectively, which results in a DR of 44 dB. For signals higher than dBm the detector saturates, but it still indicates the presence of signal.

SEPIDBAND AND ENTESARI: CMOS SPECTRUM SENSOR BASED ON QUASI-CYCLOSTATIONARY FEATURE DETECTION

4105

Fig. 14. Measurement setup for the QCF detector. Fig. 12. Simulated detected output power (peak of SCF) versus input power.

Fig. 13. Die micrograph of the fabricated QCF detector.

V. FABRICATION AND MEASUREMENT The QCF detector is fabricated using m CMOS IBM technology. The fabricated IC microphotograph is shown in Fig. 13. The overall area and power consumption are mm and 57 mW respectively. Sensitivity and sensing time are two major features for a spectrum sensor. The QCF spectrum sensor only needs to be tested for its sensitivity because of the fact that it works in frequency domain, so the timing issue is addressed properly here. The sensing time for the entire RF band (54–862 MHz) is determined by the total switching times between each two adjacent channel frequencies of the synthesizer used in the down converter of the receiver, and the envelope detector and comparator in the decision circuit, which is too small (around a microsecond) compared to digital approaches and what is required by the standard (which is in the order of milliseconds). Testing the QCF detector requires generating OFDM-modulated DVB-T signals with different SNRs. In order to generate a DVB-T signal, SystemVue software is used. Level and center frequency of the signal is set by the software. The generated RF data from SystemVue is then downloaded to a signal generator (Agilent E8267D PSG signal generator) which creates noisy RF DVB-T signal and is followed by an off-chip I/Q down-converter (QD15A10 SigaTek) to create BB I/Q DVB-T signals with OFDM modulation. Here, the input signal is an 8 K mode BB DVB-T signal with 8 MHz channel spacing, CP of 1/8, and

Fig. 15. SCF for signal power of dBm and noise power of (a) dBm, and (c) dBm RBW kHz . (b)

dBm,

64QAM subcarrier modulation, so a frequency of can be a nonzero integer factor of 10 kHz within the channel BW kHz . is assumed to be 2 MHz, suitable for both 2 K and 8 K modes, and as a result is 1 MHz. The output spectrum is obtained by a spectrum analyzer (SA) (Agilent E4446A PSA SA) with resolution BW (RBW) of 10 kHz (for all input and output power spectrum measurements, SA RBW is chosen to be 10 kHz). Fig. 14 shows the measurement setup for the QCF detector. The QCF detector checks the availability of the signal in the current down-converted channel by the I/Q down-converter, so in a real scenario with considering all channels in the entire CR band (54–862 MHz), the position of the channel in the band is determined by the synthesizer of the down-converter (the channel center frequency is the same as the current LO frequency of the down-converter). The option of changing noise level is not available in measurement when the RF signal is directly downloaded to the signal generator from SystemVue. As a result, to evaluate the input noise insensitivity of the QCF detector, some BB I/Q input data saved from SystemVue, same as the ones used previously for simulation, with signal power of dBm and different noise values ( dBm, dBm, and dBm), are sent to an arbitrary wave generator (AWG) (Agilent N8241A) using

4106

Fig. 16. SNR calculation through power spectrum RBW

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

kHz .

MATLAB to create BB I/Q noisy DVB-T signals, which all result in similar SCFs (see Fig. 15). Note that the AWG amplifies the input signal based on its resolution, so this method cannot be used for measuring the sensitivity. In other words, the AWG has a limited resolution which results in a limited minimum input signal. So to determine the sensitivity, RF data should be directly sent to the signal generator from SystemVue. Since the option of changing noise source is not available, signal level is changed. The noise level at the input spectrum is determined by the signal generator and the down-converter, while the signal level is adjusted by the software. Positive SNR values of the input spectrum can be easily calculated using the SA. To perform this task, the input spectrum is averaged by the SA. Therefore the difference between the level of the signal and noise is SNR (see Fig. 16). This assumption is correct as long as the signal level is at least ten times larger than the noise level. When the noise level is close to the signal level, both signal and noise values should be considered in the channel BW. In this case the difference shown in Fig. 16 is , indicating the actual SNR is . To achieve accurate desirable negative SNR values, the signal level is adjusted by the software, and the value of is monitored by the SA for SNR dB . Then, enough number of attenuators are placed after the signal generator to precisely lower the signal level and hence SNR, below dB. Fig. 17 shows measured input power spectrum and the resulted SCF for SNR dB dB , dB, dB, and dB. Note that negative SNRs (lower than SNR dB for ) do not generate distinguishable input power spectrum, so only one SNR dB is shown in Fig. 17(b). As shown in Fig. 17(e) minimum detected SNR is dB, and after this value only noise can be seen. Note that output noise power of the QCF detector is lower than noise floor of the SA, and as a result only SA noise floor can be seen in measured SCF figures. To have a fair comparison with simulation results, the SA RBW is chosen to be 10 kHz. The reason behind this is that the noise floor of the SA in 10 kHz RBW is almost the same as the QCF detector output noise power in 4 MHz BW based on simulation results. Fig. 18 shows detected output power (peak of SCF) in dBm versus SNR at the input of the QCF detector in dB. From Fig. 18, DR of the spectrum sensor is found to be 39 dB. Note that after SNR of 15 dB the SCF does not change, but it still indi-

Fig. 17. Input power spectrum and SCF for different SNRs. (a) Input power dB, (b) Input power spectrum for SNR dB, spectrum for SNR dB, (d) SCF for SNR dB, (e) SCF for SNR (c) SCF for SNR dB, and (f) SCF for SNR dB RBW kHz .

Fig. 18. Detected output power (peak of SCF) versus SNR at the input of the QCF detector.

cates the signal exists. For Fig. 12 the input power in simulation can be converted to SNR considering the signal generator and down-converter noise level, which results in minimum and maximum simulated SNR of dB and 18 dB respectively (compared to minimum and maximum measured SNR of dB and 15 dB respectively). Note that simulation is performed before circuit parasitic extraction leading to better results compared to measurement. In Fig. 19 the effect of adjacent channels on detected power is shown; an INR of 25 dB (at the input of the detector) is applied to an adjacent channel (signal level in the adjacent channel

SEPIDBAND AND ENTESARI: CMOS SPECTRUM SENSOR BASED ON QUASI-CYCLOSTATIONARY FEATURE DETECTION

Fig. 19. Detected power versus channel number for INR of 25 dB (channel is the main channel, channel number is the first adjacent number channel, and so on).

Fig. 21. Detected power versus

4107

for SNR of 9 dB.

Fig. 22. PCB showing the QCF detector chip and the decision circuit composing of instrumentation amplifier, envelope detector, and comparator with the reference voltage.

Fig. 20. SCF for SNR of 9 dB, for different frequencies of . (a) MHz. (c) MHz. (d) MHz RBW kHz . (b)

kHz.

is 25 dB higher than noise level) and the SCF is measured. Fig. 19 shows that if the input is located at the first adjacent channel, the QCF detector detects a signal with the same power as if the signal was in the original channel and that is a false detection. The reason behind this is that the BW of the BB LPF (10 MHz) is greater than the down-converted channel BW (4 MHz). Although even with a lower BW enough rejection cannot be achieved with on-chip solutions. The level of the detected power is lower for next adjacent channels, and there is no false detection if the signal is at the fourth adjacent channel. An input LPF with higher rejection can reduce false alarm probability. To check how affects the SCF, it is changed for an SNR of 9 dB, and the according SCF is measured as shown in Fig. 20 for four different cases ( , 1, 2, and 3 MHz). Fig. 21 shows variations versus detected power at frequency of for SNR of 9 dB, which shows detected power does not change much within the channel BW (which is 4 MHz after down-conversion). The variation of detected output power versus is due to the mixers and output LPF frequency response variations within the BW. As said before there is no need for an ADC for decision making; only a simple decision-making circuit including an am-

plifier, an envelope detector, and a comparator is required. Although this is an easy and simple method for decision making, using an ADC along with a baseband processor can lead to more accurate results with the penalty of more complexity [23]. The amplifier is to amplify the output to a level detectable by the envelope detector. The reference voltage in the comparator should be chosen based on QCF output noise level. If the level of the signal at the output of the envelope detector is more than the reference voltage, then the output of the comparator is a “1.” Otherwise it is a “0.” To evaluate the operation of the detector with decision circuit, a decision circuit including instrumentation amplifier, envelop detector, and comparator along with the detector chip is placed on a PCB board (see Fig. 22). The amplifier is a high-speed instrumentation amplifier which consists of three op-amps [two stages of ADA4817–1 and ADA4817–2 (Analog Devices)] with a total gain of 46 dB, and also has the role of differential to single-ended converter. The envelop detector is composed of a diode, capacitor, resistor, and op-amp and reduces noise by integration. The output of the envelope detector is compared with a reference voltage which is chosen based on the QCF output noise level. The reference voltage is chosen considering a false alarm probability of 10% and a moderate noise uncertainty of 3 dB. From [24], the distributional uncertainty of noise for energy detection without calibration (which is the case for decision making circuit) can be summarized in a single interval of

4108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 23. Decision circuit and the corresponding parts on PCB.

TABLE II PERFORMANCE SUMMARY AND COMPARISON OF THE QCF DETECTOR WITH RECENTLY REPORTED SPECTRUM SENSORS

modes are employed; one is energy detection (coarse detection) with a short sensing time, and the other is correlation detection (fine detection) with a high sensitivity dBm . The detected SNR for [26] is still positive. To have a fair comparison, minimum detected BB SNR is compared rather than the sensitivity, as the sensitivity depends on the detection BW, SA RBW, and gain of receiver which are not similar in all works. This work achieves the best SNR with analog approach. As can be seen in Table II, Yu et al. [23] use digital approaches for spectrum sensing, and does not consume much power compared to analog approaches. This is because [23] assumes the signal in BB is already in digital domain and does not consider an ADC, which should have a high power dissipation for a BW of 200 MHz. The op-amp in Fig. 7 for the QCF detector has the main contribution to the power consumption. Using op-amps with lower power consumption in the mixers can lead to a major reduction in power consumption. VI. CONCLUSION An integrated analog spectrum sensor has been described and fabricated in a m CMOS IBM technology which achieves high SNR without increasing the sensing time or decreasing the detection BW. It uses the quasi-cyclostationary feature detection technique with analog realization and is designed based on knowing the features of the primary DVB-T signals. It does not have the complexity and timing penalty of the digital feature detection methods (no FFT and no ADC) while preserving their accuracy, reaching SNR as low as dB for 8 MHz channel BW and DR of 39 dB. REFERENCES

, where is the noise power, is the nominal noise power, and is the noise uncertainty parameter. For a 3 dB noise uncertainty dB , is around 2. From [25] for continuous time signal detection , where is the threshold voltage. For is 10% , the value of is . Considering the 3 dB noise uncertainty, is . So . As a result, the reference voltage is chosen three times the estimated noise voltage to count for 10% false alarm probability and 3 dB noise uncertainty. To estimate the noise level, , the reference voltage is decreased using a potentiometer till the output of the comparator (LMV761) is about to reach “1” (but is still zero) when the output SCF is not distinguishable from noise. Noise of comparator can limit this approach. The minimum detected SNR in this case is dB compared to the SA detection method. Fig. 23 shows the decision circuit along with the corresponding parts on PCB. Table II shows performance summary and comparison of this work with other spectrum sensors. The spectrum sensors in [9], [11] lower the detection BW to increase the sensitivity. Xiao and Nikolic [26] use a dual-mode detection technique (a software-based approach after an on-chip receiver), in which two

[1] IEEE Standard for Information Technology-Local and Metropolitan area Networks-Specific Requirements-Part 22: Cognitive Wireless RAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications: Policies and Procedures for Operation in the TV Bands, IEEE Std 802.22, 2011. [2] D. Cabric, “Addressing feasibility of cognitive radios,” IEEE Signal Process. Mag., vol. 25, no. 6, pp. 85–93, Nov. 2008. [3] “Third memorandum opinion and order, in the matter of unlicensed operation in the TV broadcast bands (ET Docket no. 04-186) and additional spectrum for unlicensed devices below 900 MHz and in the 3 GHz band (ET Docket no. 02-380), FCC 12-36,” in Before the Federal Commun. Commission, D.C., 2012, vol. 20554. [4] A. Ghasemi and E. Sousa, “Spectrum sensing in cognitive radio networks: Requirements, challenges and design trade-offs,” IEEE Commun. Mag., vol. 46, no. 4, pp. 32–39, Apr. 2008. [5] D. Cabric, S. Mishra, and R. Brodersen, “Implementation issues in spectrum sensing for cognitive radios,” in Signals, Syst. and Comput., 2004. Conf. Rec. 38th Eighth Asilomar Conf., Nov. 2004, vol. 1, pp. 772–776. [6] H. Urkowitz, “Energy detection of unknown deterministic signals,” Proc. IEEE, vol. 55, no. 4, pp. 523–531, Apr. 1967. [7] W. Gardner, “Signal interception: A unifying theoretical framework for feature detection,” IEEE Trans. Commun., vol. 36, no. 8, pp. 897–906, Aug. 1988. [8] J. O'Toole, M. Mesbah, and B. Boashash, “Accurate and efficient implementation of the time-frequency matched filter,” IET Signal Process., vol. 4, no. 4, pp. 428–437, Aug. 2010. [9] J. Park, T. Song, J. Hur, S. M. Lee, J. Choi, K. Kim, K. Lim, C.-H. Lee, H. Kim, and J. Laskar, “A fully integrated UHF-band CMOS receiver with multi-resolution spectrum sensing (MRSS) functionality for IEEE 802.22 cognitive radio applications,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 258–268, Jan. 2009. [10] Y. Zhang, A. Meaamar, and Y. Zheng, “A cognitive radio receiver front-end IC based on spread spectrum sensing technique,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2013, pp. 61–64.

SEPIDBAND AND ENTESARI: CMOS SPECTRUM SENSOR BASED ON QUASI-CYCLOSTATIONARY FEATURE DETECTION

[11] M. Kitsunezuka, H. Kodama, N. Oshima, K. Kunihiro, T. Maeda, and M. Fukaishi, “A 30-MHz–2.4-GHz CMOS receiver with integrated RF filter and dynamic-range-scalable energy detector for cognitive radio systems,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1084–1093, May 2012. [12] W. Gardner, “Measurement of spectral correlation,” IEEE Trans. Acoust., Speech, Signal Process., vol. 34, no. 5, pp. 1111–1123, Oct. 1986. [13] B. Ramkumar, “Automatic modulation classification for cognitive radios using cyclic feature detection,” IEEE Circuits Syst. Mag., vol. 9, no. 2, pp. 27–45, 2009. [14] Digital Video Broadcasting (DVB); Framing Structure, Channel Coding and Modulation for Digital Terrestrial Television, ETSI EN 300 744 V1.5.1 2004. [15] L. Smaini, Rf Analog Impairments Modeling for Communication Systems Simulation: Application to OFDM-Based Transceivers, 1st ed. Hoboken, NJ, USA: Wiley, 2011. [16] P. D. Sutton, K. E. Nolan, and L. E. Doyle, “Cyclostationary signatures in practical cognitive radio applications,” IEEE J. Sel. Areas Commun., vol. 26, no. 1, pp. 13–24, Jan. 2008. [17] Y. Zeng, Y.-C. Liang, and T.-H. Pham, “Spectrum sensing for OFDM signals using pilot induced auto-correlations,” IEEE J. Sel. Areas Commun., vol. 31, no. 3, pp. 353–363, Mar. 2013. [18] H. Hedayati, M. Mobarak, G. Varin, P. Meunier, P. Gamand, E. Sanchez-Sinencio, and K. Entesari, “A 2-GHz highly linear efficient dual-mode BiCMOS power amplifier using a reconfigurable matching network,” IEEE J. Solid-State Circuits, vol. 47, no. 10, pp. 2385–2404, Oct. 2012. [19] J. Rosenfeld, M. Kozak, and E. Friedman, “A 0.8 volt high performance OTA using bulk-driven MOSFETs for low power mixed-signal SOCs,” in Proc. IEEE Int. SOC Conf., Sep. 2003, pp. 245–246. [20] B. Razavi, RF Microelectronics, ser. Prentice Hall Communications Engineering and Emerging Technologies, 2nd ed. Englewood Cliffs, NJ, USA: Prentice-Hall, 2011. [21] Y.-S. Youn, J.-H. Chang, K.-J. Koh, Y.-J. Lee, and H.-K. Yu, “A 2 GHz 16 dBm IIP3 low noise amplifier in 0.25/spl mu/M CMOS technology,” in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2003, vol. 1, pp. 452–507. [22] J. Harrison and N. Weste, “350 MHz opamp-RC filter in m CMOS,” Electron. Lett., vol. 38, no. 6, pp. 259–260, Mar. 2002. [23] T.-H. Yu, C.-H. Yang, D. Cabric, and D. Markovic, “A 7.4-mW 200MS/s wideband spectrum sensing digital baseband processor for cognitive radios,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2235–2245, Sep. 2012. [24] R. Tandra and A. Sahai, “SNR walls for signal detection,” IEEE J. Sel. Topics Signal Process., vol. 2, no. 1, pp. 4–17, Feb. 2008. [25] M. G. Anderson, Design of Multiple Frequency Continuous Wave Radar Hardware and Micro-Doppler Based Detection and Classification Algorithms. Ann Arbor, MI, USA: ProQuest, 2008.

4109

[26] X. Xiao and B. Nikolic, “A dual-mode, correlation-based spectrum sensing receiver for TV white space applications achieving dbm sensitivity,” in Proc. IEEE Radio Frequency Integrated Circuits Symp., Jun. 2014, pp. 317–320.

Paria Sepidband (S'15) received the B.Sc. degree in electrical engineering from the University of Tehran, Tehran, Iran, in 2010 and the M.Sc. degree in electronics engineering from the Sharif University of Technology, Tehran, in 2012. She is currently working toward the Ph.D. degree in electrical and electronics engineering at Texas A&M University, College Station, TX, USA. Since January 2013, she has been working as a Research Assistant at the Analog and Mixed Signal Center, Texas A&M University. Her research interests include cognitive radio receivers and spectrum sensors, and ultrawideband receivers.

Kamran Entesari (S'03–M'06) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1995, the M.S. degree in electrical engineering from Tehran Polytechnic University, Tehran, in 1999, and the Ph.D. degree from the University of Michigan, Ann Arbor, MI, USA, in 2005. In 2006, he joined the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX, USA, where he is currently an Associate Professor. His research interests include microwave chemical/biochemical sensing for lab-on-chip applications, RF/microwave/millimeter-wave integrated circuits and systems, reconfigurable RF/microwave antennas and filters, and RF micro-electromechanical systems (MEMS). Prof. Entesari currently serves as an Associate Editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS and is on the Technical Program Committee (TPC) of the IEEE RFIC Symposium. He was the recipient of the 2011 National Science Foundation CAREER Award. He was the corecipient of the 2009 Semiconductor Research Corporation Design Contest Second Project Award for his work on dual-band millimeter-wave receivers on silicon and the Best Student Paper Awards of the IEEE RFIC Symposium in 2014 (second place), IEEE Microwave Theory and Techniques Society in 2011 (third place), and IEEE Antennas and Propagation Society in 2013 (honorable mention).

4110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Investigating the Broadband Microwave Absorption of Nanodiamond Impurities Jerome A. Cuenca, Evan Thomas, Soumen Mandal, Oliver Williams, and Adrian Porch

Abstract—Broadband microwave complex permittivity measurements of nanodiamond powders are presented. Previous studies show that measurements of dielectric loss strongly correlate with the presence of nondiamond surface impurities. In this study, the frequency dependence of these losses is investigated using the microwave cavity perturbation (MCP) and broadband coaxial probe (BCP) methods. This allowed further understanding as to what mechanisms contribute to the microwave absorption (free electron conduction or dielectric loss from the disordered surfaces). A multimode MCP system is used which utilizes modes to provide partial spectral characterization. The MCP results revealed minimal frequency dependence, unlike any static conduction-related mechanism. The BCP measurements corroborate the MCP results with much higher spectral resolution, and further demonstrate that disorder related loss may dominate over free electron conduction from 1–10 GHz. From 0.1–1 GHz, free electron conduction has a greater influence with a characteristic dependence implying that conduction may dominate at lower frequencies. However, the BCP method, while repeatable, lacks in precision compared to the cavity method. Nonetheless, the major conclusion in this paper is that through simple microwave permittivity measurements, nondiamond carbon impurities in nanodiamond powders are measurable most likely because of disorder related losses as opposed to free electron conduction. Index Terms—Cavity perturbation, coaxial probe, conduction, disorder, nanodiamond, permittivity measurement.

I. INTRODUCTION

N

ANODIAMONDS are a new and emerging material showing great practical promise in drug delivery [1]. Studies have shown that administration of chemotherapy agents with nanodiamond drug platforms increases tumor deterioration. The efficacy for these particles is linked to surface termination and functional groups as this determines agglomerate formation and hence the effective surface area for drug adsorption. There are various types of nanodiamond, Manuscript received June 14, 2015; revised September 26, 2015; accepted October 07, 2015. Date of publication November 17, 2015; date of current version December 02, 2015. The work of J. A. Cuenca was supported in part by Merck KGaA, Darmstadt, Germany and by the U.K. Engineering and Physical Sciences Research Council (EPSRC). This paper is an expanded version from the 2014 Asia–Pacific Microwave Conference, Sendai, Japan, Nov. 4–7, 2014. J. A. Cuenca and A. Porch are with the School of Engineering, Cardiff University, Cardiff, Wales CF24 3AA, U.K. (e-mail: [email protected]; [email protected]). E. Thomas, S. Mandal and O. Williams are with the School of Physics and Astronomy, Cardiff University, Cardiff, Wales CF24 3AA, U.K. (e-mail: [email protected]; [email protected]; [email protected]. uk). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495156

including detonation diamond (DD), diamond produced by high temperature high pressure synthesis (HPHT) and chemical vapor deposition (CVD) each yielding different types and densities of impurities. In this paper we are dealing with HPHT nanodiamonds, where impurities are introduced when a larger diamond is milled; leaving trace amounts of the milling media on the surfaces. Vigorous hydrofluoric and nitric acid treatments are capable of removing many of these impurities [2]. After purification, hydrogen termination can passivate the surface, creating the desired colloidal form for application [3]. Fast and easy examination of the surface purity of nanoparticles becomes paramount for large scale production, which is where we introduce simple microwave characterisation methods. It is well known that the MCP technique offers a simple measurement of materials used in microwave applications [4]–[6], allowing direct measurement of permittivity and permeability which can be used to assess their performance. The technique has evolved into a means to noninvasively infer conducting properties of materials such as carbon nanotubes [7], superconductors [8] and nanofilms [9]. In this decade microwave measurements are transcending into a noninvasive quality control tool where dielectric and magnetic properties serve as a figure of merit, for example; indirectly inferring moisture content [10], ammonia mass adsorption in zeolites [11] and (as in this paper) nanodiamond purity [12]. In the latter case, it has been demonstrated that MCP offers a much more sensitive quantification of surface amorphous and carbon when compared to XRD and Raman measurements [13]. From this study we have identified a set of nanodiamond powders with high and low surface impurities. These particles were made using the same synthesis methods but SEM reveals differences in their average sizes; smaller particle sizes contain much higher numbers of impurities since surface impurities scale with surface area. Preliminary research with MCP was conducted to determine the role of the impurities in the microwave losses [14] and also to determine an optimum characterisation frequency. This investigation inferred that the dielectric loss mechanisms associated with the and amorphous carbon impurities showed minimal frequency dependence, unlike that due to free electron conductivity which would have diverged as as frequency was reduced. This was an interesting find since carbon is generally associated with high conductivity allotropes such as graphite, carbon nanotubes, and graphene. However, even though MCP provides a noncontact, high precision measurement at certain frequencies, it greatly sacrifices spectral resolution with a sparse number of measurement frequencies. We must also verify our findings using other methods,

This work is licensed under a Creative Commons Attribution 3.0 License. For more information, see http://creativecommons.org/licenses/by/3.0/

CUENCA et al.: INVESTIGATING THE BROADBAND MICROWAVE ABSORPTION OF NANODIAMOND IMPURITIES

which is where nonresonant broadband techniques (here using the BCP method) become very valuable. The major contribution of this study will compare MCP with a much higher resolution (but lower precision) open-ended BCP method. This is to corroborate the finding that microwave absorption in nanodiamond impurities is related to dielectric loss due to the disordered nature of the surfaces as opposed to free electron conductivity normally associated with carbon. Potentially, this would mean that surface disorder of and amorphous carbon could be characterized using simple MCP measurements. The BCP method, however, requires contact and is normally used for liquids since homogeneity is then less of an issue, though effective dielectric measurements can still be extracted for powder samples if the packing density adjacent to the flat surface of the probe is kept consistent. II. MICROWAVE TECHNIQUES There are numerous ways to obtain the broadband properties of materials through transmission and reflection based measurement systems including waveguides (air space and dielectric), open-ended transmission lines, free space transmission and planar structures. It is at this point that we stress that the materials under test are powders of low volume. A single waveguide structure is not able to accommodate the multimode range given by the MCP system and it also creates a large sample volume requirement. Free space methods require no contact but careful sample preparation to minimize errors; for example, a flat sample with large volume is needed, which makes this technique unfavorable. This leaves planar and open-ended methods where planar structures generally prevail as they are also capable of measuring permeability [16]. However, since diamond is nonmagnetic, this advantage is not relevant. Though sensitivity is comparable in most senses, fabrication of planar systems is meticulous and the bandwidth is limited to orders of half wavelength structures. The BCP, however, can be easily fabricated from standard microwave connectors and sample preparation is simple. In this study, we simply wish to verify the broadband results obtained using multiple cavity modes with another broadband technique, for which the BCP will suffice. The notable limitation of both planar and open-ended techniques when measuring powders is ensuring packing consistency in the sample region, which can be somewhat controlled using a sample powder press. We propose to achieve measurement using the BCP method, which is capable of measuring effective dielectric properties in the 0.1–10 GHz range. III. BACKGROUND ON PERMITTIVITY The complex permittivity of a material occurs due to a combination of harmonic oscillator type polarization mechanisms and when this property is measured as a function of frequency, such mechanisms can be revealed as shown in Fig. 1. Some of the main contributions are due to free electron conductivity, space-charge polarization, permanent dipole relaxation, atomic displacement, and electron cloud displacement. Fig. 1 can be divided into a low frequency region (conductivity related) and a high frequency region (polarization related). Electron conductivity arises from free electrons or electrons that

4111

Fig. 1. General overview of the frequency dependent permittivity of a material. In the microwave range, dipolar effects dominate (dotted) but in nonpolar materials, the contribution is mainly due to high frequency polarization related phenomena and decaying low frequency losses [15].

do not participate in bonding in the structure. In pure diamond there are no free electrons and hence any observable conductivity arises due to conducting impurities with nominal contribution from defects in the diamond lattice. For a metallic substance, conductivity is a loss mechanism which results from motion and subsequent scattering of free electrons migrating to the extremities whilst losing energy in collisions with themselves and the bulk lattice. As frequency increases, this effect decreases due to the large effective mass of collections of electrons. Space-charge polarization is essentially the same but results from mixtures of semimetallic materials and dielectrics. On the application of an electric field, the free electrons in this case get trapped at boundaries between the materials, developing a net build-up of charge at the interface. This increases the polarization in the dielectric, causing the overall complex permittivity to increase. It is generally reported in the literature that microwave dielectric losses of graphitic carbon arise due to space charge polarization [17]. For amorphous carbon, multiple scattering and absorption effects from dangling bonds and large disorder can greatly contribute to microwave absorption [18]. At microwave frequencies, dielectric losses may be dominated by friction of permanent dipolar rotation, as in polar solvents such as water. However, in carbonaceous powders no electric permanent dipoles are present. At terahertz frequencies, relaxations of atomic and electronic polarization occur due to the shift from positive charges in nuclei and distortions of electron clouds. These effects will contribute to the polarization of the material (as shown in Fig. 1) but these are fast processes. No such relaxation peaks should be observed at microwave frequencies. The complex permittivity can thus be summarized in the following equation: (1) where denotes angular frequency, denotes the complex relative permittivity and the subscripts denote contributions from the explained mechanisms. We have already determined that dielectric loss is far more sensitive for detection of amorphous and carbon impurities [14]. Since bulk carbon is a very good conductor it seems

4112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 3. COMSOL simulation of logarithmic electric field distribution of an open ended coaxial probe in the gigahertz frequency range. Fig. 2. Simplified contributions to dielectric loss from delocalized electrons (i.e., conductivity and space charge) and polarization related loss mechanisms. Inset shows an abstract representation of the delocalized electrons in the -orcarbon which gives rise to conductivity and representative disorder bitals in created with Avogadro, GAMESS and MacMolPlt [19]–[21].

where is the admittance of the end of the probe, is the equivalent phase difference introduced due to the length of the probe and is the impedance of the system (50 ). Calibration to the sample plane can be accomplished using perfect open, short and load terminations for the probe. A simpler approach is to first measure the probe when air-spaced (subscript “a” below) and then measure with a sample load (subscript “L” below), then forming a ratio

sensible that there may be conduction loss mechanisms. However, at high frequencies the charge transport can be heavily dependent upon the disordered surfaces as opposed to the free or static conductivity measured at low frequencies [22]. Determination of whether free electron conduction or losses from the disorder plays a role in the microwave absorption can be identified using the model relating relative complex permittivity to conductivity, derived from Maxwell's equations

The admittance at the end of the probe can be modelled as two capacitors in parallel giving [23], [24]

(2)

(5)

is the effective free electron conductivity, is the effective dielectric constant and is the additional loss contribution related to the disordered surfaces. This is a simplified version of the universal disorder model: , where in this study the onset frequency and the fitting parameters ( and ) are [22]. Therefore, free electron simply lumped into conductivity shows a characteristic dependence. An approximate model for the net contribution to loss is shown in Fig. 2 where at lower frequencies, free electron conductivity dominates and at higher frequencies, disorder related dielectric losses dominate. The frequency dependence of this mechanism is, however, unknown though is normally depicted as relatively constant [22] with an increasing gradient that approaches the relaxation peaks shown at terahertz frequencies in Fig. 1. where

(4)

is the capacitance when terminated in free-space, where is the fringing capacitance and is the effective relative complex permittivity of the powder. The two capacitances can be found by measurement of a known sample (e.g., a block of PTFE) and verified by simulation. The effective permittivity quoted for a powder sample is due to any finite air spaces between the particles, which cannot be removed even with strong compression onto the probe. Hence the calculated value is quoted as being “effective” and so will be smaller than the intrinsic value of . Equations (4) and (5) can be used to deduce an approximate expression for the complex permittivity valid at lower frequencies [25] (6)

V. BROADBAND MICROWAVE CAVITY PERTURBATION

IV. MICROWAVE BROADBAND COAXIAL PROBE The probe consists of an open ended coaxial cable, achieved using an Anritsu K-connector embedded in a brass flange, such that the electric field disperses evanescently at the half-plane at the probe's end, as shown in Fig. 3. Full details of the probe are given in [23]. The sample is simply placed at the end of the probe, where there is a maximum of electric field (owing to the open circuit boundary condition). Complex permittivity can be calculated by measuring the reflection coefficient of the probe using the following equation: (3)

Details of the MCP system and measurements are given in the previous study [14]. The broadband MCP measurements were achieved using a selection of modes of a cylindrical resonator. The frequencies of these modes can be calculated using the well-known resonant frequency equation of an air-spaced cylindrical cavity (7) where is the speed of light, and are the cavity radius and height respectively, is the th root of the th order Bessel function and , and are integers. The reason why we use

CUENCA et al.: INVESTIGATING THE BROADBAND MICROWAVE ABSORPTION OF NANODIAMOND IMPURITIES

modes is due to the fact that the radial 0th order Bessel function has a maximum at , hence all modes have a large E-field in the center of the cavity [26]. This means that there will always be a minimum of H-field in the center of the cavity for modes which is essential for assuming only E-field perturbation. For nanodiamonds this is irrelevant since they are nonmagnetic but as a generic characterisation system for dielectric and magnetic materials, separation of fields is key for solely extracting . The dimensions of our cavity have been chosen such that the mode is at approximately 2.5 GHz as this is a common frequency of interest which means selecting and to reduce interference from TE modes whilst keeping the and modes (for ) below 10 GHz. MCP involves perturbing the fields of these modes and relating the changes in complex frequency to the properties of the material. In this study a low-loss, thin-walled quartz tube containing the powder is placed on the axis of the resonator. The change in frequency due to a small sample perturbation in the E-field of the cavity resonator can be approximated to [27] (8) where is the fractional change in complex frequency (real being center frequency and imaginary being half the bandwidth), and are the volumes of the sample and cavity respectively and and are the field vectors in the cavity and in the sample respectively. The complication in this formula is that the absolute fields are generally not known, hence if the condition can be met where then parts of the integrals can be cancelled out. This condition is when the sample is placed in the cavity such that the original field distributions are not grossly altered, or with a minimal depolarization field. This can be achieved by placing a long thin sample parallel to the E-field. The resultant equations for complex permittivity can thus be approximated to [28] (9) (10) where and denote unperturbed and perturbed frequency respectively, and denote unperturbed and perturbed bandwidth respectively, and is the field filling factor of the cavity dependent upon the sample location and the mode. All values given in (9) and (10) can be measured whereas can be calculated analytically or through simulation. A. Analysis of Modes For Broadband Measurement field disThe results of COMSOL simulations of the tributions are shown in Fig. 4. It is clear that all modes have an E-field along the axis, thus fulfilling the condition of a minimal depolarizing field for a thin sample at the center of the cavity. There are however numerous other modes at frequencies close to the measurement modes. Unfortunately, these are inevitable and it is hard to determine an ideal aspect ratio that separates them. Interference can be mitigated by using coupling structures

4113

Fig. 4. COMSOL simulation of ideal cylindrical cavity modes used for permittivity measurements. The arrows and the lines denote the direction of the electric field vectors.

that attempt to exclusively excite wanted modes (open circuit coaxial antennas near the center of the top plate prevent excitation of higher order modes propagating around the curved walls). In practice, useful measurement modes can simply be identified by placing high dielectric materials at the sample location and examining the shift in frequency. Additionally, placing a metal rod at the sample location identifies minimally depolarizing modes as these modes should vanish since an E-field cannot exist parallel to a metal surface. The spatial variation in Fig. 4 will give rise to differing sensitivities to a thin sample placed along the axis. For example type modes increase in sensitivity to the sample with increasing owing to the greater concentration of field on the axis. The sensitivity of a mode is directly related to the field filling factor . It can be calculated by integrating the field intensity and dividing it by the integral of the intensity over the cavity volume. These values can be determined analytically but in the previous paper [14] they have been calculated using COMSOL. Our cavity is not an ideal cylinder and features holes at the top and bottom as well as slightly rounded edges at the corners. It was found through simulation that the rounded edges were responsible for the slightly higher resonant frequencies given in Table I. The values are also different, with the main cause being due to the sample holes which create distortions in the field distribution at regions of high field. Multiple COMSOL simulations of different hole radii are given in Fig. 5 where the uniform field of the mode (and consequently other modes) becomes distorted with increasing hole size. The hole lowers the E-field at the extremities which decreases the field to which the sample is exposed to and, consequently, decreases sensitivity (recall that a lower value of in Table I means a greater sensitivity to the sample). The axial hole also affects the resonant frequency and quality factors of the modes as shown in Fig. 5. The resonant frequency increases with increasing hole length until the length is greater than about 20% of the cavity length, where no further changes are observed. A large sample hole can give a dramatic reduction in the Q factor, which is also shown in Fig. 5. For our cavity, the radius of the sample hole is 2.75 mm, which is only 6% of the cavity radius. The depth is 5 mm which is 12.5% of the cavity height. This size of hole therefore has minimal

4114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I RESONANT MODES AND EFFECTIVE SCALING FACTORS

Simulated and measured are for a cavity with holes and rounded corners. Measured values were obtained with a maximum random error of 5 kHz.

TABLE II MODE SENSITIVITY BASED ON RANDOM SYSTEM ERRORS

Unperturbed Q Factor is with the sample tube.

aluminium and the surface roughness inside of the cavity, rather than due to the hole. Table II shows the sensitivity (or the minimum detectable change due to the random measurement error) of different cavity modes by taking into account the values and the errors in the measurement of resonant frequency and bandwidth . Considering mode volume and systematic errors in complex frequency alone, the sensitivity in permittivity measurements is very high and therefore its impact on any uncertainties in the sample measurement is minimized. The variation in sample preparation forms the main source of error in this measurement. To overcome this, multiple samples of the same materials are prepared. VI. EXPERIMENT A. Broadband Coaxial Probe

Fig. 5. COMSOL simulation of the effect of the sample hole on the E-field unimode (top). The height posiformity along the axis of the sample in the tion is normalized to the cavity height, with 0 and 1 being at the top and bottom, respectively. The plots show different sample hole radii as a percentage of the cavity radius. The effect of hole depth and radius on the frequency (middle) and Q factor (bottom) are also shown. The hole in the COMSOL simulations is modelled as having a scattering boundary condition.

effect both on the frequency and Q factor. The difference in Q factor (shown later in Table II) between the theoretical and the measured, however, is mostly due to the electrical quality of the

The sample is placed at the end of the coaxial flange and is compressed using the structure shown in Fig. 6. A right angled SMA connector and a 3.5 mm adapter were used to allow the probe to face upright at an appropriate distance while connected to port 1 of a Keysight ENA 5071C. A standard SOLT calibration using an Agilent 85052D calibration kit was carried out to the plane of the K connector, as shown by the dashed line in Fig. 6. A solid sheet of PTFE was compressed onto the aperture. Assuming the values of and the modelled capacitance of the line can be obtained by rearranging (6) which was gave an average of across the frequency range. Air measurements were taken for each sample followed by the compressed powder underneath the PTFE plate. Each sample was pressed onto the probe and measured three separate times with standard deviations across the samples obtained at each measured frequency. The stimulus had a 400 point logarithmic sweep between 0.1–10 GHz, an IF Bandwidth of 500 Hz, input power of 0 dBm and 32 times averaging. The sample was left on the probe to settle for 10 minutes with averaging restarted prior to the measurement. To calculate the packing density, the powders were packed into a separate jig with the same compression system displayed in Fig. 6. These samples occupied a cylindrical space with a diameter of 8 mm and a height of 1 mm. In this configuration, the mass was measured and thus the effective density was extracted. Taking this as a fraction of the material's intrinsic density as given by the datasheet of , the effective packing density can be determined, and this is typically about 0.3. This

CUENCA et al.: INVESTIGATING THE BROADBAND MICROWAVE ABSORPTION OF NANODIAMOND IMPURITIES

Fig. 6. Photo of the BCP showing the calibration plane and the sample jig (top-left). Dimensions of the aperture and enclosure are given (top-right). Disassembled system (bottom) showing the aperture of the probe (the ruler numerals are every 1 cm). The PTFE sample used for the calibration covered an area of with a thickness of 1.5 mm. approximately

Fig. 7. Photograph of MCP set-up connected to a microwave vector network analyser (VNA) using Huber and Suhner microwave cables. A segmented sweep is shown on the screen to examine the complex frequency response of modes. The inset shows the dimensions of the cylindrical cavity. the

is important for comparison across the different nanodiamond samples. All of the results are scaled to the intrinsic density of the material in this way. B. Broadband Microwave Cavity Perturbation The MCP measurements were conducted using our aluminium cylindrical cavity (diameter and height of 92 mm and 40 mm respectively) as depicted in Fig. 7. As stated previously, the sample hole is 2.75 mm in diameter, with a small plastic collar outside of the cavity to align the sample tube in the center of the cavity, along its axis. This collar had no effect on the measured resonant frequency and Q factor since it is well outside of the E-field.

4115

The sample powders were poured into quartz tubes (outer and inner diameters of 2.4 mm and 2.0 mm respectively) and left to settle on a vibrating stage for 10 minutes. Quartz was chosen as a tube material as it has very low dielectric loss and thus minimal effect on the unloaded center frequency and bandwidth. The impact of these tubes is that the measurement frequency is brought to a marginally lower value (maximum change across the modes of 13 MHz) and the initial unperturbed bandwidth is decreased (maximum difference across the modes of 11 kHz); This latter result is curious, but is due to an increased stored energy due to the polarization of the quartz coupled with its extremely low loss, giving an increased Q factor when it is present. The values for the cavity with the empty quartz tube are used as the unperturbed values given in Table II. Packing in the tubes was quantified by measuring the mass of the empty and filled tubes whilst taking into account the volume of the filled powder. With mass and volume, the effective density in the tube can be obtained. In a similar manner to the BCP, the quotient of the tube density and the intrinsic density yields the effective packing density. C. Sources of Error In these measurements, systematic and sample preparation errors are present. For MCP, systematic errors are those associated with the measurement of complex resonance in MCP. The errors in the measurement of complex resonance are small and are given in Table II. The random errors in the sample preparation are expected to dominate in MCP since the tube filling process may settle the powders in different configurations each time. For BCP, the random systematic errors associated with the reflection coefficient are very low; with averaging enabled, fluctuations in the dielectric constant and losses were less than 5% of their average value. Calibration of the BCP also contributes to the error. However, this systematic error, associated with in the measurement of the PTFE plate, is the same for all samples, and will offset all of the measured values. In addition to this, the random errors in the sample preparation will also contribute. This is through the errors in the measurement of mass and subtle differences in the packing of the powder onto the probe. These factors in particular are the main source of random error. D. Samples The samples measured in these experiments are the same as those measured in previous studies [13], [14]. These are the Syndia , , , and the powders provided by Van Moppes Geneva, Switzerland. It has been identified that the powders have the most nondiamond carbon impurities (with as having the highest followed by and ) while the powders had no detectable impurities. VII. DISCUSSION A. Comparison of Precision The MCP measurements of complex permittivity are presented in Table III with their associated random error bars. It is clear that the random errors in MCP measurements are small for the effective dielectric loss but are large for the

4116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE III CALCULATED COMPLEX PERMITTIVITY OF NANODIAMOND POWDERS USING MCP

Average values are calculated with error bars being the standard deviation across 3 different tubes of sample. The fractions of the theoretical density for each sample were 0.298, 0.298, 0.288, 0.271 and 0.298 for respectively with maximum errors in packing density of 5%.

Fig. 8. Measured permittivity of nanodiamond samples: real (top) and imaginary (bottom). The lines represent values calculated with the probe method (denoted “P”) while the marked points show those achieved with the cavity method (denoted “C”). Shaded regions show the standard deviation obtained across the prepared samples (which includes the measurement of different prepared samples and also the uncertainty in the packing density). All values are normalto allow for sample and method ized to the intrinsic density of 3.5 comparison.

effective dielectric constant. The impact of this is presented in Fig. 8 where the markers show the MCP data. Clear differences between can be noticed in the loss measurements, with the error bars being insignificant (smaller than the marker size). The errors for the real parts are likely to be associated with the subtle differences in powder packing between the measurements. The BCP measurements are the lines and shaded regions in Fig. 8. The random errors are again largest in the effective dielectric constant, to the point that samples are indistinguishable from one another. This is not so much a problem since

we have already ascertained from previous studies that the real parts show minimal correlation regarding and amorphous impurities. The major cause of this was a combination of the calibration and the random sample packing errors. Overall, the random errors are larger for BCP compared to MCP. Also the errors in between 0.1–1 GHz (further referred to as the low gigahertz range) are much larger than in between 1–10 GHz (further referred to as the high gigahertz range). The model for the coaxial probe assumes that the material occupies the full, infinite half-space at the end of the probe. The extent to which this field radiates diminishes as materials with larger permittivities are placed at the aperture. In this instance we are probing a low permittivity material with notable differences in loss, but since the real part is low, the evanescent fields may not be contained wholly within the sample. Thus some fields penetrate into the jig and effectively “probe” the sample holder. This causes the measurements of the real part to skew. Since the PTFE plate is a low loss material this does not greatly affect the loss measurements, but at lower frequencies penetration is greater and may radiate further. To avoid this, much larger volumes are required, greater than the jig can support and that are practically available. For the purposes of this measurement system as a sensor for impurities, this creates a large level of uncertainty for measurements of the real part, which again is not an issue since minimal correlation between impurities and the real part has been found. B. Frequency Dependent Complex Permittivity For the losses, Fig. 8 and Table III show that they are largest in the least pure samples as expected; sample purity was determined in previous studies [13], [14] where , and all had large concentrations of surface and amorphous carbon and and had undetectable amounts. The notable contribution in this study is that both the MCP and BCP measurements show similar frequency dependent responses which corroborate the initial findings of disorder related loss mechanisms at microwave frequencies. Moreover, the broader band measurement of BCP reveals significant frequency dependent behavior in the losses in the low gigahertz range and also across the whole range in the real part for , , and .

CUENCA et al.: INVESTIGATING THE BROADBAND MICROWAVE ABSORPTION OF NANODIAMOND IMPURITIES

TABLE IV ESTIMATED CONTRIBUTION TO THE DIELECTRIC LOSS

Note: estimation only on the contribution of conductivity to , larger contributions are observed for implying less loss from the disordered surfaces.

The decrease in loss with frequency in the low gigahertz range is implicit of either free electron conductivity or space-charge polarization, both of which are conduction mechanisms. To establish the potential cause, we must look at the measurements of the real part as well. Free electron conductivity appears in just the losses with a dependence. Space-charge polarization appears in both the real and imaginary parts of permittivity. Due to the inertia of the movement of large areas of charge, relaxation occurs at low frequencies. This mechanism can therefore be identified by a decrease in both the real and imaginary parts as frequency increases [17]. From Fig. 8, for less pure samples, a negative slope with increasing frequency emerges in both parts, however, the real part continues to decrease even when the losses appear to be frequency independent. Due to this, a clear determination of space charge cannot be reached. Nevertheless, we can still model the low gigahertz losses as an independent free electron conduction mechanism using the divergence at low frequencies shown in (2). Taking the average values over the measurement and fitting to this equation, the effective values of conductivity can only be estimated, since the errors in the low frequency region are large. Table IV shows the estimated values for the effective contribution from free electron conductivity and the disorder related dielectric loss mechanisms. The loss mechanism measured with the original MCP method is therefore most likely not caused by free electron conduction and must be some disorder polarization related mechanism. This disordered dielectric loss mechanism has been reported in carbon allotropes, where these highly conducting materials exhibit an additional loss at gigahertz and terahertz frequencies [22] (the offset in Table IV). This loss mechanism may be attributed to junctions and defects on the surface where charges are localized [29] which is congruent with losses associated with the disordered nature of the carbon impurities on the nanodiamond; note that disorder related effects may occur from both spurious fractions of amorphous and carbon as opposed to just the concentration of disorder/amorphous carbon. Interestingly, a larger contribution from is noticed in and implying that disorder related effects start to decay with decreasing concentration. There may even be a threshold of concentration as to when this starts to dominate. Also, from a quality control perspective, the results show that the high gigahertz range is more appropriate for looking at nanodiamond purity with MCP and BCP methods due to its approximately constant and consistent response.

4117

For the and nanodiamond samples, the real part over the entire 0.1–10 GHz range measured here remains constant. This is congruent with electric polarization of species at frequencies much lower than their relaxation frequencies. The difference in values between these and the least pure samples is small which in some ways is expected since all materials are made of carbon atoms, with diamond and carbon black having relatively low dielectric constants [30], [31]. VIII. CONCLUSIONS In conclusion, we have shown that broadband complex permittivity measurements at microwave frequencies can be achieved using both MCP and BCP methods, with MCP having much higher precision over a broad range. This paper shows that the BCP method corroborates the frequency dependent behavior found with the MCP measurements, in that the dielectric losses of the nanodiamond particles have minimal frequency dependence as opposed to the expected decrease due to delocalized conduction mechanisms on the surfaces of the particles caused by the carbon fraction. The loss mechanism providing the figure of merit for impurity is hence disorder related, likely to be linked to losses of the disordered nature of the surface and amorphous carbon impurities, though the exact mechanism has not yet been determined. ACKNOWLEDGMENT Information on the presented data can be found in the Cardiff University data catalogue at http://dx.doi.org/10.17035/d.2015. 100125. REFERENCES [1] E. K. Chow et al., “Nanodiamond therapeutic delivery agents mediate enhanced chemoresistant tumor treatment.,” Sci. Transl. Med., vol. 3, no. 73ra21, pp. 1–10, Mar. 2011. [2] V. Pichot et al., “An efficient purification method for detonation nanodiamonds,” Diam. Relat. Mater., vol. 17, no. 1, pp. 13–22, Jan. 2008. [3] S. Su, J. Li, V. Kundrát, A. M. Abbot, and H. Ye, “Hydrogen-passivated detonation nanodiamond: An impedance spectroscopy study,” Diam. Relat. Mater., vol. 24, pp. 49–53, Apr. 2012. [4] A. Porch, D. Slocombe, and P. P. Edwards, “Microwave absorption in powders of small conducting particles for heating applications.,” Phys. Chem. Chem. Phys., vol. 15, no. 8, pp. 2757–2763, Feb. 2013. [5] I. Kong, S. H. Ahmad, M. H. Abdullah, D. Hui, A. N. Yusoff, and D. Puryanti, “Magnetic and microwave absorbing properties of magnetitethermoplastic natural rubber nanocomposites,” J. Magn. Magn. Mater., vol. 322, no. 21, pp. 3401–3409, Nov. 2010. [6] A. M. Gama, M. C. Rezende, and C. C. Dantas, “Dependence of microwave absorption properties on ferrite volume fraction in MnZn ferrite/rubber radar absorbing materials,” J. Magn. Magn. Mater., vol. 323, no. 22, pp. 2782–2785, Nov. 2011. [7] A. Porch, D. I. Odili, and P. a Childs, “Microwave characterisation of carbon nanotube powders.,” Nanoscale Res. Lett., vol. 7, no. 1, p. 429, Jan. 2012. [8] A. A. Barannik et al., “Unusual microwave response and bulk conductivity of very thin FeSe0.3Te0.7 films as a function of temperature,” Low Temp. Phys., vol. 40, no. 6, pp. 492–499, Jun. 2014. [9] A. Sulaimalebbe, A. Porch, F. J. Vidal-Iglesias, and G. Attard, “Microwave properties of platinum nanoparticle films,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, vol. 5, no. 2, pp. 1585–1588. [10] M. Huang, J. Peng, J. Yang, and J. Wang, “Microwave cavity perturbation technique for measuring the moisture content of sulphide minerals concentrates,” Miner. Eng., vol. 20, no. 1, pp. 92–94, Jan. 2007. [11] M. Dietrich, D. Rauch, A. Porch, and R. Moos, “A laboratory test setup for in situ measurements of the dielectric properties of catalyst powder samples under reaction conditions by microwave cavity perturbation: Set up and initial tests.,” Sensors (Basel)., vol. 14, no. 9, pp. 16856–68, Jan. 2014.

4118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[12] D. Slocombe, A. Porch, E. Bustarret, and O. Williams, “Microwave properties of nanodiamond particles,” Appl. Phys. Lett., vol. 102, no. 24, p. 244102, Jun. 2013. [13] J. A. Cuenca, E. Thomas, S. Mandal, O. Williams, and A. Porch, “Microwave determination of sp2 carbon fraction in nanodiamond powders,” Carbon J., vol. 81, pp. 174–178, Jan. 2015. [14] J. A. Cuenca, E. Thomas, S. Mandal, O. Williams, and A. Porch, “Broadband microwave measurements of nanodiamond,” in Proc. Microw. Conf. (APMC), 2014 Asia–Pac., 2014, pp. 441–443. [15] T. Prodromakis and C. Papavassiliou, “Engineering the MaxwellWagner polarization effect,” Appl. Surf. Sci., vol. 255, no. 15, pp. 6989–6994, May 2009. [16] B. Kang, J. Cho, C. Cheon, and Y. Kwon, “Nondestructive measurement of complex permittivity and permeability using multilayered coplanar waveguide structures,” IEEE Microw. Wirel. Compon. Lett., vol. 15, no. 5, pp. 381–383, May 2005. [17] J. Kim et al., “Flexible and transparent dielectric film with a high dielectric constant using chemical vapor deposition-grown graphene interlayer,” ACS Nano, vol. 8, no. 1, pp. 269–274, Dec. 2014. [18] T. Zhao et al., “Electromagnetic wave absorbing properties of amorphous carbon nanotubes.,” Sci. Rep., vol. 4, no. 5619, pp. 1–7, Jan. 2014. [19] M. D. Hanwell, D. E. Curtis, D. C. Lonie, T. Vandermeersch, E. Zurek, and G. R. Hutchison, “Avogadro: An advanced semantic chemical editor, visualization, analysis platform.,” J. Cheminform., vol. 4, no. 1, p. 17, Jan. 2012. [20] M. S. Gordon and M. W. Schmidt, “Advances in electronic structure theory: GAMESS a decade later,” in Theory and Applications of Computational Chemistry: The First Forty Years. New York, NY, USA: Elsevier, 2005, pp. 1167–1189. [21] B. M. Bode and M. S. Gordon, “Macmolplt: A graphical user interface for GAMESS,” J. Mol. Graph. Model., vol. 16, no. 3, pp. 133–138, Jun. 1998. [22] J. S. Bulmer et al., “Microwave conductivity of sorted CNT assemblies.,” Sci. Rep., vol. 4, no. 3762, pp. 1–8, Jan. 2014. [23] A. Porch et al., “Microwave treatment in oil refining,” Appl. Petrochem. Res., vol. 2, no. 1–2, pp. 37–44, Aug. 2012. [24] S. Evans and A. B. Azeman, “Radiofrequency and microwave dielectric properties of aqueous cryoprotectant agents: Dimethyl sulphoxide and 2,3-butanediol,” Phys. Med. Biol., vol. 43, no. 10, pp. 2817–2829, Oct. 1998. [25] C. Gabriel, T. Y. A. Chan, and E. H. Grant, “Admittance models for open ended coaxial probes and their place in dielectric spectroscopy,” Phys. Med. Biol., vol. 39, no. 12, pp. 2183–2220, Dec. 1994. [26] D. M. Pozar, Microwave Engineering. Hoboken, NJ, USA: Wiley, 2004. [27] U. Raveendranath and K. T. Mathew, “New cavity perturbation technique for measuring complex permeability of ferrite materials,” Microw. Opt. Technol. Lett., vol. 18, no. 4, pp. 241–243, Jul. 1998. [28] M. Lin and M. N. Afsar, “A new cavity perturbation technique for accurate measurement of dielectric parameters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1630–1633. [29] Y. Wang, M. Jasiswal, M. Lin, S. Saha, B. Ozyilmaz, and K. P. Loh, “Electronic properties and applications of nanodiamond,” ACS Nano, vol. 6, no. 2, pp. 1018–1025, Feb. 2012. [30] M. Hotta, M. Hayashi, M. T. Lanagan, D. K. Agrawal, and K. Nagata, “Complex permittivity of graphite, carbon black and coal powders in the ranges of X-band frequencies (8.2 to 12.4 GHz) and between 1 and 10 GHz,” ISIJ Int., vol. 51, no. 11, pp. 1766–1772, Nov. 2011. [31] S. Heidger, S. Fries-Carr, J. Weimer, B. Jordan, and R. Wu, “Dielectric characterization of microwave assisted chemically vapor deposited diamond,” in Proc. IEEE Nat. Aerosp. Electron. Conf. (NAECON’98), 1998, pp. 247–254.

Jerome A. Cuenca received the B.Eng. in electronic and communications engineering from Cardiff University, Wales, U.K., in 2012. He is currently working toward the Ph.D. degree at the Centre for High Frequency Engineering, Cardiff University. His work focuses on using microwave measurement systems in the field of materials science with keen interests in carbon and iron oxides.

Evan Thomas received the M.Eng. degree in electronic engineering with communications engineering from the University College London, London, U.K., in 2012, and is currently working towards the Ph.D. degree at Cardiff University, Wales, U.K. His research focuses on the processing and characterisation of nanocrystalline diamond films and particles, with particular interest in the use of diamond for microelectro-mechanical systems (MEMS).

Soumen Mandal received the M.Sc. and Ph.D. degrees in physics from IIT Kanpur, Kanpur, India, in 2008. He is a Research Associate with the School of Physics and Astronomy, Cardiff University, Wales, U.K. His research mainly focuses on devices made from boron doped diamond and characterization of diamond particles for variety of applications.

Oliver Williams received the B. Eng. and Ph.D. degrees in electronic and electrical engineering from University College London, London, U.K., in 2003. He is currently Professor of Experimental Physics at Cardiff University, Wales, U.K., and head of the Cardiff Diamond Foundry. Previously, he was Head of diamond technology at the Fraunhofer Institute for Applied Physics. He has over 15 years of experience in diamond growth and technology. He is currently developing custom diamond nanoparticles with custom color centers as well as superconducting diamond devices.

Adrian Porch received the M.A. degree in physics and the Ph.D. degree in low temperature physics from Cambridge University, Cambridge, U.K., in 1989. He is a Professor with the School of Engineering, Cardiff University, Wales, U.K., and a member of the Centre for High Frequency Engineering. He has 30 years of experience in applying microwave methods to measure and understand the fundamental properties of electronic materials. More recently, his techniques have been used to develop new types of electromagnetic sensors, with emphasis on applications across different disciplines.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4119

Load Modulation Measurements of X-Band Outphasing Power Amplifiers Michael Litchfield, Student Member, IEEE, Tibault Reveyrand, Member, IEEE, and Zoya Popović, Fellow, IEEE

Abstract—This paper presents an in-depth investigation of both isolated and non-isolated outphasing power amplifiers, with and without supply modulation. X-band GaN MMIC power amplifiers with 70% power-added efficiency and 2.7 W output power at 10.1 GHz are configured in hybrid outphasing circuits with several combiners that include bi-directional couplers, enabling calibrated measurements of internal load modulation. It is experimentally demonstrated that the load modulation critically depends on the power balance of the two internal MMIC PAs. Despite the additional loss in the combiner, peak total efficiencies greater than 47% are achieved by full outphasing PAs with more than 3.7 W of output power. A comparison between several outphasing configurations quantifies the improvement in efficiency for both isolated and non-isolated outphasing PAs with supply modulation. Index Terms—GaN, load modulation, LSNA, outphasing, power amplifiers.

I. INTRODUCTION

I

NCREASINGLY complex modulation schemes and broader bandwidths used in wireless communications put high demands on the front end transmitter power amplifier (PA), which is required to maintain linearity and efficiency at high powers and over peak-to-average power ratios (PAPR) exceeding 10 dB. Several PA architectures attempt to increase efficiency at power back-off. The Doherty PA [1], now common in cell-phone base stations [2], and the Chireix outphasing PA [3] use at least two PAs combined through a network that provides load modulation. Closely related to the outphasing PA, the LINC PA [4] (LInear amplification with Nonlinear Components) is concerned with linearity and does not operate with load modulation as it utilizes an isolated combiner. Another approach to maintaining efficiency at backed-off power without load modulation is dynamic variation of the DC supply, often referred to as supply modulation or envelope tracking [5], [6]. In this paper, we present several quasi-MMIC, 10.1 GHz outphasing PAs with the goal of investigating internal PA performance and load modulation at internal nodes during outphasing operation, with and without supply modulation. Most reported Outphasing PAs are at frequencies below 5 GHz, with Manuscript received December 18, 2014; revised April 15, 2015, August 26, 2015; accepted October 11, 2015. Date of publication November 06, 2015; date of current version December 02, 2015. This work was supported in part by ONR under the DARPA MPC Program N00014-11-1-0931 and in part by Rockwell Collins award #4504348308. The work of M. Litchfield was supported in part by a graduate fellowship from the University of Colorado at Boulder. The authors are with the Department of Electrical Computer and Energy Engineering (ECEE), University of Colorado at Boulder, Boulder, CO 80309-0425 USA (e-mail: michael.litchfi[email protected]; [email protected]; zoya. [email protected]). Digital Object Identifier 10.1109/TMTT.2015.2495127

Fig. 1. (a) Flexible, MMIC based outphasing block diagram. Input signal amplitude modulation is converted into additional phase modulation by the signal component separator (SCS). Constant envelope signals drive the internal PAs at maximum efficiency. The combiner reconstructs the amplitude modulation through vector addition. (b) Load modulation at intrinsic drain and MMIC bondwith differential phase, wire reference planes, describing the variation in . All Smith Charts in this paper are normalized to 50 .

the exception of a recently reported fully integrated X-band MMIC PA [7], which does not include internal measurement nodes. Fig. 1(a) shows our flexible, MMIC based outphasing circuit. The two MMIC PAs are driven by constant amplitude CW signals with varying total differential phase, (twice the outphasing angle, ). Both isolated and non-isolated off-chip combiners include low-loss bi-directional couplers, enabling the measurement of waves at the output of the internal PAs, while constructing the envelope of the signal through vector addition of the differentially phase-modulated signals. Our measurement setup acquires absolute waves (magnitude and phase) at the input to control differential phase, as in [8], but also at the output of the internal PAs in order to investigate their performance and load modulation. Understanding the interaction between the internal PAs and combiner during outphasing operation is critical, since it determines performance. The choice between isolated (LINC) and non-isolated (Chireix Outphasing) combining is a trade off between linearity and efficiency, respectively [9]. Chireix's approach using a lossless, three-port, non-isolated combiner with

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

reactive compensation remains prominent [10]–[15]. In this case, each PA is presented with an active, signal dependent load, referred to as load modulation and represented by reflection coefficients in Fig. 1(a). Load modulation of the internal PAs dictates the system performance. Unfortunately, the analyses describing these dynamics are very idealized. Fig. 1(b) shows the load modulation given by equations in an idealized analysis, such as [16], [17], referenced to the intrinsic drain, which is often not accessible in simulation or measurement. The measured load modulation for a combiner designed to operate with a given, practical PA is quite different. Furthermore, the internal PAs are often assumed to behave as ideal voltage sources as in [18], but this assumption is not realistic as demonstrated in [19]. Our goal is to expand upon theoretical understanding to include practical, non-ideal PAs. Isolated combiners are employed to simplify and stabilize outphasing operation by eliminating load modulation in LINC PAs. However, efficiency decays rapidly with signal crest factor due to power dissipated in the isolated combiner. To improve high-efficiency output power range, supply modulation can be added. In Multi-Level LINC (ML-LINC), discrete supply levels are varied symmetrically to provide coarse amplitude modulation, reducing power wasted in the isolated combiner by reducing the required differential phase [20]. Supply levels are optimized for the input signal [21], [22]. In Asymmetric Multi-Level Outphasing (AMO), independent variation of discrete supply levels provides increased efficiency through further reduction of required differential phase and power wasted in the isolated combiner [22], [23]. AMO has been validated in [24] and [25]. Our flexible outphasing circuit is extended to include the ML-LINC and AMO architectures. Furthermore, Multi-Level Chireix Outphasing (ML-CO) is presented here for the first time in literature to the best of the authors' knowledge. In Section II the design of the internal PAs and combiners are described. Section III details the specialized outphasing measurement setup introduced in [26], which enables deeper investigation of LINC PAs in this work. Sections IV and V take an investigative look at measurement results of both constant bias and supply modulated outphasing PAs respectively. Section VI considers the practical issues of linearity and prediction of load modulation considering power imbalance. II. RF COMPONENT IMPLEMENTATION This section outlines the design of the system's RF components, designated as and in Fig. 1. The signal component separator is typically implemented digitally as in [27]. Our analog implementation will be discussed with the measurement setup in Section III. A. Power Amplifier Implementation Two high-efficiency, 10.1 GHz, MMIC PAs [28] are used as components of the outphasing PAs. The single-stage design (10 100 m pHEMT) is biased near pinch-off (5 mA) and optimized for efficiency without intentional harmonic terminations. The MMIC is fabricated in Qorvo's (TriQuint) 0.15 m GaN

Fig. 2. Performance of the mounted MMIC PA, showing a peak PAE of 70% at 2.7 W of output power. (inset) Photo of the internal MMIC PA (3.8 mm 2.3 mm), which is a single-stage design using a 10 100 m pHEMT in Qorvo's (TriQuint) 0.15 m GaN process.

Fig. 3. Detail of PA-combiner assembly. All internal measurements (load modulation and internal PA performance) are de-embedded to the MMIC bondwire reference plane. Microstrip combiner is designed to provide desired load modulation.

process and shown in Fig. 2. The output matching network terminates the harmonics in short circuits, while optimizing the fundamental impedance for efficiency. On the PA fixture, each die is mounted on CuMo carriers and wire-bonded to alumina de-embedding lines with connectorized launchers, as well as DC bias capacitors. PA characterization and internal PA measurements in subsequent sections will be referenced to the MMIC bondwire plane. In Fig. 2 a peak power-added efficiency (PAE) of 70% with 2.7 W of output power and 7.2 dB of gain is measured at 10.1 GHz. In a practical system, the internal PAs are often designed separately from the combiner [15] and the choice of PA class alters performance [19] and even optimal combiner topology [29]. Furthermore, the realized internal PAs do not behave as ideal voltage sources and class of operation may be unknown, especially at high frequencies. Therefore, the internal PAs are characterized by load-pull measurements at several drain voltages to inform the design of the combiner. PAE and contours can be seen in Figs. 4(b) and 5(b). B. Combiner Design The combiner ( in Fig. 1) provides the vital interaction between the internal PAs for outphasing operation. In order to

LITCHFIELD et al.: LOAD MODULATION MEASUREMENTS OF X-BAND OUTPHASING POWER AMPLIFIERS

4121

mental frequency, and yields equations useful for designing the load modulation contours. The reflected waves at the PA ports (1 and 2) are defined at the combiner reference planes in Fig. 3 as (1) (2) In outphasing, the input power waves at the PA ports are phase shifted and possibly scaled versions of each other (3) Fig. 4. (a) Non-isolated combiner designed for constant bias outphasing measurements at 10.1 GHz and fabricated on 30 mil Ro4350B substrate. (b) and PAE load-pull contours measured at a of 26 dBm, with the axis of power symmetry shown for design, and load modulation predicted by (6), (7). CW load-pull measurements have been performed with a LSNA (VTD-SWAP) and a passive tuner at 10.1 GHz. PAE contours are shown from 30% to 60% contours are traced from 28 to 35 dBm (with 1 dB (with a 10% step) and step).

where port 3 is assumed to be matched, predicts the effect of PA output power imbalance, and is the outphasing angle. Substituting these excitations into (1) and (2), the reflected waves become (4) (5) The reflection coefficients at each input port of the combiner, corresponding to the load modulation at the output of each internal PA, are solved as (6) (7)

Fig. 5. (a) Non-isolated combiner designed for ML-LINC measurements at 10.1 GHz and fabricated on 30 mil Ro4350B substrate. (b) Measured load-pull of 26 dBm and drain voltages of 10, 12, 14, 16, 18, and 20 V. data at a contours are traced from 29 to 34 dBm (with 1 dB step) at 20 V supply to aid output power balancing. PAE contours for all voltages are combined into re% and 60%, showing expansion of high efficiency gions designating impedances. Load modulation predicted by (6), (7) follow the movement of the maxima with drain voltage. PAE and

measure the performance of the internal PAs and the load modulation, it is necessary to include low-loss ( dB) bi-directional couplers [30] in the combiner topology. Since the loadpull characterization is performed at the MMIC bondwire reference plane, the design of the combiner and its load modulation should occur at this plane as well. As such, all transitions (including the PA fixture) and couplers on the output of the MMIC are measured and included in the combiner design, as detailed in Fig. 3. Off-chip combining adds significant loss ( dB), but enables valuable comparison of several outphasing architectures are made. Next, details of three microstrip combiners used in this work are presented. 1) Isolated Combiner: The isolated combiner is a standard 180 rat-race circuit, providing both the sum and difference of the internal PAs. The return loss at each input is better than 19.5 dB, with an isolation between driven inputs of 22.5 dB, and through losses between 1.0 and 1.4 dB. The phase balance to the summing output is 4.5 , while the phase balance to the difference output is 173 . 2) Non-Isolated Combiner: Small-signal analysis predicts the load modulation of a lossless, 3-port combiner at the funda-

The microstrip combiner shown in Fig. 4(a) is based on a teejunction topology and optimized with conjugate susceptances [3]. The goal of the design is to intersect the load modulation contours, and , at the measured peak efficiency at the same outphasing angle and remain in the highest efficiency impedance region possible, while balancing over the axis of power symmetry, which is obtained from load-pull measurements at 20 V. The predicted load modulation contours in Fig. 4(b) achieve the design goal. 3) Non-Isolated Combiner for Supply Modulation: Fig. 5(a) shows another non-isolated combiner which is designed to account for the movement of peak efficiency loads as the drain supply varies from 10 V to 20 V. The predicted load modulation is overlaid on the measured load-pull characterization in Fig. 5(b). The region of % is expanded through the use of multiple supply levels. The trajectory of this combiner is designed to intersect at an outphasing angle at the peak PAE load for 20 V drain supply, follow the movement of the peak PAE and impedances and maintain output power balance over the various supply conditions. contours are shown only for 20 V, but balancing the output power is difficult across various supply levels as the line of symmetry shifts. III. INTERNAL MEASUREMENT SETUP A dedicated outphasing measurement setup is established for both internal PA and system measurements of various outphasing PAs. The near-field method to measure internal interactions in a Doherty PA in [31] requires specialized equipment (field probe, 3-D positioner) and continuous numerical simulation (HFSS). In this work, the absolute RF voltage

4122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

The calibration of this system consists of three sequential two-port calibrations corresponding to the three switch configurations. Each two-port calibration uses a VNA SOLT method along with an absolute power calibration on port in forward mode with a power meter, yielding an eight-term error matrix

(8)

is the raw-data acquired on the ADCs, and and where are the RF voltages and currents respectively. During the outphasing measurements, the RF power applied to port , the input of , is set for peak efficiency of the internal PA. A phase-shifter is placed after the second source and applied to port , the input of , to sweep the differential phase between the internal PAs. The amplitude of the second source is adjusted for each phase to compensate for the variable losses in the phase-shifter, and maintain input amplitude balance. In addition to constant bias outphasing measurements, the drain supply of each internal PA, and , is varied during measurement both symmetrically (ML-LINC, ML-CO) and asymmetrically (AMO). IV. CONSTANT SUPPLY OUTPHASING PAS

Fig. 6. (a) Photo of measurement setup. (b) The measurement setup is based on a 4-port LSNA. The two output couplers are included in the combiner, enabling measurement of internal load modulation and internal PA performance. A phase sweeps the differential phase, while the source for that branch varies shifter amplitude to maintain a balanced input power level which saturates both PAs.

and current waves of the internal PAs, within the outphasing system, are measured at both the input and the output through the inclusion of bi-directional couplers in the output combiner (as described in Section II.B). The measurement setup in Fig. 6 is based on a four-port Large Signal Network Analyzer (LSNA), the VTD SWAP X-402 [32], but this work can be done with a VNA in receiver mode [33]. Typically, a four-channel, time-domain receiver is used for twoport DUT measurements, but here it is extended to measure two two-port DUTs (internal PAs) with the use of an RF switch matrix, which includes four RF SPDT switches. The calibrated coaxial reference planes are denoted , and , which are at the coaxial launchers of the MMIC fixture. In post-processing, the internal PA measurements are de-embedded further, to the MMIC bondwire. In this setup, port is measured continuously to provide a phase reference for the other three ports, which are measured sequentially using the RF switch matrix. Sequential measurements are aligned in the time-domain by adding a delay to force the phase of the fundamental voltage at port , to 0 . Taking the input voltage as a phase reference is not an issue in an outphasing setup, because the input power level remains constant and large.

In addition to the qualitative insight into outphasing PAs this work provides, two parameters are defined to support quantitative comparison of prototypes within this paper: is the output power range where the total efficiency remains within 10 points of its peak value, and the dynamic range is the difference between the maximum and minimum measured output powers. System performance is described by total efficiency because the input power should be considered and PAE unintuitively drops below zero when

(9)

A. LINC The measured load modulation in Fig. 7(a) is small but nonzero. Due to the finite isolation, imperfectly matched ports, and any internal MMIC PA imbalance, one internal PA injects power into the other, leading to a measured output power imbalance between 0.5 dB and 1.6 dB. The internal PA load contours intersect at the peak PAE and impedance. Fig. 7(b) shows system and internal PA performances. The isolation is sufficient to maintain flat internal PA efficiencies throughout operation, but the power imbalance previously mentioned causes separation between them. Since the internal PAs are operating at nearly constant efficiency with differential phase, the system efficiency is most influenced by the output power characteristic, which rolls off sharply in outphasing as . Table I summarizes the system power and efficiency performance.

LITCHFIELD et al.: LOAD MODULATION MEASUREMENTS OF X-BAND OUTPHASING POWER AMPLIFIERS

Fig. 7. (a) Measurement of load modulation presented to internal PAs (MMIC bondwire reference plane) when loaded with isolated rat-race combiner. (b) Measured LINC output power and efficiency, as well as internal PA efficiencies, of 47.6% at and at 20 V supply voltage. System achieves a peak of 35.8 dBm at , while internal PA efficiencies are a maximum flat signifying good isolation between PAs.

TABLE I CONSTANT SUPPLY OUTPHASING PA PERFORMANCE

4123

Fig. 9. Comparison of measured system for constant bias LINC and Chireix outphasing PAs. Chireix outphasing shows an improvement of 10 dB . points at

well as slight enlarging of the contour. Table I summarizes the system performance, and shows improvement in both and dynamic range by 0.95 dB and 3.2 dB, respectively, over the LINC PA. In Fig. 9, the of both the LINC and Chireix outphasing PA is plotted against normalized output power . Chireix outphasing improves by up to 10 points at dB . One shortcoming of the constant supply outphasing PAs is the small output power range of high-efficiency amplification . This can be improved with the addition of supply modulation, as is discussed in the next section. V. SUPPLY-MODULATED LINC PAS A. Multi-Level LINC

Fig. 8. (a) Measurement of load modulation presented to internal PAs (MMIC bondwire plane) when loaded with the non-isolated combiner from Fig. 4(a). (b) Measured Chireix outphasing output power and efficiency, as well as internal of 47.0% PA efficiencies, at 20 V supply voltage. System achieves a peak and a maximum of 35.7 dBm at , while internal at PA efficiencies vary drastically due to load modulation.

B. Chireix Outphasing The measured load modulation for Chireix outphasing in Fig. 8(a) corresponds to a differential phase sweep from to 180 . The peak PAE is obtained at the intersection of the two load contours, while the impedance for peak is close, due the proximity of the load contours to the peak power impedance. The minimum output power occurs at the edge of the Smith Chart. Fig. 8(b) shows system and internal PA performances. The internal PA efficiencies, labeled as and , show significant variation due to the load modulation. Furthermore, the two are separated and do not peak at the same differential phase, due to the internal PA output power imbalance between dB. Reference (6), (7) explain the effect of power imbalance on load modulation through the variable . Although the load modulation appears balanced (equal radii), the power imbalance causes a subtle counter-clockwise (CCW) rotation as

In the ML-LINC PA, the drain supply voltages are varied between discrete levels symmetrically, reducing outphasing angle range and power dissipated in the isolated combiner. Our prototype ML-LINC PA is similar to that in [20], except a ratrace combiner is used rather than a Wilkinson. Increased performance at lower output power is demonstrated in Fig. 10(a), where the efficiency is increased by 10–26 points compared to the 20 V case. The optimal operating points (solid blue) are chosen for peak , which aligns with the method in [20] of choosing the supply level to be as small as possible while reaching the desired . Table II summarizes the performance showing a improvement of 1.2 dB over Chireix outphasing and 2.15 dB over LINC. In the following figures, the optimal operation (green circles) shows discontinuities corresponding to discrete supply steps. Fig. 10(b) exhibits the reduction in differential phase required, where the optimal operation only requires above 28 dBm (8.5 dB below peak power), 60 less than for 20 V supply. The reduced differential phase improves because less power is dissipated in the isolated combiner. In Fig. 11(a), the optimal operation dissipates less than 0.92 W of RF power in the combiner, and provides 3.28 W of improvement over 20 V operation 16.5 dB below peak power (20 dBm). Aside from this expected mechanism for efficiency improvement, Fig. 11(b) demonstrates a secondary effect. As in envelope tracking, the DC power consumed by the internal PA reduces with supply voltage. Below 29.6 dBm (7 dB below peak power), the DC power consumption is reduced by 6.6 W. Therefore, the

4124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 10. (a) Measured system total efficiency of ML-LINC PA for swept differential phase at drain voltages of 10, 12, 14, 16, 18, and 20 V demonstrating adat each output vantageous new peaks. Optimal operation selected for peak power. (b) Comparison of measured differential phase for 20 V showing a range and optimal operation showing a reduction to of above 28 dBm (8.5 dB below peak power).

TABLE II MEASURED SYSTEM PERFORMANCE OF SUPPLY MODULATED LINC PAS

Fig. 12. (a) Measured system total efficiency of AMO PA for swept differential phase at drain voltages of 10, 12, 14, 16, 18, and 20 V and combinations of adtotal), demonstrating more peaks than ML-LINC. Optimal jacent levels ( at each output power. (b) Measured differenoperation selected for peak tial phase for 20 V and optimal operation of AMO PA, showing a reduction to down to 32 dBm (4.5 dB below peak power).

Fig. 13. (a) Measured RF power dissipated in the isolated combiner for 20 V and optimal operation of the AMO PA. Under optimal operation, dissipated power remains below 0.48 W down to 30 dBm (6.5 dB below peak power), where an improvement of 2.5 W is achieved. (b) Measured DC power consumption of internal PAs is seen to reduce by 4.9 W below 31.2 dBm (4.4 dB below peak power) for optimal operation. Fig. 11. (a) Measured RF power dissipated in the isolated combiner for 20 V and optimal operation of the ML-LINC PA. Dissipated power remains below 0.92 W in optimal operation down to 20 dBm (16.5 dB below peak power), where an improvement of 3.28 W is achieved. (b) Measured DC power consumption of internal PAs is seen to reduce by 6.6 W below 29.6 dBm (7 dB below peak power) for optimal operation.

benefits of both outphasing and supply modulation contribute to improve performance. B. Asymmetric Multi-Level Outphasing The AMO PA only differs from ML-LINC in that the supply levels are allowed to vary independently as in [22] and [23]. New combinations of supply levels give rise to more peaks in efficiency. In Fig. 12(a) supply levels from 10 V to 20 V in 2 V increments include combinations of adjacent levels. In doing so, supply levels now yields efficiency peaks rather than in ML-LINC. This improvement is more pronounced for fewer supply levels. Table II summarizes the performance showing a further improvement of 1.8 dB compared to ML-LINC. As in ML-LINC, the differential phase range is reduced in Fig. 12(b) to down to 32 dBm (4.5 dB below peak power), 55 of improvement over 20 V supply. The same two mechanisms are again reducing lost power.

Fig. 13(a) illustrates the reduced RF power wasted in the isolated combiner, remaining below 0.48 W down to 30 dBm (6.5 dB below peak power), where an improvement of 2.5 W is achieved. Fig. 13(b) validates the supply modulation effect through the decreased DC power consumption of the internal PA, which is improved by 4.9 W below 31.2 dBm (4.4 dB , the increased below peak power). As shown in the AMO number of supply level combinations provides a more continuous reduction in wasted RF and DC power. Commonly, AMO systems utilize only discrete supply levels and combinations of adjacent levels. This restriction is placed because the combining efficiency of an isolated combiner decreases as the difference between supply levels increases, leading to a negligible improvement in efficiency [22]. An explanation for this can be seen in Fig. 14, which shows the load modulation, internal PA efficiency, and system efficiency for the following supply voltage combinations: [10 V, 20 V], [15 V, 20 V], [20 V, 20 V], [10 V, 10 V], [20 V, 15 V], and [20 V, 10 V]. As the internal PA output powers become imbalanced (by asymmetric supply levels), the isolation in the combiner is insufficient to prevent substantial load modulation (Fig. 14(a)). Fig. 14(b) shows that this amount of load modulation is enough to decrease the efficiency of one internal PA as well as the system. Compared to the efficiency

LITCHFIELD et al.: LOAD MODULATION MEASUREMENTS OF X-BAND OUTPHASING POWER AMPLIFIERS

4125

Fig. 15. 2-Dimensional visualization of AMO measurements for all combinations of drain voltages from 10 V to 20 V in 1 V steps, showing (a) drain effiand (b) total efficiency , with solid black lines designating ciency and the dashed black line designating supply level symmetry. For each output power, the most efficient choice of supply levels is symmetric.

Fig. 14. Measured (a) load modulation, and (b) internal PA and system PAE for the AMO PA with supply levels demonstrating large and small differences: [10 V, 20 V], [15 V, 20 V], [20 V, 20 V], [10 V, 10 V], [20 V, 15 V], and [20 V, 10 V]. Significant load modulation occurs for large supply differences, leading to the decrease in internal PA and system efficiency.

for combinations of adjacent levels in Fig. 12(a), the system efficiency for large supply imbalances is greatly decreased. Further confirmation for the restriction to adjacent supply levels is established in Fig. 15, which exhibits operating points, chosen for peak efficiency, for all combinations of the drain voltages from 10 V to 20 V in 1 V steps. For each output power contour (solid black lines), the optimal and in (a) and (b), respectively, lies on the trajectory of symmetric supply levels (dashed black line). While the optimal continuous trajectory maintains balanced supply levels, AMO offers efficiency improvement over ML-LINC when implementing discrete supply levels through additional adjacent asymmetric level combinations. C. Multi-Level Chireix Outphasing To the best of the authors' knowledge, the supply modulated outphasing PA with a non-isolated combiner, called Multi-Level Chireix Outphasing (ML-CO), is presented for the first time in literature. As described in Section II.B, the non-isolated combiner used here provides load modulation in the opposite direction as that used for constant bias Chireix outphasing. Since ML-CO maintains balanced supply levels, (6) and (7) are valid. Fig. 16(a) shows the system , which exhibits hysteresis caused by the difference in efficiency between load modulation paths from peak to minimum power in non-isolated combiners. The hysteresis widens at lower drain supply levels, which improves performance and reduces the number of discrete levels required to maintain high efficiency. Fig. 16(b) compares the

Fig. 16. (a) Measured system total efficiency of ML-CO PA for swept differential phase at drain voltages of 10, 12, 14, 16, 18, and 20 V. Optimal operation, at each output power, takes advantage of load modulaselected for peak . (b) Comparison of system between tion hysteresis to maintain high all supply modulated LINC PAs, demonstrating the prospect of ML-CO.

optimal trajectories for the three supply modulated outphasing PAs, where the ML-CO PA performs similarly to the others at peak power and surpasses them below 31.5 dBm (5 dB below peak power). Table II summarizes the system performance, showing a further increase in of 0.35 dB beyond the AMO PA and a 30 dB decrease in dynamic range. The reduction results from the sweeps not completing the full load modulation contours near the edge of the Smith Chart, which only affects very low power operation. However, simulation confirms comparable range under full load modulation sweeps. Unlike the LINC PAs, the ML-CO PA is ‘lossless’ in the sense that no power is wasted in the combiner to provide isolation. Therefore, the only mechanism improving performance is the reduction of DC power consumption with the supply level. In Fig. 17(a), the DC power consumption of optimal operation is consistent with that of the AMO PA, and shows a reduction of 8 W compared to 20 V operation at 30 dBm (6.8 dB below peak power). In Fig. 17(b) the required differential phase is decreased for optimal ML-CO operation to down to 29 dBm (7.8 dB below peak power), a 75 improvement over 20 V operation. The measured load modulation in Fig. 18 explains the advantageous widening hysteresis in the efficiency. The optimal phase trajectory starts at the peak load and moves toward the peak PAE load. At high supply voltages, both peak loads occur near the center of the Smith Chart, and the optimal trajectory moves toward the edge. At 12 V drain voltage, however, the

4126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE III MEASURED GAIN AND PHASE IMBALANCE IN LINC PAS

Fig. 17. (a) Comparison of measured internal PA DC power consumption between 20 V, optimal ML-CO operation, and AMO. ML-CO reduces consumption by 8 W over the 20 V supply, and exhibits the same smooth decay as the AMO PA. (b) Measured differential phase for 20 V and optimal operation of . ML-CO PA, showing a reduction to

Fig. 18. Measured internal load modulation in the ML-CO PA. Imbalance in balimpedance loci radii exposes the difficulty in maintaining internal PA ance, but is mitigated through reduced differential phase requirements and balanced supply levels.

peak is between the load modulation intersections, while the peak PAE stays near the upper one. Now the upward phase trajectory remains in the high PAE region for a larger range of . The separation of peak PAE and loads at lower supply levels leads to wider hysteresis. The load modulation also demonstrates the difficulty in maintaining internal PA output power balance over several supply levels through the imbalanced radii of the impedance loci. This issue may lead to instability, but the ML-CO PA mitigates the risk by reducing the required differential phase as well as symmetrically adjusting the supplies. Risk could be further reduced by integrating the design in a single MMIC, since the internal PAs would be more balanced. Simulation shows that no new peaks in PAE are introduced by asymmetrically varying the supplies with a non-isolated combiner, and doing so creates more load modulation imbalance. VI. DISCUSSION A. System Nonlinearity Although specific linearity measurements (two-tone test, ACLR, EVM) are not performed, linearity indicators can be ascertained from CW characterization. Gain and phase imbalances between the branches are key contributors to nonlinearity

in all outphasing PAs [34], and are caused by imbalances in the internal PAs and/or the combiner [35], [36]. Branch imbalances restrict the cancellation of the wide bandwidth quadrature signal component, leading to residue in adjacent channels [37]. The maximum measured gain and phase imbalances are listed in Table III, which come from the optimal operating points for the supply modulated outphasing PAs. The isolated combiner achieves the lowest gain imbalance, but AMO degrades slightly due to imbalanced supply levels. In [38] phase imbalance is shown to be more dependent on the gain imbalance than branch electrical length imbalance, which explains the measured phase imbalances without significant branch length differences. As such, the large phase imbalance of ML-CO can be anticipated since it operates under both load and supply modulation, adjusting the loading and response of the internal PAs differently. An additional source of nonlinearity in outphasing PAs is the nonlinear phase transformation [35], [39], which is found to be inherent in non-isolated combiners due to impedance mismatching [40]. However, this nonlinearity can be separated into encoding and clipping distortions [41]. The encoding distortion is listed in Table III, and is a phase offset that shifts the peak output power from , which is visible in Figs. 7(b), 8(b) as well as in the measured differential phases of the supply modulated outphasing PAs in Figs. 10(b), 12(b), 17(b). In the supply modulated cases, the encoding distortion changes with supply level, so the largest distortion is listed. After subtracting this offset, the clipping distortion is examined using two representations from measurements. First, the theoretical and measured differential phases are compared. From the output power with respect to outphasing angle in [10], the theoretical differential phase can be deduced using the measured (10) The difference between the theoretical and measured differential phases is plotted. Second, the measured differential phase and are plotted. In all cases, the solid blue trace shows the ideal (linear) characteristic. Fig. 19 establishes the near linear response of the LINC PA, which only deviates by 8 from ideal in (a) and follows the ideal output power response closely in (b). The Chireix outphasing PA, deviates up to 25 in (a) and 2.7 dB in (b) from linear operation. Variation of the supplies in ML-CO exacerbates the nonlinearity of Chireix outphasing. In Fig. 20, the ML-CO PA shows up to 50 in (a) and 7.5 dB in (b) of deviation from linear operation. On the other hand, the divergence of the ML-LINC PA

LITCHFIELD et al.: LOAD MODULATION MEASUREMENTS OF X-BAND OUTPHASING POWER AMPLIFIERS

Fig. 19. (a) Measured differential phase deviation, and (b) Measured output power with respect to differential phase for both LINC and Chireix outphasing PAs. While the LINC PA follows closely the ideal relationships, the Chireix outphasing PA deviates up to 25 in (a) and 2.7 dB in (b).

4127

Fig. 22. (a) Simulation predicting the load modulation of a measured combiner based on (6) and (7) while internal PAs are biased at 20 V. (b) Simulated predicted load modulation using feedback to account for internal PA output power imbalance at supply levels between 10 V and 20 V in 2 V steps.

While these measurements show significant nonlinearity, especially in ML-CO, the authors are hopeful adequate linearization can be achieved as proven in [15], [25], [39]. Nevertheless, these measurements provide insight into the linearizability of each architecture. B. Predicting Load Modulation With Power Imbalance

Fig. 20. (a) Measured differential phase deviation, and (b) Measured output power with respect to differential phase for both ML-LINC (green x) and ML-CO (purple triangles) PAs. The shading reveals the supply level from 10 V (lightest) to 20 V (darkest). While the ML-LINC PA follows closely the ideal relationships, the ML-CO PA deviates up to 50 in (a) and 7.5 dB in (b).

Fig. 21. (a) Measured differential phase deviation, and (b) Measured output is 20 V, and power with respect to differential phase for the AMO PA. is varied from 10 V to 20 V in 1 V steps. As the supply level difference increases, the nonlinear phase transformation becomes more pronounced.

from linear operation remains below 8 in (a) and is negligible in (b). Interestingly, the AMO PA experiences increasingly nonlinear phase transformation as the difference in its supply levels increases. In Fig. 21, V and varied from 10 V to 20 V. As decreases, the deviation from linear phase relationship increases to 75 in (a). In (b), not only does the peak power decrease, but the minimum power increases by upwards of 20 dB. These factors significantly degrade the linearizability of the system, which adds to the previously determined reasons to utilize only adjacent combinations of supply levels.

Though (6) and (7) include a variable, , to account for power imbalances at the driven ports, entering a single value for will not accurately predict load modulation. As illustrated in the measurements presented, the power imbalance induced by the loading is not constant with differential phase. Furthermore, in ML-CO the output power balance varies with supply voltage, seen in the varying deformations shown in Fig. 18. In order to aid in the combiner design for these cases, a measurement based simulation method is developed that uses feedback to accurately predict load modulation with power imbalance. Because each internal PA is characterized, this method will predict the imbalances caused by the differences in the two die. The procedure is as follows. • Characterize each internal PA with load-pull measurement at all desired supply levels. • Interpolate load-pull measurements. • Simulate or measure S-parameters of combiner at the same frequency and reference plane as internal PA characterizations. • Calculate initial values of and from (6) and (7), as seen in Fig. 22(a). • From load-pull interpolation, find for each internal PA at initial values of and . • Calculate power imbalance, the difference in between the internal PAs. • Re-calculate values of and from (6) and (7) inserting the calculated power imbalance as the variable , as seen in Fig. 22(b). The procedure is performed for the measured combiner used in ML-CO measurements. The predicted load modulation in Fig. 22(b) shows the same expansion of the and shrinking of the circles, and compares extremely well qualitatively to the measurement in Fig. 18. Note that the load-pull characterization had a limited range of , so the load modulation at the

4128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

edge of the Smith Chart will not be accurate due to interpolation error.

VII. CONCLUSION We have presented a method for measuring internal PA performance and load modulation in outphasing PAs with and without supply modulation, through the inclusion of bi-directional couplers in the combiner. Additionally, comparisons can be drawn between the five outphasing PAs in this work: LINC, Chireix, ML-LINC, AMO, and ML-CO. For the isolated combiner, AMO demonstrates the largest output power range with high-efficiency operation , 1.8 dB more than ML-LINC, by utilizing nearly twice as many supply levels. Exploiting only adjacent combinations of supply levels not only maintains higher efficiency by reducing both the wasted RF power and the DC power consumption, but limits the amount of load modulation and corresponding internal PA performance reduction. ML-CO has not been discussed in the literature, but is shown to provides a competitive alternative to AMO by achieving the highest among the supply modulated outphasing PAs. The shape of its hysteresis indicates fewer supply levels are required to maintain performance. Finally, practical concerns of these PA architectures are discussed. Observable indications of linearity are found in the branch gain and phase imbalances, as well as the nonlinear phase transformation. A method for accurately predicting load modulation considering output power imbalance is developed to aid in combiner design, especially over varying supply levels.

REFERENCES [1] W. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [2] J. Kim, J. Moon, D. Kang, S. Jee, Y. Y. Woo, and B. Kim, “Doherty power amplifier design employing direct input power dividing for base station applications,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 866–869. [3] H. Chireix, “High power outphasing modulation,” Proc. IRE, vol. 23, no. 11, pp. 1370–1392, Nov. 1935. [4] D. Cox, “Linear amplification with nonlinear components,” IEEE Trans. Commun., vol. 22, no. 12, pp. 1942–1945, Dec. 1974. [5] C. Buoli, A. Abbiati, and D. Riccardi, “Microwave power amplifier with “envelope controlled” drain power supply,” in Proc. Eur. Microw. Conf., Sep. 1995, vol. 1, pp. 31–35. [6] G. Hanington, P.-F. Chen, P. Asbeck, and L. Larson, “High-efficiency power amplifier using dynamic power-supply voltage for CDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1471–1476, Aug. 1999. [7] C. Xie, D. Cripe, J. Reyland, D. Landt, and A. Walker, “Development of high-efficiency X-band outphasing transmitter,” in Proc. IEEE Compound Semiconduct. Integr. Circuit Symp., Oct. 2014, pp. 1–4. [8] C. Andersson, D. Gustafsson, J. C. Cahuana, R. Hellberg, and C. Fager, “A 1–3-GHz digitally controlled dual-RF input power-amplifier design based on a Doherty-outphasing continuum analysis,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 10, pp. 3743–3752, Oct. 2013. [9] A. Birafane, M. El-Asmar, A. Kouki, M. Helaoui, and F. Ghannouchi, “Analyzing LINC systems,” IEEE Microw. Mag., vol. 11, no. 5, pp. 59–71, Aug. 2010. [10] I. Hakala, D. Choi, L. Gharavi, N. Kajakine, J. Koskela, and R. Kaunisto, “A 2.14-GHz chireix outphasing transmitter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2129–2138, Jun. 2005.

[11] J. Grundlingh, K. Parker, and G. Rabjohn, “A high efficiency Chireix out-phasing power amplifier for 5 GHz WLAN applications,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1535–1538. [12] W. Gerhard and R. Knoechel, “Differentially coupled outphasing WCDMA transmitter with inverse class f power amplifiers,” in Proc. IEEE Radio Wireless Symp., Jan. 2006, pp. 355–358. [13] J. Qureshi, M. Pelk, M. Marchetti, W. Neo, J. Gajadharsing, M. Van der Heijden, and L. C. N. De Vreede, “A 90-W peak power GaN outphasing amplifier with optimum input signal conditioning,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1925–1935, Aug. 2009. [14] D. Perreault, “A new power combining and outphasing modulation system for high-efficiency power amplification,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 8, pp. 1713–1726, Aug. 2011. [15] D. Calvillo-Cortes, M. van der Heijden, M. Acar, M. de Langen, R. Wesson, F. van Rijs, and L. de Vreede, “A package-integrated Chireix outphasing RF switch-mode high-power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 61, no. 10, pp. 3721–3732, Oct. 2013. [16] B. Stengel and W. Eisenstadt, “LINC power amplifier combiner method efficiency optimization,” IEEE Trans. Veh. Technol., vol. 49, no. 1, pp. 229–234, Jan. 2000. [17] W. Gerhard and R. Knoechel, “Improved design of outphasing power amplifier combiners,” in Proc. German Microw. Conf., Mar. 2009, pp. 1–4. [18] F. Raab, “Efficiency of outphasing RF power-amplifier systems,” IEEE Trans. Commun., vol. 33, no. 10, pp. 1094–1099, Oct. 1985. [19] J. Yao and S. Long, “Power amplifier selection for LINC applications,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 53, no. 8, pp. 763–767, Aug. 2006. [20] Y.-C. Chen, K.-Y. Jheng, A.-Y. Wu, H.-W. Tsao, and B. Tzeng, “Multilevel LINC system design for wireless transmitters,” in Proc. Int. Symp. VLSI Des. Automat. Test., Apr. 2007, pp. 1–4. [21] J. Guan, A. Aref, and R. Negra, “System-level performance study of a multistandard outphasing transmitter using optimised multilevels,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [22] S. Chung, P. Godoy, T. Barton, E. Huang, D. Perreault, and J. Dawson, “Asymmetric multilevel outphasing architecture for multi-standard transmitters,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 237–240. [23] J. Hur, O. Lee, K. Kim, K. Lim, and J. Laskar, “Highly efficient uneven multi-level LINC transmitter,” Electron. Lett., vol. 45, no. 16, pp. 837–838, Jul. 2009. [24] P. Godoy, S. Chung, T. Barton, D. Perreault, and J. Dawson, “A highly efficient 1.95-GHz, 18-W asymmetric multilevel outphasing transmitter for wideband applications,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [25] P. Godoy, S. Chung, T. Barton, D. Perreault, and J. Dawson, “A 2.4-GHz, 27-dBm asymmetric multilevel outphasing power amplifier in 65-nm CMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 10, pp. 2372–2384, Oct. 2012. [26] M. Litchfield, T. Reveyrand, and Z. Popovic, “X-band outphasing power amplifier with internal load modulation measurements,” in Proc. Eur. Microw. Conf., Oct. 2014, pp. 1428–1431. [27] Y. Li, Z. Li, O. Uyar, Y. Avniel, A. Megretski, and V. Stojanovic, “High-throughput signal component separator for asymmetric multilevel outphasing power amplifiers,” IEEE J. Solid-State Circuits, vol. 48, no. 2, pp. 369–380, Feb. 2013. [28] S. Schafer, M. Litchfield, A. Zai, Z. Popovic, and C. Campbell, “X-band MMIC GaN power amplifiers designed for high-efficiency supply-modulated transmitters,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [29] R. Beltran, F. Raab, and A. Velazquez, “HF outphasing transmitter using class-E power amplifiers,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 757–760. [30] CA-26 Data Sheet Marki Microwave, Morgan Hill, CA, USA, 2011. [31] R. Hou, M. Spirito, J. Gajadharsing, and L. de Vreede, “Non-intrusive characterization of active device interactions in high-efficiency power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., June 2013, pp. 1–3. [32] P. Roblin, “Large-signal vector measurement techniques with NVNAs,” in Nonlinear RF Circuits and Nonlinear Vector Network Analyzers. Cambridge, MA, USA: Cambridge Univ. Press, 2011, ch. 2, pp. 17–65. [33] T. Reveyrand, T. Gasseling, D. Barataud, S. Mons, and J.-M. Nebus, “A smart load-pull method to safely reach optimal matching impedances of power transistors,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1489–1492.

LITCHFIELD et al.: LOAD MODULATION MEASUREMENTS OF X-BAND OUTPHASING POWER AMPLIFIERS

[34] F. Casadevall and J. Olmos, “On the behavior of the LINC transmitter,” in Proc. IEEE Veh. Technol. Conf., May 1990, pp. 29–34. [35] A. Birafane and A. Kouki, “Sources of linearity degradation in LINC transmitters for hybrid and outphasing combiners,” in Proc. Can. Conf. Electr. Comp. Eng., May 2004, vol. 1, pp. 547–550. [36] J. Yi, Y. Yang, and B. Kim, “Effect of efficiency optimization on linearity of LINC amplifiers with CDMA signal,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 2, pp. 1359–1362. [37] L. Sundstrom, “Automatic adjustment of gain and phase imbalances in LINC transmitters,” Electron. Lett., vol. 31, no. 3, pp. 155–156, Feb. 1995. [38] S. Ampem-Darko and H. Al-Raweshidy, “Gain/phase imbalance cancellation technique in LINC transmitters,” Electron. Lett., vol. 34, no. 22, pp. 2093–2094, Oct. 1998. [39] A. Huttunen and R. Kaunisto, “A 20-W chireix outphasing transmitter for WCDMA base stations,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2709–2718, Dec. 2007. [40] A. Birafane and A. Kouki, “On the linearity and efficiency of outphasing microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1702–1708, Jul. 2004. [41] S. Moloudi and A. Abidi, “The outphasing RF power amplifier: A comprehensive analysis and a class-b CMOS realization,” IEEE J. SolidState Circuits, vol. 48, no. 6, pp. 1357–1369, Jun. 2013.

Michael Litchfield (S'12) received the B.S. degree from the University of New Hampshire, Durham, NH, USA, in 2011, the M.S. degree from the University of Colorado, Boulder, CO, USA, in 2014 where he is currently working toward the Ph.D. degree, all in electrical engineering. In 2011 while working a co-op with Mercury Systems, Salem, NH, USA, he designed quadrature up/down converter modules for a DRFM system. His current research interests include highly efficient and linear power amplifier design, and GaN MMIC design.

4129

Tibault Reveyrand (M'07) received the Ph.D. degree from the University of Limoges, France, in 2002. From 2002 to 2004, he was a Post-Doctoral Scientist with CNES (French Space Agency). From 2005 to 2013, he was a CNRS engineer at XLIM. In 2013, he joined the University of Colorado at Boulder, CO, USA, as a Research Associate. His research interests include the characterization and modeling of RF and microwave nonlinear components and devices. Dr. Reveyrand was the recipient of the 2002 European GaAs Best Paper Award and is a member of the IEEE MTT-11 “Microwave Measurements” Technical Committee. He has been a reviewer for many IEEE journals, as well as Technical Program Review Committee (TPRC) member of IMS.

Zoya Popović (S'86–M'90–SM'99–F'02) received the Dipl.Ing. degree from the University of Belgrade, Belgrade, Serbia, Yugoslavia, in 1985, and the Ph.D. degree from the California Institute of Technology, Pasadena, CA, USA, in 1990. Since 1990, she has been with the University of Colorado at Boulder, Boulder, CO, USA, where she is currently a Distinguished Professor and holds the Hudson Moore Jr. Chair with the Department of Electrical, Computer and Energy Engineering. In 2001, she was a Visiting Professor with the Technical University of Munich, Munich, Germany. Since 1991, she has graduated 44 Ph.D. students. Her research interests include high-efficiency, low-noise, and broadband microwave and millimeter-wave circuits, quasi-optical millimeter-wave techniques, active antenna arrays, and wireless powering for batteryless sensors. Prof. Popović was the recipient of the 1993 and 2006 Microwave Prizes presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best journal papers and the 1996 URSI Issac Koga Gold Medal. In 1997, Eta Kappa Nu students chose her as a Professor of the Year. She was the recipient of a 2000 Humboldt Research Award for Senior U.S. Scientists of the German Alexander von Humboldt Stiftung. She was elected a Foreign Member of the Serbian Academy of Sciences and Arts in 2006. She was also the recipient of the 2001 Hewlett-Packard (HP)/American Society for Engineering Education (ASEE) Terman Medal for combined teaching and research excellence.

4130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Nonlinear Communication System With Harmonic Diversity Pedro Cheong, Member, IEEE, Ke Wu, Fellow, IEEE, and Kam-Weng Tam, Senior Member, IEEE

Abstract—This paper presents the concept and demonstration of harmonic diversity for wireless communication, which makes use of multiple harmonic radio frequency (RF) channels with respect to the carrier frequency. Rather than frequency and space diversities widely used today to improve multipath fading, signal quality and spectral efficiency, the proposed scheme does not require multiple RF transceiver front-ends. The advantages of inherent RF device nonlinear characteristics are harnessed to produce and process harmonics within single transceiver. Even though distinct harmonic channels will exhibit different order of phase shifts, the analysis shows that such phase offsets can be compensated by adjusting the phase of local oscillator (LO) at receiver end. Extending the framework of the Shannon theorem, an -channel harmonic communication system is shown to reduce the minimum required signal-to-noise ratio (SNR) at the input of receiver by -times. In addition, this work indicates that the power consumption of transmitter can be significantly reduced up to 66% than the conventional ones. Through the analysis of channel capacity, the harmonic system is proved to outperform the single channel counterpart especially when SNR is low. To validate the correctness of the proposed concept, a series of experiments are carried out to emulate the developed theoretical models. For instance, a three-channel harmonic communication system is prototyped, involving certain RF harmonic components designed for demonstration. The retrieved signal strengths are examined with different phase setting of receiving LO, and various modulation techniques are applied for estimating the system performances. All measured results are in agreement with their simulated counterparts, thereby demonstrating the correctness and usefulness of the proposed theories and techniques for nonlinear communication systems of harmonic diversity. Index Terms—Harmonic diversity, intermodulation, nonlinear communication system, nonlinearity, phase correlation, power consumption, signal-to-noise ratio.

I. INTRODUCTION

S

UPER-HETERODYNE has been the most popular radio frequency (RF) transceiver front-end architecture since its invention by Edwin Howard Armstrong in 1918 [1], [2]. This

Manuscript received May 25, 2015; revised September 01, 2015 and October 06, 2015; accepted October 16, 2015. Date of publication November 17, 2015; date of current version December 02, 2015. This work was supported by the Science and Technology Development Fund of Macao under Project 042/2011/A2 and Project 068/2013/A2. P. Cheong and K.-W. Tam are with the Wireless Communication Laboratory, Faculty of Science and Technology, University of Macau, Taipa, Macau, China (e-mail: [email protected]; [email protected]). K. Wu is with the Poly-Grames Research Center and the Center for Radio Frequency Electronics Research of Quebec (CREER), Department of Electrical Engineering, Ecole Polytechnique (University of Montreal), Montreal, QC H3V 1A2, Canada (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495364

milestone work was based on the Fessenden's heterodyne principle and it has provided a tremendous driving force in the development of wireless technology [3]–[5]. The super-heterodyne architecture has been used in various types of wireless systems, including but not limited to radio and television broadcastings, radar object detections and remote sensing, satellite communications and positioning, and personal and indoor mobile networks [5]–[9]. This age-old technology has been playing an instrumental role because of its simplicity in system implementation and its superiority in communication performance. For instance, a super-heterodyne receiver consists of multiple (e.g., three) distinct frequency conversation stages and it translates the received RF signal into a fixed intermediate frequency (IF) as the first step with preference of transforming the RF signal directly into a baseband frequency. This offers the least effort in the design of components when comparing with those in RF bands. Moreover, the IF is usually fixed according to its communication protocol and modulation scheme. It allows one to design high performance amplifying and filtering circuits with best-matched bandwidths and steep out-of-band transitions regardless of actual carrier frequency at the RF stage. Therefore, noise and spurious signals can be suppressed effectively to enhance the overall system performance. Presently, the wireless link is being extended from single band (channel) to multiband (multichannel) operations to fulfill the needs for heterogeneous wireless systems in terms of variety, standard, connectivity, and quality. This multiband architecture fulfills the demands on ultra-portable devices that support multiple communication protocols [10], [11]. However, the system usually operates in a time division basis to prevent the self-interference. In contrast, signals can be propagated through multiple frequency channels simultaneously. One can transmit distinct messages through individual frequency channel to increase the data rate proportionally, or transmit identical message through all frequency channels to enhance the signal quality and reliability. The latter case realizes the frequency diversity, which is in contrast to the space diversity of a multiple-input multiple-output (MIMO) system with multiple antennas [12], [13]. Like MIMO, the multiband operation can relieve the effect of a multipath fading as each frequency channel responses to fading independently [12]. Despite the fact that communication performance (i.e., data rate and signal sensitivity) can be improved, the frequency and space diversities trade off the size and power consumption at the same time. In principle, the frequency and space diversities require as many transceivers as the number of channels. As a

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

consequence, the size and the power consumption of such wireless systems increase proportionally. Even worst, the frequency diversity will require multiple reference frequencies through local oscillators (LOs) whilst the space diversity will further increase the form factor of whole system because additional antennas are mandatory. The concept of utilizing natural (harmonic) frequencies for wireless applications was first proposed and demonstrated by Wu and his collaborators in a 12-GHz biomedical radar system for vital signal sensing [14], [15]. They made use of both fundamental frequency and its second harmonic , which was generated due to the nonlinearity of LO in the transmitting path. Then, a continuous wave (CW) that was carrying both frequency components was transmitted by the radar, and the reflected waves were captured by the radar accordingly. Actually, the reflected waves had been modulated with vital signals because of the Doppler Effect [16]. Hence, the pertinent parameters of heartbeat and respiration, namely the amplitudes of body movement and rates, can be detected in a remote manner. Referring to the reported measurement results, such harmonic frequency systems have effectively improved the sensitivity of those measured vital signals. Extending the concept of harmonic radars, this work proposes and investigates the concept of harmonic diversity for developing innovative nonlinear communication systems. Note that an early study on SNR enhancement with harmonic communication systems was published by the authors [17]. In that preliminary report, harmonic signals were produced and processed by RF mixers independently and all harmonic frequency channels carried identical messages. In the optimal situation, the SNR enhancement equals to the number of harmonic frequency channels. Nevertheless, there are plenty of system performance issues yet to be discussed, such as nonlinear model of RF mixer in a harmonic communication system, phase correlation among the harmonic frequency channels and power consumption of power amplifier (PA). To this end, detailed analyses are provided in this paper with regards to the system characteristics, and associated experiments will be proceeded to verify the correctness of presented theories. Beside this introductory section, the significances of harmonic diversity and system architecture of harmonic communication system will be discussed in Section II. Also, a comparison among single band system, single band system with extended bandwidth, and harmonic communication systems will be brought out for discussions. The analysis of nonlinear characteristics of single-tone devices will be extended to multitone cases. This content will be presented in Section III. Subsequently, different performance indexes will be covered, including the study on phase correlation among various components in Section IV; SNR analysis based on the Shannon theorem in Section V; and power consumption analysis of transmitting unit in Section VI. As an -channel harmonic communication system occupies -times bandwidth than the conventional configuration, their channel capacities will be compared, together with the power compensated one in Section VII. To demonstrate the concept of harmonic diversity, a three-channel harmonic communication system is prototyped and the related performances are measured. Experimental

4131

results are illustrated in Section VIII accordingly. Finally, this work will be concluded in Section IX. II. HARMONIC DIVERSITY—A PARADIGM SHIFT FREQUENCY DIVERSITY

OF

As mentioned above, the frequency and space diversities can enhance the quality of propagated signals because they can retrieve the effects of multipath fading. Unfortunately, the size will be significantly increased due to their multiple transceivers' configurations. To solve this problem, the concept of harmonic diversity (which is a special representation of frequency diversity) is proposed in this work. The harmonic frequencies are naturally produced and processed by the nonlinearity of RF mixers. The details of harmonics generation will be discussed in Section III. A. Benefits of Nonlinear Communication in Harmonics To highlight the significances of this proposed system scheme, harmonic frequencies to (where represents the total number of harmonic frequency channels or the order of harmonic communication system) produced and processed by RF mixers are close to the multiples of its fundamental frequency (i.e., where ). They are uncorrelated to each other during propagation and hence they have a low probability to experience the multipath fading at the same time. In this case, the simplicity is preserved in system architecture as super-heterodyne and the nonlinear communication is allowed at multiple frequencies simultaneously. Fig. 1 shows a typical scenario that is used to demonstrate the fundamental difference between a multiband communication system and a harmonic communication system. Even though both systems deal with in more than one frequency channel, the conventional multiband system usually operates in a time-division manner or one band at one time sharing scheme because an implied multitone intermodulation caused by nonlinearity can always be prevented. In consequence, the efficiency of spectrum utilization is low and this is a major drawback because of the scarcity of frequency spectrums. Instead, the harmonic communication system gets rid of this limitation. As one of the key features in the proposed technique, the harmonic system operates in all designated channels simultaneously and it takes all advantages of the multitone intermodulations for communication. In other words, an -channel harmonic communication system can achieve a high data rate than an -channel multiband communication system. From another point of view, one can easily design the multiband filtering circuits to suppress unwanted signals. For instance, the natural harmonic passbands of various filtering structures can be used to extract the designated harmonic components [18]–[20]. Also, these harmonics are separated widely in the frequency spectrum and provide a better noise resistant capability especially for colored noise (or band-limited noise). While one of the harmonic frequency channels is restricted by colored noise, the other channels will still be relatively clean and hence a significant deterioration in system performance can be prevented. Moreover, this harmonic communication

4132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 2. Generalized transceiver architecture for a harmonic communication system. (a) Transmitter. (b) Receiver. Fig. 1. Representations of time and frequency domains for (a) multiband communication system and (b) harmonic communication system.

system allows the operations with a low frequency LO reference source. This phenomenon is analogy to the scenario of subharmonic mixer. This allows not only a reduction in cost but also in power consumption. Last but not least, the requirement on linearity of RF mixers is lessened or eventually eliminated thanks to the useful generation of harmonics. The requirement of linearized devices is no longer critical or even completely eliminated, which may substantially reduce the cost and complexity of a system design. Meanwhile, a nonlinear device can be designed with higher conversion gains at all harmonic frequencies because the gain is no longer a trade-off with the linear performance. The developed technique and system would be of critical importance towards the elimination of expensive PA linearizer and eventually low-cost high-efficiency switch-mode power amplifier can be used in this architecture. B. System Architecture Fig. 2 depicts a generalized -channel harmonic communication system. Its system architecture is similar to that of conventional super-heterodynes. It utilizes not only the fundamental frequency for signal processing and propagation, but also some of those intermodulation products generated by RF mixers [17]. Among those intermodulations, the upper sidebands (where ) are chosen for communication because they are in the proximity of the natural harmonic frequencies of LO. In this case, terms are redefined as “harmonics” in this paper. These harmonics usually describe strongest signal components than the others in the adjacent spectrum. Therefore, this can relax the design of amplifiers, especially when they operate in a multiband scheme. Meanwhile, all of them carry the same message contents as harmonic frequency channel does. Compared with the existing multiband systems, the proposed system allows signal

propagation in all the harmonic frequency channels simultaneously. In contrast to the conventional transceivers, some of the building blocks, highlighted in brown in the figure, operate in a multiband scheme. For example, the RF mixer of transmitter in Fig. 2(a) will produce harmonic components from the IF data together with the LO, whilst the bandpass filter (BPF), the power amplifier, and the antenna will function at all of these frequencies. The operation is similar for the receiver as shown in Fig. 2(b) except that its RF mixer processes the harmonics into a single IF data stream. C. Comparison With Conventional Super-Heterodyne Fig. 3 outlines the common architecture of super-heterodyne and harmonic communication systems. Also, the figure provides a comparison on power spectrums of three different systems, which operate at single band (super-heterodyne), single band of extended bandwidth, and harmonic frequency bands independently. Frequency-related transformations at different stages of transceivers are also illustrated individually. The propagation channel is considered in the free space and its corresponding noise model is assumed to be additive white Gaussian noise (AWGN) for simplicity. Actually, the first system presented is a conventional super-heterodyne transceiver. The signal produced by the source is characterized by bandwidth , signal power and associated noise power respectively. In the chain, the signal is up-converted from to at the transmitting unit, propagated through the channel and then downconverted to again at the receiving unit. As the signal propagates in the transceiver chain, the signal and noise are amplified and attenuated, respectively. Moreover, additional noise should be considered into the signal at each stage and hence the signal-to-noise ratio at the output of the receiving unit will be reduced. In the second system, the bandwidth is extended by -times whilst maintaining signal power . Although the system makes no difference in the transmitting or receiving power,

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4133

Fig. 3. Power spectrums of single band and harmonic communication systems.

noise powers are significantly increased because it is the product of occupied bandwidth and noise spectral density. To be more specific, if the signal bandwidth is increased by -times and total signal power remains unchanged (i.e., ), the signal power per cycle will be reduced accordingly. However, the increment in bandwidth does not affect the noise spectral density and as a result, noise power increases linearly (e.g., ). Therefore, SNR of such a system will be further reduced [21]. The third system illustrates the proposed concept of harmonic diversity. Different from the single band systems, this transmitting unit produces a series of harmonic frequencies ( to ) at the RF stage. All of these components carry identical information and propagate into the free space at the same time. As their carrier frequencies are different, they experience different orders of attenuations. Finally, the receiving unit processes the harmonics to IF and recombines them as a whole. Interestingly, the harmonic communication system provides a significant improvement on signal-to-noise ratio since the ratio of output signal power and output noise power increases as grows. This is an important feature and a detailed analysis based on the Shannon theorem will be provided in Section V. III. NONLINEARITY ANALYSIS FOR SYSTEM FUNDAMENTALS To fully understand the nonlinear behaviors of multiband components in the proposed harmonic communication systems, conventional nonlinear analyses are extended from single-tone

to -tones. Let us recall the power series model of a nonlinear device, which is given as [22]–[24] (1) is the gain coefficient of the th-order term, and where and are the input and output signals, respectively. This expression can be reformulated in terms of amplitude coefficient generated by the th order term [25]. The details of derivations can be found in Appendix. In the following subsections, the performance indexes for both harmonic amplifiers and harmonic mixers will be brought out for discussions based on the derivations. A. Harmonic Amplifiers Amplifiers are not responsible for the production of harmonic frequency channels. However, the harmonic signals will be amplified by the device and it is necessary to study the phenomenon when being used in harmonic communication systems. Conversion gain over independent harmonic frequency channels of an -channel harmonic amplifier can be easily determined by injecting an -tone signal (where and ) into the amplifier. According to the analysis in Appendix, can be expressed as (2) is the component produced by the th order where nonlinearity, and is the input signal strength of the th

4134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. Nonlinear characteristics of a two-channel harmonic amplifier. (a) Conversion gain. (b) Third-order intermodulation.

harmonic component . In the analysis provided here, these signal strengths are assumed to be identical, i.e., . To demonstrate the effect of multitone inputs, a two-channel harmonic amplifier is considered as an example, whilst the nonlinear model of (1) is limited to its fourth order as well. In this case, the conversion gains at the two harmonic frequency channels and can be derived as (3) As shown in the equation, and are identical even though their frequencies are apart. On the other hand, their 1-dB compression points can be expressed as (4) When comparing (4) with P1dB of conventional amplifier and are one-third of . In other [22]–[24], words, these P1dBs are reduced by 4.77 dB. This phenomenon can be illustrated as shown in Fig. 4(a). In the figure, the blue line represents the single-tone conversion gain, and the red line represents the multitone conversion gains. As the multitone inputs will lower P1dB, dynamic ranges (DR) and of the two RF channels will be equally reduced by 4.77 dB as well. For IP3, the situation becomes more complicated than the single-tone analysis. As there are -tones in the signal, -additional signals with equal amplitudes are added to those harmonic frequencies with offsets of (i.e., ). The amplitude of third-order intermodulation (IM3) can be expressed as . In the example of this twochannel harmonic amplifier, , . Again, input IP3 can be determined by using (33) such that (5) From these results, IP3s for the two-channel harmonic amplifier are also one-third of the original one. In this case, IM3s will be shifted up by 9.54 dB as illustrated in Fig. 4(b) and is also represented by the red line. As a consequence, IP3 and spurious free dynamic range (SFDR) are reduced simultaneously, and these reduction levels are 4.77 and 3.18 dB, respectively. To demonstrate the correctness of the analysis performed above, a power amplifier from Mini-Circuits, modeled ZX60-2522M-S+, is used in the experiments for both

Fig. 5. Block diagram of experimental setups on two-channel harmonic amplifier with ZX60-2522M-S+. (a) Conversion gain. (b) Third-order intermodulation.

single-band amplifier and two-channel harmonic amplifier operations. Fig. 5 illustrates the block diagrams of experimental setups in harmonic operations for the conversion gain measurement and the third-order intermodulation measurement, correspondingly. In the experiments, the frequencies of LO and IF signal are selected to be 0.8 GHz and 50 MHz, respectively. In other words, the harmonic frequencies ( and ) are 0.85 and 1.65 GHz. Therefore, two continuous wave (CW) signals with 0.85 and 1.65 GHz are used to excite the amplifier through a power splitter for the conversion gain measurement as depicted in Fig. 5(a). The results are depicted in Fig. 6 correspondingly. It can be clearly seen that the conversion gains are unaffected by the two-tone signal and overlap with the single-tone ones, which are 17.8 and 18.5 dB. However, the harmonic amplifier (with two tones) has a weak performance on linearity. At 0.85 and 1.65 GHz, their P1dBs are 5.2 and 7.2 dB, whereas P1dBs of the conventional amplifier (with single tone) are 0.8 and 2.7 dB, respectively. Hence, the reductions are 6.0 and 4.5 dB, which are close to the theoretical value of 4.77 dB. Besides, the third-order intermodulations of the amplifiers are also measured and the results are displayed in Fig. 6, too. As illustrated in Fig. 5(b), a four-tone signal is produced with the combinations of RF splitters. Frequency offset is chosen to be 100 kHz. As predicted in Fig. 6, the third-order intermodulations are shifted up by 9.6 and 5.0 dB for 0.85 and 1.65 GHz, and therefore 3.20 and 1.67 dB are deducted in SFDR for both

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4135

Fig. 7. Measurement setups for with mixer ZX05-43-S+ in harmonic transceiver. (a) Up-conversion gain. (b) Down-conversion gain.

Fig. 6. Measurement results on single band amplifier and two-channel harmonic amplifier with ZX60-2522M-S+ at (a) 0.85 GHz and (b) 1.65 GHz.

cases. On the other hand, distortions are observed when the input powers exceed 2.0 dBm due to the high-order nonlinearity. Together with the measured conversion gains, for single-tone amplifier at both frequencies are recorded as 8.7 and 5.8 dBm whilst those for harmonic amplifier are 4.0 and 3.3 dBm. Again, their differences are close to the theoretical values. B. Harmonic Mixers For mixers in harmonic transceivers, two scenarios have to be examined separately, which are related to the up-conversion and down-conversion. An up-converting mixer has single tone input signal , and LO signal . In single-tone analysis, only the conversion gain at is considered, whilst there is a special interest in for harmonic operation. Similar to that of harmonic amplifier, the conversion gain of an up-converting mixer can be calculated by (2). For example, a two-tone mixer has conversion gains and as (6) (7) In this case, the corresponding 1-dB compression points are (8) (9)

One can notice that (8) and P1dB of conventional mixer are identical because the channel represents the normal operation of mixers [22]–[24]. On the other hand, a down-converting mixer recombines the RF signals into a single IF signal. If there are two tones ( and ) in the RF path, the output voltage at IF is expressed as

(10) Obviously, the distortion in the down-converting mixer is higher than the conventional one. There are some additional terms produced by the third- and fourth-order nonlinearities. For instance, the second term of (10) arises when . At the same time, the third term increases due to the existence of . Fig. 7 shows the block diagrams of experimental setups for the up-conversion gain measurement and also the down-conversion gain measurement, respectively. It is obvious that the setup in Fig. 7(a) is exactly identical to the conventional one because the harmonic frequency channels are neglected in the original solutions. To measure the third-order intermodulation of such mixers, the block diagram can replace those CW sources by pairs of CW sources with frequency offsets as in Fig. 5. RF mixer ZX-05-43-S+ from Mini-Circuits is deployed, although it has a double balanced configuration [25]. The effect of such a double balanced configuration can be clearly observed from the measurement results illustrated in Fig. 8(a). The conversion gain of is good, which is recorded as 8.5 dB. However, the conversion gain of is poor. This is because the double balanced configuration offers a strong cancellation at , and hence the conversion gain is only 29.2 dB.

4136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

hence the information at both -channels can be extracted correctly with minimized cross interference. The situation becomes much more complicated in harmonic communication systems because there are coexisting multiple frequency channels. Due to the fact that different components will introduce different phase shifts at different frequencies, the correlations among the output signal strength and the phases of LO and RF channels have to be studied in details. Fig. 9 shows a block diagram for illustrating the behavior of a phase correlation. In this example, a two-channel harmonic transceiver is considered, and two phase shifters are adopted at the receiving LO and channel. For simplicity, it can be assumed that the phase of IF input signal to be zero, and the phase at transmitting LO to be . Based on the nonlinearity analysis performed in Section III, the phase shifts of and at the output of up-converting mixer are determined as and . Together with the phase shifts introduced by the RF components and free space, the input signal of the down-converting mixer becomes

(11)

Fig. 8. Measured conversion gains and third-order intermodulations of mixer ZX05-43-S+ in (a) up-conversion and (b) down-conversion.

Nevertheless, the trends for both channels are similar, and their P1dB are obtained at 0.3 and 4.2 dBm, respectively. Together with the third-order intermodulation measurements for this up-converting mixer, for both and channels are recorded as 0.3 and 4.0 dBm, and are 7.9 and 4.4 dBm correspondingly. In order to compensate the low conversion gain at for down-converting harmonic operation, an amplifier is inserted so as to provide the same conversion gain as . The detailed configuration is illustrated in Fig. 7(b). As shown in Fig. 8(b), the measured conversion gains are 9.3 dB for both and in single-tone operations. On the other hand, the measured gain in two-tones is 4.8 dB. Therefore, the latter one is 4.5 dB higher, which is due to the two input RF signals. The figure also shows that P1dB for is worst, and it is because of a low conversion gain of mixer itself. Hence, P1dB for single-tone by and are 0.8 and 14.4 dBm, respectively. Also, P1dB for two-tones is 14.9 dBm. IV. PHASE CORRELATION

where , and are the phases of receiving LO, and channels, respectively. Finally, the output IF voltage of the harmonic transceiver can be expressed as

(12) where

and . In (12), the first and second terms (with coefficients and ) are approximately the linear transformations of and channels, respectively. In contrast, the third term (with coefficient ) is the product of intermodulation due to the nonlinearity of mixer. Nevertheless, this term can be neglected as is usually larger than and . As a special case of harmonic diversity, the output voltage of conventional transceiver can be solved from (12) by assigning . Hence

A. Theoretical Study Phase is an important consideration in the transceiver design. A good example is the -channels communication systems. Two signals with 90 phase offset can be modulated into the -channels independently, and also propagate into free space simultaneously. However, the phase shift in the RF channel is an unknown. To this end, the clock recovery circuit has to be deployed in the receiver so as to adjust the phase offset of LO, and

(13) Obviously, the output voltage of conventional transceiver is unchanged when (where ) is constant. Moreover, is maximized when (where is an integer) and this phenomenon is illustrated

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4137

Fig. 9. Block diagram for phase correlation analysis with a two-channel harmonic transceiver.

Fig. 10. Contour plot of normalized output IF signal strength of a conventional transceiver in variations of LO and RF phase offsets.

by the contour plot as shown in Fig. 10. In contrast, the output voltage of harmonic transceiver varies along (where ) as depicted in Fig. 11. Some local maxima exist because of the modulations of other sinusoidal terms in (12) and their amplitudes are controlled by . Nevertheless, minima exist and they are close to . Hence, the harmonic transceiver is good for -channel communications as well. A similar observation is obtained when . In order to retrieve the maximum output signal, must be equals to , where . However, it is difficult to fulfill this requirement by simply adjusting since to are uncorrelated. Nevertheless, it is still possible to retrieve a good output signal with certain . B. Experimental Verification Based on the block diagram of the harmonic transceiver shown in Fig. 9, experimental results are presented to illustrate the effect of an additional RF channel. In the setup of RF channels, an amplifier is inserted in channel due to its low

Fig. 11. Contour plot of normalized output IF signal strength of a harmonic transceiver in variations of LO and RF phase offsets.

Fig. 12. Received signal strengths (in millivolts) at IF output of conventional with . transceiver in variation with the LO phase offset

conversion gain of mixers. Also, the input IF power is 10 dBm and is fixed at 5 . Moreover, the mixer ZX05-05-S+ from Mini-Circuits is used for down-conversion. From a preliminary experiment, the nonlinear coefficients are extracted, and they are , , and . Fig. 12 shows the results of conventional transceiver by adjusting , with and 170 respectively. It can be clearly seen that

4138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 13. Received signal strengths (in millivolts) at IF output of harmonic transceiver in variation with the LO phase offset with .

the calculated ones are circular in the polar plots, and the measured results have good agreements with them. To highlight, the circles are rotated by . As a comparison, the calculated result of harmonic transceiver is similar to that of the conventional one, but with some distortions. In Fig. 13(a), the local maxima of signal strength are shifted in opposite directions, and therefore the maxima are not out of phase at 180 anymore. When changes from 40 to 170 as shown in Fig. 13(b), the pattern on the right is compressed, whilst that on the left is stretched. As a result, the front-to-back ratio increases. The results also show that one can obtain the optimal retrieved signal strength by adjusting the phase of receiving LO only. If one consider that the RF mixer down-converts the harmonic components into IF individually, these IF signals should be in phase. Therefore, they are constructive and a strong signal is retrieved. V. SNR ENHANCEMENT A. Theory As it has been shown that the IF signal can be reproduced at the receiving unit, it will be interesting to study on how the harmonic diversity affects communication performance in addition to the fading, the compactness of transceiver and the immunization to colored noise. In the modeling of harmonic frequency channels, the signals from all RF channels are assumed to be identical and they can be converted from RF to IF by the down-converting mixer directly and independently. In fact, the multiple IF signals can be considered as if they come from multiple single band systems. According to the principle of superposition, the signals can be summed up directly in the present of noise. Interestingly, the summations of signal and noise have different behaviors. Referring to the Shannon theorem [21], the correlation between signal and noise can be visualized by a geometrical model as depicted in Fig. 14. In the figure, signal is expressed as a signal point in a -dimensional space, given that it is a band-limited signal with bandwidth in cycles per second and the signal has a certain period of time in seconds [21]. Therefore, a high dimensional sphere with radius is formed in the space, where and are the averaged signal power and noise power, respectively. Due to the presence of noise, a perturbed message will result in a small sphere with radius instead of a signal point in the space.

The number of small spheres inside the large one represents the number of distinct messages can be transmitted. Integrating the signals from independent channels leads to a new sphere with radius . Similarly, new spheres with radius can be obtained for perturbed messages. Due to the fact that the signal power is the sum of squared signals and the noise power is the sum of noise powers, the signal-to-noise ratio increases. From another point of view, the number of distinct messages increases as well. Let us define the retrieved IF signal power and associated noise power of the th channel as and , respectively. The corresponding signal and noise amplitudes are expressed as and , respectively. In addition, and are defined as the reference levels of signal and noise as well. In this case, one can say (14) where is the amplitude ratio and its reciprocity can be treated as the amplification factor at the receiver as well. As a result, both signal and noise are scaled linearly. To simplify the discussion, are also assumed to be real, suggesting that all signals are in-phase. Based on the principle of superposition, the signals are be summed up and therefore [17]

(15) To highlight, the integrations of signal and noise are different as depicted in (15)—the amplitude of signal can be added linearly while the power of noise does. To this end, the total signal power becomes the sum of squared signal amplitudes. From the geometrical point of view, the number of distinguishable messages in such a harmonic communication system increases, as illustrated in Fig. 14. Furthermore, the signal-to-noise ratio of harmonic frequency channel can be written as

(16)

In this case, the enhanced signal-to-noise ratio system (in decibel) can be formulated by

of the

(17)

In the optimal situation, this expression is maximized if and are identical. In this case, the equaonly if amplitude ratios tion can be reformulated, where the SNR enhancement is directly related to , which is (18)

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4139

Fig. 14. Geometrical representation of harmonic communication system by the integration of multiple single band channels.

Fig. 16. Experimental setup for BER measurement with a two-channel harmonic communication system.

Fig. 15. SNR enhancement ratio in variations of strength ratio number of harmonic frequency channels .

and

Based on the analytical study, it can be concluded that the additional harmonic frequency channels can improve the SNR performance. The enhancement will be optimal if and only if the strengths of signals are identical and the signals are in phase. Under this situation, the SNR enhancement (in linear scale) equals to the number of harmonic frequency channels. Fig. 15 depicts this effect graphically. Different sets of signal strength ratios are compared in accordance with the number of harmonic frequency channels (assuming and ). Obviously, is maximized whenever the strength coefficient ratio equals to 1. For instance, is 3-dB if equals to 2. B. Experiment In order to demonstrate the correctness and usefulness of the proposed harmonic communication system, an experimental prototype transceiver is set-up as shown in Fig. 16. The harmonic receiver is separated into two individual channels so that and from both and channels can be determined independently in this case. Nevertheless, both RF receiving channels utilize the same LO frequency so to demonstrate the harmonic concept. BPSK modulation is used for a 5-Mbps data

stream. In the channel, additional amplifiers are necessary as the conversion loss of double-balanced mixer (Mini-Circuits ZX05-5+) at is around 22 dB higher than that at . After the compensation, the signal strengths in both channels are similar. To generate a random data stream, the bit-error-rate (BER) test system Anritsu ME522A is used. Furthermore, the multichannel emulator EB Propsim C8 is used to create various SNR levels in the RF channels. Based on this configuration, identical data streams are propagated by the prototype transceiver, and captured by an oscilloscope afterwards. Postprocessing is performed in MATLAB and different sets of measured data are plotted in Fig. 17, which include the transmitted signal (TX), the received signal (RX), the filtered RX signal, and the recovered baseband data. Time delay adjustment is made on TX signal so as to perform the BER estimation, and the analyzed results are depicted in Fig. 18 correspondingly. First of all, BERs of and channels are plotted independently and the grey line is obtained from the theoretical estimation. The agreement is very good up to an SNR of 0 dB. With a higher signal-to-noise ratio, there is a small discrepancy and provides a better BER compared to . This is because of the high conversion losses appearing in the mixers, especially when the signal-to-noise ratio is larger than 0 dB. To investigate the performance of harmonic communication system, these channel data (from and ) are combined with different signal strength ratios . From the filtered RX signals, it can be found that the ratio in signal strength is 4.6. Therefore, the signal-to-noise ratio is enhanced by 1.5 dB as estimated by

4140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 17. Measured signals from RF1 channel (in blue) and RF2 channel (in red), including the transmitted signals (TX), the received signals (RX), the filtered RX signals, and the recovered output data.

the variations of signal power and noise power at each stage in the circuits. In addition to the amplification or attenuation, noise is accumulated according to the noise figure of each component. For a weak input signal, the minimum input power or is constrained by its . As the minimum input power of a harmonic communication system can be reduced by a factor , reduces accordingly. On the other hand, the maximum input and output powers of the receiver are not affected when there is a strong input signal. The power levels are only limited by the input/output 1 dB gain compression ( or ) of amplifiers and mixer. Even though the output power of mixer can be increased by -times in the harmonic communication system, the automatic gain control unit (AGC) will compensate the difference and hence the output dynamic range does not vary. Nevertheless, the input dynamic range of the receiver is widen due to the reduction in . Therefore, the transmitting power of each harmonic frequency channel can also be reduced by a factor of . As stated in the Friis power transmission equation [26], the designated power at the transmitting antenna can be described by the following equation when the system is operating in free space [27]: (19) where denotes the input power of receiver, and denote the antenna gains of transmitter and receiver, respectively, is the distance between the antennas and is the wavelength with carrier frequency . For instance, as the antenna gains are frequency-dependent, we can define the gain ratios and as (20a) (20b)

Fig. 18. BER comparisons of independent frequency channels and various signal strength coefficients.

(17) when the filtered signals are summed together. In Fig. 18, the blue line is a shifted version of the grey one with 1.5 dB, which fits the BER with . The signal strength has also been adjusted in MATLAB so that . Again, the red line, which is a shifted version of the grey one with 3.0 dB, fits with this set of data, which is correctly estimated by (18).

In other words, one can directly relate the transmitting power of a single frequency system as (21) at the th On the other hand, the transmitting power channel of a -channel harmonic communication system is

VI. POWER CONSUMPTION Another key performance index of wireless communication systems is the power consumption. It affects not only the design efforts of RF components (e.g., PAs), but also other factors such as production costs, operating costs, and life cycles. To this end, this work is concerned with the minimum signal-to-noise ratio at the input SNR and output SNR of the receiver. Of course, this proposed nonlinear communication scheme is able to relax the requirement of linearization for PAs, which could save a significant amount of power and cost and greatly simplify the system architecture and implementation. Fig. 19 shows the link budget diagrams of a typical single band receiver and a harmonic receiver. The figure highlights

(22) where assuming term by

denotes the received power at the th channel. By , one can approximate the summation

(23)

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4141

Fig. 19. Comparisons of single frequency channel and harmonic frequency channel on the link budget diagram of a receiver.

Dividing

by

yields (24)

where denotes the ratio of power consumption. Considering a special condition that the antenna gains are equal (i.e., ), the mathematical expansion of the series shows that it converges closely at one-third when increases. More importantly, the transmitting power ratio is always less than one when . This suggests that the harmonic communication system can reduce the power consumption at the transmitter effectively. For instance, the total power consumption drops to 62.5% when there are two harmonic frequency channels. The consumption further drops below 52% when an additional channel is added. As the number of channels increases, the total power consumption of the transmitter converges closely at one-third of the consumption in single band system. This eases the design specifications of PA including linearity, spurious free dynamic range, heat radiation, and so forth.

the channel capacity does not improve. Nevertheless, the SNR enhancement does augment the channel capacity exponentially. Of course, it does not grow as fast as the bandwidth does. It seems that the harmonic communication system can only provide a lower channel capacity when comparing with the classical single band operation, if they occupy the same bandwidths. This statement is partially true. Fig. 20 depicts examples to identify the distinctions of different operating systems—the single band system (super-heterodyne) with bandwidth , the harmonic communication system with total bandwidth , and the harmonic communication system with power compensation (by assuming the antenna gains are equal). To highlight the significance of this comparison, the third system demonstrates the channel capacity based on identical power consumption as the first system does. Their corresponding expressions of channel capacities ( , , and ) can be depicted as (25a) (25b)

VII. COMPARISON IN CHANNEL CAPACITY In the Shannon theorem, it states that the channel capacity or throughput of a communication channel is a function of signal bandwidth and signal-to-noise ratio. As the channel capacity has a linear relationship with the signal bandwidth, we can easily enhance the channel throughput especially when the bandwidth is small. In our case, an -channel harmonic communication system will increase the bandwidth by -times since we use extra frequency bands for propagation purpose. However, we have only duplicated the signals in those channels and hence the actual signal bandwidth does not increase. Consequently,

(25c) . Fig. 20(a) shows the first example with When SNR is large, the channel capacity of harmonic communication system is growing logarithmically, whilst that of the single band system behaves almost linearly. The practical SNR of single band system does not increase linearly as bandwidth because the noise contents increase as well. This phenomenon has been discussed in Section II-C. As a result, the dif-

4142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 20. Examples on channel capacities with different SNRs. (a)

. (b)

. (c)

.

Fig. 21. Transmitter of the three-channel harmonic communication system deployed in the experiments.

ference between the systems becomes sharply pronounced as increases. In this case, it shows that the single band system is better than the harmonic communication system. However, when the SNR becomes smaller and smaller [ in Fig. 20(b) and in Fig. 20(c)], the difference between the two systems reduces and finally the harmonic communication system provides a better performance when the SNR is reasonably small. This observation is important for emerging wireless systems because there are stringent SNR requirements. Besides the comparisons between these two systems, the harmonic communication system with power compensation is also brought out for discussions. When the SNR is small (e.g., ), such system outperforms the single band system as well, especially when is large. This observation shows that the proposed system can provide a superb performance in the noisy environment. VIII. EXPERIMENT In the following, experiments are presented on a three-channel harmonic communication system. First of all, the system architecture will be discussed together with the desired properties of individual building blocks and components. Afterwards, the link budget estimation is provided to guarantee that the output powers from distinct harmonic frequency channels are compatible. The first experiment examines the changes in received signal strengths in accordance to the phase of LO at the receiver. In this scenario, both two- and three-channel models will be studied. Then, the capability of the proposed nonlinear communication scheme will be demonstrated by

experiments with different modulation schemes, which include amplitude modulation (AM) and frequency modulation (FM). A. Experimental Setup and Link Budget Estimation In the experiment, the harmonic communication system is set-up based on a three-channel configuration. With the IF carrier frequency of 50 MHz and the LO reference frequency of 0.8 GHz, the first three harmonic frequencies (in the form of ) are chosen. In other words, the harmonic frequencies are designated as 0.85, 1.65, and 2.45 GHz, respectively. In principle, the system architectures of harmonic transmitter and receiver are similar to that of super-heterodyne as shown in Figs. 21 and 22, respectively. Because of the double balanced architecture of commercial RF mixers selected in the experiment, the high-order harmonics (i.e., and ) offers significantly high conversion losses. In order to compensate these losses, extra amplifiers are necessary and this is represented as the second stage amplifier in the system architecture of transmitter. Also, the harmonic frequency channels are separated into individual ones by RF splitter and bandpass filters, so as to ease the efforts of controlling the signal strengths independently. Finally, an antenna-triplexer transmits the triple band signals with good isolations. The receiver performs in a similar manner as its counterpart, whilst only a single amplifier is capable to fulfill the signal strength requirement. At the RF mixer, the harmonic frequency components are down-converted and recombined at IF band, simultaneously. A lowpass filter (LPF) with corner frequency of 70 MHz is chosen to eliminate the out-of-band signals, especially the harmonics of IF carrier frequency. Afterwards, the IF

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4143

Fig. 22. Receiver of the three-channel harmonic communication system deployed in the experiments.

LINK BUDGET

OF

LINK BUDGET

TABLE I HARMONIC TRANSMITTER

TABLE II HARMONIC RECEIVER

OF

output signal is fed into an oscilloscope or a spectrum analyzer to observe the performance or for further processing of the received signals. Besides the RF mixers, some commercial products are chosen for the amplifiers and the lowpass filter as well. Their

specifications meet the requirements and they can be used without limitation. On the other hand, the RF splitter/combiner and bandpass filters are designed with different requirements. For instance, the RF splitter has three outputs and the configuration in [28] is referred. The center frequency locates at

4144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

LINK BUDGET

OF

TABLE III HARMONIC COMMUNICATION SYSTEM

(1.65 GHz) to provide a good performance simultaneously at (0.85 GHz) and (2.45 GHz). The recorded amplitude differences are less than 1 dB at those harmonic frequencies. At the transmitter, parallel coupled line structure is used and three distinct filters are designed at each harmonic frequency [29]. This structure provides a wide out-of-band rejection and has a compact, miniaturized configuration. In contrast, the dual-mode structure is used for those bandpass filters at the receiver [30]. It can offer a good rejection to noise, especially for those adjacent to those desired frequency bands. Moreover, an antenna-triplexer is designed and the prototype obtains good matching at the harmonic frequencies [31]. The measured antenna gains of 0.85, 4.00, and 4.23 dBi are realized and the port isolations are better than 20 dB, respectively. In order to provide a better estimation on the complete communication path including distinct frequency channels, the link budget for both transmitter and receiver are listed in Tables I and II, respectively. In spite of the prototyped RF components presented above, the model numbers of commercial amplifiers and mixers are also listed in these tables. Furthermore, the link budget of the whole transceiver chain is also listed in Table III. The separation of transmitting and receiving antennas is one meter apart, and hence the estimated channel loss can be provided in the table as well. Finally, the estimated gains of the complete systems are given as 29.74, 39.37, and 36.11 dB, respectively. The snapshots of the experimental setup are depicted in Fig. 23. In the setup, some instruments are used for different purposes. These include the Rohde & Schwarz SMC100A signal generators to generate LO reference signals, pairing with the Agilent 33250A function generator to provide the modulated IF signal. On the other hand, the Agilent MSO6034A mixed signal oscilloscope captures the time domain IF signal for further investigation by MATLAB [26]. Also, the dc power supplies modeled Agilent 6629A and N6700B are used to control the bias voltages of all amplifiers. Last but not least, the Satimo's RF chamber offers a clean environment (in term of RF/microwave interference) for the measurements, especially to those GSM and Wi-Fi signals. With the absence of the RF chamber, the signals will be down-converted to IF and affect the correctness of the measurement results.

Fig. 23. Experimental setup for communication test with a three-channel harmonic communication system. (a) Instruments and (b) antenna-triplexers inside Satimo's RF chamber.

B. Effects of Phase Adjustment of Receiving LO As the first trial, the phase correlations are examined between the transmitting and receiving LO. The first example enables the harmonic communication system at 0.85 and 1.65 GHz only. This can provide a comparison to the study presented in Section III. The second example examines the system by enabling all (three) channels. 1) Communicating in Two Channels: Referring to the experiments performed in Section IV, the phase difference between

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

Fig. 24. Measured output signals of a two-channel harmonic communication at (a) 340 and (b) 160 . system operating at 0.85 and/or 1.65 GHz, with

Fig. 25. Measured magnitude in rms and phase responses at . IF output of a two-channel harmonic communication system versus

the transmitting and receiving LOs can lead to a significant variation in the received IF signal strength. The identical conclusion can be drawn by replacing the cable connections (between the transmitter and receiver) with antenna-triplexers. For instance, the measured IF signals with equals to 340 and 160 are shown in Fig. 24 separately. In both cases, the signal strengths in distinct channels are almost identical (about 3 mV) but their phase differences cause the constructive or destructive outputs. In these examples, their ratio in signal strengths can be as high as 3.73 times. The phase of receiving LO is swiped from 0 to 360 for investigating the effect. Fig. 25 shows the measured results including the magnitude and phase correspondingly. The phase change behaves quite linearly with respect to the phase adjustment. On the other hand, a maximum in magnitude is observed

4145

Fig. 26. Measured output signals of a three-channel harmonic communication at (a) 340 and system operating at 0.85, 1.65, and/or 2.45 GHz, with (b) 120 .

at 350 while a minimum is at 180 . The maximum-to-minimum ratio is 6.38. This observation agrees with those discussed in Section IV. Even though the phase delays in distinct harmonic channels may be different, they can be compensated by simply controlling the phase of receiving LO. 2) Communicating in Three Channels: Besides the two-channel model, the harmonic communication system operating in three-channels is also investigated. Similarly, two examples with and 120 are shown in Fig. 26. In general, the same conclusion can be drawn as the previous example. When all harmonic channels are in-phase (e.g., ), the distinct IF signals have almost identical magnitude. In the meantime, the output signal is constructive and the signal strength is strongest. However, the signal becomes destructive when shifts 120 . The distinct IF signals from each harmonic channel are almost 120 apart. Even though the amplitudes in some channels have been distorted by the leakages from the others, a significant destructive signal is measured and its signal strength is weak. The plots in Fig. 27 show the effect of phase of receiving LO on this three-channel harmonic communication system. The maximum and minimum signal strengths are recorded at 330 and 100 independently. Their ratio is 11.48. On the other hand, the output phase in linear only when the signal strength is relatively high. In comparing both experiments, the maximum received signal strength in the two-channel harmonic communication system is only two-third of that of the three-channel one, since an extra harmonic frequency channel is used for communication. This demonstrates the advantage of communicating in harmonic frequency channels.

4146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 27. Measured magnitude in rms and phase responses at . IF output of a three-channel harmonic communication system versus

Fig. 28. Input baseband signals and output IF signals in AM operations with AM-depth of (a) 50% and (b) 20%.

C. Investigations With Common Modulation Techniques 1) Amplitude Modulation: Further to demonstrating the capability of a three-channel harmonic communication system, the system performance is examined by three common modulation techniques, namely amplitude modulation (AM), frequency modulation (FM) and phase modulation (PM). First of all, the Agilent 33250A function generator is used as the baseband source, generating a 10-kHz square wave with 0.9 V in amplitude. On the other hand, the Rohde & Schwarz SMC100A signal generator performs the AM operations with amplitude depths of 50% and 20%, respectively, and with IF carrier frequency of 50 MHz. The phase of receiving LO is fixed at 330 and the measured IF output signals are illustrated in Fig. 28. Obviously, the results demonstrate that the proposed system has a

Fig. 29. Input baseband signals and demodulated frequencies (at IF output) in FM operations with (a) square wave input, (b) ramp input, and (c) sinusoidal input.

good performance in despite of the amplitude depth. With the existence of envelope detecting circuit, the waveform of input square wave can be reproduced at the receiving unit. 2) Frequency Modulation: In the experiment of FM operation, the basic settings are similar to the AM arrangement. The Agilent 33250A function generator is used as the baseband source, generating 10-kHz signal with 0.5 V in amplitude. In contrast, different input signals are tested, including square wave, ramp wave, and also sinusoidal wave as shown in Fig. 29. In addition, the Rohde & Schwarz SMC100A signal generator performs the FM operations with frequency sensitivity of 4 MHz/V. Afterwards, the output signals are captured and analyzed with MATLAB. The decoded output signals are also plotted in Fig. 29 correspondingly. Minor distortions are

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

4147

additional RF channels can improve the SNR performance significantly. The SNR can be enhanced by additional 3 dB whenever the number of harmonic frequency channels is doubled. As a consequence, the channel capacity can be enhanced too. Moreover, the power consumption analysis showed that the harmonic channel concept can significantly reduce the RF power consumed at the transmitting side, which is about 33% of conventional ones at most. Even though the proposed system occupies -times bandwidth than the conventional one, the analysis of channel capacity showed that it can provide a better performance than the single band (channel) system when the SNR is small. Finally, a three-channel harmonic communication system is prototyped. In the experiment of phase correlation, the retrieved IF signals can be easily maximized by properly adjusting the phase of receiving LO. On the other hand, the second experiment has demonstrated the communication ability of the proposed harmonic diversity technique when different modulation schemes are applied. APPENDIX To estimate the distortion of a nonlinear device, a -tone input signal has to be applied [25]. In general, the signal can be expressed as (26) Fig. 30. Input baseband signals and demodulated phase shifts (at IF output) in PM operations with (a) square wave input and (b) sinusoidal input.

observed as shown in Fig. 28(a) and (b) when there are discontinuities in input waveforms. The rise times of approximately 0.01 ms are required. In comparison, the sinusoidal wave produces the best agreement between the input and output. 3) Phase Modulation: Finally, the PM operation is examined with square wave and sinusoidal wave as shown in Fig. 30. In these examples, the sensitivity of modulation is defined as 30 deg/V. Similar to the previous cases, good agreements between the input and output signals are obtained and there are minor distortions only. The time shift is about 0.01 ms. All of these experiments demonstrate the usefulness of nonlinear harmonic communication systems.

In this case, the output voltage the power series in (1):

can be calculated by using (27)

where [25]

is the th order mixing vector and it is in the form of (28)

and is the mixing coefficient. In the meantime, the mixing coefficients must satisfy that (29)

IX. CONCLUSION This paper presented the general concept of harmonic diversity and provided not only the theoretical analyses but also a series of experiments for some key performance indexes in connection with the proposed nonlinear communication system. In the analysis of nonlinearity of harmonic amplifiers, it has been shown that P1dB and IP3 dropped equally by 4.77 dB, whilst similar results were obtained for harmonic mixers due to the additional RF channels. In the phase-related analysis, the correlations between the phase offsets of LO and RF channels were derived and tested. The experimental results matched the mathematical models for both conventional and harmonic transceivers. The phase offset among distinct harmonic frequency channels can be compensated by adjusting the phase of receiving LO properly. On the other hand, the

Also, as expressed in (27), is the multinomial coefficient, is the mixing voltage and is the mixing frequency respectively. Their mathematical expressions are (30) (31) (32) . For example, provides where that when and , respectively. To determine the signal amplitude of a specific frequency component produced by the th order term in (27), the conditional

4148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

summation can be applied to (27), where . The expression becomes

must be equal to

(33) With the existence of (33), the nonlinearity performances such as P1dB and IP3 can be easily determined. REFERENCES [1] E. H. Armstrong, “A new system of short wave amplification,” Proc. IRE, vol. 9, no. 1, pp. 3–11, Feb. 1921. [2] E. H. Armstrong, “The super-heterodyne—Its origin, development, some recent improvements,” Proc. IRE, vol. 12, no. 5, pp. 539–552, Oct. 1924. [3] B. Liebowitz, “The theory of heterodyne receivers,” Proc. IRE, vol. 3, no. 2, pp. 185–194, Jun. 1915. [4] J. L. Hogan, “Developments of the heterodyne receiver,” Proc. IRE, vol. 3, no. 3, pp. 249–259, Sep. 1915. [5] T. K. Sarkar, A. Oliner, R. Mailloux, M. Salazar-Palma, and D. Sengupta, History of Wireless. Hoboken, NJ, USA: Wiley, 2006. [6] C. Travis, “Automatic frequency control,” Proc. IRE, vol. 23, no. 10, pp. 1125–1141, Oct. 1935. [7] M. P. Warden, “Experimental study of the theory of optical superheterodyne reception,” Proc. IEE, vol. 113, no. 6, pp. 997–1004, Jun. 1966. [8] G. Maral and M. Bousquet, Satellite Communications Systems: Systems, Techniques and Technology, 5th ed. West Sussex, U.K.: Wiley, 2009. [9] T. S. Rappaport, Wireless Communications: Principles and Practice, 2nd ed. Englewood Cliffs, NJ, USA: Prentice Hall, 2002. [10] A. Tasic, W. A. Serdijn, and J. R. Long, “Adaptive multi-standard circuits and systems for wireless communications,” IEEE Circuits Syst. Mag., vol. 6, no. 1, pp. 29–37, 2006. [11] F. Agnelli et al., “Wireless multi-standard terminals: System analysis and design of a reconfigurable RF front-end,” IEEE Circuits Syst. Mag., vol. 6, no. 1, pp. 38–59, 2006. [12] D. Tse and P. Viswanath, Fundamentals of Wireless Communication. Cambridge, U.K.: Cambridge Univ. Press, 2005. [13] L. Hanzo, J. Akhtman, L. Wang, and M. Jiang, MIMO-OFDM for LTE, Wi-Fi and WiMAX. West Sussex, U.K.: John Wiley and Sons, 2011. [14] L. Chioukh, H. Boutayeb, K. Wu, and D. Deslandes, “Monitoring vital signs using remote harmonic radar concept,” in Eur. Microw. Conf. (EuMC), Oct. 2011, pp. 381–384. [15] L. Chioukh, H. Boutayeb, K. Wu, and D. Deslandes, “f/nf harmonic radar system with optimal detection of vital signs,” in Eur. Microw. Conf. (EuMC), Oct. 2012, pp. 25–28. [16] J. E. Gray and S. R. Addison, “Effect of nonuniform target motion on radar backscattered waveforms,” Proc. Inst. Elect. Eng.—Radar Sonar Navig., vol. 150, no. 4, pp. 262–270, Aug. 2003. [17] P. Cheong, K. Wu, D. Deslandes, and K.-W. Tam, “Performance analysis on wireless communication system with harmonic frequency channels concept,” in IEEE MTT-S Int. Wireless Symp. Dig., Beijing, China, Apr. 2013, pp. 1–4. [18] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF Microwave Application. New York, NY, USA: Wiley, 2001. [19] P. Bhartia and I. J. Bahl R. Mongia, RF and Microwave Coupled-Line Circuits. Norwood, MA, USA: Artech House, 1999. [20] K. Chang and L.-H. Hsieh, Microwave Ring Circuits and Related Structures. Hoboken, NJ, USA: Wiley, 2004. [21] C. E. Shannon, “Communication in the presence of noise,” Proc. I.R.E., vol. 37, no. 1, pp. 10–21, Jan. 1949. [22] S. A. Maas, Nonlinear Microwave Circuits. Norwood, MA, USA: Artech House, 1988. [23] D. Pozar, Microwave and RF Design of Wireless Systems. New York, NY, USA: Wiley, 2001. [24] D. M. W. Leenaerts, C. Vaucher, and J. van der Tang, Circuit Design for RF Transceivers. Dordrecht, The Netherlands: Kluwer, 2001. [25] J. C. Pedro and N. B. Carvalho, Intermodulation Distortion in Microwave and Wireless Circuits. Norwood, MA, USA: Artech House, 2003. [26] S. R. Saunders and A. Aragón-Zavala, Antennas and Propagation for Wireless Communication Systems. West Sussex, U.K.: Wiley, 2007.

[27] R. Caverly, CMOS RFIC Design Principles. Norwood, MA, USA: Artech House, 2007. [28] M. E. Goldfarb, “A recombinant, in-phase power divider,” IEEE Trans. Microw. Theory Techn., vol. 39, no. 8, pp. 1438–1440, Aug. 1991. [29] P. Cheong, S.-W. Fok, and K.-W. Tam, “Miniaturized parallel coupledline bandpass filter with spurious response suppression,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 5, pp. 1810–1816, May 2005. [30] S.-W. Fok, P. Cheong, K.-W. Tam, and R. P. Martins, “A novel microstrip square-loop dual-mode bandpass filter with simultaneous size reduction and spurious response suppression,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 2033–2041, May 2006. [31] P. Cheong, K.-F. Chang, W.-W. Choi, and K.-W. Tam, “A highly integrated antenna-triplexer with simultaneous three-port isolations based on multi-mode excitation,” IEEE Trans. Antennas Propag., vol. 63, no. 1, pp. 363–368, Jan. 2015. Pedro Cheong (M'15) received the B.Sc., M.Sc., and Ph.D. degrees in electrical and electronics engineering from the University of Macau, Macao, China, in 2000, 2005, and 2014, respectively. In 2012, he was with the Poly-Grames Research Center, Ecole Polytechnique (University of Montreal), Canada, as a Visiting Researcher. Currently, he is working in the Wireless Communication Laboratory, University of Macau, as a Laboratory Affair Officer. His research interests include RF/MW passive filter designs, circuit modeling, antenna arrays, RFID systems, multiband communication, and advanced wireless transceiver systems. Dr. Cheong was the Cofinancial Chair of the 2010 International Symposium on Antennas and Propagation (ISAP) and he is the secretary of the IEEE Macau AP/MTT Joint Chapter for 2015–2017.

Ke Wu (M'87–SM'92–F'01) received the B.Sc. degree (with distinction) in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees in optics, optoelectronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble (INPG) and the University of Grenoble, Grenoble, France, in 1984 and 1987, respectively. He is a Professor of Electrical Engineering, and Tier-I Canada Research Chair in RF and millimeterwave engineering at the Ecole Polytechnique (University of Montreal), Montreal, Canada. He has been the Director of the Poly-Grames Research Center. He was the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also held Guest, Visiting, and Honorary professorship at many universities around the world. He has authored or coauthored over 1000 referred papers and a number of books/book chapters and filed more than 30 patents. He has held key positions in and has served on various panels and international committees including the chair of technical program committees, international steering committees and international conferences/symposia. In particular, he was the general chair of the 2012 IEEE MTT-S International Microwave Symposium. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced CAD and modeling techniques, wireless power transmission and harvesting, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave and terahertz photonic circuits and systems. Dr. Wu is a Member of the Electromagnetics Academy, Sigma Xi Honorary Society, and URSI. He has served on the editorial/review boards of many technical journals, transactions, proceedings and letters as well as scientific encyclopedia including editors and guest editors. He is currently the Chair of the joint IEEE chapters of MTTS/APS/LEOS in Montreal. He is an elected IEEE MTT-S AdCom member for 2006–2015 and served as Chair of the IEEE MTT-S Transnational Committee, Member and Geographic Activities (MGA) Committee and Technical Coordinating Committee (TCC) among many other AdCom functions. He is the 2016 IEEE MTT-S President. Dr. Wu is the inaugural three-year representative of North America as Member of the European Microwave Association (EuMA) General Assembly. He was the recipient of many awards and prizes, including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada, the Queen Elizabeth

CHEONG et al.: NONLINEAR COMMUNICATION SYSTEM WITH HARMONIC DIVERSITY

II Diamond Jubilee Medal in 2013, the 2013 FCCP Education Foundation Award of Merit, the 2014 IEEE MTT-S Microwave Application Award, the 2014 Marie-Victorin Prize (Prix du Quebec—the highest distinction of Québec in the natural sciences and engineering), the 2015 Prix d'Excellence en Recherche et Innovation of Polytechnique Montréal, and the 2015 IEEE Montreal Section Gold Medal of Achievement. He is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He was an IEEE MTT-S Distinguished Microwave Lecturer from January 2009 to December 2011.

Kam-Weng Tam (S'91–M'01–SM'05) received the B.Sc. degree in electrical and electronics engineering from the University of Macau, Taipa, Macao, China, in 1993, and the and joint Ph.D. degrees in electrical and electronics engineering from the University of Macau and Instituto Superior Tecnico (IST), Technical University of Lisbon, Lisbon, Portugal, in 2000. From 1993 to 1996, he was with the Instituto de Engenharia de Sistemas e Computadores (INESC), Lisbon, Portugal, where he participated in research and development on a broad range of applied

4149

microwave technologies for satellite communication systems. From July 2000 to December 2001, he was the Director of the Instituto de Engenharia de Sistemas e Computadores (INESC)—Macau. In 2001, he cofounded the microelectronic design house Chipidea Microelectrionica, Macau, China, where until 2003 he was the General Manager. Since 1996, he has been with the University of Macau, where he is currently a Professor and the Associate Dean (Research and Graduate Studies) with the Faculty of Science and Technology. He has authored or coauthored over 100 journal and conference papers. His research interests have concerned multifunctional microwave circuits, RFID, UWB for material analysis and terahertz technology. Dr. Tam was interim secretary for the establishment of the Macau Section in 2003. He supervised two IEEE Microwave Theory and Techniques Society (MTT-S) Undergraduate Scholarship recipients in 2002 and 2003. He was founder of the IEEE Macau AP/MTT Joint Chapter in 2010 and was chair in 2011–2012. He was a member of the organizing committees of 21 international and local conferences including co-chair of APMC2008, co-chair of the Technical Program, IEEE MTT-S International Microwave Workshop Series on Art of Miniaturizing RF and Microwave Passive Components (2008), and co-chair of ISAP2010.

4150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Passive Microwave Substrate Integrated Cavity Resonator for Humidity Sensing Hatem El Matbouly, Naimi Boubekeur, and Frédéric Domingue

Abstract—This paper presents an original passive microwave substrate integrated cavity resonator [substrate integrated waveguides (SIWs)] as an environment sensor for humidity detection. The proposed structures are based on a high quality factor substrate integrated cavity resonator operating at 3.6 and 4.15 GHz. The detection principle is based on a frequency shift due to the permittivity variation of the humid air. This variation can be detected and used as the sensor indication. The frequency shift has been estimated analytically using the dielectric perturbation method for the resonator prototypes. The structure of the presented SIW resonators has been tested in the presence of humidity and shows sensitive characteristics in the range of 0%–80% relative humidity in accordance with the proposed model. A comparison of sensitivity performance between the new structure and other reported microwave components for environmental sensing is also presented. Measurements of repeatability and reliability for the proposed structure are discussed as well. As a new microwave component type, the proposed substrate integrated environmental sensor has the advantage of providing a new fabrication solution for radio frequency environmental sensing and greatly simplifies the sensor's manufacturing processes and cost. Index Terms—Cavity resonators, environmental sensor, microwave detection, passive, substrate integrated waveguides (SIWs).

I. INTRODUCTION

I

N recent years, many passive microwave components, including radio frequency identification (RFID) technology, have been investigated for sensing applications [1]. They are expected to play an important role as environment monitoring elements for physical parameter sensing, detection of harmful agents, and noninvasive monitoring. Environmental sensors are essential devices to measure several physical quantities. Gas sensors, for example, are used to detect toxic gas leakage to avoid accidents in industrial environments, for air quality control, and for monitoring in domestic environments. Recently, the

Manuscript received February 13, 2015; revised July 03, 2015 and August 28, 2015; accepted October 16, 2015. Date of publication November 17, 2015; date of current version December 02, 2015. This work was supported in part by the Canada Research Chairs Program and by the National Science and Engineering Research Council of Canada (NSERC). H. El Matbouly and F. Domingue are with the Electrical and Computer Engineering Department, Université du Québec à Trois-Rivières, Trois-Rivières, QC G9A5H7, Canada (e-mail: [email protected]; [email protected]). N. Boubekeur is with the C2T3, Cégep de Trois-Rivières, Trois-Rivières, QC G8Z1M8, Canada (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495346

need for sensors to monitor air quality (gas, humidity, dust, etc.) in vehicles and houses has gained a lot of interest [1], [2]. Previous microwave sensing techniques focused on planar microwave components with the incorporation of dielectric sensitive materials. For example, gas sensing has been demonstrated using microwave transmission lines [3] where the dielectric response of a material in the presence of a gas under the application of a microwave signal is used as microwave transducing. Other microwave structures have been used in gas sensing. The dielectric response of carbon nanotubes (CNTs) has been used as an indication of the presence of gases using an inductor-capacitor resonant microwave structure [4]. The CNT response affects the frequency spectrum of the device which can be tracked to identify the concentrations of the types. Moreover, microwave passive components have been used as detectors for other environmental parameters such as air quality. For instance, humidity detection with a group delay C-section structure has been demonstrated [5]. The structure is based on a cascaded group of micro-strip transmission line sections coupled at alternative ends. Silicon nanowires are used as a humidity sensitive layer and they are deposited on the transmission line sections [5]. An RFID antenna has been used in humidity detection [6] with polymer-based humidity sensitive material. The structure is an H-shaped slot in which the humidity reacting polymer is deposited. RFID tags have been implemented on fixable substrates for humidity sensing [7]. The tag structure was ink-jet printed onto a Kapton 500HN polymer, which is a humidity sensitive material. Most of these structures do not cover a wide humidity range, which limits them in terms of applications. Substrate integrated waveguides (SIWs) are attracting more and more attention in many areas such as microwave filters [8], RFID tags [9] and microwave resonators due to their high factor, low insertion loss, and high power capability. In addition, SIWs can be integrated with other planar circuits to form a compact microwave system [10]. An SIW was demonstrated for fluid sensing [11]; the structure was tested for fluidic dispersions in which particles of high dielectric constant are dispersed in low dielectric oil. However, SIWs have never been investigated for their environmental sensing capabilities. This work proposes an original low-cost microwave component for environmental sensors. This solution is based on a new generation of substrate integrated microwave cavity resonator having a sensitive region introduced inside the resonant cavity. The structure shows sensitivity characteristics depending on the size of the sensing material inside the SIW resonator. The proposed SIW structure also shows sensitivity, in the absence of sensitive material, for humidity, comparable to other reported

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

EL MATBOULY et al.: PASSIVE MICROWAVE SUBSTRATE INTEGRATED CAVITY RESONATOR FOR HUMIDITY SENSING

4151

dielectric constant [9], changing the percentage of relative humidity due to water vapor will, in turn, change the resonance frequency. This frequency shift can be exploited as an indication of the presence of moisture. The permittivity of humid air (1) is used for and (atmospheric pressure) and humidity range (0%–80%). By calculating the slope , the dielectric variation per relative humidity variation is found to be 0.0002/RH. This variation can be used to estimate the frequency shift of the resonator at different humidity percentages. Fig. 1. 3-D structure of a microwave substrate integrated humidity sensor.

III. THEORETICAL MODEL AND ELECTROMAGNETIC ANALYSIS Functionalizing an SIW resonant cavity with a sensitive dielectric implies modifying the original substrate with a different dielectric material, which can introduce a frequency shift or a change in the quality factor of the SIW resonator. In order to analyze these modifications, the cavity perturbation method can be used to estimate the frequency shift due to a functionalized region inside the cavity and a relation can be established between the functionalized region size and the range of the frequency shift. Fig. 2 shows an SIW resonator with a functionalized region. The resonance frequency of SIW cavity is given by [13] (2) where

Fig. 2. Integration limits for the dielectric perturbation calculation of the functionalized resonant cavity.

microwave structures. This work presents an analytical model using the dielectric perturbation method to estimate the electromagnetic sensitivity characteristic of different prototypes. The model relates the size of the functionalized region to the fractional change of the resonance frequency. The model results were compared to the experimental values obtained from different SIW resonator prototypes. The sensing performance of the proposed structure is compared to the performance of other microwave components and the results are discussed. II. SENSOR STRUCTURE AND OPERATION PRINCIPLE

(3) In this case, is the relative dielectric constant of the substrate material. The conditions and which relate to the diameter and the pitch of the metallic posts ensure that the radiation loss is kept at a negligible level. In this case, the SIW can be modeled using the conventional rectangular waveguide where the design equations and the theoretical framework of the rectangular waveguide are applicable to the SIW [14]. For this reason, the fractional change in the resonance frequency due to dielectric perturbation is given by

(4)

Fig. 1 represents the proposed structure of the substrate integrated cavity resonator for a humidity sensing element. The basic principle of the proposed humidity sensor is based on the change of effective permittivity of the dielectric due to the presence of humidity inside the air holes. Moisture in the atmosphere changes air's permittivity according to the following equation [12]: (1) where is the absolute temperature (in K), is the pressure of moist air (in mmHg), is the pressure of saturated water vapor (in mmHg), and is the relative humidity (in %). Equation (1) shows that the dielectric constant of moist air is proportional to the percentage of relative humidity. Since the resonance frequency of the resonator is inversely proportional to the effective

and are the unperturbed electric and magnetic where fields inside the resonator, is the volume of the SIW resonator and where is given by MaxwellGarnett (MG) mixing rule [11]

(5) is the relative dielectric constant of the substrate, is given by (1) and is the volume fraction of the sensing region. It is important to note that the perturbation is only in the dielectric constant since the substrate has no magnetic properties, hence there is no change in the magnetic permeability . For the TE mode, the unperturbed electric field inside the resonator [14]. Using the geom-

4152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 4. Design parameter of the unperturbed SIW resonator.

Fig. 3. Numerical results based on the analytical perturbation model and the electromagnetic FEM simulation of the SIW structure.

etry shown in Fig. 2, the integral in (4) has been evaluated over the volume of the resonator giving the following expression (6) Equation (6) is a general form of resonance frequency fractional change evaluated in case the length and the width of SIW resonator are not equal. In case the fractional change in the resonance frequency will take the form (7) Increasing the distance increases the functionalized region inside the SIW resonator which will have an effect on the sensitivity. Given the dimensions of the resonator and the size of the sensitive region . Equation (7) gives an estimation of the frequency shift due to the variation of the dielectric constant . In order to validate the analytical expression (7), the resonator structure has been simulated using a finite element method (FEM) 3-D simulator (Empro). The simulation results are compared to the analytical dielectric perturbation model. Fig. 3 shows the frequency shift obtained from (7) due to dielectric perturbation variation in the range of 1–3 compared to the FEM simulation. The simulated structure has dimensions of . The difference between the simulation and the analytical perturbation model is due to the fact that the perturbation method is an approximation [15]. This comparison shows that the analytical perturbation model is a good approximation for the resonance frequency. IV. DEVICE DESIGN AND FABRICATION An unperturbed resonator with a resonance frequency given by (2) has been designed and simulated with the FEM tool using the design parameters shown in where Fig. 4 where , , and . The diameter of the metalized posts is 0.4 mm and the pitch of the posts is 1.5 mm. These parameters have been chosen to respect the conditions ( and ) which ensure negligible leakage losses. In order to study the impact of the size of the functionalized region, , on sensitivity, two functionalized resonators have

Fig. 5. (a) Fabricated resonator SIW1. (b) Fabricated resonator SIW2, which has a smaller sensitive region than resonator SIW1.

been fabricated, each with different . The functionalized region is introduced inside the SIW resonator presented in Fig. 5 by using air holes with a diameter of 1.2 mm. The air holes have a wider diameter in order to increase the effect of humidity on the resonance frequency as they are filled with humid air. The pitch is 1.5 mm. These parameters have been used to fabricate the resonator structure on RO4003C Roger substrate ( , , ). The dielectric constant of this substrate is not affected by temperature variation in the range of (25 –60 ) but a small drift can occur at higher temperatures . Fig. 5 shows a picture of the fabricated sample resonators (SIW1 and SIW2) measuring . The measured and simulated parameters of the resonator structure are shown in Fig. 6. The figure presents the perturbed TE10 resonance mode of resonators SIW1 and SIW2. The measured factor for SIW1 was 263.25 and 308 for SIW2. These measurements were taken at 20% humidity. V. EXPERIMENTAL DEMONSTRATION HUMIDITY DETECTION

FOR

The humidity sensing characteristic of the proposed resonator was tested experimentally using the environmental characterization system shown in Fig. 7. Nitrogen gas was used as a carrier to deliver the humidity from the source to the chamber where the SIW resonator is placed. The gas flow was maintained at 500 sccm during the test using a mass flow controller and the gas temperature was fixed at 30 . The test starts by measuring the resonance frequency in a vacuum (0.03 atm) to ensure that there is no humidity in the chamber. Then, relative humidity is increased by increments of 10%RH till it reaches a maximum relative humidity value of 80%RH. The duration of each RH step is 3 min, allowing the

EL MATBOULY et al.: PASSIVE MICROWAVE SUBSTRATE INTEGRATED CAVITY RESONATOR FOR HUMIDITY SENSING

Fig. 6. Simulated and measured for the designed substrate integrated resonators with the electric field distribution for the TE10 resonance mode.

4153

Fig. 8. TE10 resonance frequency shift of SIW1: (Left) the recorded and theoretical resonance frequency as a function of humidity variation. (Right) the resonance frequency variation.

Fig. 9. TE10 resonance frequency shift of SIW2. (Left) Recorded and theoretical resonance frequency as a function of humidity variation. (Right) Resonance frequency variation.

The TE10 resonance mode exhibits a maximum frequency variation of 9 MHz at 80% RH. The measured sensitivity of the SIW resonator is given by [17] (8) where

Fig. 7. (a) Measurement setup for humidity sensing measurement using a gas sensor characterization bench. (b) Test chamber with the resonator under test.

humidity level to stabilize at the RH set value inside the test chamber (see Fig. 7). In order to test the repeatability of the measurement, the experiment was repeated for five days and the readings were taken under the same experimental conditions. Fig. 8 shows the measured and simulated frequency shift (left axis) with the measurement error of the proposed resonator as a function of humidity for the TE10 resonance mode.

is the change in the resonance frequency and is the corresponding change of relative humidity. The measured sensitivity for SIW1 is found to be 101 kHz/RH The same measurement was performed on the second resonator, SIW2, for the same resonance mode; the results are shown in Fig. 9. The second resonator exhibits a maximum frequency shift of 0.8 MHz at 80 RH and a sensitivity of 9.35 kHz/RH. The sensitivities have been calculated by linear regression of the measured resonance frequency. The sensitivity of resonator sample SIW1 was higher than the second resonator sample, SIW2, due to a larger sensitive region which takes advantage of more of the electric field that occupies the interior of the resonator and, hence, has more interaction with the moisture in the air via.

4154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE II SENSITIVITY ANALYSIS OF RESONANCE FREQUENCY (GHz)

TABLE III OF MICROWAVE STRUCTURES FOR HUMIDITY SENSING

COMPARISON

Fig. 10. Environment conditions (pressure and temperature) during humidity measurement. The arrows in the RH curve indicate the point where measurements have been taken. TABLE I SENSITIVITY ANALYSIS OF DIELECTRIC CONSTANT

VI. SENSITIVITY ANALYSIS The dielectric constant of the sensitive region (humid air) of the resonator can be affected by the variations of other parameters such as pressure and temperature during the test. These variations can affect the resonance frequency shift of the resonator and, hence, the sensitivity. The influence of pressure and temperature variations on the resonance frequency can be evaluated by applying a sensitivity analysis on (1) which relates the dielectric constant of the humid air to the other environment parameter. The sensitivity of the dielectric constant is given by (9) where , and are the absolute value of partial derivatives of (1) evaluated at the nominal values of , and , and , and are the total tolerances on the environmental parameter at the measurement. The nominal values are the test condition values shown in Fig. 10, which are (760 mmHg), and has the RF measured values indicated by the arrows in Fig. 10 in the range (0%–80% RH). The numerical values of partial derivative terms in (8) are a measure of the sensitivity of the corresponding parameter variation. Table I lists the numerical values of , and , the physical units are ( , , and ) From Table I, the dielectric constant is more affected by the humidity variation than the rest of the parameters. A small humidity variation can be reflected in the value of the dielectric constant and hence, the measure of resonance frequency, since they are related by (2). Using the same analysis, the sensitivity of resonance frequency is related to the sensitivity of

dielectric constant

by

(10) implies Minimizing the sensitivity of resonance frequency minimizing the measuring errors produced by the other parameters, which are limited by the test apparatus. Table II lists the numerical values of , , , and for both resonator prototypes, SIW1 and SIW2. Table II shows that resonator SIW1 has higher sensitivity than SIW2 in terms of the variation of dielectric constant , which explains the results obtained in Figs. 9 and 10. Also, the effects of pressure, temperature, and humidity variations on the resonance frequency are indicated in Table II. The effect of pressure and temperature variation is negligible for both SIW1 and SIW2. The measured output frequency shift for both sensors is a linear function of relative humidity as predicted by the analytical perturbation model. Table II confirms that the main factor affecting the sensitivity is the size of the sensitive region for a fixed size resonator. In case of the first resonance mode TE101, increasing the size of the sensitive region allows more interaction between the electric field and the moist in the air holes leading to higher frequency deviation per relative humidity value. VII. COMPARISON AND DISCUSSION The sensing performance of the proposed resonator structure has been compared to other microwave components in litera-

EL MATBOULY et al.: PASSIVE MICROWAVE SUBSTRATE INTEGRATED CAVITY RESONATOR FOR HUMIDITY SENSING

ture. Table III lists the microwave structures used as humidity detectors with their sensitivities. Most of the investigated structures achieved sensitivity in the order of hundreds of kHz/RH with the help of humidity sensitive material. On the other hand, the C-section structure [5] has higher sensitivity using doped Silicon nanowires as a sensitive material but within a small humidity range. As shown in Table II, the proposed substrate integrated resonator has wider sensitivity range to humidity (0%–80%) compared to other published structures which use humidity sensing materials that may have sensitive range limitations. In addition, the proposed SIW humidity sensors show a linear response over its entire range which is convenient in passive sensing application.

VIII. CONCLUSION In this work, a microwave substrate integrated resonator is presented as a humidity detector for the first time. The proposed structure operates without a humidity sensitive material in the humidity range from 0% to 80% RH. The humidity sensing capability of the proposed resonator is based on changing the effective permittivity inside the resonator due to the presence of moisture. The presented resonator has a sensitivity of 9.35–101 kHz/RH depending on the size of the dielectric sensitive region introduced inside the cavity. The resonance frequency can be easily modified by changing the dimensions of the resonator to make it suitable for specific applications. The proposed structure has wider sensitivity range to humidity with linear transfer function predicted by an analytical model. As the substrate integrated technology is transferable to different types of substrate, the proposed structure can be fabricated on flexible substrates.

REFERENCES [1] G. Marrocco, “Pervasive electromagnetics: Sensing paradigms by passive RFID technology,” IEEE Wireless Commun., vol. 17, no. 6, pp. 10–6–17, Dec. 2010. [2] R. Want, “Enabling ubiquitous sensing with RFID,” Computer, vol. 37, no. 4, pp. 84–86, 2004. [3] G. Barochi, J. Rossignol, and M. Bouvet, “Development of microwave gas sensors,” Sensors Actuators B-Chem., vol. 157, no. 2, pp. 374–379, Oct. 20, 2011. [4] C. Occhiuzzi, A. Rida, G. Marrocco, and M. Tentzeris, “RFID passive gas sensor integrating carbon nanotubes,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2674–2684, Oct. 2011. [5] R. Nair, E. Perret, S. Tedjini, and T. Barron, “A humidity sensor for passive chipless RFID applications,” in Proc. IEEE Int Conf RFIDTechnol. Appl. (RFID-TA), 2012, pp. 24–33. [6] S. Manzari, C. Occhiuzzi, S. Nawale, A. Catini, C. Di Natale, and G. Marrocco, “Humidity sensing by polymer-loaded UHF RFID antennas,” IEEE Sensors J., vol. 12, no. 9, pp. 2851–2858, Sep. 2012. [7] J. Virtanen, L. Ukkonen, T. Björninen, A. Z. Elsherbeni, and L. Sydänheimo, “Inkjet-printed humidity sensor for passive UHF RFID systems,” IEEE Trans. Instrum. Meas., vol. 60, no. 8, pp. 2768–2777, Aug. 2011. [8] D. Zhang, “Novel bandpass filters by using cavity-loaded dielectric resonators in a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 5, pp. 1173–1182, May 2014. [9] H. ElMatbouly, N. Boubekeur, and F. Domingue, “A novel chipless identification tag based on a substrate integrated cavity resonator,” IEEE Microw. Compon. Lett., vol. 23, no. 1, pp. 52–54, Jan. 2013.

4155

[10] W. Hong and K. Wu, “Design mechanisms and application examples of SIW structure family,” presented at the IEEE IMS, Montreal, QC, Canada, 2012. [11] J. D. Barrera and G. H. Huff, “Analysis of a variable SIW resonator enabled by dielectric material perturbations and applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 225–233, Jan. 2013. [12] J. Fraden, The Handbook of Modern Sensors: Physics, Design and Application, 3rd ed. New York, NY, USA: Springer, 2003, pp. 393–399. [13] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [14] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoelectronics,” Proc. TELSIKS, pp. 3–5, Oct. 2009. [15] D. Pozar, Microwave Engineering, 2nd ed. Hoboken, NJ, USA: Wiley, 1998. [16] E. Amin, N. Karmakar, and B. Winther-Jensen", “Polyvinyl-alcohol (pva)-based RF humidity sensor in microwave frequency,” Progr. Electromagn. Res. B, vol. 54, pp. 149–166, 2013. [17] K. Chang, Y. H. Kim, Y. J. Kim, and Y. J. Yoon, “Functional antenna integrated with relative humidity sensor using synthesized polyimide for passive RFID sensing,” Electron Lett., vol. 43, no. 3, pp. 7–8, 2007. [18] E. M. Amin and C. N. Karmakar, “Development of a low cost printable humidity sensor for chipless RFID technology,” in Proc. IEEE Int. Conf. RFID-Technol. Appl. (RFID-TA), 2012, pp. 165–170.

Hatem El Matbouly received the B.S. and M.S. degrees in electronics and communication engineering from AAST, Alexandria, Egypt, in 2003, and the Ph.D. degree in electrical and computer engineering from the Université du Québec à Trois-Rivières, Québec, Canada, in 2015. From 2000 to 2009, he was a Teaching Assistant at AAST; German University, Cairo, Egypt; and Purdue University, West Lafayette, IN, USA; as well as a Researcher at Birck Nanotechnology Center, Purdue University, in the area of nano materials. Since 2011, and during his Ph.D. work on microwave components for sensing, he has been a Research and Teaching Assistant with the Electrical and Computer Engineering Department, Université du Québec à Trois-Rivières. In addition, he did collaborative research work in the area of sensing with the Institute for Energy and Transport (IE-JRC), The Netherlands, and The National Renewable Energy Laboratory (NREL), Golden, CO, USA. He fulfilled supervision and consulting duties with CanmetMINES Laboratory Natural Resources, Ontario, Canada. He published many journal and conference articles, a book chapter, and a patent. His research interests include design and fabrication of novel electromagnetic structures and devices in the range of microwave, terahertz and optical frequencies for telecommunications, imagining, energy harvesting, and biomedical applications.

Naimi Boubekeur was born in Guendouze Village, Bejaia, Algeria, in 1974. He received the B.S. degree from the University of Bejaia, Algeria, in 1996, and the M.S. degree from the National Polytechnic Institute, Grenoble, France, in 2002, both in applied physics and microwave and optics, and the Ph.D. degree in electrical engineering science from Femto–St. Institute, Besançon University, Besançon, France, in 2006. After five years in the field of industry, he joined the Telecoms Laboratory, Université du Québec à Trois-Rivières, Québec, Canada, from 2012 to 2014 as a Postdoctoral Fellow in Microwave Techniques for developing a new generation gas sensors. Since 2014, has been in a Research position at Trois-Rivières College Telecommunication Research Center, C2T3, Québec, Canada, and an Associate Professor at Université du Québec à Trois-Rivières. His research interest include radio frequency (RF) and antenna design, wireless engineering, RF metrology, and EMC design.

4156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Frédéric Domingue received the B.Eng. and Ph.D. degrees in electrical engineering from the Ecole de Technologie Superieure, Montreal, QC, Canada, in 2004 and 2008, respectively. From 2007 to 2008, he was a Visiting Scholar at the Centre for Integrated Radio Frequency Engineering (CIRFE), University of Waterloo, Waterloo, ON, Canada. In 2009, he joined the Radio Frequency Devices Laboratory (LCRF), Department for Heterogeneous Integration on Silicon, CEA-LETI, Grenoble, France, as a Postdoctoral Fellow. He is

currently Professor with the Electrical and Computer Engineering Department, Université du Québec à Trois-Rivières, Québec, Canada. He holds the Canada Research Chair in Radio Frequency Technologies for Gas Detection. He manages a research laboratory working on RF sensors design, characterization, and fabrication at the Université du Québec à Trois-Rivières. His current research interests include RF technologies and components for sensing applications. Prof. Domingue was the recipient of postgraduate scholarships (2004–2008) from the Natural Sciences and Engineering Research Council of Canada (NSERC) and several distinctions and scholarships from the Quebec government and institutional programs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4157

Wearable RF Sensor Array Implementing Coupling-Matrix Readout Extraction Technique Wei-Ting Scott Chen, Katherine M. E. Stewart, Chang Kevin Yang, Raafat R. Mansour, Fellow, IEEE, John Carroll, and Alexander Penlidis

Abstract—This paper presents a novel single-port multi-pole resonant sensor array fabricated on a novel Frame-Flex flexible substrate for a wearable epidermal ethanol sensor system, in which individual sensors carrying different functional polymers are brought together to share the same electrical input and output, and their resonance behavior along with inter-resonator coupling are captured through the single reflected array response curve ( ). The coupling-matrix readout extraction (CMRE) technique response, is then further proposed to determine, from the , which the changes in the diagonal-coupling coefficient, are used to identify different chemical analytes as the coupling signature. Two sensor arrays implementing different functional polymer sets—one with siloxane-based polymers and the other with crystalline-based polymers—are fabricated and tested under two selected mechanical loading conditions. The CMRE technique is then employed to obtain the coupling signatures of ethanol, methanol, acetone, and benzene on the arrays. It is successfully shown that the array response analyzed through the CMRE technique can clearly distinguish the presence of ethanol from other chemical interferents. For complicated mixtures of ethanol and other unwanted analytes, the distinctive coupling signatures obtained by CMRE can be used as a reliable data source fed to post-readout multi-variant analysis for pattern recognition. Index Terms—Chemical sensors, flexible electronics, gas detectors, microwave sensors, plastic packaging, sensor arrays, sensor phenomena and characterization, thin-film sensor.

I. INTRODUCTION

B

IOMARKER detection is a major engineering goal that enables numerous applications in the fields of biomedical and law enforcement. By monitoring the conditions of the subject with a dedicated biomarker detection system, the health condition as well as other biomedical parameters of interest can be evaluated in real-time. Blood-alcohol contents (BACs) has

Manuscript received November 09, 2014; revised March 07, 2015 and May 25, 2015; accepted October 11, 2015. Date of publication October 27, 2015; date of current version December 02, 2015. This work was supported under the collaboration of the University of Waterloo with Sober Steering Inc. with dedication towards stopping driving under the influence (DUI) offense and promoting road safety. W.-T. S. Chen, C. K. Yang, and R. R. Mansour are with the Centre of Integrated RF Engineering (CIRFE), University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: [email protected]; [email protected]; [email protected]). K. M. E. Stewart and A. Penlidis are with the Institute of Polymer Research, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: [email protected]; [email protected]). J. Carroll is with Sober Steering Inc., Waterloo, ON, Canada N2L 6R5 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2490675

always been the single most interested biomarker as a measurement of whether an individual is under too much alcohol influence to legally operate personal or commercial vehicles. However, despite tremendous law-enforcement effort, reported traffic accidents involving at least one driver under the influence of alcohol have claimed thousands of lives per year in North America, and incurred an average cost of 20 billion US dollars annually [2]. Sporadic driving under the influence (DUI) checks are proven to have limited influence in reducing the number of drunk drivers on the road. Existing in-vehicle DUI detection systems are mainly developed around the commonplace fuel cell-based Dart sensor technology [3] that offers sub-ppm sensitivity and high selectivity for alcohol derivatives—both ethanol and methanol—from other nonalcohol analytes. The near-infrared (NIR) finger-tip blood alcohol content (BAC) sensor system [4], a rather costly alternative of Dart technology, has also been sparsely implemented on some luxury vehicles for its high precision in determining the legal threshold BAC of 0.08%. Nevertheless, both systems are prone to interference from unwanted chemical analytes either from the ambient environment or from within the human body, and if used alone, are incapable of differentiating target analytes from other chemicals having similar excitation effects on the sensor. More importantly, despite the superior sensing performance of these two popular technologies, both systems are highly obtrusive to the driver’s attention, and therefore can be as hazardous as DUI itself. The steering wheel-integrated DUI detection system [5] is the first ubiquitous DUI detection system that offers continuous epidermal BAC [6] monitoring with interference elimination capability. However, the system still lacks the user transparency due to the limited number of sensor locations around the steering wheel. In order to reach the next level of ubiquity and transparency of the sensor array to the driver, this paper presents a novel single-port multi-pole RF resonant sensor array fabricated with the novel Frame-Flex flexible substrate preparation process in order to deliver the smallest achievable sensor array footprint and the optimal sensor array conformability to target skin surfaces. The RF resonant sensor array design exploits the advantage of response amplification of resonant sensors at RF for optimized sensitivity levels as those reported in [7]–[16]. It uses the sensor design in [15] and [16] as the building block to form the sensor array. However, in this compact array configuration, the sensor cells would inadvertently suffer from crosstalk due to inter-resonator coupling. In order to resolve this shortcoming, the novel coupling-matrix readout extraction

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

(CMRE) technique is developed to extract the sensor readings from the crosstalk-infested reflected response ( ) of the array. The extracted readouts from individual sensor cells can be directly used for post-readout multi-variant analysis, ultimately achieving accurate chemical signature identifications and clear differentiation of ethanol from other interfering chemicals enumerated in [2]. While other preliminary works on resonant sensor arrays have been done for various applications [17]–[20], the design presented in this paper is the first RF resonant sensor array structure that is intended for simultaneous multi-analyte detection with sophisticated mathematical readout extraction methodology for high data throughput without the use of a dedicated analog–digital converter (ADC) for individual sensor cells. This polymeric chemi-capacitor-based resonant sensor array and its corresponding readout technologies provide superior sensor array customizability and system integrability compared to other novel gas sensor technologies such as carbon nanotube field-effect transistor (CNT-FET) chemical sensor [21], CMOS-based chemical sensor [22], and other traditional chemi-resistive and chemi-capacitive sensing technologies [23]–[28]. The flexibility of the sensor array further enables users to wear the sensor system against their skin, allowing transdermal biomarkers being sampled right at the skin surface for the improved unobtrusiveness. The sensor array, consisting of a single metal layer sandwiched between polymeric materials, would deliver an unparalleled cost advantage compared to the existing Dart and NIR technologies, ultimately allowing the sensor array to be disposable for further reduction in cost. II. THEORY AND DESIGN A. Epidermal/Transdermal Ethanol Biomarker Detection Human skin is found, regardless of perspiration, to be continuously releasing transdermal gases, in which a minimum concentration of approximately 10 ppm can be detected within 5 min after alcohol consumption of 150 mL [2]. Through the empirical correlation presented in [29] and [30], transdermal alcohol concentration (TAC) is a highly reliable parameter in estimating BAC. Based on the legal BAC limit of 0.08 (80 ppm in gaseous form) in North America [2], the desired detection range for TAC level is proposed to be from 5 to 100 ppm in the absence of common interfering chemicals in vehicles [2]. Sensors with sufficient sensitivity will be capable of identifying drivers under the influence of excessive alcohol intake. However, since multiple chemicals that can interact with alcohol-sensitive polymers are present in driver cabins as specified in [2], a sensor array carrying different functional polymers is required to generate capacitance signatures for differentiating ethanol from other interfering chemicals known as interferents. B. Single-Port Multi-Pole Resonant Sensor Array Conventional sensor arrays used for chemical signature identification, such as those used in electronic nose, all have dedicated electrical connections, some even with dedicated ADCs, to individual sensors to minimize the signal interference among

Fig. 1. Single-port multi-pole resonant sensor circuit model (above) and CPW realization (below).

them. This configuration poses a fundamental size limit to the sensor array. In addition, when operating the sensor at resonance for improved sensitivity [7], [15], [16], the requirement for electrical isolation among sensors would be significantly higher, resulting in a larger design footprint with a more complicated readout circuit. In order to overcome this size limitation for wearable sensor array design, the combline chemi-capacitive resonant sensor [15], [16] is used as the building block for the single-port multipole resonant sensor array shown in Fig. 1. The sensor consists of a 6- m-thick interdigital chemi-capacitive sensor loaded with different functional polymers, which are responsive to different chemical analytes [7]. The capacitive sensor is then connected in shunt with a fixed inductor, , to form a resonant sensor at the selected frequency, . These resonators may be designed to resonate at the same frequency or distinctively different frequencies for different application needs. The change in capacitance of the chemi-capacitive sensor ( ) would be reflected on the shift in sensor resonant frequency ( ), thereby providing an effective readout pathway for each sensor. In such a configuration, all the sensors are sharing one single electrical connection, and their collective response curve, known as the return loss ( ) of the resonant structure, would contain the responses of individual sensors. This way, the sensor array can be altogether operated at resonance for improved sensitivity, while keeping the sensor design footprint and the readout circuit as small as possible. However, in this configuration, all the resonant sensors inadvertently have mutual coupling with each other along with the shared electrical connection. As a result, an observed on one resonator may not be solely due to , but also the coupling between resonators ( ), and therefore renders the simple mathematical relationship of ineffective in extracting from . Such a drawback would then require a more sophisticated readout method to decouple the sensor readings from the inter-resonator coupling. C. CMRE Technique The general form of the two-port -resonator coupling matrix model defined in Fig. 2 and (1) are widely used to charac-

CHEN et al.: WEARABLE RF SENSOR ARRAY IMPLEMENTING CMRE TECHNIQUE

4159

Fig. 2. General coupling matrix model for two-port multi-pole system [30]. Fig. 4. Single-port two-pole resonant sensor array on Kapton/SU-8 Frame-Flex substrate (above) and its conformity on skin contour (below).

Fig. 3. Modified coupling matrix model for one-port multi-pole sensor array.

terize and isolate tuning parameters out of two-port multi-pole resonant structures, and thus is widely used in filter optimization. The -pole coupling matrix model contains the main coupling matrix with the dimension of in which the diagonal-coupling coefficients ( ) represent the self-resonance frequencies of the resonators and the inter-resonator coupling ( ) represent the energy sharing among the resonators and . The termination impedance matrix, , contains all zeroes, except the input impedance in , and the output impedance in . Finally, is the low-pass to bandpass transformation variable in which the center frequency of the resonant structure is denoted as , the bandwidth of the structure as , and the independent frequency variable as . With these matrices and formulas, the close-form expression of the insertion loss and return loss as function of and —can be derived [30] .. . .. .

..

..

.

.. .

. .. .

single-port multi-resonator structure presented in Fig. 1 can be obtained as shown in Fig. 3, and the closed-form expression of at port 1 can be expressed as a function of , , , and . An example expression of a one-port three-pole resonant array is shown in (2). As far as the coplanar waveguide (CPW) implementation of the sensor array in Fig. 1 is concerned, the capacitive input coupling and the direct transmission line connection coupling between resonators can both be represented by their respective numerical coupling coefficients, , as both physical implementations serve to control the amount of RF energy shared among resonators,

(2) When individual sensors are designed to resonate at different frequencies, the bandwidth of the array is defined as the frequency range between the lowest and highest sensor resonant frequencies ( and ) and the center frequency of the array ( ) as . In this case, an asynchronous tuned coupling matrix model must be considered, and all would hold nonzero values, through which their corresponding resonant frequencies ( ) can be derived from (3) [30] as follows: (3)

(1) By applying an open-circuit load at port 2 of the general coupling matrix model, a modified coupling matrix model for the

with respect to the correBy taking the differentiation of sponding resonant sensor capacitance ( ), as shown in (4), it is obvious that is a function of and the respective resonant sensor inductance ( ), implying that in order to obtain the numerical value of from , either or of the array have to be characterized beforehand in order to obtain the capacitance signature of the array [1]. Furthermore, (4) mathematically asserts a basis transformation relationship

4160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. Example Frame-Flex flexible substrate preparation process.

between and , implying that and are merely two number sets representing the same phenomenon. As a result, in the case scenarios where isolated characterizations of and are difficult to perform, (4) guarantees that alone can also be used as the coupling signature to identify the analyte with no loss of accuracy in the absence of absolute values of and ,

(4) assumption, , , and In addition to the constant should also be assumed constant under analyte exposure because the input transmission line, coupling transmission lines, and open-circuit termination of the sensor array illustrated in Fig. 1 are not covered in the functional polymer, and thus are all not responsive against analyte exposure. As a result, the parameters subject to change against analyte exposures can be safely assumed to be only or the coupling signature. D. Frame-Flex Flexible Substrate Preparation Process Expanded from microelectromechanical systems (MEMS) rigid-flex flexible substrate processes [31], the novel Frame-Flex process is designed to allow MEMS or non-MEMS devices to submerge into the solid islands, providing higher design flexibility and monolithic device packaging. The sample RF resonant sensor array on the Kapton/SU-8 Frame-Flex substrate is illustrated in Fig. 4. SU-8 is a commonplace negative photoresist with permanent curability for packaging and molding purposes in thin-film fabrication. The design in Fig. 4 illustrates three key features of Frame-Flex: flexibility, monolithic packaging, and fabrication reliability. The sensor array fabricated on the Frame-Flex substrate gains physical flexibility and can conform to skin surface without deforming the sensor units residing within the frame. The frame also acts as a spacer, preventing the skin surface from direct contact with the sensor surface, which may lead to physical sensor damage. Lastly, the frame also provides the same convenience as the conventional functional polymer deposition pockets [32].

III. FABRICATION AND EXPERIMENTAL SETUP A. Sensor Array Fabrication and Polymer Functionalization The example Frame-Flex substrate preparation process illustrated in Fig. 5 starts with a 127- m Dupont Kapton VN substrate with a 50-nm Au seed layer and a 30-nm Cr adhesion layer. The RF resonant sensor array structures are patterned with the 6- m gold plating and lift-off process. A layer of 100- m SU-8 is then spin coated at 1000 r\min followed by the recommended soft-bake recipe [33] and 3-h rehydration under 25 C and an ambient humidity level of 60%. The lithography process is carried out at 25.4-W UV exposure for 25 s, followed by the recommended post-exposure bake recipe [33]. Next, the SU-8 layer is developed with the SU-8 developer at 30 C with 500-r\min agitation. After the recommended hard-bake [33], the patterned SU-8 layer forms the plastic frame that provides mechanical protection on the resonant sensor, while leaving an opening in the chemi-capacitor area. Both features would then allow simple and controlled functional polymer deposition. The thickness of the SU-8 frame can be increased to achieve more optimal separation distance between the sensor and the target skin surface in order to prevent physical damage to the sensor. A 30- m-deep precursor dice lines are then etched on the back side of the wafer in O plasma in reactive ion etching (RIE), followed by the backside wafer-wide 100- m-deep trench lines and dice lines etching again in the O plasma with nitrogen purge to prevent polymeric residue accumulation on the wafer surface. After the backside etching step is done, all devices are automatically diced, and the Frame-Flex substrate preparation process is completed. After the sensor arrays are fabricated and attached to the SMA connectors with silver epoxy, the selected functional polymers known to be sensitive to ethanol and related chemicals are deposited in the designated polymer pockets. The three-sensor flexible array prototype is shown in Fig. 6. In this experimental setup, the following two types of prototype sensor arrays are prepared for characterization. 1) Siloxane Sensor Array: This array carries commercially available siloxane-based functional polymers [27], [28]—SXFA, OV-225, and OV-275–to form a three-sensor array. 2) Crystalline Sensor Array: This array carries in-house synthesized crystalline-based polymers-P25DMA, 20% Zn-doped P25DMA, and 20% NiO-doped P25DMA—to form a three-sensor array. 20% Zn-doped P25DMA is specifically

CHEN et al.: WEARABLE RF SENSOR ARRAY IMPLEMENTING CMRE TECHNIQUE

Fig. 6. Prototype crystalline-based three-sensor flexible array on Frame-Flex Kapton/SU8 substrate with simplified cross-section illustration.

4161

pre-mixing the nitrogen and the analyte gas through MFC, and the flow rate of the analyte gas mixture entering the testing chamber is regulated at a constant rate of 200 standard cubic centimeter/minute (sccm) in order to prevent any mechanical vibration being introduced to the flexible sensor array due to gas flow fluctuation. This way, the responses collected by the vector network analyzer (VNA) are guaranteed to be free of mechanical interference, and purely due to the chemical interactions between the functional polymer and the gaseous analytes. The testing chamber, as shown in Fig. 8, can hold up to two sets of sensor arrays, and each sensor is connected to the SMA cable through which the Agilent VNA samples the responses of the two sensor arrays under test. Openings on the chamber sidewalls are designed to keep the chamber at atmospheric pressure to prevent any unwanted pressure-related response. The sensor arrays are tested with two mechanical conditions—flat condition, as shown in Fig. 6, and bent condition, as shown in Fig. 8; the bender is made of Plexiglass, providing consistent mechanical bending support and minimizing the effect on the RF behavior of the sensor array. The bending of the sensor array is chosen to represent the actual bending condition that would occur when the array is conformed to a skin surface; the bending angle is chosen to be 90 with a bending radius of 1 cm. The noise floor of the sensor array and the rest of the experimental setup have been confirmed to allow down to 3 ppm of gaseous analyte detectability. IV. ARRAY CHARACTERIZATION AND READOUT WITH CMRE A. Array Characterization: Sequential Method

Fig. 7. Multipurpose MFC-controlled chemical gas characterization system. (A) System block diagram. (B) System implementation.

customized to be inert against ethanol for reference purposes, whereas 20% NiO-doped P25DMA is enhanced for interferents detection [16]. Since these polymers all have different dielectric constants, designing the resonant sensors to have the same is rather challenging. As a result, the three sensors are designed with different resonant frequencies— , , and —that are sufficiently apart for characterization convenience. Consequently, the asynchronously tuned coupling matrix model must be used for readout extraction. Finally, the CMRE-extracted responses from the sensor array are verified against the performance parameters of individual RF resonant sensors reported in [7] and the subsequent works for siloxane-based polymeric sensors and for crystalline-based polymeric sensors. B. Multipurpose MFC-Controlled Gas Chamber Setup The sensor arrays are placed in two configurations, flat and bent, in the multipurpose mass-flow controller-controlled (MFC-controlled) gas chamber, as shown in Fig. 7 [34]. The MFC system is configured to supply nitrogen flow as well as four different analyte gas flows—ethanol, methanol, acetone, and benzene—at discrete concentrations of 5000, 2500, 1250, and 625 ppm. All gaseous concentrations are achieved by

We consider the example shown in Fig. 9. In order to utilize the CMRE technique to extract and the subsequent from the sensor array, the array must be first characterized at its baseline with no chemical exposure. This is done by purging the sensor array in the MFC-controlled chamber with constant nitrogen flow for at least 1 h. The baseline parameters of the coupling-matrix model, namely, , , , and , are then extracted through a sequential coupling matrix mapping method [30]. As illustrated in Fig. 9, the first step of the sequential method consists of terminating the coupling section between sensors 2 and 3, effectively making ; physically, such coupling termination can be done by shorting the coupling transmission line in Fig. 1 to the adjacent ground patches with wire bond. This way, the resonant array will appear at port 1 as a two-resonator structure, thereby limiting the unknown baseline parameters to five— , , , , and . To find the approximated initial values of these parameters, a second-order 3-dB-ripple Chebyshev filter prototype parameters [30] with an open load on port 2, is used as the initial model. The resultant values in are then detuned to reflect the asynchronously tuned nature of the array. Fig. 10 shows the baseline and resulted from the detuned one-port two-resonator Chebyshev prototype model with the VNA-recorded sensor response as the reference. The least mean square (LMS) cost value indicates the amount of dissimilarity between the empirical response and the model through a

4162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 11. LMS-optimized and through MATLAB, and the respective response from the two-resonator partial array recorded on the VNA.

Fig. 8. Test chamber setup with dedicated SMA connections to VNA and mechanical benders for testing sensors under constant mechanical bending.

Fig. 12. Three-resonator partially terminated sensor array.

Fig. 9. Two-resonator partially terminated sensor array.

Fig. 13. LMS-optimized and through MATLAB, and the respective response from the full three-sensor array recorded on the VNA.

Fig. 10. Initial and selection derived from detuning a second-order 3-dB response from the tworipple Chebyshev filter prototype, and the respective resonator partial array recorded on the VNA.

MATLAB optimizer. The two-resonator partial array response in Fig. 10 is recorded from the crystalline sensor array under a flat condition with the second coupling segment grounded by a wire bond. The names of the functional polymers are indicated next to their respective resonant peaks. The LMS optimizer in

MATLAB is executed to fit the approximated model on the empirical result. The resultant , , and the LMS cost are indicated in Fig. 11 to illustrate a successful baseline parameter capture. The second step introduces the coupling termination in between sensors 3 and 4, making and all the resonant sensors beyond sensor 3 inactive, as illustrated in Fig. 12. In this configuration, the following unknown baseline parameters are captured— , , and the new . In addition, the four known parameters from step 1— , , , and —are adjusted slightly in the CMRE process as well. The resultant seven baseline parameters are indicated in Fig. 13 along with the LMS cost and the empirical reference. The subsequent steps are similar to step 2 until all baseline parameters are captured and optimized. The result presented in Fig. 13 is the baseline response recorded when applied to the

CHEN et al.: WEARABLE RF SENSOR ARRAY IMPLEMENTING CMRE TECHNIQUE

Fig. 14. Changes in coupling signature ( ) captured by CMRE technique for the crystalline sensor array exposed to 5000-ppm ethanol.

Fig. 15. Changes in coupling signature ( ) captured by CMRE technique for the crystalline sensor array exposed to 5000-ppm acetone.

4163

Fig. 16. Baseline CMRE model mapped on the baseline silicone sensor array response under no mechanical bending.

Fig. 17. Baseline CMRE model mapped on the baseline silicone sensor array response under applied mechanical bending.

crystalline sensor array illustrated in Fig. 6, and will be used later in the example readout extraction for the crystalline array. B. Readout Extraction Examples With the CMRE-characterized sensor array parameters, the changes in sensor response after exposing to chemical analytes can be accurately quantized. Using the same crystalline sensor array prototype characterized in Fig. 13, the coupling signature parameters ( ) are introduced as the unknowns. Through the CMRE technique in the MATLAB optimizer, the changes in responses of the array from different analyte exposures can be numerically represented, and the resultant as the coupling signatures are used for distinguishing different analytes. Figs. 14 and 15 illustrate the responses of the sensor array prototype exposed to 5000 ppm of ethanol and acetone, respectively. All the coupling signatures along with their LMS costs are listed in the respective figures as well. V. RESULT AND DISCUSSION A. Siloxane Sensor Array Steady-State Response Analysis Figs. 16 and 17 illustrate the baseline responses of the siloxane sensor array and the respective baseline and values of the coupling matrix model under flat and bent conditions, respectively. The names of the functional polymers

Fig. 18. Percentage change of under ethanol gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

are indicated beside their respective resonant peaks. These two baseline models are then used in the CMRE technique to help extract the coupling signatures of the respective gaseous analytes. The frequency-selective loss is assumed linear in the CMRE model. Through the CMRE technique, the corresponding values are extracted at the four discrete gaseous analyte concentrations. Figs. 18–21 illustrates the sensor array responses, under both flat and bent conditions, against ethanol and three other interferents—methanol, benzene, and acetone.

4164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I SUMMARY OF SENSITIVITY OF SILOXANE SENSORS (FLAT)

TABLE II SUMMARY OF SENSITIVITY OF SILOXANE SENSORS (BENT)

Fig. 19. Percentage change of under methanol gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

Fig. 20. Percentage change of under benzene gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

Fig. 22. Summary of coupling signatures of the four analytes on silicone sensor array under no mechanical bending.

Fig. 21. Percentage change of under acetone gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

It is very clear that the siloxane sensor array, through the CMRE technique, is capable of generating distinctive coupling signatures for identifying ethanol from other interferents. Having benefited from the Frame-Flex substrate, the sensor array sees very small changes in because the chemi-capacitor portion of the resonant sensor is mechanically protected inside the solid islands; these changes are well within the optimizer-reachable range from the flat baseline model, and can be successfully captured. The changes in and are clearly due to the mechanical deformation in the trench area, where the input and inter-resonator couplings are taking place.

Fig. 23. Summary of coupling signatures of the four analytes on silicone sensor array under applied mechanical bending.

However, this performance deviation can still be accommodated by the CMRE technique. All the coupling signatures can still be successfully extracted, and it is shown that the analyte differentiation capability of the sensor array is minimally affected by the bending. Tables I and II and Figs. 22 and 23 summarize the coupling signatures against different analytes in terms of 1000 ppm under flat and bent conditions, respectively.

CHEN et al.: WEARABLE RF SENSOR ARRAY IMPLEMENTING CMRE TECHNIQUE

Fig. 24. Baseline CMRE model mapped on the baseline crystalline sensor array response under applied mechanical bending.

4165

Fig. 26. Percentage change of under methanol gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

Fig. 25. Percentage change of under ethanol gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

The siloxane-based functional polymers are, however, known for its lossiness at higher frequencies. This is highly evident on both baseline measurements in Figs. 16 and 17. Moreover, due to the nonlinear frequency-selective loss, the CMRE models in these two figures have shown slight discrepancies from the empirical results. The crystalline-based functional polymers, on the other hand, have demonstrated a superior performance at higher frequencies, and therefore are used in the second sensor array candidate in Section V-B.

Fig. 27. Percentage change of under benzene gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

B. Crystalline Sensory Array Steady-State Response Analysis Fig. 13 is again used as the baseline model for the flat condition, whereas Fig. 24 shows the baseline model under the bent condition. The frequency-selective loss is again assumed linear in the CMRE model. Similarly, both models are used by the CMRE process to extract the sensor array responses against different analytes at different concentrations, and their respective results are illustrated in Figs. 25–28. Due to the low frequency-selective loss, our assumption of linear loss in the CMRE model has successfully brought us to a very accurate model mapping and thus a higher reliability for the extracted readouts. In addition to the improved frequency loss at high frequency, the CMRE process also indicates that the 20% NiO-doped P25DMA has an exceptional selectivity against ethanol. This fully verifies that the NiO-doped derivative

Fig. 28. Percentage change of under acetone gaseous exposure. Flat and bent responses are denoted via solid lines and dashed lines, respectively.

of the P25DMA crystalline polymer is successfully customized as an ethanol-selective polymer. Once again, the performance reduction due to mechanical bending is minimal, as all the coupling signatures from both flat and bent conditions are highly similar. Tables III and IV and Figs. 29 and 30 summarize all the coupling signatures in terms of 1000 ppm under flat and

4166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE III SUMMARY OF SENSITIVITY OF CRYSTALLINE SENSORS (FLAT)

TABLE IV SUMMARY OF SENSITIVITY OF CRYSTALLINE SENSORS (BENT)

Fig. 30. Summary of coupling signatures of the four analytes on crystalline sensor array under applied mechanical bending.

Fig. 31. Summary of coupling signatures of the gaseous mixtures of ethanol and selected single interferents on the siloxane sensor array with flat and bent physical conditions (diagram A–50% ethanol: 50% single interferent, diagram–33% ethanol: 67% single interferent).

Fig. 29. Summary of coupling signatures of the four analytes on crystalline sensor array under no mechanical bending.

bent conditions, respectively. It is important to indicate that the sensitivity levels reported here, as well as those in [7], are in the equivalent range of 1%–5% 1000 ppm, which is lower than the existing Dart and NIR technology where sub-ppm detections [3], [4] are possible. However, with the large reduction in cost and its high physical conformity on human skin for the optimal unobtrusiveness, the performance reduction is a cost to be paid. Moreover, this reported performance can be further improved by investigating better polymer customization processes, as well as developing more consistent polymer coating on the chemi-capacitor portion of the sensor array. C. Multi-Analyte Response Signatures In most practical applications, the sensor array is expected to be exposed to mixtures of different gaseous analytes. The CMRE technique in such applications is capable of reliably extracting, from the sensor array response, the distinct coupling signatures of each mixture, and delivers them to the subsequent pattern recognition software to identify the presence of each gas analyte, as well as their respective concentrations in the mixture. Figs. 31 and 32 show, respectively, the response signatures of

Fig. 32. Summary of coupling signatures of the gaseous mixtures of ethanol and selected single interferents on the crystalline sensor array with flat and bent physical conditions (diagram A–50% ethanol: 50% single interferent, diagram B–33% ethanol: 67% single interferent).

the siloxane and the crystalline sensor arrays against the selected two-analyte gas mixtures. The concentrations of all three interferents—methanol, acetone, and benzene—were held at 1250 ppm throughout the entire characterization run, and the coupling signatures were captured for the two discrete ethanol concentrations of 1250 and 625 ppm. These concentrations are selected

CHEN et al.: WEARABLE RF SENSOR ARRAY IMPLEMENTING CMRE TECHNIQUE

4167

collection of analytes, the one-port -resonator sensor array design and the CMRE technique are both highly scalable to accommodate different application requirements. VI. CONCLUSION

Fig. 33. Summary of coupling signatures of the gaseous mixtures of ethanol and selected double interferents on the siloxane sensor array with flat and bent physical conditions (diagram A–33% ethanol: 67% double interferent, diagram B–20% ethanol: 80% double interferent).

Fig. 34. Summary of coupling signatures of the gaseous mixtures of ethanol and selected double interferents on the crystalline sensor array with flat and bent physical conditions (diagram A–33% ethanol: 67% double interferent, diagram B–20% ethanol: 80% double interferent).

based on the limitations of the multi-purpose gas testing system. Both flat and bent physical conditions were recorded as well. Finally, Figs. 33 and 34 show the respective response signatures of the two versions of the sensor arrays against the selected three-analyte gas mixtures. Once again, the concentrations of all the intereferents were held at 1250 ppm while ethanol concentrations were varied from 1250 to 625 ppm. Both flat and bent physical conditions were recorded accordingly. All these signatures are successfully extracted with the CMRE technique from the interference-infested single-port multi-resonator resonant sensor array structure, and can be used as the reliable data source for further post-readout analysis. The data presented from Figs. 31–34 indicates a critical feature of the siloxane- and crystalline-based flexible sensor array operated with the CMRE technique—the capability of obtaining unique coupling signatures from a single-port resonant sensor array for not only individual analytes, but also the mixtures of these analytes in different concentrations. While the size of our sensor array and the respective combinations of the polymeric sensing materials may not suffice the need for sensing a gaseous mixture with larger

The coupling matrix readout extraction technique has been demonstrated to be capable of not only characterizing a single-port multi-pole resonant sensor array, but also extracting sensor readouts in terms of coupling coefficients, , which are used as coupling signatures to differentiate different chemical analytes detected by the sensor array. Two types of sensor arrays fabricated on a Kapton/SU-8 Frame-Flex flexible substrate both have demonstrated consistent signature detection capability regardless of the presence of mechanical bending, making the sensor array suitable for foldable and wearable applications. Furthermore, two sets of functional polymers, siloxane-based and crystalline-based polymers, have been deployed on the array prototypes to examine the feasibility of the CMRE technique on different sensor configurations. The results have confirmed that, regardless of the severity of frequency-selective loss of the polymer family, the CMRE technique is capable of extracting the required signatures for analyte identification. Finally, through the CMRE technique, the performance superiority of in-house customized P25DMA family crystalline functional polymers has been confirmed with the 20% NiO-doped polymer being very successful in detecting the presence of ethanol, and 20% ZnO-doped polymer suitable for detecting presence of chemical interferents. Finally, the sensor array accompanied with the CMRE technique has been demonstrated to be capable of extracting distinctive coupling signatures of various selected gas mixtures to allow further post-readout pattern recognition analysis to be carried out. In the future, more sophisticated loss models can be introduced to the CMRE technique to enable more effective baseline model mapping and sensor readout extractions. REFERENCES [1] W. T. Chen, R. R. Mansour, and J. Carroll, “A coupling matrix-based readout technique for single-port multi-resonator passive sensor array,” in IEEE MTT-S Int. Microw. Symp. Dig., Tampa Bay, FL, USA, Jun. 1–6, 2014, pp. 1–3. [2] Driver Alcohol Detection System for Safety: Subsystem Performance Specification. Waltham, MA, USA: QinetiQ North Amer./Foster-Miller Inc. Technol. Solution Group, 2008. [3] K. C. Kim, S. M. Cho, and H. G. Choi, “Detection of ethanol gas concentration by fuel cell sensors fabricated using a solid polymer electrolyte,” Sens. Actuators B, Chem., vol. 67, pp. 194–198, 2000. [4] T. D. Ridder, B. J. Ver Steeg, S. J. Vanslyke, and J. F. Way, “Noninvasive NIR monitoring of interstitial ethanol concentration,” Opt. Diagnost. Sens., vol. 9, no. 71860E, pp. 1–11, 2009. [5] J. Carroll and C. Carroll, Sober System Technology Overview White Paper. Waterloo, ON, Canada: Sober Steering Inc., 2008. [6] J. C. Anderson and M. P. Hlastala, “The kinetics of transdermal ethanol exchange,” J. Appl. Physiol., vol. 100, pp. 649–655, 2006. [7] W. T. Chen, K. M. E. Stewart, J. Carroll, R. Mansour, E. Abdel-Rahman, and A. Penlidis, “Novel gaseous phase ethanol sensor implemented with underloaded RF resonator for sensor-embedded passive chipless RFIDs,” in Proc. Int. Transducer, Barcelona, Spain, 2013, pp. 2059–2062. [8] L. G. Miller and E. R. Wagner, “Resonant phase shift technique for the measurement of small changes in grounded capacitors,” Rev. Sci. Instrum., vol. 61, pp. 1267–1272, 1990. [9] M. P. J. Gaudreau and R. A. Weisenseel, “Resonant sensor system and method,” U.S. Patent 5,942,991, Aug. 24, 1999.

4168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

[10] G. Subramanyam, “Resonant sensor capable of wireless interrogation,” U.S. Patent 7,922,975 B2, Apr. 12, 2011. [11] S. Zhang, P. Pasupathy, and D. P. Neikirk, “Microfabricated self-resonant structure as a passive wireless dielectric constant and conductivity sensor,” Mycrosyst. Technol., vol. 18, pp. 885–891, 2012. [12] M. Esashi, “Resonant sensors by silicon micromachining,” in IEEE Int. Freq. Control Symp., Honolulu, HI, USA, Jun. 5–7, 1996, pp. 609–614. [13] G. Gennarelli, S. Romeo, M. R. Scarfi, and F. Soldovieri, “A microwave resonant sensor for concentration measurements of liquid solutions,” IEEE Sensors J., vol. 13, no. 15, pp. 1857–1864, May 2013. [14] E. Fratticcioli, M. Dionigi, and R. Sorrentino, “An accurate and low cost complex permittivity measurement system using a planar resonant sensor,” in Eur. Microw. Conf., Milan, Italy, 2002, pp. 1–4. [15] W. T. Chen, K. M. E. Stewart, R. R. Mansour, and A. Penlidis, “Novel undercoupled radio-frequency (RF) resonant sensor for gaseous ethanol and interferents detection,” Sens. Actuators A, Phys., vol. 230, pp. 63–73, 2015. [16] K. M. E. Stewart, W. T. Chen, R. R. Mansour, and A. Penlidis, “Doped poly (2,5-dimethyl aniline) for the detection of ethanol,” Macromol. Chem. Phys., vol. 132, no. 28, pp. 1–6, 2015. [17] R. A. Potyfailo and W. G. Morris, “Wireless resonant sensor array for high-throughput screening of materials,” Rev. Sci. Instrum., vol. 78, no. 702214, pp. 1–6, 2007. [18] R. A. Potyrailo, C. Surman, W. G. Morris, and S. Go, “Selective detection of chemical species in liquids and gases using radio-frequency identification (RFID) sensors,” in Proc. Int. Transducers, Denver, CO, USA, Jun. 21–25, 2009, pp. 1650–1653. [19] Y. Zhao et al., “A micromachined airflow sensor based on RF evanescent-mode cavity resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, USA, 2008, pp. 1199–1202. [20] F. Lisdat and D. Schafer, “The use of electrochemical impedance spectroscopy for biosensing,” Anal. Bioanal. Chem., vol. 391, pp. 1555–1567, 2008. [21] B. L. Allen, P. D. Kichambare, and A. Star, “Carbon nanotube field-effect-transistor-based biosensors,” Adv. Mater., vol. 191, pp. 1439–145, 2007. [22] R. L. Sheoherd, W. S. Yerazunis, K. T. Kau, and D. Diamond, “Lowcost surface-mount LED gas sensor,” IEEE Sensors J., vol. 6, no. 4, pp. 861–866, Aug. 2006. [23] M. Carminati, G. Ferrari, F. Guagliardo, and M. Sampietro, “ZeptoFarad capacitance detection with a miniaturized CMOS current front-end for nanoscale sensor,” Sens. Actuators A, Phys., vol. 172, pp. 117–123, 2011. [24] J. Wei et al., “Design, fabrication and characterization of a femto-farad capacitive sensor for pico-liter liquid monitoring,” Sens. Actuator A, Phys., vol. 162, pp. 406–417, 2010. [25] V. Matko, “Next generation AT-cut quartz crystal sensing devices,” Sensors, vol. 5, no. 11, pp. 4474–4482, 2011. [26] V. Matko and M. Milanović, “Temperature-compensated capacitancefrequency converter with high resolution,” Sens. Actuators A, Phys., vol. 220, pp. 262–269, 2014. [27] S. V. Patel, T. E. Mlsna, B. Fruhberger, E. Klaassen, S. Cemalovic, and D. R. Baselt, “Chemicapacitive microsensors for volatile organic compound detection,” Sens. Actuators B, Chem., vol. 96, pp. 541–553, 2003.

[28] T. E. Mlsna, S. Cemalovic, and S. V. Patel, “Chemicapacitive microsensors for chemical detection,” in Int. Olfaction Electron. Nose Symp., Barcelona, Spain, Apr. 13–15, 2005, pp. 326–329. [29] M. A. Dumett, Y. Piterbarg, I. G. Rosen, and A. Schumitzsky, “Deconvolving blood-alcohol concentration and alcohol beverage consumption from sensor measurements of transdermal alcohol,” in Int. Congr. Ind. Appl. Math., 2007, vol. 7, no. 1, pp. 1061007–1061008. [30] R. J. Cameron, R. R. Mansour, and C. M. Kudsia, “Coupling matrix synthesis of filter networks,” in Microwave Filters for Communication Systems: Fundamentals, Design, and Applications. New York, NY, USA: Wiley, 2007, pp. 269–316. [31] G. M. Coutts, “Miniature MEMS-based adaptive antennas on flexible substrate,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Waterloo, Waterloo, ON, Canada, 2005. [32] P. Oikonomou, D. Goustouridis, I. Raptis, K. Manoli, and M. Sanopoulou, “Must fermentation progress monitoring by polymer coated capacitive vapour sensor arrays,” in Proc. IEEE Sensors Conf., Christchurch, New Zealand, 2009, pp. 1443–1446. [33] “SU-8 2000 permanent epoxy negative photoresist—Processing guideline for: SU-8 2025, 2035, 2050, and 2075,” MicroChem, Newton, MA, USA, 2003. [34] K. M. E. Stewart and A. Penlidis, “Novel test system for gas sensing materials and sensors,” in Macromol. Symp./Polymer Reaction Eng. VIII, 2013, vol. 324, pp. 11–18.

Wei-Ting Scott Chen, photograph and biography not available at the time of publication.

Katherine M. E. Stewart, photograph and biography not available at the time of publication.

Chang Kevin Yang, photograph and biography not available at the time of publication.

Raafat R. Mansour (S’84–M’86–SM’90–F’01), photograph and biography not available at the time of publication.

John Carroll, photograph and biography not available at the time of publication.

Alexander Penlidis, photograph and biography not available at the time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

4169

Active Detuning of MRI Receive Coils with GaN FETs Michael Twieg, Michael A. de Rooij, and Mark A. Griswold

Abstract—Here, we present the use of Gallium Nitride (GaN) FETs as a replacement for PIN diodes in active detuning circuits for magnetic resonance imaging (MRI) receive coils at 63.6 MHz. We use simulated circuit models, benchtop measurements, and imaging experiments to show that GaN FETs perform comparably with a common MRI-compatible PIN diode. The GaN FET-based circuits require orders of magnitude less bias current to operate, effectively eliminating distortion resulting from biasing. Index Terms—Detuning, GaN, eGaN FET, RF coil, PIN diode, MRI.

I. INTRODUCTION

M

AGNETIC RESONANCE IMAGING (MRI) and nuclear magnetic resonance (NMR) systems use tuned RF coils for the transmission and reception of RF magnetic fields. Modern clinical scanners are capable of accommodating many coils simultaneously in the same imaging experiment, and separate coils are often used for the transmission of RF pulses (transmit coils) and the detection of the RF fields emitted by precessing spins (receive coils). When separate transmit and receive coils are used, direct interaction between them must be suppressed. Since transmission and reception do not happen simultaneously, this can be done by detuning the receive coils during the transmit phase, and detuning transmit coils during the receive phase. This detuning function is provided by an electronic switch which is either controlled manually by the MRI system (active detuning) or automatically by the power injected from RF pulses (passive detuning) [1], [2]. In modern MRI systems, all receive-only and transmit-only coils will contain one or both of these types of detuning circuits. PIN diodes are the switching element of choice for active detuning circuits because they boast high power handling, good Manuscript received April 10, 2015; revised August 11, 2015, September 12, 2015; accepted October 11, 2015. Date of publication November 12, 2015; date of current version December 02, 2015. This work was supported in part by Siemens Healthcare. M. Twieg is with the Department of Electrical Engineering and Computer Science, Case Western Reserve University, Cleveland OH, 44106 USA. M. A. de Rooij is with Efficient Power Conversion Corp., 909 N. Sepulveda Blvd. ste 230, El Segundo, CA 90245 USA. Phone: +1 310 615 0279, Website: www.epc-co.com. M. A. Griswold is with the Department of Radiology, Case Western Reserve University, Cleveland OH, 44106 USA. Phone: +1 216-844-8085; email: mark. [email protected]. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org Digital Object Identifier 10.1109/TMTT.2015.2495366

switching characteristics, and are very robust. However, one drawback of PIN diodes is that they require a large amount of DC bias current in order to conduct RF current efficiently. This DC bias current must be distributed to each detuning circuit from the scanner, and modern receive coil arrays may require dozens of detuning circuits distributed throughout the array [3], [4], [5]. MRI requires an extremely homogeneous static magnetic field (referred to as ) within the imaging volume, and small perturbations to this field may cause significant artifacts in the resulting images. Thus, the DC bias currents required by PIN diodes can be problematic, as they may produce significant static magnetic fields within the imaging volume. For example, consider a square loop with radius of 1 cm lying in the plane (with pointing in ) conducting 0.1 A of current. The caused by this current at an offset of 1 cm in maximum from the loop's surface will be T. At a of 1.5 T, this corresponds to a shift of ppm. Such a shift may be problematic for certain fast imaging sequences [6], [7] or applications requiring chemical shift selection [8]. Distributing the bias currents via twisted pair or coaxial cables mitigates this inhomogeneity, but this presents the technical challenge and cost of suppressing RF currents on these cables using traps. Field effect transistors (FETs) have been suggested as an alternative to PIN diodes [9], as FETs require negligible bias current to operate. Unfortunately, FETs are generally unable to match the electrical performance of PIN diodes as detuning switches. However, new FETs based on gallium nitride (GaN) promise superior electrical performance compared to existing silicon (Si) devices, and may perform comparably with PIN diodes for active detuning. Previously, we presented an active detuning circuit based on enhancement mode GaN on Silicon FETs (eGaN® FETs) that showed comparable performance to PIN diodes at 1.5 T [10]. Here, we present a second “uncompensated” eGaN FET detuning circuit method, and compare both methods against a PIN diode detuning circuit using simulations, benchtop measurements, and imaging experiments. The paper is organized as follows. Section II will review the standard PIN diode circuit for active detuning, and introduce eGaN FETs as an alternative to silicon MOSFETs. Section III will show the methodology behind modeling, constructing, and testing the detuning circuits. Section IV will show the results of the empirical measurements and compare them with the modeled performance. Finally, Section V will conclude the paper with a summary of results and predictions for future development.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

4170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

TABLE I PROPERTIES OF SELECT EGAN FETS AND SI MOSFETS

Fig. 1. Receive coil detuning circuit using PIN diode.

II. ACTIVE DETUNING FOR RECEIVE COILS A. State of Art: PIN Diode Detuning Circuit Fig. 1 shows a schematic of a typical active detuning circuit for receive coils using a PIN diode. The detuning circuit is applied at the site of a coil splitting capacitor . The bias is applied to the PIN diode via chokes and which suppress RF currents from flowing to the bias source. When a reverse bias voltage is applied to the PIN diode, it appears to RF as a large resistance , typically several k . This suppresses current through , and the RF current will flow mainly in , and thus the receive coil is in the tuned state. However, it must be noted that the finite resistances of the PIN diode and bias chokes do add some resistance to the overall circuit, which will manifest in decreased signal-to-noise-ratio (SNR). When a forward bias current is applied, the PIN diode appears to RF as a small resistance , typically less than one Ohm. The amount of forward bias current for PIN diodes in receive coil detuning circuits is typically in the range of 10–100 mA, depending on the required resistance. This low resistance brings in parallel with , and these two components resonate at the L armor frequency (63.6 MHz at T). This forms a high RF impedance at , and thus the RF current in the coil is greatly suppressed. The amount of suppression is roughly proportional to the quality factor of the overall resonant circuit, and therefore switches with low are desired. B. eGaN FETs Unlike PIN diodes, which are controlled with current, FETs are controlled with bias voltage only. In practice, there will be leakage current into the FETs' gates, but this is typically in the range of micro amps or less, instead of many milliamps. The disadvantage of FETs is that in order to achieve low on-state resistance , the device channel must be short and wide, which increases the terminal capacitances and , which together comprise the effective output capacitance . This is typically large enough that it presents a low impedance at MRI frequencies. Thus, the impedance ratio between the on and off states is severely degraded.

The relationship between and for a channel with a given breakdown voltage is largely limited by the properties of the semiconductor material forming the device channel. GaN is a good candidate for improvement over Si due to the inherently superior electron mobility, saturation velocity, and critical electric field of GaN [11]. Table I shows a comparison of and for two 40 V eGaN FETs and two 40 V low-capacitance silicon MOSFETs. Since no drain-source bias is applied to the FETs, is evaluated at V. The product relates to how well the devices will work as RF switches, where a lower value indicates superior performance. The for eGaN FETs is half that of Si MOSFETs, suggesting superior performance for eGaN FETs as detuning switches. In addition to the electrical properties of the FETs, one must also keep in mind their compatibility with the static magnetic field . All components of the receive coils must have very low magnetic susceptibility, otherwise the resulting magnetization will perturb the homogeneity in . Previously, we demonstrated that eGaN FETs are available in non-magnetic packaging [12]. Susceptibility weighted images showed smaller susceptibility artifacts for eGaN FETs than non-magnetic ATC100B series capacitors, which are frequently used in RF coil construction. It should be noted that the eGaN FET does not possess the “body diode” which is inherent to MOSFETs, since its lateral structure lacks bipolar junctions. However, they still conduct through their channels in their third quadrant when approaches the gate threshold voltage, even when is held below the channel threshold voltage . Thus, they exhibit an effective body diode with a depending on the applied (typically V when V). This third quadrant conduction occurs by majority carriers, and thus requires no reverse recovery and is capable of switching at RF frequencies. III. METHODS A. eGaN FET Detuning Circuits We chose to evaluate two candidate detuning circuits for the eGaN FETs, based on [9]. The first circuit simply replaces the PIN diode in the original circuit with a common source, antiseries pair of eGaN FETs. This is referred to as the uncompensated eGaN FET circuit (Fig. 2(a)). The second circuit is similar to the first, except it also adds inductor in parallel with the drains of the eGaN FETs. This is referred to as the compensated eGaN FET circuit (Fig. 2(b)).

TWIEG et al.: ACTIVE DETUNING OF MRI RECEIVE COILS WITH GAN FETS

4171

Fig. 2. Simplified schematics of the uncompensated (a) and compensated (b) eGaN FET detuning circuits. Fig. 3. Simplified schematic of the uncompensated eGaN FET detuning circuit, . including

As previously described, eGaN FETs exhibit third quadrant conduction which is capable of high frequency switching, therefore an eGaN FET may still conduct large currents if perturbed by large signal RF, even with biased at 0 V. Therefore, we used two anti-series FETs to ensure that the overall FET switch could never turn completely ON by large signal perturbations, such as when switching from the detuned state to the tuned state. In both circuits, a 10 nF capacitor was added between the gates and sources of the FETs in order to prevent perturbation of by applied RF. This capacitor is effectively a short circuit at 63.6 MHz, bringing and into parallel. Thus, the apparent capacitance between the drain and source of each FET is Coss, which is by definition equal to the sum of and . The detuned state is achieved in both circuits by biasing the FETs into their conducting state by applying 5 V to their gates. In this state, and are shunted by the relatively low , and thus and do not affect detuned state performance. The tuned state is achieved by biasing the eGaN FETs in their cutoff state by applying 0 V to their gates. In the uncompensated circuit, the impedance of is low enough to allow significant current to flow through . The reactance of the circuit formed by , and is given in (1): (1) The first term of (1) is the reactance of . The second term is the change contributed by current flowing through and . In order to allow a more direct comparison between this circuit and the PIN diode circuit, capacitor is added to the uncompensated circuit in order to adjust its tuned state reactance to be close to that of alone. The theoretical value of is given by (2). Derivations for (1) and (2) are given in the Appendix. (2) Fig. 3 shows the schematic of the uncompensated eGaN FET circuit including . The purpose of in the compensated circuit is to resonate with in the tuned state, increasing the RF impedance of the FETs at . This blocks current through , and the reactance of the circuit in the tuned state will simply be that of , similar to the original PIN diode circuit.

B. Circuit Performance Model Typically, a well-designed detuning circuit will have a very small impact on overall coil sensitivity due to the use of low-loss components. Therefore, when attempting to model detuning circuit performance, one must be careful to account for even very small sources of loss in all parts of the circuit. Our models included the equivalent series resistance (ESR) of all capacitors ( and ), inductors ( and ), and even the ESR of . The off state resistance of the PIN diode is accounted for by . We also included the RF impedance of the DC bias chokes and . These chokes were selected to be self-resonant at , and therefore their impedance is approximated by resistance . It is assumed that at , the bias source is a short circuit to the common ground. Fig. 4 shows the equivalent model of each circuit for predicting the tuned state impedance. Fig. 5 shows the equivalent model of all three circuits for predicting the detuned state impedance. effectively disappears from the compensated circuit due to it being shunted by , which is nearly a short circuit. Therefore, the compensated and uncompensated eGaN FET circuits are expected to behave identically in the detuned state. For this work, we compared the performance of these three circuit methods using the EPC8004 as the eGaN FETs and the MA4P7470F-1072T (MA-COM Tech.) as the PIN diode. The and of the EPC8004 was measured at 63.6 MHz to be 42 pF and 150 m , respectively, while the datasheet value of 125 m was used for . The PIN diode was measured at 63.6 MHz to be 0.5 with mA and was assumed to be 75 k at V. These bias conditions for the PIN diode were chosen to be equal to the bias conditions provided by the MRI scanner used in the imaging experiment (see Section III.C). The capacitors used for and were ATC100B non-magnetic ceramic capacitors from American Technical Ceramics. ESR plots from the ATC100B datasheet were extrapolated to estimate and at 63.6 MHz as a function of capacitance value. The inductors used for and were Square Air Core inductors from Coilcraft Inc. The Q of and was assumed to be 125 as shown in the device datasheet. The self-resonant

4172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 5. Circuit models used for modeling the detuned state performance of the PIN diode (a) circuit and both eGaN FET (b) detuning circuits.

and a . The script then calculated the impedance of all three circuit types as a function of in both the tuned and detuned states ( and , respectively). and were fixed using the measured values given above. The real components of and are then plotted, along with a figure of merit (FOM) equal to the ratio of these values, as given in (3). (3) is known, then the If the resistance of a loaded coil data can be used to predict the effective noise figure NF of the detuning circuits, as given in (4). (4) We may also estimate the change in sensitivity tween the tuned and detuned states according to (5).

be(5)

Here, is defined as proportional to current induced in the coil by an emf at , and thus corresponds to the measured from a pair of decoupled pickup loops as explained in Section III.E. Equations (4) and (5) both assume a conjugate impedance match between the coil and the receiver chain during the tuned state. Derivations for (4) and (5) are given in the Appendix. C. Experimental Setup

Fig. 4. Circuit models used for modeling the tuned state performance of the PIN diode (a), uncompensated eGaN FET (b), and compensated eGaN FET (c) detuning circuits.

bias chokes were 5.6 H 1008 size chip inductors from Vishay Intertechnology and had an estimated impedance of 50 k at . We created MATLAB code that automatically computed the necessary values of , and for given values of

We also compared the performance of the three circuit methods experimentally. To do this, we constructed a 10 cm square surface coil that was specifically designed to accommodate interchangeable devices under test (DUTs) PCBs without physically disturbing the coil, in order to make the results as repeatable as possible. Additionally, the RF coil was fitted with two mutually decoupled RF pickup loops, which were used to measure the sensitivity of the coil on the benchtop. The unloaded Q and inductance of the coil were measured to be 285 and 427 nH respectively, with no detuning network attached. The DUT boards were designed so that they can accommodate any of the three candidate circuits with a common layout, and so that they can interface directly with the receive chain of an MRI scanner. A simplified schematic of the DUT board

TWIEG et al.: ACTIVE DETUNING OF MRI RECEIVE COILS WITH GAN FETS

Fig. 6. Simplified schematic of the full DUT boards, showing the DUT detuning network, the tune and match capacitors, the bias Tee, and the optional bias clamp.

is shown in Fig. 6. Each DUT board contains not only its detuning network, but also nonmagnetic tune and match trim capacitors (Johanson Manufacturing), a bias tee to split the DC and RF paths from the cable, and an optional bias clamp circuit. The bias clamp is necessary to convert the bias from the MRI scanner (in this case a V/ V bias with 100 mA current limit) to a 0 V/5 V bias that is suitable for the eGaN FETs. One of each detuning circuit type was constructed using a value of 52 pF and of 110 nH. The values of and were adjusted experimentally. The chosen values did not match the modeled values due to parasitics in the actual PCB layout, as well as a limited selection of inductor values. In particular, none of the available inductor values exactly resonated with at 63.6 MHz. In order to work around the lack of exact component values, we chose nH and added a small trim capacitor in parallel with and to adjust the resonance to 63.6 MHz. A value of 147 pF was chosen for . The coil was mounted on top of a flat saline phantom, with 10 mm of spacing. In this configuration, the resistance of the coil at 63.6 MHz was measured at 5.29 with a loaded Q of 32.3. Fig. 7 shows a photograph of the RF coil mounted on the saline phantom, along with the three DUT boards. D. Tuned State Characterization The performance of the detuning circuits in their tuned states was characterized by MRI experiments. The imaging experiments were performed on a Siemens Espree 1.5 T clinical scanner. The sequence used was a spoiled gradient echo with echo time ms, repetition time ms, flip angle , and . The slice thickness was 10 mm (sagittal plane) and the resolution was 256 256. One image was acquired at a time for each DUT board. Prior to acquiring images, each DUT board was inserted into the coil inside the MRI bore. The tune and match capacitors were then adjusted to give an magnitude of less than dB, as measured with a VNA. The VNA was always located outside the scanner room, due to safety hazards associated with the

4173

Fig. 7. Photograph of the experimental setup including the saline phantom, RF coil, and three detuning networks.

scanner's static magnetic field. While a of dB is generally unnecessary for RF coils, we sought to minimize reflected power so that (4) and (5) were accurate for all tested configurations. The procedure for changing the DUT boards was designed to minimize disturbances to the coil and phantom in between scans. The patient table, RF coil, and phantom did not need to be moved while the DUT was changed. Even so, small amounts of physical disturbance resulted from the process (mainly due to flexing of the coil). To mitigate these effects, we repeated the experiment six times in total, resulting in 18 total images, each of which was affected by different physical disturbances. E. Benchtop Detuning Characterization The performance of the detuning circuits in their tuned and detuned states was characterized by measuring the between the two RF pickup loops mounted on opposite sides of the RF coil. The high impedance of the detuning circuits in the detuned state made this measurement prone to errors due to alternate paths of power coupling between the two ports. For this reason, the phantom was removed from underneath the coil while performing detuned state measurements. The positions of the pickup loops were adjusted to minimize , while the coil circuit was broken by removing its series capacitors. In the absence of the phantom and the coil capacitors, the magnitude was less than dB. The bias conditions for this experiment were chosen to be similar to those in the imaging experiment. The bias was provided from a benchtop variable DC power supply with a 100 mA current limit. For the PIN diode circuit, a reverse DC bias voltage of V was applied in the tuned state, while of 100 mA was applied in the detuned state. For the eGaN FET circuits, V was applied in the tuned state while V was applied in the detuned state. The clamp circuitry on the DUT board limited the applied to V in the detuned state and V in the tuned state.

4174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

Fig. 9. Plot of the FOM as a function of

for all three detuning circuits.

TABLE II SIMULATED PERFORMANCE OF DETUNING CIRCUITS FOR

PF

B. Experimental Results Fig. 8. Plots of the simulated real parts of the tuned state impedance (a) and the for all three detuning circuits. detuned state impedance (b) as functions of

IV. RESULTS A. Simulation Results Fig. 8 shows the tuned and detuned state resistances of the . simulated circuit models as a function of As shown in Fig. 8, the eGaN FET circuits perform better than the PIN diode in the detuned state due to the lower on state resistance of the eGaN FETs. However, the tuned state resistance of the eGaN FET circuits were higher overall due to the losses added by , and , with the uncompensated eGaN FET circuit having lower resistance than the compensated circuit. Fig. 9 shows the FOM for the three circuits as functions of . The FOM of the compensated eGaN FET circuit is poorest across the full range of . The PIN diode circuit has the highest FOM at low values, while the uncompensated eGaN FET circuit is higher for high values. Given the measured resistance of the loaded coil, we can use the data from Fig. 8(a) to predict how the detuning circuits affect the relative SNR of the images in terms of the circuit's noise figure as given by (3). The relative sensitivity of the coil in the detuned state can also be predicted according to (4) using the data from Fig. 8(b). Table II below shows the simulated NF and for the three circuit methods with pF and . Values are given in absolute terms, as well as normalized to the PIN diode values for comparison.

Fig. 10 shows magnitude images of the phantom taken with each of the three detuning circuits. There is no apparent change in SNR, or any detectable artifacts in any of them. Image SNR was calculated by measuring the average image magnitude within the phantom (center ROI rectangle) and dividing it by the standard deviation of the complex noise outside the phantom (upper ROI rectangle). Table III below shows a summary of the SNR measurements for each of the detuning circuits. The measured SNR values are normalized to the mean SNR of the PIN diode circuit, and these are compared to the normalized SNR values predicted from the NF values in Table II. As seen above, the normalized SNR of the images with the eGaN FET detuning circuits was slightly lower than expected from the models, but the results still follow a very similar trend. Table IV shows a summary of the benchtop coil sensitivity measurements for all three detuning circuits. Simulations predicted a dB decrease in for the eGaN FET circuits, while the experiment showed of dB and dB for the uncompensated and compensated eGaN FET circuits, respectively. This agreement strongly suggests that eGaN FETs can achieve better detuned state performance at 63.6 MHz. The benchtop measurements of tuned state sensitivity also agreed well with both the simulated NF results and the measured image SNR. Overall, the strong agreement between simulations, benchtop measurements, and imaging experiments shows that our circuit model is useful for predicting the relative small-signal performance of different detuning circuits at 63.6 MHz.

TWIEG et al.: ACTIVE DETUNING OF MRI RECEIVE COILS WITH GAN FETS

4175

Fig. 10. Magnitude images of the phantom taken with the (a) PIN diode, (b) uncompensated eGaN FET, and (c) compensated eGaN FET detuning circuits. Noise and signal ROIs indicated with white rectangles.

COMPARISON

BETWEEN

TABLE III MEASURED AND MODELED IMAGE SNR DETUNING CIRCUITS

FOR

TABLE IV MEASURED SENSITIVITY OF DETUNING CIRCUITS AT 63.6 MHZ

Fig. 11. Simulated FOM of all three detuning circuits at

During bench measurements the maximum gate leakage current into the eGaN FETs was observed to be 80 A during the detuned state, as compared to 100 mA required for the PIN diodes. C. Predictions at Higher Field Strength We used our circuit models to predict the performance of the detuning circuits at higher field strengths and frequencies. Fig. 11 shows the FOM of the three detuning circuits with the device impedances recalculated at 123.5 MHz, which is the Larmor frequency for Siemens 3 T MRI scanners. This simulation assumes the parameters of the PIN diode and eGaN FET, as well as the Q of all inductors, are the same as at 63.6 MHz. At 123.5 MHz, the FOM of the PIN diode significantly outperforms the eGaN FETs, especially at low values. This is partially due to the lower impedance of at high frequencies with current devices. Current flowing through passes through both and , which accounts for the increased losses. V. CONCLUSION This work demonstrates the use of eGaN FETs to implement FET-based active detuning switches for MRI receive coils. Our results show that eGaN FETs can provide comparable performance to a standard PIN diode for detuning circuits at 63.6

MHz.

MHz. Out of the two circuit methods considered, the uncompensated eGaN FET circuit demonstrated overall superior performance to the compensated eGaN FET circuit, particularly in the tuned state. With set to 52 pF, we showed an image SNR loss of 1.1% in the tuned state and a reduced sensitivity of dB in the detuned state for the uncompensated eGaN FET circuit relative to the PIN diode circuit. The current required to operate the eGaN FETs was three orders of magnitude lower than the PIN diode. We also showed a method of modeling the figures of merit for detuning circuits, and showed good agreement with measurements. The results suggest that the relative performance between the circuits is dependent on , and that for larger values the uncompensated eGaN FET circuit may have overall superior performance to a PIN diode. However, the relative performance is also highly frequency dependent. Applying the same modelling to the circuit at 123.5 MHz predicted that the eGaN-based circuit could attain a FOM at best half of that of the PIN diode. However, there is a great deal of room for optimization on the eGaN FETs, which were not developed for RF switching applications. Future improvements in the devices may allow equivalent performance at higher field strengths. This work was limited to evaluation of the switch circuits under steady-state small-signal excitation, and did not consider the transient behavior between the tuned and detuned states.

4176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 63, NO. 12, DECEMBER 2015

eGaN FETs boast switching times in the nanosecond range as opposed to PIN diodes which take on the order of microseconds (depending on carrier lifetime and drive waveforms). This may potentially be of use in fast imaging sequences such as ZTE [13] and UTE [14], where the dead time between the transmit and receive state is critical. Furthermore, we did not investigate the impact of device nonlinearity during large signal perturbation. In future work, the maximum of the eGaN FETs should be considered in order to optimize small signal performance while ensuring robustness under repeated large signal perturbations. The use of a single eGaN FET should also be compared to an anti-series pair under large signal conditions. It should be emphasized that FETs are not being proposed as a replacement for PIN diodes because PIN diodes do not perform adequately. Our results show that PIN diodes contribute negligible noise to receive coils in the tuned state ( dB predicted for our experiment), while providing excellent blocking characteristics in the detuned state. Rather, FET-based switches offer a means of obtaining nearly equivalent performance and easier implementation while not contributing to inhomogeneity. The advantages of FET-based detuning circuits will manifest in high density receive arrays at high field strength, where multiple detuning circuits are often necessary per coil element. In such arrays, each PIN diode detuning circuit must be provided an independent current-regulated bias via separate leads. Alternatively, multiple detuning circuits may be put in series, with the coil itself carrying the bias current, but this may exacerbate the resulting distortion due to the large loops formed by the current paths. On the other hand, using voltage-controlled devices such as FETs would allow all switches in an array to be controlled with a common bias voltage. Distribution could be done with fine gauge twisted pair that can easily be wound into small traps to prevent common mode RF currents from propagating. Thus, it is likely the full benefits of FET-based detuning switches would be most apparent during the actual construction of real arrays. APPENDIX Derivation of (1):

Derivation of (2): We require that

, therefore:

Derivation of (4):

Derivation of (5): The current induced in the coil will be inversely proportional to the total impedance of the loop. Therefore:

Assuming the coil is conjugate matched in the tuned state, the total loop impedance in the tuned state will be:

In the detuned state,

Assume that Therefore:

is added to the loop impedance:

is real, i.e.,

.

ACKNOWLEDGMENT The authors would like to acknowledge and thank EPC Corporation for providing material support for this research. REFERENCES [1] W. A. Edelstein, C. J. Hardy, and O. M. Mueller, “Electronic decoupling of surface-coil receivers for NMR imaging and spectroscopy,” J. Magn. Reson. 1969, vol. 67, no. 1, pp. 156–161, Mar. 1986. [2] A. Haase et al., “NMR probeheads for in vivo applications,” Concepts Magn. Reson., vol. 12, no. 6, pp. 361–388, Jan. 2000. [3] B. Keil and L. L. Wald, “Massively parallel MRI detector arrays,” J. Magn. Reson., vol. 229, pp. 75–89, Apr. 2013. [4] M. Schmitt et al., “A 128-channel receive-only cardiac coil for highly accelerated cardiac MRI at 3 tesla,” Magn. Reson. Med., vol. 59, no. 6, pp. 1431–1439, June 2008. [5] G. C. Wiggins, J. R. Polimeni, A. Potthast, M. Schmitt, V. Alagappan, and L. L. Wald, “96-Channel receive-only head coil for 3 Tesla: Design optimization and evaluation,” Magn. Reson. Med., vol. 62, no. 3, pp. 754–762, Sept. 2009. [6] J. C. Carr, O. Simonetti, J. Bundy, D. Li, S. Pereles, and J. P. Finn, “Cine MR angiography of the heart with segmented true fast imaging with steady-state precession,” Radiology, vol. 219, no. 3, pp. 828–834, June 2001. [7] D. C. Noll, J. M. Pauly, C. H. Meyer, D. G. Nishimura, and A. Macovski, “Deblurring for non-2D Fourier transform magnetic resonance imaging,” Magn. Reson. Med., vol. 25, no. 2, pp. 319–333, June 1992. [8] J. Frahm, A. Haase, W. Hänicke, D. Matthaei, H. Bomsdorf, and T. Helzel, “Chemical shift selective MR imaging using a whole-body magnet,” Radiology, vol. 156, no. 2, pp. 441–444, Aug. 1985. [9] A. Reykowski and R. Housen, Fet Switch as Detune Circuit for MRI RF Coils Nov. 29, 2012, WO2012160518 A1. [10] M. Twieg, M. J. Riffe, M. de Rooij, and M. A. Griswold, Enhancement Mode GaN on Silicon (eGaN® FETs) for Coil Detuning. Milan, IT: ISMRM, 2014.

TWIEG et al.: ACTIVE DETUNING OF MRI RECEIVE COILS WITH GAN FETS

[11] A. Lidow, J. Strydom, M. de Rooij, and Y. Ma, GaN Transistors for Efficient Power Conversion: The eGaN FET Journey Continues. El Segundo, CA: Power Conversion Publications, 2012. [12] M. Twieg, M. J. Riffe, N. Gudino, and M. A. Griswold, Enhancement Mode GaN (eGaN) FETs for On-Coil MRI Transmit Amplifiers. Salt Lake City, UT: ISMRM, 2013. [13] C. J. Bergin, G. H. Glover, and J. M. Pauly, “Lung parenchyma: Magnetic susceptibility in MR imaging,” Radiology, vol. 180, no. 3, pp. 845–848, Sept. 1991. [14] M. Weiger, K. P. Pruessmann, and F. Hennel, “MRI with zero echo time: Hard versus sweep pulse excitation,” Magn. Reson. Med., vol. 66, no. 2, pp. 379–389, Aug. 2011. Michael D. Twieg was born in San Jose CA in 1987. He received the B.S. (2010) and M.S. (2012) degrees in electrical engineering at Case Western Reserve University, where he is pursuing a Ph.D. in electrical engineering. Since 2010, he has studied engineering in magnetic resonance imaging with the Case Center for Imaging Research. His research interests include switchmode power conversion, RF power amplifiers, RF receivers, instrumentation, and mixed signal ASIC design.

Dr. Michael A. de Rooij is Vice President of Applications Engineering at Efficient Power Conversion Corporation (EPC) headquartered in El Segundo, CA. Prior to joining EPC he worked at Windspire Energy where he helped develop the next generation of small vertical-axis wind turbine inverters. In addition, Dr. de Rooij has worked as a Senior Engineer at the GE Global Research Center in Niskayuna NY. Dr. de Rooij has been granted 21 US and International patents and 19 US and International pending patent applications and is author and co-author of four books. His experience covers highly resonant loosely coupled wireless power, RF power amplifiers, solid-state high-frequency power converters and devices, utility applications of power electronics, uninterruptible power supplies, integration of power electronic converters, power electronic packaging, induction heating, photovoltaic converters, Magnetic Resonance Imaging (MRI) Systems and gate drivers with protection features. Dr. de Rooij is a Senior Member of the IEEE. He received his Ph.D. from the Rand Afrikaans University (now called The University of Johannesburg), South Africa in 1998.

4177

Dr. Mark A. Griswold is a Professor of Radiology, Biomedical Engineering (BME), Electrical Engineering and Computer Science (EECS), and Physics at Case Western Reserve University. Dr. Griswold obtained his bachelor's degree from the University of Illinois Urbana-Champaign in Electrical Engineering. Following this, he moved to Harvard Medical School in 1993, where he led the MRI detector laboratory at Beth Israel Hospital. In 1999, he moved to Germany to complete his Ph.D. degree at the University of Wuerzburg in Biophysics. He joined the Case Western Reserve faculty in 2005. He is a recognized pioneer in the development of several innovative approaches for magnetic resonance imaging (MRI). In particular, his parallel MRI methods have been translated into FDA-approved products by several MRI system manufacturers. These methods have led to almost an order of magnitude increase in MRI acquisition speed over the last decade. More recently his laboratory has focused on translating the increase in imaging speed into improved quantitative imaging, especially imaging of tissue/organ function. This has culminated in the development of Magnetic Resonance Fingerprinting (MRF) that promises another order of magnitude in the speed of quantitative MRI.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Low-Loss Ultrawideband Programmable RF Photonic Phase Filter for Spread Spectrum Pulse Compression Hyoung-Jun Kim, Member, IEEE, Amir Rashidinejad, Member, IEEE, and Andrew M. Weiner, Fellow, IEEE

Abstract—We demonstrate a low-loss, ultrawideband (UWB), programmable radio frequency photonic phase filter utilizing a broadband optical frequency comb, interferometric pulse shaping configuration, and a balanced photodetector for spread spectrum pulse compression. We present UWB linear frequency-chirped pulse compression with bandwidths exceeding 7 GHz. The filter insertion loss for these experiments can be as low as 0.5 dB. In addition, the bandwidth and chirp rate of the phase filter are programmable. To further illustrate the programmability of the proposed filter, we report pulse compression experiments for UWB Costas sequences with bandwidth over 6 GHz. Finally, we perform a spread-spectrum jamming-resistant pulse compression experiment with the chirp filter, where a processing gain of 17.3 dB, proportional to the time-bandwidth product of the filter, enables the recovery of a transmitted UWB signal in the presence of jamming. Index Terms—Chirp filter, finite impulse response filters, jamming, microwave photonics, optical frequency combs, optical processing, phase filter, programmable filters, pulse compression.

I. INTRODUCTION

S

PREAD spectrum radio-frequency (RF) pulse compression has been used in many applications, specifically sensing, ranging, communications, and imaging [1]–[3]. In these settings, achieving a high resolution is of great importance for precise measurement and range accuracy. In conventional ranging, short pulse widths, or equivalently large RF bandwidths, are desirable features for applications requiring high resolution. However, in these schemes, it is difficult to increase average transmitted power due to the consequent high peak power of the short pulses in peak power-limited RF transmitters, and as a result the ranging distance is limited [1]–[3]. Pulse compression solutions, on the other hand, not only allow the system designer to maintain high resolution, but also overcome the hurdle of peak power-limited transmitters and significantly extend the wireless operating distance.

Manuscript received March 25, 2015; revised August 18, 2015; accepted October 11, 2015. This work was supported in part by the Office of the Assistant Secretary of Defense for Research and Engineering under the National Security Science and Engineering Faculty Fellowship program under Grant N00244-091-0068 from the Naval Postgraduate School, and by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (NRF-2014R1A6A3A03059647). The authors are with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907-2035 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495172

In electronics, spread spectrum signal correlation—required at a receiver for pulse compression applications—can be performed by digital and analog methods. Digital signal processors can process arbitrary waveforms with a high degree of flexibility. The bandwidth of these processors depends on the sampling rate of analog-to-digital converters. Recently, analog-to-digital converters with several GHz bandwidths are commercially available and enable wideband digital signal processing [4], [5]. However, as the bandwidth increases, the effective number of bits decreases and a relatively long processing time is incurred in large time-bandwidth product (TBP) scenarios [5], [6]. Thus, digital signal processors are hard to apply for real-time ultrawideband (UWB) applications. Unlike the digital methods, analog receiver technology can enable real-time UWB signal processing. For spread spectrum signal correlation there are two main analog methods: stretch processing and matched filtering. Stretch processing is applicable to the case of chirped waveforms. In this method the received waveform is mixed with a reference waveform, which is a replica of the transmitted waveform and which can be generated using tunable voltage-controlled oscillators [3], [7]. For a linear frequency chirp signal, the mixer output is a narrowband signal whose frequency depends on the relative time delay of the received and transmitted waveforms. Hence, stretch processing of wideband waveforms can be implemented using mostly narrowband components. Due to this advantage, stretch processors have been widely used in many wideband applications. However, this technique generally causes a signal-to-noise ratio (SNR) loss that depends on the time delay [7]. As a result, stretch processors cannot be utilized in long range applications such as radar search function. Matched filtering is another analog technique that utilizes a pulse compression filter matched to a specific transmitted waveform, and is proven to have maximal SNR performance. This process results in the concentration of received signal power into a short pulse. In other words, the peak SNR is enhanced by the pulse compression gain (also known as processing gain), whereas noise and other unmatched signals are passed through without enhancement. This feature is the key characteristic of the matched filter or pulse compression filter that allows its high resistance to jamming and interference [1]–[3]. Surface acoustic wave (SAW) filters have been widely used as chirped pulse compression filters [8]–[13]. The center frequency and RF bandwidth of SAW filters are typically below 2 GHz, although several works have reported narrowband filter response with constant group delay in the frequency range of up to 10 GHz [3], [8], [9]. However, in high frequency and wideband settings, SAW filters have two main limitations: lack

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

of programmability and high insertion loss. Since the performance of SAW devices depends on prefabricated physical structures, programmable/tunable SAW filter technology is still very immature [10]. Furthermore, as the RF center frequency is increased, the requirement of thinner electrodes to avoid large distortion effects from reflections increases the device parasitic resistive loss [11]. Also, the process of broadening the RF bandwidth (e.g. resistive matching) contributes directly to an increase in the insertion loss [11]. For example, in [12] the insertion loss for a SAW pulse compression filter with an RF bandwidth of 1 GHz at 3.63 GHz center frequency is 23 dB. In addition, as reported in [13], the insertion loss of SAW pulse compression filters is increased by 20–30 dB when the RF fractional bandwidth is increased from 25% to 50% at a center frequency of 5 GHz. Due to these performance limitations, it is very unattractive to employ SAW pulse compression filters in UWB settings. Photonics-based schemes for spread spectrum pulse compression can provide wider RF bandwidths and thus achieve higher resolution along with versatile programmability. During the last several years, many different RF photonic schemes have been presented to implement UWB pulse compression filters [14]–[19]. For example, schemes using a continuous-wave laser utilize a hyperfine resolution pulse shaper [14] and fiber Bragg grating [15], respectively, to process an RF-modulated optical signal for pulse compression. The programmable scheme using the optical pulse shaper had an RF bandwidth of 15.5 GHz [14]. However, the time-aperture of the scheme ( 0.8 ns) was short, which was limited by the resolution of the shaper. By incorporating incoherent optical noise sources, schemes such as [16], [17] can provide larger time apertures, up to 15 ns with 5 GHz RF bandwidth at a center frequency of 32.5 GHz [17]. However, due to the poor noise performance of these methods, pulse compression was not successfully demonstrated. A noteworthy example of RF photonic pulse compression filtering is [18], where time-spectrum convolution enabled the use of multiple optical carriers in pulse compression of chirped microwave pulses with 3 GHz RF bandwidth and 3.8 ns time aperture in the presence of additive white noise. Further improvements of RF photonic schemes using multiple optical carriers show the potential for RF phase filtering via tapped delay line implementations based on a finite impulse response approach [19]–[24]. Among these schemes, those utilizing optical frequency comb sources provide simple scalability of the number of filter taps [19], [22]–[24]. In this area, recently our group demonstrated an optical frequency comb-based scheme with 160 comb lines, successfully demonstrating pulse compression over larger time apertures (up to 16 ns) and an RF bandwidth of 2.1 GHz [19]. Although these photonics-based schemes provide large RF bandwidths and programmability, they all lack from the point of view of high insertion loss due to losses of the passive optical components and the conversion efficiencies of optoelectronic devices such as modulators and photodetectors. In several previous works [22], [25]–[27], the RF performance of photonicsbased schemes for amplitude filters has been investigated, and improvements have been reported. However, to our knowledge optimization of RF photonic phase filters for a low RF loss has not been considered.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Configuration of the RF photonic phase filter. (MZM: Mach-Zehnder modulator, PBC: polarization beam combiner, EDFA:erbium-doped fiber amplifier, PBS: polarization beam splitter, BPD: balanced photodetector).

Fig. 2. Photograph of the RF photonic phase filter setup. (DCF: dispersion compensating fiber; HNLF: highly nonlinear fiber).

In this paper, a low-loss, ultra-broadband, and programmable RF photonic phase filter is demonstrated for pulse compression. In Section II, we describe our RF photonic phase filter and discuss the scaling of the output peak SNR enhancement. In Section III, we present the experiment and our results in detail. By increasing the output photocurrent and using an asymmetric input split ratio and balanced photodetection [22], the insertion loss of the filter is improved to only 0.5 dB after accounting for the internal matching resistor loss of the photodetector. We utilize this filter to demonstrate compression of linearly chirped waveforms with RF bandwidths up to 7.1 GHz and of binary phase-coded spread-spectrum signals. To further illustrate the generality of our programmable RF photonic phase filter, we successfully demonstrate pulse compression of a UWB Costas frequency-hopped spread spectrum signal with a 6 GHz RF bandwidth. Exploiting the low-loss and high processing gain capabilities of our setup, we demonstrate SNR enhancement by compressing a UWB chirp signal in the presence of jamming. Finally, we conclude in Section IV. II. RF PHOTONIC PHASE FILTER Due to the fact that RF photonic phase filters using optical frequency combs are based on a finite impulse response approach, one can easily achieve features like versatile programmability and filter tunability. To have a high degree of programmability, a large number of filter taps is desirable. Comb-based schemes are advantageous since one can scale the number of filter taps. The schematic configuration and a photograph of the full RF photonic phase filter are shown in Figs. 1 and 2, respectively. The optical frequency comb is directed to an optical interferometer. One arm is sent to an erbium-doped fiber amplifier (EDFA) through a pulse shaper; while the other passes through a Mach-Zehnder modulator (MZM) biased at the minimum transmission point, in which optical carriers and optical noise are considerably reduced by the extinction ratio of the MZM [22], [28]. The outputs of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: LOW-LOSS UWB PROGRAMMABLE RF PHOTONIC PHASE FILTER FOR SPREAD SPECTRUM PULSE COMPRESSION

the EDFA and MZM are connected to a polarization beam combiner. The polarization-multiplexed signals are directed to a polarization beam splitter through a dispersive element. The use of a single dispersive fiber with polarization multiplexing allows us to match the two complementary signal paths for balanced detection [22]. The two outputs of the polarization beam splitter are then connected to the balanced photodetector (BPD). Compared to our previous RF pulse compression experiment, that used a broadband Gaussian-shaped comb with a repetition rate of 10 GHz and an optical bandwidth of 12.8 nm [19], the current work makes several advances. First, the comb source has a larger comb spacing, wider comb bandwidth, and flattopped shape. The larger comb spacing enables us to increase the filter bandwidth because the Nyquist zone (i.e., half of the comb spacing) is increased [23]. Also, the filter time aperture of comb-based schemes is given by the product of the comb's optical bandwidth and the dispersion. A flat-topped comb shape results in a larger time aperture than a Gaussian comb shape for the same number of comb lines and dispersion [17]. As a result, the TBP, the product of the filter's 3-dB RF bandwidth and time aperture, is greatly increased. Since the processing gain in pulse compression filters is limited by the TBP, the large filter TBP is desirable. Second, we investigate—and substantially improve—the insertion loss of our RF photonic phase filter. Our achieved insertion loss is much lower than those of other photonic-based schemes as well as electronic SAW filters. To a large extent, the design of our phase filter follows the approach we introduced previously to improve the RF performance of a comb-based RF photonic amplitude filter [22]. By adopting an interferometer with asymmetric input split ratio, we optimize the path loss ratio related to the power difference between the optical carrier and sideband [22]. As a result the RF gain (i.e., the inverse of the RF loss) is maximized for a fixed photocurrent. Unlike the amplitude filter, however, the RF photonic phase filter places a programmable pulse shaper within one of the interferometer arms, so that not only the amplitude but also the phase of the mixing signals obtained at the output of the interferometer may be controlled. Finally, to suppress the intensity noise originating from the optical amplification of the comb source needed to compensate the loss of the pulse shaper and other components and achieve high photocurrent, we also adopt two noise suppression techniques utilized in our previous work [22]: double-sideband modulation with suppressed carrier (DSB-SC) and balanced photodetection. The DSB-SC modulation corresponds to biasing the MZM at the minimum transmission point. As a result the MZM suppresses both the optical carriers and the intensity noise in the lower interferometer arm. Then the remaining common-mode intensity noise coming from the upper arm is substantially reduced by balanced detection. Balanced detection also has the advantage of increasing the RF gain by 6 dB [22]. These noise suppression techniques enable to produce compressed pulses with a high SNR, whereas previous photonic filtering schemes using incoherent optical sources suffer from high filter output noise [16], [17].

3

The quadratic phase related to the chromatic dispersion in the dispersive element can be expressed by [29] (1) is the coefficient for the second-order phase and is where the angular frequency of the optical carrier. The transfer function of the RF photonic phase filter is expressed by [19]

(2) is the RF amplitude of the nth tap, prowhere duced by beating the nth optical carrier (electric field amplitude ) and nth optical sideband (electric field amplitude ). is the comb spacing (i.e., optical pulse repetition rate); is the angular RF frequency; is the delay difference between the two interferometer arms; and the represent the phases applied to nth optical comb line with the pulse shaper. Equation (2) indicates that our scheme is a finite impulse response filter [23]. The first phase term of (2) indicates linear group delay, introduced by the fiber dispersion, where is the differential tap delay. In the absence of additional phase variation , the summation results in bandpass RF filter response, with the passband frequencies shifted according to the delay difference [22]. In our DSB-SC modulation scheme, the different sidebands correspond to opposite signs of delay, pointed out by the in (2). Hence there are two filter passband terms within one filter free spectral range [22]. Here we focus on one of the passbands for pulse compression within a single Nyquist zone. In our current configuration, the amplitude of the nth optical carrier can be controlled by applying optical frequency dependent attenuation in the programmable pulse shaper. For bandpass filtering this provides the ability to programmably apodize the tap profile in order to vary the passband shape [23], [30]. In some applications amplitude windowing is also desired for pulse compression filters. For example, the Hamming window results in low time sidelobes and thus can mitigate intersymbol interference and false alarms in communication and ranging [3]. In our previous work on comb-based phase filtering [19], we chose a Gaussian windowing of the tap amplitudes, which yields a Gaussian passband shape for a linear chirp. In the current paper, the optical carrier power is controlled in the pulse shaper to provide a flat tap amplitude profile, which increases filter TBP. Pulse compression action depends on the ability to control the phases of the taps. These may be programed by applying the desired optical phases in the pulse shaper; interference between carriers from the upper branch of the interferometer and sidebands from the lower branch of the interferometer transfers the optical phases into the electrical domain. For example, a quadratic RF phase response (or linear chirp delay response) can be achieved by applying a quadratic spectral phase function to the optical carriers [19]. Based on frequency-to-time mapping [23], [31], the spectral phase function

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

sampled by the comb of optical carriers is mapped into the temporal phase of the RF filter impulse response. Thus, after adequate dispersion, the temporal RF phase can be expressed as (3) where is the angular RF frequency at the center of the filter passband. Hence, the filter chirp rate is (4) In other words, the quadratic temporal RF phase response, achieved by the pulse shaping and frequency-to-time mapping, results in a frequency chirp (units GHz/ns or equivalent) that is linearly proportional to the parameter and inversely proportional to the square of the tap delay . Note that (4) corrects a factor of two error in the expression in our group's previous publication [19]. An important point is that the filter chirp rate can be tuned by the parameter, which is related to the optical quadratic spectral phase programed by the pulse shaper. The programmable RF phase response of our scheme allows us to utilize it in matched filter settings, such as pulse compression. Assuming that the filter is programed to match the input RF signal and the noise generated inside the filter is negligible at the filter output, its performance can be quantified based on the output peak SNR to the input average SNR ratio as follows [32] (5) is the ratio of the output peak signal power where to the output average noise power and is the ratio of the input average signal power to the input average noise power. From (5), one can see that increasing the maximum achievable TBP of the filter allows higher output peak SNR to the input average SNR ratios. In our scheme the TBP depends on the number of optical comb lines [19]. Based on [33], in pulse compression, when the filter is perfectly matched to the input signal, the output peak signal power can be determined using the following equation: (6) , , and are the input signal peak where power, output compressed peak power, and the filter RF gain, respectively. The RF gain is equal to the inverse of the nominal RF loss of the filter. This nominal RF loss can be , where is the decomposed into is the component loss or filter insertion expansion loss and loss [33], [34]. The expansion loss arises because manipulating 's) broadens the filter bandwidth while the tap phases (the preserving the energy under the filter response. As a result, we have (7) Equation (7) clearly shows the importance of low component insertion loss in pulse compression filters. As mentioned in the introduction, high insertion loss is a great disadvantage for SAW-

Fig. 3. Schematic diagram of the broadband and flat-topped comb source. (PM: phase modulator, IM: intensity modulator, EDFA: erbium-doped fiber amplifier, HNLF: highly nonlinear fiber, OSA: optical spectrum analyzer).

based pulse compression filters at high frequencies and wide bandwidths. The SAW-based pulse compression filter reported in [12] (1 GHz bandwidth at 3.6 GHz center frequency) had a 23 dB filter insertion loss, with reference to (7). In addition, the filter insertion loss would be significantly increased with the further increase of RF bandwidth [9]. However, as will be discussed in later sections, in our scheme, by incorporating optical amplifiers, asymmetric input split ratio of the interferometer, and balanced detection, we effectively bring the RF component insertion loss down to nearly 0 dB, while increasing the RF bandwidth to 7 GHz. Furthermore, our photonic approach should be able to maintain similar performance even when scaled up to substantially higher RF frequencies and wider RF bandwidths. III. EXPERIMENT AND RESULTS A. Broadband and Flat-Topped Comb Source To achieve higher filter TBP values, a broadband and flat-topped optical comb source is required. Fig. 3 shows a schematic diagram of the generation setup for this source. In order to have a wider comb bandwidth, self-phase modulation-based spectral broadening in a highly nonlinear fiber (HNLF) is utilized [35]–[38]. In addition to the spectral broadening, a flat-topped comb shape can be achieved using seed pulses with appropriately shaped temporal profiles [36], [37]. Here, as the seed source, an electro-optic frequency comb source is used, having advantages such as tunable GHz repetition rate and good flatness [39], [40]. Due to these advantages, a spectrally broadened electro-optic frequency comb provides more flexibility for implementing the phase filters than a mode-locked laser [38]. In the electro-optic comb generation subsystem, a continuous-wave laser is connected to four cascaded electro-optic modulators including three phase modulators and one intensity modulator, where a single-frequency electrical RF signal generated by the RF synthesizer drives the four modulators [40]. In this experiment, the frequency of the electrical RF signal is set to 18 GHz, which directly determines the comb repetition rate. The seed comb is then directed to a programmable pulse shaper (Finisar WaveShaper 1000S), set to yield a parabolic pulse spectrum. We choose the parabolic pulse as the seed pulse shape to the HNLF, which results in a flat-topped shape after spectral broadening in the HNLF. In addition, it does not cause wave-breaking in the spectral broadening process and thus results in a very fast roll-off in the generated optical spectrum [37], [38]. The resultant pulse is amplified by the EDFA and directed to 150 meters of HNLF with dispersion of 1.88 ps/nm/km, nonlinear coefficient of 10

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: LOW-LOSS UWB PROGRAMMABLE RF PHOTONIC PHASE FILTER FOR SPREAD SPECTRUM PULSE COMPRESSION

5

Fig. 4. Measured spectrum of the broadband flat-topped optical frequency . comb

, and fiber attenuation of 0.22 dB/km. Fig. 4 shows the optical spectrum of our broadened comb at the HNLF output. The broadened comb has 23 nm optical bandwidth within a 3.6 dB power variation, containing 161 comb lines. B. Linear Chirp Filter In this section, linear chirp filters with different chirp rates are demonstrated to compress chirped RF pulses. In the programmable optical pulse shaper, located in the upper interferometer arm, 161 comb lines are appropriately shaped while the other comb lines are suppressed. In order to produce a constant RF beat power for all comb lines, the optical carrier powers are adjusted in the pulse shaper via amplitude shaping. We utilize a split ratio of 10:90 at the input to the interferometer (90% of the power directed to the MZM). The MZM in the lower interferometer arm has a half-wave voltage of 3 V at 1 GHz and an extinction ratio of 20 dB. As a dispersive element we use a spool of dispersion compensating fiber (DCF) with a dispersion of 400 ps/nm. The total output photocurrent is 17 mA. The maximum time aperture of the chirp filter is 9.1 ns, and the filter FSR is 17.5 GHz because the differential delay between filter taps is 57 ps. Also, the maximum RF bandwidth is 9 GHz, set by the width of the Nyquist zone which is equal to half of the 18 GHz optical comb spacing. Fig. 5 shows the measured filter frequency responses of amplitude and group delay for various chirp rates, observed using a 0–20-GHz vector network analyzer. Due to the inevitable higher order dispersion in the DCF, dominated by cubic spectral phase, the filter phase response is slightly quadratic even when no phase is programed onto the pulse shaper. This initial RF quadratic phase is compensated by applying a calibration quadratic phase in the pulse shaper. Then, the group delay becomes constant and the filter passband of the amplitude filter is a Sinc shape because of the flat amplitude coefficient. According to Fig. 5(a), the RF gain at the filter center frequency of 4.5 GHz is approximately 0.8 dB, with 100 MHz 3-dB RF bandwidth. Then, we further increase the parameter from 0.0008 to 0.0067 to tune the chirp rates. It is observed from Fig. 5 that as is increased, the filter bandwidth increases while the RF gain comes down. This is a manifestation of the expansion loss mentioned earlier. The filter 3-dB bandwidths and average RF losses with parameters of 0.0008, 0.0031, and 0.0067 are 2.63,

Fig. 5. Filter frequency responses for tuning of filter bandwidths and chirp rates. Amplitude responses with the parameters of (a) 0.0033 and 0.0008, (c) 0.0031, and (e) 0.0067; Group delay responses with the parameters of (b) 0.0008, (d) 0.0031, and (f) 0.0067; Colored solid and black dashed lines are measured and simulated data, respectively.

4.7, and 7.1 GHz, and 14.7, 16.8, and 19.2 dB, respectively. The chirp rates with the parameters of 0.0008, 0.0031, and 0.0067 are 0.410, 0.614, and 0.935 GHz/ns, respectively. Since an equivalent value of 0.0033 is required to counteract the third-order dispersion in the DCF, after subtracting this number from the experimental values, the measured filter frequency responses agree with simulation results based on (2), shown as black dashed lines in Fig. 5. In addition, our chirp rates match what is derived in (4). The amplitude and group delay ripples observed in the amplitude and group delay responses of Fig. 5 are less than 4 dB and 0.5 ns, respectively. The ripples originate from the sudden discontinuities at the edges of the tap profile. The ripples can be reduced by applying tapered windowing or increasing the filter TBP (i.e., the number of comb lines) [41], [42]. Note that all measured RF powers are reduced by a factor of 4 due to the internal resistive matching circuitry of the BPD. However, the BPD output without the matching interface can be directly connected to another component. In this case, the BPD acts as a current source due to very large impedance originating from its depletion region's reactive capacitive [43]. Thus, some applications have used photodetectors without matching interfaces to retrieve the original generated power, although it causes slight power fluctuations and bandwidth restriction. In conventional analog-optic links, it is common to consider the intrinsic RF gain without the matching interface [43], [44]. Thus, the numbers shown in this paper for RF power used for evaluation of the RF gain and insertion loss refer to the values before this internal matching resistor. In other words, to account for the internal matching resistor, 6 dB is added to the power values measured at the BPD output.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Measured filter frequency response for pulse compression of binary phase-coded down-chirps. Fig. 8. Comparison of the measured output pulse and the autocorrelation of the measured input pulse.

As shown in Fig. 7(b) and (d), the measured peak voltages of the compressed pulses are approximately 100 mV. After accounting for the internal matching resistor loss of 6 dB, the component loss ( 0.3 dB) is negligible because of the improved RF gain of the filter, resulting in a peak SNR of approximately 25 dB after pulse compression. As shown in Fig. 8, the measured output pulse shape agrees with its ideal form, which is the autocorrelation of the (measured) input pulse. This verifies that high fidelity pulse compression is achieved. These results indicate that the filter response is quite well matched to the input pulse waveform. D. Frequency-Hopped Costas Sequence

Fig. 7. Pulse comrpession of binary phase-coded down-chirps. (a) Measured input waveform and (b) measured output waveform with the sequence [1 1 1 1]. (c) Measured color-coded input waveform and (d) measured output waveform with the sequence [1 1 1 1].

C. Pulse Compression With Binary Phase Coding Pulse compression can be combined with binary or higher-level phase coding to achieve various covert wireless communication schemes as well as high delay/Doppler resolution radar [1]–[3], [45]. Here we demonstrate pulse compression for a sequence of linear down-chirp pulses with data encoded onto successive down-chirp pulses via binary phase-shift keying (BPSK). The electrical input is generated by an electrical RF arbitrary waveform generator (Tektronix AWG7000C) programed to give down-chirp pulses with 0.725 GHz/ns chirp rate within a frequency range from 3 to 8 GHz. This corresponds to individual chirp waveforms with 6.9-ns time aperture and a TBP value of 34.5. The chirp waveforms repeat with 6.9 ns period, which is then BPSK modulated at 145 Mb/s. The chirp filter with a parameter of 0.0038 is programed to have the opposite chirp rate of the down-chirp input pulses. Fig. 6 shows measured frequency response of the up-chirp filter. Fig. 7(a) and (c) show the measured input waveforms with BPSK sequences of [1 1 1 1] and [1 1 1 1], respectively, both having an average power of 2.3 dBm. Fig. 7(c) and (d) are color-coded based on the pulse polarity.

Another very interesting set of waveforms with applications in synchronization and radar engineering are frequency-hopped spread-spectrum sequences. Among the various types of frequency-hopped sequences, Costas sequences are proven to have optimal compression characteristics, both in frequency (equivalent to Doppler resolution) and time (equivalent to range resolution) [2], [3]. To further illustrate the potential of our programmable RF photonic phase filter, we carry out experiments to compress a length-7 UWB Costas sequence with a fundamental frequency step of 0.86 GHz, centered at a 5 GHz carrier. The utilized Costas sequence harmonic ordering for the input signal to our phase filter in this experiment is . Fig. 9(a) depicts the generated waveform using our electronic RF arbitrary waveform generator, having 6 GHz bandwidth and 8.2 ns time aperture. To further illustrate the frequency transitions, the normalized spectrogram of the pulse in Fig. 9(a) is calculated offline with a 0.7 ns Gaussian gate function and plotted in Fig. 9(b). For clarity, the corresponding instantaneous frequency harmonic of each section is also labeled on this plot. To successfully compress the input pulse, the RF photonic phase filter must have a group delay response that is inversely matched to the response of the input pulse, i.e., the group delay values must follow the sequence . Fig. 10(a) and (b) shows the measured amplitude and group delay responses of the programed Costas filter, respectively. From the comparison of Figs. 9(b) and 10(b), one can observe that the filter RF delay sequence is indeed inversely matched to that of the input Costas signal, as anticipated. In other words, the earlier the frequency component is received, the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: LOW-LOSS UWB PROGRAMMABLE RF PHOTONIC PHASE FILTER FOR SPREAD SPECTRUM PULSE COMPRESSION

7

Fig. 11. Setup for the jamming-resistant pulse compression experiment. (Tx: transmitter; Rx: receiver; RF-AWG: RF-arbitrary waveform generator; EA: electrical amplifier; LPF: low-pass-filter).

Fig. 9. Pulse compression with Costas sequence. (a) Waveform and (b) normalized spectrogram of the measured input pulse; (c) Waveform and (d) normalized spectrogram of the measured output pulse. In (c), the dashed line is autocorrelation of the measured input pulse.

Fig. 12. Photograph of the jamming-resistant experimental setup.

RF photonic phase filter is nearly vertical, corresponding to a compressed pulse localized to a very short temporal duration ( 150 ps). E. Jamming-Resistant Pulse Compression

Fig. 10. Measured filter frequency responses for pulse compression with Costas sequence. (a) Amplitude response and (b) group delay response.

larger its group delay should be. As shown in Fig. 9(b), the filter receives frequency component earliest and frequency component latest. Therefore, the pulse compression filter imparts the largest group delay to the frequency component at and the shortest group delay to . Fig. 9(c) shows the result of pulse compression overlaid with the autocorrelation of the input signal shown in Fig. 9(a). The normalized spectrogram of the measured pulse in Fig. 9(c) is calculated offline with a 0.3 ns Gaussian gate function and plotted in Fig. 9(d). As shown in Fig. 9(c), the compressed pulse is mostly matched to the autocorrelation. Although we have successfully achieved pulse compression, time sidelobes that are noticeably larger than those of the ideal compressed pulse are present. This is due to slight mismatch between the filter response and the input RF pulse, which is noticeable as slight group delay ripple in Fig. 10(b). The measured peak voltage is 71 mV which gives a combined filter insertion loss and mismatch loss (due to nonideal compression) of approximately 3 dB, again after accounting for the internal matching resistor loss of 6 dB. As shown in Fig. 9(d), the spectrogram after passing through the

Jamming or interference is one of the important issues in modern radars and wireless communications [1], [2]. Pulse compression has resistance to jamming and interference because of the pulse compression or processing gain. In this section, we present pulse compression results on our RF photonic phase filter to show its jamming-resistant capabilities. The schematic setup and actual photograph for the jamming-resistant experiment are shown in Figs. 11 and 12, respectively. At the transmitter site, an electronic RF arbitrary waveform generator is used to transmit a linear down-chirp pulse as well as the UWB jamming noise. These signals are amplified and radiated by broadband antennas via an air channel to the receiver. At the receiver site, the detected down-chirp pulses, mixed with jamming noise, are amplified and directed to the phase filter with the parameter of 0.0067. Fig. 13(a) and (b) show the waveform and normalized spectrogram (with a 0.7-ns Gaussian gate function) of the down-chirp pulse at the chirp filter input, when jamming noise is not present. As shown in Figs. 13(b) and 5(f), the down-chirp pulse and the phase filter have chirp rates of 0.935 GHz/ns over a 3-dB RF bandwidth of 7.1 GHz, respectively, thus a TBP of 54. Furthermore, the average power within the time aperture of the down-chirp pulse is 6.96 dBm . The jamming noise has a flat spectrum with a 3-dB cutoff frequency of 8 GHz. The average power of the noise is 6.72 dBm. At the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

IV. CONCLUSION

Fig. 13. (a) Waveform and (b) normalized spectrogram of the measured downchirp pulse when jamming noise is not present.

We have demonstrated a low-loss, ultrawideband, and programmable RF photonic phase filter for pulse compression. It utilizes a broadband optical frequency comb, interferometric pulse shaping configuration, and balanced photodetection. Through experimental demonstrations, we show an UWB filter bandwidth of 7 GHz, well beyond what can be achieved in electronic SAW filters. The filter bandwidth can be extended using higher comb repetition rates. In addition, the insertion loss of our apparatus ( 0.5 dB) is much lower than that of SAW filters ( 23 dB) and other photonics-based schemes. We also have demonstrated high programmability with chirp rate tuning and Costas frequency-hopped sequence. Furthermore, we have demonstrated jamming-resistance with the UWB chirp filter. Although high power UWB jamming noise is transmitted to disturb the down-chirp signal, the compressed pulse is clearly recognized after pulse compression. Our data suggest that our scheme should be useful for many high resolution real-time RF pulse compression applications. ACKNOWLEDGMENT

Fig. 14. Measured waveforms at (a) input and (b) output of the phase filter when jamming noise is present.

filter output, a low-pass-filter having a cut-off frequency of 12 GHz is used to suppress the comb beat note at 18 GHz. Fig. 14(a) and (b) shows the measured input and output waveforms of the chirp filter, respectively, when jamming noise is turned on. At the input of the filter, as shown in Fig. 14(a), the down-chirp signal is not recognized at all among the high power jamming noise, making the input average SNR 0.24 dB. At the output, a compressed pulse with a peak voltage of 68 mV is clearly distinguishable from the noise floor. This leads to a peak power of 10.34 dBm, which is 6 dB lower than what is anticipated from (7), with filter insertion loss 0.38 dB. As mentioned before, this 6-dB difference is due to the fact that in our experiments, we did not compensate for the internal matching resistor loss of the BPD, as it would attenuate noise and signal in the same way hence not affecting the SNR. From (5), an output peak SNR to the input average SNR ratio advantage of 108 would lead to the output peak SNR to be approximately 20.3 dB. In our experiments we measure an output peak SNR of 19.7 dB, which is very close to the ideal value. The slight discrepancy is caused by some excess noise introduced in the RF photonic phase filtering stage. The TBP that can be handled in our scheme is proportional to the number of comb lines. In our current experiments using a comb with 3.6 dB optical bandwidth of 23 nm (161 comb lines), the TBP is 54. Recently, an ultra-broadband comb generation scheme was reported to yield a 10 GHz flat-topped comb with 1500 comb lines within 120 nm after spectral broadening [46]. By using such scheme to increase our number of comb lines, it should be possible to scale our RF photonic phase filtering approach for compression of UWB signals with TBPs in the range of several hundreds.

The authors would like to thank Mr. A. J. Metcalf, who developed the electro-optic frequency comb seed source utilized in these experiments, and Dr. D. E. Leaird, Dr. X. Xue, and Dr. M. Song for their technical assistance and valuable discussions. Any opinion, findings, and conclusions or recommendations expressed in this paper are those of the authors and do not necessarily reflect the views of the sponsors. REFERENCES [1] A. W. Rihaczek, Principles of High-Resolution Radar. Norwood, MA, USA: Artech House, 1996. [2] N. Levanon and E. Mozeson, Radar Signals. Hoboken, NJ, USA: Wiley-IEEE Press, 2004. [3] M. I. Skolnik, Radar Handbook, 3rd ed. New York, NY, USA: McGraw-Hill, 1990. [4] M. Moller, “High-speed electronic circuits for 100 Gb/s transport networks,” presented at the OFC, San Diego, CA, USA, 2010, Paper OThC6. [5] G. C. Valley, “Photonic analog-to-digital converters,” Opt. Exp., vol. 15, no. 5, pp. 1955–1982, 2007. [6] S. M. Kuo, B. H. Lee, and W. Tian, Real-Time Digital Signal Processing: Fundamentals, Implementations and Applications. Hoboken, NJ, USA: Wiley, 2013. [7] T. Long, Y. Wang, and T. Zeng, “Signal-to-noise ratio in stretch processing,” Electron. Lett., vol. 46, no. 10, pp. 720–722, 2010. [8] H. Odagawa and K. Yamanouchi, “10 GHz range extremely low-loss ladder type surface acoustic wave filter,” in Proc. IEEE Ultrason. Symp., 1998, pp. 103–106. [9] A. Hachigo, I. Nakahata, K. Itakura, S. Fujii, and S. Shikata, “10 GHz narrow band SAW filters using diamond,” in Proc. IEEE Ultrasonics Symp., 1999, pp. 325–328. [10] K. Hashimoto, S. Tanaka, and M. Esashi, “Tunable RF SAW/BAW filters: Dream or reality?,” in Proc. IEEE Int. Freq. Control Symp. Eur. Freq. Time Forum, 2011, pp. 1–8. [11] R. M. Hays and C. S. Hartmann, “Surface-acoustic-wave devices for communications,” Proc. IEEE, vol. PROC-64, no. 5, pp. 652–671, May 1976. [12] R. Brocato, J. Skinner, G. Wouters, J. Wendt, E. Heller, and J. Blaich, “Ultra-wideband SAW correlator,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 53, no. 9, pp. 1554–1556, Sep. 2006. [13] R. Brocato et al., “UWB communication using SAW correlators,” in Proc. IEEE Radio Wireless Conf., 2004, pp. 267–270.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. KIM et al.: LOW-LOSS UWB PROGRAMMABLE RF PHOTONIC PHASE FILTER FOR SPREAD SPECTRUM PULSE COMPRESSION

[14] E. Hamidi and A. M. Weiner, “Phase-only matched filtering of ultrawideband arbitrary microwave waveforms via optical pulse shaping,” J. Lightw. Technol., vol. 26, no. 15, pp. 2355–2363, Aug. 2008. [15] C. Wang and J. P. Yao, “Chirped microwave pulse compression using a photonic microwave filter with a nonlinear phase response,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 2, pp. 496–504, Feb. 2009. [16] X. Xue, X. Zheng, H. Zhang, and B. Zhou, “Tunable chirped microwave photonic filter employing a dispersive Mach-Zehnder structure,” Opt. Lett., vol. 36, no. 17, pp. 3518–3520, Sep. 2011. [17] M. Bolea, J. Mora, B. Ortega, and J. Capmany, “Highly chirped singlebandpass microwave photonic filter with reconfiguration capabilities,” Opt. Exp., vol. 19, no. 5, pp. 4566–4576, 2011. [18] M. Li, M. Antonio, L. Sophie, J. P. Yao, and J. Azana, “Reconfigurable and single-shot chirped microwave pulse compression using a timespectrum convolution system,” in Proc. Microw. Photon. Int. Topical Meet. Microw. Photon. Conf., Oct. 2011, pp. 9–12. [19] M. Song, V. Torres-Company, R. Wu, A. J. Metcalf, and A. M. Weiner, “Compression of ultra-long microwave pulses using programmable microwave photonic phase filtering with 100 complex-coefficient taps,” Optics Exp., vol. 22, no. 6, pp. 6329–6338, 2014. [20] Y. Dai and J. P. Yao, “Nonuniformly-spaced photonic microwave delay-line filter,” Opt. Exp., vol. 16, no. 7, pp. 4713–4718, Mar. 2008. [21] M. Sagues, A. Loayssa, and J. Capmany, “Multitap complex-coefficient incoherent microwave photonic filters based on stimulated Brillouin scattering,” IEEE Photon. Technol. Lett., vol. 19, no. 16, pp. 1194–1196, Aug. 2007. [22] H.-J. Kim, D. E. Leaird, A. J. Metcalf, and A. M. Weiner, “Comb-based RF photonic filters based on interferometric configuration and balanced detection,” J. Lightw. Technol., vol. 32, no. 20, pp. 3478–3488, 2014. [23] E. Hamidi, D. E. Leaird, and A. M. Weiner, “Tunable programmable microwave photonic filters based on an optical frequency comb,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 11, pp. 3269–3278, Nov. 2010. [24] V. R. Supradeepa, C. M. Long, R. Wu, F. Ferdous, E. Hamidi, D. E. Leaird, and A. M. Weiner, “Comb-based radio-frequency photonic filters with rapid tunability and high selectivity,” Nature Photon., vol. 6, pp. 186–194, 2012. [25] I. Gasulla and J. Capmany, “Analytical model and figures of merit for filtered Microwave photonic links,” Opt. Exp., vol. 19, no. 20, pp. 19758–19774, Sep. 2011. [26] K.-Y. Tu et al., “Silicon RF-photonic filter and downconverter,” IEEE J. Lightw. Technol., vol. 28, no. 20, pp. 3019–3028, Oct. 2010. [27] X. Xue, X. Zheng, H. Zhang, and B. Zhou, “Spectrum-sliced microwave photonic filter with an improved dynamic range based on phase modulator and balanced detection,” IEEE Photon. a Techn. Lett., vol. 24, no. 9, pp. 775–777, May 2012. [28] C. Middleton and R. Desalvo, “High performance microwave photonic links using double sideband suppressed carrier modulation and balanced coherent heterodyne detection,” in Proc. IEEE Military Commun. Conf., 2009, pp. 1–6. [29] A. M. Weiner, Ultrafast Optics, 1st ed. Hoboken, NJ, USA: Wiley, 2009, pp. 147–148. [30] M. Song, C. M. Long, R. Wu, D. S. Seo, D. E. Leaird, and A. M. Weiner, “Reconfigurable and tunable flat-top microwave photonic filters utilizing optical frequency combs,” IEEE Photon. Technol. Lett., vol. 23, no. 21, pp. 1618–1620, Nov. 1, 2011. [31] V. Torres-Company, D. E. Leaird, and A. M. Weiner, “Dispersion requirements in coherent frequency-to-time mapping,” Opt. Exp., vol. 19, no. 24, pp. 24718–4729, 2011. [32] B. R. Mahafza, Radar Systems Analysis and Design Using MATLAB, 3rd ed. Boca Raton, FL, USA: CRC Press, 2013. [33] C. Coo, Radar Signals: An Introduction to Theory and Application. New York, NY, USA: Academic Press, 1967. [34] C. Campbell, Surface Acoustic Wave Devices and Their Signal Processing Applications. New York, NY, USA: Academic Press, 1989. [35] R. Wu, V. Torres-Company, D. E. Leaird, and A. M. Weiner, “Supercontinuum-based 10-GHz flat-topped optical frequency comb generation,” Optics Exp., vol. 21, no. 5, pp. 6045–6052, 2013. [36] C. Finot, B. Kibler, L. Provost, and S. Wabnitz, “Beneficial impact of wave-breaking for coherent continuum formation in normally dispersive nonlinear fibers,” J. Opt. Soc. Amer. B, vol. 25, no. 11, pp. 1938–1948, 2008.

9

[37] F. Parmigiani et al., “Ultra-flat SPM-broadened spectra in a highly nonlinear fiber using parabolic pulses formed in a fiber Bragg grating,” Opt. Exp., vol. 14, no. 17, pp. 7617–7622, 2006. [38] A. Clarke, D. Williams, M. Roelens, and B. Eggleton, “Reconfigurable optical pulse generator employing a Fourier-domain programmable optical processor,” IEEE J. Lightw. Technol., vol. 28, no. 1, pp. 97–103, Jan. 1, 2010. [39] R. Wu, V. R. Supradeepa, C. M. Long, D. E. Leaird, and A. M. Weiner, “Generation of very flat optical frequency combs from continuouswave lasers using cascaded intensity and phase modulators driven by tailored radio frequency waveforms,” Opt. Lett., vol. 35, no. 19, pp. 3234–3236, Oct. 2010. [40] A. J. Metcalf, V. Torres-Company, D. E. Leaird, and A. M. Weiner, “High-power broadly tunable electro-optic frequency comb generator,” IEEE J. Sel. Top. Quant. Electron., vol. 19, no. 6, Nov.–Dec. 2013, Art. ID 3500306. [41] M. B. N. Butler, “Radar applications of S.A.W. dispersive filters,” IEE Proc., vol. 127, no. 2, pp. 118–124, 1980. [42] M. Kowatsch and H. R. Stocker, “Effect of Fresnel ripples on sidelobe suppression in low time-bandwidth product linear FM pulse compression,” IEE Proc., vol. 129, no. 1, pp. 41–44, Feb. 1982. [43] S. Iezekiel, Microwave Photonics: Devices and Applications. New York, NY, USA: Wiley–IEEE, 2009, pp. 150–152. [44] C. Cox, Analog Optical Links. Cambridge, U.K.: Cambridge Univ. Press, 2004. [45] G. F. Gott and J. P. Newsome, “H.F. data transmission using chirp signals,” Proc. IEE, vol. 118, pp. 1161–1166, 1971. [46] V. Ataie, E. Myslivets, B. P.-P. Kuo, N. Alic, and S. Radic, “Spectrally equalized frequency comb generation in multistage parametric mixer with nonlinear pulse shaping,” IEEE J. Lightw. Technol., vol. 32, no. 4, pp. 840–846, Feb. 15, 2014. Hyoung-Jun Kim (S’06–M’10) received the B.S. degree in electrical engineering from Kwangwoon University, Seoul, Korea, in 2005, and the M.S. and Ph.D. degrees in electrical engineering from the Gwangju Institute of Science and Technology, Gwangju, Korea, in 2007 and 2011, respectively. Since 2012, he has been working as a Postdoctoral Researcher in the Ultrafast Optics and Optical Fiber Communications Laboratory, Purdue University, West Lafayette, IN, USA. His research interests include microwave photonics, photonic signal processing, microwave and photonic integrated circuits, millimeter-wave radio access networks using radio-over-fiber, and optical frequency comb generation. Dr. Kim serves as a Reviewer for Optics Express, IEEE PHOTONICS TECHNOLOGY LETTERS, and IEEE PHOTONICS JOURNAL. He received the Best Student Paper Award in Global Symposium on Millimeter Waves (2008), Excellent Research Award (2011) for his Ph.D. dissertation, and Korean government fellowships for National Research Graduate (2009) and Postdoctoral Fellow (2014).

Amir Rashidinejad (S’10–M’11) received the B.Sc. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2011. He is currently working toward the Ph.D. degree at the Ultrafast Optics and Optical Fiber Communications Laboratory, Purdue University, West Lafayette, IN, USA, and the Ph.D. degree at the School of Electrical and Computer Engineering, Purdue University. From 2009 to 2011, he was an undergraduate Research Assistant with the Advanced Communications Research Institute (ACRI) and the Optical Networks Research Laboratory (ONRL) at Sharif University. He is currently a graduate Research Assistant with the Ultrafast Optics and Optical Fiber Communications Laboratory, Purdue University. His research interests include radio-frequency photonics; optical pulse shaping; ultrabroadband microwave and millimeter-wave arbitrary waveform generation and processing; and applications in ranging and communication systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Andrew M. Weiner (S’84–M’84–SM’91–F’95) received the Sc.D. in electrical engineering from the Massachusetts Institute of Technology, Cambridge, MA, USA, in 1984. Upon graduation, he joined Bellcore, first as a Member of Technical Staff and later as Manager of Ultrafast Optics and Optical Signal Processing Research. He moved to Purdue University, West Lafayette, IN, USA, in 1992, and is currently the Scifres Family Distinguished Professor of Electrical and Computer Engineering and has since graduated over 30 Ph.D. students. He has also spent sabbaticals at the Max Born Institute for Nonlinear Optics and Ultrashort Pulse Spectroscopy, Berlin, Germany, and at JILA, University of Colorado and National Institute of Standards and Technology, Boulder, CO, USA. He currently serves as Editor-in-Chief of Optics Express, an all-electronic, open access journal publishing more than 3000 papers a year emphasizing innovations in all aspects of optics and photonics. He is author of a textbook entitled “Ultrafast Optics” (Wiley, 2009), has published eight book chapters, over 300 journal articles, and over 500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

conference papers, and invented 16 U.S. patents. He is especially well known for his pioneering work on programmable generation of arbitrary ultrashort pulse waveforms, which has found application both in fiber optic networks and in ultrafast optical science laboratories around the world. His research focuses on ultrafast optics, with a focus on processing of extremely high speed lightwave signals and ultrabroadband radio-frequency signals. In 2008, Prof. Weiner was elected to membership in the National Academy of Engineering, and in 2009, was named a Department of Defense National Security Science and Engineering Faculty Fellow. He was the recipient of many awards, including the Hertz Foundation Doctoral Thesis Prize (1984), the Optical Society of America's Adolph Lomb Medal (1990) and R.W. Wood Prize (2008), the International Commission on Optics Prize (1997), the IEEE Photonics Society's William Streifer Scientific Achievement Award (1999), and Quantum Electronics Prize (2011). He was also the recipient of the inaugural Research Excellence Award from the Schools of Engineering (2003), the Provost's Outstanding Graduate Student Mentor Award (2008), the Herbert Newby McCoy Award for outstanding contributions to the natural sciences (2013), and the College of Engineering Mentoring Award (2014), all from Purdue University.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Comments on “Fractional Derivative Based FDTD Modeling of Transient Wave Propagation in Havriliak–Negami Media” Ioannis T. Rekanos Abstract—A finite-difference time-domain (FDTD) scheme for modeling wave propagation in Havriliak–Negami media has been reported in the above paper. The scheme has been based on the approximation of the fractional differential operator by use of truncated binomial series. However, in this letters, it is mentioned that the binomial series approximation is not valid for angular frequenof the medium. As a cies higher than the characteristic value result, the applicability of the proposed FDTD scheme is limited. Index Terms—Dielectric relation, dispersive media, finite difference time domain (FDTD), fractional calculus. I. APPROXIMATING THE FRACTIONAL DIFFERENTIAL OPERATOR In the above paper [1], the fractional differential operator that appears in the Havriliak–Negami (H–N) polarization relation is approximated by means of truncated binomial series [1, eq. (10)], i.e.,

(1) and is the number of terms used. where In the spectral domain, this approximation is written as [1, eq. (11)],

(2) As a result, the original relative permittivity of the H–N medium, given by (3) is approximated by

(4) is based on the apIn the above paper [1], the selection of by the truncated binomial series , in the proximation of

Manuscript received September 01, 2014; revised December 11, 2014 and May 08, 2015; accepted October 20, 2015. The author is with the School of Electrical and Computer Engineering, Aristotle University of Thessaloniki, GR-54124 Thessaloniki, Greece (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2015.2495200

frequency range . In particular, isfying the condition [1, eq. (12)],

is chosen by sat-

(5) and stands for the maximum frequency where , as written in the above paper [1]. Furthermore, in the above paper [1], the following is claimed. , the accuracy of the ap1) By increasing the number of terms, , the approximation proximation is enhanced, i.e., for a given . error (5) is a decreasing function of , a good approximation can be obtained with a 2) For , less than six. number of terms, The second claim was based on the results presented in the above paper value used in (5) was not provided. [1, Fig. 1], although the It is noted that the results in the above paper [1, Fig. 1] can be reproclose to . duced by setting However, in their approach, in the above paper [1], Mescia et al. missed a very crucial issue, i.e., the fact that the binomial series con, whereas it is divergent for because the verges for , is not a positive integer. Also, as increases, the power, , but it deterioapproximation becomes more accurate for rates elsewhere. Thus, the approximation of the fractional differential operator (1) and the approximate relative permittivity (4) are not valid . for The above limitation can be illustrated by an example where and . The real and the imaginary parts of and , for , are presented in Fig. 1. It is evident that the approximation (2) adopted in the above . Also, we assume that and paper [1] is not valid for are the lowest and the highest frequencies, respectively, of a field propand ). The approximaagating in the H–N medium ( and b) tion error (5), for the cases of: a) , are presented in Fig. 2. From Fig. 2, it is concluded that the condition (5) is applicable and the two aforemenof the extioned claims are true only when the highest frequency . If , then the approximation citation field is lower than simply because, for error (5) increases with diverges from . As a result, when , the adoption of (5) is unsound, and the two claims are false. Finally, it should be noted we derive the most accurate approximation that by setting for . However, this approximation is poor since of . II. FINITE-DIFFERENCE TIME-DOMAIN SCHEME The finite-difference time-domain (FDTD) scheme proposed in [1] or other computational schemes, which are based on the approximation (1), will actually simulate wave propagation in a medium with permittivity given by (4). As a result, such schemes should not be considered

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. (a) Real and (b) imaginary parts of the original, , for and . imate function

Fig. 2. Approximation error . (b)

for: (a)

, and the approx-

and

Fig. 3. (a) Real and (b) imaginary parts of the original and the approximate and . relative permittivity of the H–N medium with

applicable to the case of angular frequencies higher than the charac. A reasonable approach, among others, to overcome teristic value or this limitation that appears in Davidson–Cole H–N media is to utilize Padé approximants [2], [3]. It should be mentioned that the proposed FDTD scheme [1] is reliable for frequencies , only when , i.e., for Cole–Cole media, because the above binomial series approximation (1) is not really invoked. However, in this case, the scheme presented in [1] is identical to the one proposed in [4]. The above criticism is illustrated by a simple example related to ps, , an H–N medium with . Note that , which means that (1) is and not valid for frequencies above 1 GHz. The original relative permittivity and those obtained by use of truncated binomial series , for frequencies from 0.1 GHz up to 10 GHz, are presented in Fig. 3. Moreover, we consider a normally incident plane wave on an air/medium interface. The original and approximate reflection coefficients, for the same frequency region, are depicted in Fig. 4. From both Figs. 3 and 4, it is evident that the binomial series fail to approximate , i.e., for the permittivity and the reflection coefficient for increases, both approximafrequencies above 1 GHz. Also, as tions deteriorate for frequencies above 1 GHz. These results show that . Consethe two aforementioned claims in [1] are false for quently, the approximation of the fractional differential operator using or , (1) is inaccurate. As shown in Fig. 3(b), for it is possible for the imaginary part of the approximate permittivity to become positive in certain frequency regions. Obviously, in such frequency regions, the FDTD scheme proposed in [1] would simulate a wave whose magnitude would increase exponentially as it propagates in the H–N medium. This exponential increase is not due to a violation of the Courant–Friedrichs–Lewy stability condition. It actually appears

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

3

. For these frequencies, since the corresponding approximate relative permittivity erroneously diverges from the original, the proposed FDTD scheme is not only inaccurate, but could also result in simulating nonphysical wave propagation phenomena. Instead of (2), it is possible to adopt another truncated binomial series, i.e.,

(6) , which is convergent for , but where diverges for . Using (6), the fractional differential operator is approximated by

(7)

Fig. 4. (a) Real and (b) imaginary parts of the original and the approximate and ). reflection coefficient on the air/H–N medium interface (

because the approximate permittivity, which is embedded in the FDTD scheme, corresponds to an active medium, a fact that contradicts the physics of H–N media. and , the acGiven an H–N medium with known parameters curacy of the truncated binomial series approximation (2) is tuned by . When only one integer parameter, i.e., the number of terms, with the spectrum of the propagating wave spans the region , then, as shown in the present study, gives, once and for all, the most accurate, but still poor approxima, the relative permittivity, and the reflection tions of the function coefficient (Figs. 1–4). Therefore, the whole discussion in the above paper [1] about the quest of the optimal truncated binomial series is , pointless since the only free parameter in the approximation, always has to be set equal to 1. As is shown in Fig. 2, this choice, comvalues, results in the poorest approximation for pared to higher and in the least worse one for . Finally, setting means that the H–N medium is essentially approximated by a single-term Cole–Cole one, whereas much more accurate and also tunable approximations have already been reported (see, for example, [3]). III. DISCUSSION The approximation of the fractional differential operator proposed in [1] is incorrect for angular frequencies above the characteristic value

which could be utilized in an FDTD scheme for simulating wave propagation only at frequencies above . In conclusion, assuming that the excitation field spans the frequency , we have the following different cases. region , then the approximation (1) used in [1] is valid, Case 1) If but unreliable otherwise. , then the approximation (7) is valid, but unreCase 2) If liable otherwise. , then neither approximation (1), nor Case 3) If (7) is reliable. Furthermore, if (1) and (7) are used for the and separately, corresponding regions then a unified FDTD scheme could not be feasible. In most of the simulation results presented in the above paper [1], the spectrum of the excitation field falls into the Case 3, while the fractional differential operator is approximated by (1). However, the approxima, only in Case tion accuracy of (1) can be improved by increasing 1. This contradiction, as well as the unexpected agreement, at high frequencies, between the analytical and the numerical results reported in the above paper [1] should be clarified. The authors should also proused in each example and result vide the exact number of terms presented in [1]. This information is missing and is necessary for the reproducibility of the simulations.

REFERENCES [1] L. Mescia, P. Bia, and D. Caratelli, “Fractional derivative based FDTD modeling of transient wave propagation in Havriliak–Negami media,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 1920–1929, Sep. 2014. [2] I. T. Rekanos, “FDTD schemes for wave propagation in Davidson–Cole dispersive media using auxiliary differential equations,” IEEE Trans. Antennas Propag., vol. 60, no. 3, pp. 1467–1478, Mar. 2012. [3] I. T. Rekanos, “FDTD modeling of Havriliak–Negami media,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 2, pp. 49–51, Feb. 2012. [4] F. Torres, P. Vaudon, and B. Jecko, “Application of new fractional derivatives to the FDTD modeling of pulse propagation in a Cole–Cole medium,” Microwave Opt. Technol. Lett., vol. 13, no. 5, pp. 300–304, Dec. 1996.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Authors’ Reply Luciano Mescia, Pietro Bia, and Diego Caratelli

In this reply to the comments of Dr. I. T. Rekanos [1] regarding the above paper [2], necessary remarks and clarifications are reported. First of all, the authors need to stress the fact that the finite-difference time-domain (FDTD) procedure proposed in [2] is based on a suitable truncation of the formal binomial series relevant to the fractional derivative operator describing the behavior of a dielectric material with Havriliak–Negami (H–N) relaxation. Trivially, said truncated series is, by construction, never subject to divergence. In this context, the Optimal Truncation Rule of divergent series [3], which is based on the heuristic Carrier’s rule, is a very well-established practice in the theory of asymptotic and super-asymptotic expansions adopted in the numerical, as well as semi-analytical solution of a wide variety of problems of mathematical physics, fluid dynamics, and electromagnetics. This essential point has been overlooked in Dr. Rekanos’ comments, his reasoning being based on the point-wise convergence properties of the binomial series, which, as such, is never implemented in the FDTD scheme detailed in [2]. That being pointed out, the authors find the statement of Dr. Rekanos about the lack of validity of the optimal truncation of the binomial sequestionable since that is actually not proven. As a ries for matter of fact, the optimal truncation of the binomial series can be more , but that is or less accurate depending on the model parameters inherent to the nature of the approximation. It is the intention of the authors to confute, in this reply, the aforementioned inference and show that, even where the material relaxation , the FDTD methodology in [2] is relitime is such that able, and its application provides numerical results in good agreement with theory. To this end, a dedicated extensive investigation has been carried out by characterizing a single-layer H–N dielectric slab in air mm, and material characteristics ps, with thickness . In order to quantify the errors introduced by the adopted numerical procedure, we introduce here the following functions:

(1)

(2)

Manuscript received August 15, 2015; revised October 13, 2015; accepted October 20, 2015. L. Mescia and P. Bia are with the Department of Electrical and Information Engineering, Politecnico di Bari, 70125 Bari, Italy (e-mail: [email protected]; [email protected]). D. Caratelli is with The Antenna Company Nederland B.V., 5656AE Eindhoven, The Netherlands, and also with the Institute of Cybernetics, Tomsk Polytechnic University, 634050 Tomsk, Russia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2015.2495263

Fig. 1. Error distribution versus and for: (a) relative permittivity, (b) reflectance, and (c) transmittance of a single-layer H–N dielectric slab.

0018-9480 © 2015 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Contour plot of versus and for: (a) reflectance and ps. (b) transmittance of a single-layer H–N dielectric slab featuring

(3) depending on the relevant H–N model parameters and . In (1)–(3), and denote, respectively, the exact relative permittivity, reflectance, and transmittance under plane-wave excitation of the consid, and denote the approximated ered slab, whereas quantities as evaluated by FDTD analysis over the frequency band beMHz (corresponding to ) and tween GHz (corresponding to ). Fig. 1 illustrates the error distributions relevant to relative permittivity, reflectance, and transmittance as functions of and under the mentioned assumptions. As can be noticed, the developed FDTD procedure based on the truncated binomial series approach provides very accurate results over the aforementioned broad frequency range when and . Under such assumptions, the relative permittivity, reflectance, and transmittance related error functions fall in the range and , respectively. Larger error and . It is worth stressing values can occur for the fact that the optimal truncation of the binomial series, as described in [2], surely results in a physically consistent approximation of both real and imaginary parts of the complex permittivity (see Fig. 1). Furthermore, no outbreak of model parameter values, which could lead

Fig. 3. Contour plot of versus and for: (a) reflectance and ps. (b) transmittance of a single-layer H–N dielectric slab featuring

to nonphysical wave propagation phenomena, as well as instabilities during the numerical simulation process are noticed, thus showing the soundness of the proposed methodology. In order to provide a mathematically rigorous proof of the accuracy featured by the developed technique, an extensive study of the previously introduced integral error terms has been carried out as a funcand . In doing so, the coltion of the model parameters lected error data points have been fitted by using the following dedicated polynomial expansion depending on the normalized angular fre: quency (4) , and where denotes the order of the representawith tion. Equation (4) provides an effective tool to quantify the reliability of the proposed method. In fact, a good numerical accuracy is achieved are proven to decrease faster wherein the coefficients . In this respect, by using the polynomial fitting repthan for resentation (4), one can readily determine the smallest normalized frethat is larger than the decreasing ratio of the quency . coefficients In the performed computations, ranges from 0.1 to 10, and range from 0 to 1, and ranges from 50 to 250 ps, whereas the expan. Fig. 2 shows the behavior sion order has been selected to be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

3

are reported in Figs. 3 and 4, respectively. In the considered cases, a logarithmic scale has been adopted in order to facilitate the reading. It is worth noting that, for ps and ps, the condition is satisfied for every . On the other hand, when the relaxation time becomes smaller, tends to slightly decrease globally for ps), though the reliability of the (e.g., proposed FDTD algorithm for is fully confirmed once again. Finally, as for the suggestion of Dr. Rekanos to hybridize the formulation by combining different truncated expansions of the binomial series relevant to the H–N fractional derivative operator depending on the working angular frequency or , it is the authors’ opinion that it is flawed or confused. As a matter of fact, because of the specific features of the FDTD algorithm, it is not viable to discriminate, at a given time step, the frequency in the signal spectrum, and in this way, possibly switch between two alternative formulations during the time-marching process. On the other hand, the suggested idea could be practically implemented by running two separate numerical simulations with different source signals covering the frequency ranges and , respectively. Unfortunately, following the reasoning of Dr. Rekanos in his comments, that would be unpractical since, in order to avoid the overlapping of the spectra across the characteristic frequency , extremely long time signals should be adopted, requiring massive computational resources and times. Finally, the hybridization scheme proposed by Dr. Rekanos could result in a significant algorithmic complexity in comparison to [2] or alternative methodologies based on auxiliary differential equations (ADEs) [4], wherever layered or composite dielectric materials featuring complex multiple relaxations have to be characterized.

REFERENCES

Fig. 4. Contour plot of versus and for: (a) reflectance and (b) transmittance of a single-layer H–N dielectric slab featuring ps.

of the quantity versus and ps. Similar plots obtained for

under the assumption that ps and ps

[1] I. T. Rekanos, “Comments on ‘Fractional derivative based FDTD modeling of transient wave propagation in Havriliak–Negami media’,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, Dec. 2015. [2] L. Mescia, P. Bia, and D. Caratelli, “Fractional derivative based FDTD modeling of transient wave propagation in Havriliak–Negami media,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 1920–1929, Sep. 2014. [3] J. P. Boyd, “The devil’s invention: Asymptotic, superasymptotic and hyperasymptotic series,” Acta Appl. Math., vol. 56, pp. 1–98, 1999. [4] A. Taflove and S. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Norwood, MA, USA: Artech House, 2005.

Digital Object Identifier 10.1109/TMTT.2015.2503947

Digital Object Identifier 10.1109/TMTT.2015.2503948

Digital Object Identifier 10.1109/TMTT.2015.2503949

Editors-in-Chief Dominique Schreurs c/o Mrs. Enas Kandil, Editorial Assistant KU Leuven, Div. ESAT-TELEMIC Kasteelpark Arenberg 10 B-3000 Leuven Belgium E-mail: [email protected] or [email protected]

Jenshan Lin c/o Mrs. Marcia Hensley, Editorial Assistant University of Florida 1064 Center Drive, NEB 559 Gainesville, FL 32611 USA E-mail: [email protected] or [email protected]fl.edu

Information for Authors The IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES focuses on that part of engineering and theory associated with microwave/millimeter-wave components, devices, circuits, and systems involving the generation, modulation, demodulation, control, transmission, and detection of microwave signals. This includes scientific, technical, and industrial, activities. Microwave theory and techniques relates to electromagnetic waves usually in the frequency region between a few MHz and a THz; other spectral regions and wave types are included within the scope of the Society whenever basic microwave theory and techniques can yield useful results. Generally, this occurs in the theory of wave propagation in structures with dimensions comparable to a wavelength, and in the related techniques for analysis and design. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the ScholarOne Manuscripts site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • The abstract must be self-contained, without abbreviations, footnotes, or references. It should be no more than 250 words. It must be written as one paragraph, and should not contain displayed mathematical equations or tabular material. • IEEE supports the publication of author names in the native language alongside the English versions of the names in the author list of an article. For more information, please visit the IEEE Author Digital Tool Box at: http://www.ieee.org/publications_standards/publications/authors/auth_names_native_lang.pdf • Figures should be large enough to be easily readable on a computer screen and on paper when printed out. • A photograph of any component or circuit presented must be included. • If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. • All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. • Instrument screen captures are not suitable for publication and the data should be replotted. • The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. • Axes should be labeled with large lettering. • Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. • Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. • The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. • This publication accepts graphical abstracts, which must be peer reviewed. For more information about graphical abstracts and their specifications, please visit: http://www.ieee.org/publications_standards/publications/graphical_abstract.pdf Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Open Access This publication is a hybrid journal, allowing either Traditional manuscript submission or Open Access (author-pays OA) manuscript submission. Upon submission, if you choose to have your manuscript be an Open Access article, you commit to pay the discounted $1,750 OA fee if your manuscript is accepted for publication in order to enable unrestricted public access. If you would like your manuscript to be a Traditional submission, your article will be available to qualified subscribers and purchasers via IEEE Xplore. No OA payment is required for Traditional submission. IV. Page Charges for Traditional Submissions Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of seven printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of seven pages. This is effective for any paper published after August 1, 2014 onward. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first seven pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Digital Object Identifier 10.1109/TMTT.2015.2503945