IEEE MTT-V053-I07 (2005-07) [53, 07 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01463341......Page 1
020 - [email protected] 3
II. F ORMULATION......Page 4
III. S TABILITY A NALYSIS......Page 6
TABLE II C OMPARISON OF C ONDITION N UMBER A BOUT $M_{LE}$ B ETW......Page 7
Fig.€6. $S$ -parameter results of a general high-order lumped ci......Page 8
D. E. Johnson, J. R. Johnson, J. L. Hilburn, and P. D. Scott, El......Page 9
II. S IX -P ORT J UNCTION D ESIGN......Page 10
A. SIW Power Divider......Page 11
Fig.€7. Simulation and measurement results of the SIW six-port j......Page 12
Fig.€9. Simulation and measurement magnitudes of port 2 to the o......Page 13
IV. C ONCLUSION......Page 14
D. Deslandes and K. Wu, Integrated transition of coplanar to rec......Page 15
I. I NTRODUCTION......Page 17
Fig.€2. Dependence of the maximum offset on the time step via Co......Page 18
A. Modeling of Off-Grid Dirichlet BC......Page 19
Fig.€4. Variation of the first resonant frequency with respect t......Page 20
C. Modeling of Slanted PEC Walls......Page 21
2) Accuracy Improvement With the New Staircases: The conventiona......Page 22
Fig.€10. Modification of the staircase from Fig.€4 at all bounda......Page 23
Fig.€12. Worst case scenario: the rotated resonator has corner(s......Page 24
V. C ONCLUSION......Page 25
F. J. Harris, On the use of windows for harmonic analysis with t......Page 26
I. I NTRODUCTION......Page 27
A. Approach Based on Newton Method in 2-D Geometry......Page 28
C. MR-CSI Method......Page 29
Fig.€2. Photographic view of an excised segment of a pig's hind......Page 30
Fig.€3. Reconstructed images of an excised segment of a pig's hi......Page 31
Fig.€4. Reconstructed images of an excised segment of a pig's hi......Page 32
Fig.€5. Reconstructed images of an excised segment of a pig's hi......Page 33
Fig. 7. Profiles of scattered EM fields together with ${\mmb\var......Page 34
J. L. Schepps and K. R. Foster, The UHF and microwave dielectric......Page 35
A. Abubakar and P. M. van den Berg, Iterative forward and invers......Page 36
II. A NISOTROPIC P ARAMETERS AND N UMERICAL S TABILITY......Page 38
III. N UMERICAL D ISPERSION IN 2-D C ASE......Page 39
IV. N UMERICAL V ALIDITY IN 2-D C ASE......Page 40
V. D ISCUSSIONS ON THE N UMERICAL D ISPERSION P ROPERTY IN 3-D C......Page 41
Fig.€8. Bistatic RCS of a 2-D conducting cylinder with radius $r......Page 42
VII. C ONCLUSION......Page 43
A. P. Zhao, Improvement on the numerical dispersion of 2-D ADI-F......Page 44
Fig.€1. Microstrip implementation for: (a) sixth-order quasi-ell......Page 45
III. CAD M ETHOD FOR F ILTER D IAGNOSIS......Page 46
Fig.€3. Quadruplet filter with: (a) ideal quasi-elliptical respo......Page 47
Fig.€6. Experimental and circuit model results. Solid line: expe......Page 48
A. Quadruplet Filter With Two Pair of Real Frequency Transmissio......Page 49
Fig.€8. Response of quadruplet filter with controlling line of s......Page 50
Em User's Manual, Sonnet Software, Liverpool, NY, 2004.......Page 51
II. F IRST C IRCULATION C ONDITION OF J UNCTION C IRCULATOR......Page 52
Fig.€2. Eigenvalue diagrams of definitions of passband frequenci......Page 53
V. F IRST C IRCULATION C ONDITION OF T URNSTILE J UNCTION U SING......Page 54
VIII. $E$ -P LANE T URNSTILE J UNCTION C IRCULATOR U SING S INGL......Page 55
IX. S USCEPTANCE S LOPE P ARAMETER......Page 56
Fig.€15. Split frequencies of a turnstile junction using a singl......Page 57
J. Helszajn and J. Sharp, Frequency responses of quarter-wave co......Page 58
I. I NTRODUCTION......Page 60
Fig.€1. Progression of the time-reversed electric field as funct......Page 61
A. FDTD Model and System Configuration......Page 62
B. TR Imaging......Page 63
Fig.€6. Same as in Fig.€5 for two additional tumor locations, ma......Page 64
A. E. Bulyshev, S. Y. Semenov, A. E. Souvorov, R. H. Svenson, A.......Page 65
G. Montaldo, M. Tanter, and M. Fink, Real time inverse filter fo......Page 66
II. M ICROSTRIP F ILTER......Page 67
Fig.€3. Measured and simulated results for the fourth-order micr......Page 68
Fig.€6. Measured and simulated results for the fourth-order micr......Page 69
A. E. Ruehli, Inductance calculations in a complex integrated ci......Page 70
II. EBG M ODELING......Page 72
Fig.€2. Division of the total capacitance of the EBG's finger in......Page 73
III. P ROPOSED D ESIGN......Page 74
IV. R ESULTS AND D ISCUSSIONS......Page 75
B. L. Ooi, W. Palei, and M. S. Leong, Broad-banding technique fo......Page 76
R. E. Collin, Periodic structure and filters, in Foundations for......Page 77
II. R ESONATORS W ITH I NCREASED E XTERNAL C OUPLING......Page 78
IV. F ILTER 1: 409 MHz......Page 79
V. F ILTER 2: 1560 M HZ......Page 80
F. Huang and X. Xiong, Very compact spiral resonator implementat......Page 81
G. Zhang, F. Huang, and M. J. Lancaster, Superconducting spiral......Page 82
I. I NTRODUCTION......Page 83
Fig.€1. Schematic cross section of the passive and active device......Page 84
B. Inductors......Page 85
Fig.€6. Comparison of maximum available gain of transformers bui......Page 86
Fig.€8. Comparison of integrated Marchand balun back-to-back ins......Page 87
V. C ONCLUSION......Page 88
Y. Baeyens, G. Georgiou, J. S. Weiner, A. Leven, V. Houtsma, P.......Page 89
Fig.€1. Diagrammatic illustration of: (a) the coplanar double-sp......Page 91
Fig.€2. Comparison of the CPW double-spiral line and double-spir......Page 92
B. Measurements Details......Page 93
IV. E XPERIMENTAL R ESULTS AND D ISCUSSION......Page 94
V. C ONCLUSION......Page 95
D. R. Smith and F. R. Fickett, Low temperature properties of sil......Page 96
I. I NTRODUCTION......Page 98
III. T RANSMITTER C HARACTERIZATION......Page 99
IV. B ASEBAND D IGITAL P REDISTORTER......Page 100
V. PAPR R EDUCTION......Page 101
Fig.€12. Impact of the filtering on the ACLR performances.......Page 102
Fig.€14. EVM versus power efficiency for different clipping fact......Page 103
M. Pauli and P. Kuchenbecker, On the reduction of the out-of-ban......Page 104
II. M ULTIWAVELETS......Page 105
A. Integral Equations......Page 106
C. Scattered Fields......Page 107
D. Eigenequation......Page 108
IV. N UMERICAL E XAMPLES......Page 109
Example 3: Coupled Asymmetric Lossy Transmission Lines: The coup......Page 110
$A_{e}^{{\rm in}\pm}$ AND $A_{h}^{{\rm in}\pm}$ IN (22)......Page 111
S CATTERED F IELDS IN F IG .€4......Page 112
G. Pan and J. Tan, General edge element approach to lossy and di......Page 113
II. B ASIC C ONSIDERATIONS......Page 114
IV. E XPERIMENTAL R ESULTS......Page 115
V. C ONCLUSIONS......Page 116
W. E. Hord and F. J. Rosenbaum, Coupled-mode analysis of longitu......Page 117
II. T HEORY......Page 118
Fig. 2. Diffusion-controlled plasma profile $N_{ v}(d)$ calculat......Page 119
A. Sample Preparation......Page 120
C. Results......Page 121
Fig.€6. (a) Attenuation properties and (b) phase-shift propertie......Page 122
Fig.€8. Comparison of experimental and theoretical data for of a......Page 123
Y.-W. Chang, Millimeter-wave ( $W$ -band) quartz image guide Gun......Page 124
II. T HEORY......Page 126
Fig.€2. (a) Representation of the proposed filter with two seria......Page 127
III. LTCC L AYOUTS AND EM S IMULATION......Page 128
Fig.€7. 3-D LTCC layout of the 4.8-GHz bandpass filter. The diel......Page 129
V. S UMMARY AND F UTURE W ORKS......Page 130
D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 199......Page 131
II. F REQUENCY -R ESPONSE A NALYSIS......Page 132
Fig.€3. Evaluation of time-varying transconductance.......Page 133
B. Analysis Verification......Page 135
III. W IDE -B AND A CTIVE M IXER D ESIGN......Page 136
IV. E XPERIMENTAL R ESULTS......Page 137
A NALYSIS OF S HUNT F EEDBACK L OAD C IRCUIT......Page 138
T. K. Johansen, Monolithic microwave integrated circuits for wid......Page 139
II. E XPERIMENTAL S ETUP......Page 141
III. N OISE P ARAMETERS OF THE P OST -A MPLIFIER S TAGE......Page 142
IV. N OISE P ARAMETERS OF THE T RANSISTOR......Page 143
V. C ONCLUSION......Page 144
J. Stenarson, M. Garcia, I. Angelov, and H. Zirath, A general pa......Page 145
Fig.€1. Block diagram of a UWB pulsed radar solution.......Page 146
TABLE I C IRCUIT AND T RANSISTOR P ARAMETERS FOR N UMERICAL E VA......Page 147
Fig. 5. Plot of: (a) driving current $I_{\rm EE}$ and (b) curren......Page 148
C. Effect of the Output Matching Network on the RF Envelope......Page 149
E. Summary of Rise-Time Analysis......Page 150
TABLE II S IMULATED AND M EASURED P ERFORMANCE OF THE 70-ps SPDT......Page 151
J. M. C. Stork, Bipolar transistor scaling for minimum switching......Page 152
B. Jagannathan, M. Meghelli, K. Chan, J.-S. Rieh, K. Schonenberg......Page 153
II. E XTREME V ALUES AND T RANSMISSION Z EROS......Page 154
III. N EW F ORMULAS FOR Z ERO O RDERS......Page 155
B. Synthesis Example......Page 156
C. Realization Example......Page 157
M. Abramowitz and I. A. Stegun, Eds., Handbook of Mathematical F......Page 158
Fig.€1. Extracted frequency-dependent capacitance and conductanc......Page 159
Fig. 3. Schematic presentation of typical 0.18- $\mu{\hbox {m}}$......Page 160
Fig.€4. Illustration of image Green's function.......Page 161
III. H IERARCHICAL C OMPUTATION......Page 162
Example 1......Page 163
Example 3......Page 164
D. R. Wilton, S. M. Rao, A. W. Glisson, O. M. Albundak, and C. M......Page 165
A. Self-Heating......Page 167
III. I NTERMODULATION M EASUREMENTS......Page 168
B. Transfer Function......Page 169
V. M ODEL I MPLEMENTATION......Page 170
K. M. McNally, A. E. Parker, D. L. Heintzelman, B. S. Sorg, J. M......Page 171
A. E. Parker and J. G. Rathmell, Contribution of self heating to......Page 172
II. V ARIABLE A TTENUATOR C IRCUIT......Page 173
Fig.€2. VVA model.......Page 174
Fig.€5. (a) Attenuation, (b) input reflection coefficient, and (......Page 175
H. Statz, P. Newman, I. Smith, R. Pucel, and H. Haus, GaAs FET d......Page 176
A. DS-Connected pHEMT Diode......Page 178
B. GD-Connected pHEMT Diode......Page 179
Fig.€7. Simulated conversion loss with the gatewidth as a parame......Page 180
III. F ABRICATION AND M EASUREMENT R ESULTS......Page 181
IV. C ONCLUSION......Page 182
S. S. Kim, J. H. Lee, and K. W. Yeom, Design and fabrication of......Page 183
Fig. 2. Simulated and measured $S$ -parameters at $V _{\rm DS}=......Page 184
Fig.€5. Measured (symbols) and simulated (solid lines) power per......Page 185
A. Transistor Choice......Page 186
B. DC-Biasing Network......Page 187
C. Feedback and Matching Networks......Page 188
Fig.€20. Power performance measurements versus frequency.......Page 189
E. NF Measurements......Page 190
J. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifiers .......Page 191
I. I NTRODUCTION......Page 193
Fig.€2. Timing diagram of control signals for switching operatio......Page 194
IV. F ABRICATION......Page 195
Fig.€5. Scanning electron microscopy (SEM) photograph of the fab......Page 196
Fig.€8. Displacement of the fabricated series-type switch as a f......Page 197
B. RF Characteristics......Page 198
L. W. Ke, Y. J. Chan, and Y. C. Chiang, Monolithic microwave AlG......Page 199
J. B. Muldavin and G. M. Rebeiz, High isolation CPW MEMS shunt s......Page 200
II. A NALYSIS AND D ESIGN......Page 201
Fig.€4. Theoretical results of the three-section transformer for......Page 203
IV. C ONCLUSION......Page 204
C. Monzon, A small dual-frequency transformer in two sections, I......Page 205
320 - 01463372......Page 206
330 - 01463373......Page 207
340 - [email protected] 208

Citation preview

JULY 2005

VOLUME 53

NUMBER 7

IETMAB

(ISSN 0018-9480)

PAPERS

An Improved FDTD Formulation for General Linear Lumped Microwave Circuits Based on Matrix Theory. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z. Shao and M. Fujise A New Six-Port Junction Based on Substrate Integrated Waveguide Technology. . . . . . . X. Xu, R. G. Bosisio, and K. Wu Off-Grid Perfect Boundary Conditions for the FDTD Method . . . . . . . . . . . . . . . . . . . Y. S. Rickard and N. K. Nikolova Microwave-Tomographic Imaging of the High Dielectric-Contrast Objects Using Different Image-Reconstruction Approaches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Y. Semenov, A. E. Bulyshev, A. Abubakar, V. G. Posukh, Y. E. Sizov, A. E. Souvorov, P. M. van den Berg, and T. C. Williams An Efficient Method to Reduce the Numerical Dispersion in the ADI-FDTD . . . . . . . . . . .H.-X. Zheng and K. W. Leung Design of Microstrip Quadruplet Filters With Source–Load Coupling . . . . . . . . . . . . . . . . . C.-K. Liao and C.-Y. Chang Verification of First Circulation Conditions of Turnstile Waveguide Circulators Using a Finite-Element Solver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Helszajn and J. Sharp Time Reversal With the FDTD Method for Microwave Breast Cancer Detection. . . . . . .P. Kosmas and C. M. Rappaport Novel Compact Parallel-Coupled Microstrip Bandpass Filters With Lumped-Element -Inverters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y.-S. Lin, C.-H. Wang, C.-H. Wu, and C. H. Chen Compact EBG In-Phase Hybrid-Ring Equal Power Divider. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B.-L. Ooi Superconducting Spiral Wide Bandpass Filters With Wide Upper Stopband . . . . . . . . . . . . . . . . . . . . . . . . . . F. Huang Surface-Passivated High-Resistivity Silicon as a True Microwave Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Spirito, F. M. De Paola, L. K. Nanver, E. Valletta, B. Rong, B. Rejaei, L. C. N. de Vreede, and J. N. Burghartz Wide-Band Superconducting Coplanar Delay Lines . . . . . . . . . . . . . . Y. Wang, H. T. Su, F. Huang, and M. J. Lancaster On the RF/DSP Design for Efficiency of OFDM Transmitters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Helaoui, S. Boumaiza, A. Ghazel, and F. M. Ghannouchi Full-Wave Analysis of Coupled Lossy Transmission Lines Using Multiwavelet-Based Method of Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .M. Tong, G. Pan, and G. Lei Impedance Matching Considerations for Ferrite Faraday Rotators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. R. Boyd, Jr. Experimental Verification of Nonuniform Plasma Layer Model for Quartz-Silicon Image Guide Phase Shifters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. Fickenscher and A. Schwolen Bandpass Filter of Serial Configuration With Two Finite Transmission Zeros Using LTCC Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-F. Chang and S.-J. Chung

2261 2267 2274

2284 2295 2302 2309 2317 2324 2329 2335 2340 2348 2355 2362 2371 2375 2383

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Analysis and Design of Wide-Band SiGe HBT Active Mixers . . . . . . . . . . . . . T. K. Johansen, J. Vidkjær, and V. Krozer On-Wafer Noise-Parameter Measurement Using Wide-Band Frequency-Variation Method . . . . . . R. Hu and T.-H. Sang Design and Analysis of a 70-ps SiGe Differential RF Switch. . . . . . . . . . . . . . . . . . . . T. M. Hancock and G. M. Rebeiz Design of Generalized Chebyshev Filters With Asymmetrically Located Transmission Zeros . . . . . . Z. D. Milosavljevic Parameter Extraction for On-Chip Interconnects by Double-Image Green’s Function Method Combined With Hierarchical Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .W. Dai, Z. Li, and J. Mao Broad-Band Characterization of FET Self-Heating . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. E. Parker and J. G. Rathmell Ring-Hybrid Microwave Voltage-Variable Attenuator Using HFET Transistors . . . . . . . . . C. E. Saavedra and Y. Zheng A Novel 60-GHz Monolithic Star Mixer Using Gate–Drain-Connected pHEMT Diodes . . . . . K.-W. Yeom and D.-H. Ko Two-Stage Ultrawide-Band 5-W Power Amplifier Using SiC MESFET . . . . . . . . . . . . . . . . . . . A. Sayed and G. Boeck A Low-Voltage and Low-Power RF MEMS Series and Shunt Switches Actuated by Combination of Electromagnetic and Electrostatic Forces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I.-J. Cho, T. Song, S.-H. Baek, and E. Yoon Analysis and Design of a Three-Section Transmission-Line Transformer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Chongcheawchamnan, S. Patisang, S. Srisathit, R. Phromloungsri, and S. Bunnjaweht

2389 2398 2403 2411

Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2463

2416 2424 2430 2435 2441 2450 2458

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. C. GUPTA, President M. P. DE LISO S. M. EL-GHAZALY M. HARRIS

T. ITOH

K. VARIAN, Vice President D. HARVEY J. HAUSNER L. KATEHI

A. MORTAZAWI, Secretary T. LEE D. LOVELACE J. MODELSKI

S. KAWASAKI J. S. KENNEY N. KOLIAS

Honorary Life Members A. A. OLINER K. TOMIYASU T. S. SAAD L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH W. HOEFER

M. HARRIS, Treasurer

V. J. NAIR B. PERLMAN D. RUTLEDGE Distinguished Lecturers T. ITOH B. KIM J. LASKAR J. C. RAUTIO

K. VARIAN R. WEIGEL S. WETENKAMP

W. SHIROMA R. SNYDER R. SORRENTINO

D. RYTTING M. SHUR P. SIEGEL R. J. TREW

Past Presidents R. J. TREW (2004) F. SCHINDLER (2003) J. T. BARR IV (2002)

MTT-S Chapter Chairs Albuquerque: G. WOOD Atlanta: J. PAPAPOLYMEROU Austria: R. WEIGEL Baltimore: B. MCCARTHY Beijing: Y.-R. ZHONG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Buenaventura: L. HAYS Buffalo: M. R. GILLETTE Bulgaria: F. FILIPOV Cedar Rapids/Central Iowa: D. JOHNSON Central New England/Boston: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: J.-F. LEE Croatia: J. BARTOLIC Czech/Slovakia: P. HAZDRA Dallas: P. WINSON Dayton: A. TERZOULI, JR. Denver: K. BOIS East Ukraine: A. KIRILENKO Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’BRIEN

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: M. A. BARNES India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: H. JUI-PANG Kitchener-Waterloo: R. R. MANSOUR Long Island/New York: M. HANCZOR Los Angeles Council: T. LEE Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: G. N. S. PRASANNA New South Wales: G. TOWN North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: M. OLAVSBRATEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU

Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Poland, Lithuania: I. NAIDIONOVA Portugal: V. FERENDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: F. POLO Romania: I. SIMA Russia, Jt. Nizhny: Y. BELOV Russia, Jt. Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: U. S. DHALIWAL San Fernando Valley: J. C. WEILER, JR Santa Clara Valley/San Francisco: M. SHAKOURI Seattle: T. RASCHKO Seoul Council: H.-Y. LEE Siberia, Jt. Novosibirsk: V. SHUVALOV Siberia, Tomsk: E. GOLOVIN Singapore: O. B. LEONG South Africa: J. JOUBERT South Australia: B. BATES

South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: J. F. JOHANSSON Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: V. VIVEK Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine West: M. I. ANDRIYCHUK Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: A. N. BIANCHI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Eastern Northern Virginia: E. ADLER Winnipeg: S. NOGHANIAN Yugoslavia: A. MARINCIC

Associate Editors

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

ANDREAS CANGELLARIS Univ. of Illinois, Urbana Champaign USA email: [email protected] AMIR MORTAZAWI Univ. of Michigan at Ann Arbor USA email: [email protected] YOSHIO NIKAWA Kokushikan Univ. Japan email: [email protected] M. GUPTA, Editor, IEEE Microwave Magazine

STEVEN MARSH JOSÉ PEDRO KENJI ITOH Univ. of Aveiro Mitsubishi Electric Corp. Midas Consulting Portugal Japan U.K. email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC RUEY-BEEI WU MANH ANH DO Univ. of Colorado at Boulder National Taiwan Univ. Nanyang Technological Univ. USA Taiwan, R.O.C. Singapore email: [email protected] email: [email protected] email: [email protected] DYLAN F. WILLIAMS ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Florence Univ. of Bologna NIST Italy Italy USA email: [email protected] email: [email protected] email: [email protected] R. VAHLDIECK, Editor, IEEE Microwave and Wireless Component Letters T. LEE, Web Master

IEEE Offficers LEAH H. JAMIESON, Vice President, Publication Services and Products W. CLEON ANDERSON, President and CEO MARC T. APTER, Vice President, Regional Activities MICHAEL R. LIGHTNER, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association MOHAMED EL-HAWARY, Secretary JOHN R. VIG, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer GERARD A. ALPHONSE, President, IEEE-USA ARTHUR W. WINSTON, Past President MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

Executive Staff

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $69.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2005 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2005.853651

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2261

An Improved FDTD Formulation for General Linear Lumped Microwave Circuits Based on Matrix Theory Zhenhai Shao, Member, IEEE, and Masayuki Fujise, Member, IEEE

Abstract—An improved finite-difference time-domain (FDTD) formulation using the matrix theory is presented to analyze hybrid general linear lumped and distribution microwave circuits. Traditionally, since discrete current expressions of lumped circuits should be substituted into Maxwell’s equations explicitly, the different discrete formulations of Maxwell’s equations should be reconstructed when different active circuits are used. It will be much more difficult when high-order linear lumped circuits are considered in a hybrid system. In our improved formulation, a high-order linear lumped circuit is expressed by a serials of first-order modified integral transforms. When their interior variables together with electric fields, port voltage, and port current/current density of active circuits are considered as a vector, a local implicit and iterative matrix expression can be built. Compared with the traditional method, since our improved FDTD formulation is implicit, it easily combines with other active circuits built by the matrix method and decreases the condition number, is more stable, flexible, and general. Based on this formulation, the numerical stability and condition number are discussed. Simulation shows that the results by our improved FDTD formulation are in good agreement with that from commercial software. Index Terms—Condition number, finite difference time domain (FDTD), integral transform, linear lumped circuit, matrix theory.

I. INTRODUCTION

T

HE finite-difference time-domain (FDTD) approach is very popular among the various available time-domain methods. It has been established as a versatile and computationally effective approach over the last two decades. It was first presented by Yee in 1966 [1], and has been successfully applied to solve various electromagnetic problems [2]–[4]. One of the major difficulties in the analysis of microwave circuits is the active part of the circuit because the dimension of the device is very small compared to the passive part of the microwave circuit such as amplifiers, oscillators, frequency multipliers, mixers, and active antennas. In order to analyze these types of hybrid microwave integrated circuits (MICs), we need an efficient tool that introduces such active devices into the FDTD grids. Such development was initiated and developed by Sui et al. [5] and Sui [6]. After that, numerous researchers extended this method from two-dimensional (2-D) to three-dimensional (3-D) [7], built connection with SPICE simulation, and applied it to simulate various active microwave circuits [6]–[11]. In the traditional FDTD method [6], when port voltage and port current/current density are discretized from active circuit

Manuscript received November 15, 2004; revised March 6, 2005. The authors are with the Wireless Communications Laboratory, NICT Singapore Representative Office, National Institute of Information and Communications Technology, Singapore 117674 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850450

equations according to Kirchhoff’s law, it should be substituted into the curl equation of Maxwell’s equations with current terms. In order to make the final expression explicit, we should build a different discrete expression for every active circuit by hand. In some cases, it is too difficult to build a general expression to treat different and complicated hybrid circuit problems. In [12], Wu et al. proposed one explicit method to solve the general high-order linear lumped-circuit problem. However, when we tried to build a matrix formulation from it, we found out that the results are not stable, and are even divergent when the order of the linear circuit is larger than one. Actually, matrix formulation for a high-order linear lumped circuit is so important that we can combine it with other circuits, which are built based on matrix or equation set theory. In this paper, an improved FDTD formulation, based on the matrix theory and modified integral transform, will be proposed to solve a hybrid general high-order linear lumped circuit and passive circuit. This paper is organized as follows. In Section II, an improved formulation based on the matrix theory, modified integral transform, and discrete singular convolution (DSC) method [3], [4] is proposed. In Section III, stability about our formulation is discussed. An example of a microstrip structure with lumped circuit loading is analyzed by our method in Section IV. The culture is concluded in Section V.

II. FORMULATION The coupling of the FDTD and active circuit is based on an appropriate finite-difference formulation of the curl equation when nonmagnetic material is considered as follows: (1) , , and are the electric field, magnetic field, and current density vector, respectively. is the permittivity of material and is its conductivity. In a homogenous uniform grid, each of the electric-field com, that has a current component of ponents, such as passing through can be derived in space point using the DSC method [3] and time using the symplectic integrator propagator (SIP) method [4] or Runge–Kutta method is the time increment, and , , and are [3], where spatial increments in the -, - and -direction, respectively. If where

0018-9480/$20.00 © 2005 IEEE

2262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

central difference is used to discretize the time differential in can be obtained by (1), a discrete expression about

Firstly, a current variable vector based on an improved integral transform is defined by (6) (7)

(2)

(8)

where (9) and . and are coefficients of the DSC method in the - and -direction, and , respectively. as well as their bandwidths being Actually, the second-order central difference of time is a is special case of the SIP method. In the expression, expressed as an implicit format. If and are chosen as 1 and the DSC kernel is a Lagrange kernel, the central-difference FDTD method [1] can be obtained. is a vector of discrete When electric-field components that occupy mesh points and have of passing through them along a a current component path between arbitrary two points and in a nonuniform grid at the th time step, the relation between electric fields and port voltage can be written by

Similarly, a voltage variable vector is defined as follows: (10) (11) (12)

(13) According to these definitions, (5) can be rewritten by (14)

(3) where is , , or based on the voltage direction of the lumped circuit. In this paper, a general high-order linear lumped circuit [12] is described as a expression of port voltage and port current, and is given by

Applying the inverse Fourier transform to (6)–(13) and discretizing them in the time domain, their discrete expressions in the time domain can be obtained. Here, two general expressions for (6)–(13) in the time domain can be obtained by

(15) (4) (16) , , and are the maximum order of port current where and port voltage, respectively. This expression can also be described by

Based on discrete expressions (15) and (16), the improved integral transform (6)–(13) in the time domain can also be derived as the following matrix forms: (17) (18)

(5) where Currently, although the authors of [12] have proposed a general implicit and iterative FDTD method to solve this kind of general high-order linear lumped circuit integrated with passive subcircuits, their ideas are complicated and needs complicated mathematical operation. Although numerous authors have tried to build a matrix-type FDTD formulation by a microwave network [10] or transform [9], their formulations are complicated and not general for any order linear circuit. In the following, a general idea based on the matrix theory is proposed to solve this problem. In order to get a general expression, some interior variables are defined to build a vector.

.. .

.. .

..

..

.

.

..

.

..

.

..

.

..

.

..

.

..

.

..

..

.

.. .

.

.. .

(19)

(20)

SHAO AND FUJISE: IMPROVED FDTD FORMULATION FOR GENERAL LINEAR LUMPED MICROWAVE CIRCUITS BASED ON MATRIX THEORY

Similarly, and can also be obtained. If we define the following vectors:

2263

, and

In (28),

(33) (21) (22)

then (14) in the time domain can be discretized in the following way: (23) Since we use current density as a variable in (2), a coefficient, , should be multiplied to . such as for In order to realize a hybrid circuit, we define vectors , , and as (24)

(25)

.. .

.. .

..

.

.. .

(34)

and are diagonal matrix, and their diagonal eleand in (2), respectively. ments are In every row of and , their elements are just , , respectively, or 0 including 1 if is existent. , , , and are conWhen matrices structed, (27) will be used to get field values in every time step. is a expression inIn many cases of a linear circuit, since dependent on time steps, its inverse matrix can be pre-calculated in order to obtain an explicit expression. , (27) can be Applying inverse matrix transform to rewritten by (35) Compared with a passive circuit, since the dimension of the active circuit is quite small, its occupied cells are so small relative to the total hybrid system meshes that the extra computer memory and CPU time for (35) can be omitted. On the other , , , and are sparse and local banded, hand, and sparse matrix theory can also be used to reduce their required computer memory. III. STABILITY ANALYSIS

(26) , , and their lengths are , respectively. and Now, a matrix-type FDTD formulation can be obtained from (2), (3), (17), (18), and (23) as follows: (27) where

(28)

(29)

(30) (31) and (32)

In order to solve the formulation (35), the inverse matrix of should be solved correctly. According to matrix theory, should not be zero. From (28), the dethe determinant of can be obtained and shown as follows: terminant of (36) where is the first column of . In order to make (35) effective, two problems should be considered. First, our improved FDTD formulation (35) should be should be obtained stable. Second, the inverse matrix of correctly. The stability problem of (35) is quite important. However, currently we cannot get a general stable condition. Since (35) is not a kind of explicit expression, but rather an implicit expression, according to our experiences, the stable condition of the traditional FDTD method for a passive circuit can be applied to our method, especially for the SIP DSC scheme [3], [4]. , the characIn order to get a correct inverse matrix of should be considered. From (28), except for teristics of the row , it is obvious that every row satisfies where is the element of since is so will approximate to zero. In this case, as small that , is weakly long as is not less than approximately diagonal dominated, and its inverse matrix can be obtained correctly by the method of least squares. Strictly speaking, only with a small value can get a the conditional number of correct inverse matrix. In Section IV, we will give a numerical

2264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE I COMPARISON OF COMPUTATIONAL COST BETWEEN OUR IMPROVED METHOD AND COMMERCIAL SOFTWARE HFSS

M

TABLE II BETWEEN THE COMPARISON OF CONDITION NUMBER ABOUT TRADITIONAL INTEGRAL TRANSFORM (37) AND OUR IMPROVED INTEGRAL TRANSFORM (15)

Fig. 1. Configuration of microstrip structure with a lumped circuit where the lumped circuit is connected from points 1, 2, or 3 to the ground along the -direction.

y

comparison of the condition number between our improved integral transform and the traditional integral transform. At the beginning of [12], the following traditional integral transform instead of (15) and (16) is used: (37) Combining it with (2), (3), and (5), we can build a similar matrix-type FDTD formulation. However, in the row correshould be no less than in order sponding to (5), . It is too difficult to satisfy this condition, to make especially for a high-order active circuit. In most cases, it is not possible. Thus, this is the reason when we try to use (37) is to solve high-order linear lumped problems; the matrix often ill conditional and time-domain results are not stable and are even divergent. IV. RESULTS In order to validate our improved FDTD formulation for a lumped circuit using the matrix theory, a microstrip structure with the lumped circuit in Fig. 1 is modeled. Structure parameters are shown in Fig. 1. The thickness and relative dielectric constant of the substrate are 0.1 mm and 13, respectively. The space increments are mm. Ten-layer perfectly matched layer (PML) absorbing boundary conditions (ABCs) are used to truncate all radiation boundaries. nH inductor and First, a parallel LC circuit with an pF capacitor is connected from point 1, the central a point of the top central stripline, to the conductor ground along the -direction and spans eight cells. The parallel LC circuit function is shown as follows: (38) where

is an th-order integral, i.e.,

.

When a Gauss pulse in the incident face is introduced to produce an incident wave, the current will pass through the lumped circuit and distribution system. The incident, reflection,

and transmission fields are recorded in all reference faces (Ref1 and Ref2) until their fields (a few of lowest modes in the work frequency range) are vanished. By using the discrete Fourier transform (DFT), the fields in time domain can be transferred to the frequency domain. Table I shows the comparison of CPU time and computer memory between our improved method and commercial software HFSS. From this table, computational cost of our improved method is acceptable. Since we use the same process (35) to treat our improved integral transform and traditional integral transform, their computational costs are same. However, the condition number between them is quite different. Table II shows the comparison of maximum singular value (MaxSV), minimum singular value (MinSV), and conditional number (C.N) between our improved integral transform and traditional integral transform. It can be seen that the condition number of the traditional integral transform is 10 our improved integral transform. The -parameters are shown in Fig. 2. From this figure, when frequency is changed from dc to 160 GHz, the results of our improved FDTD formulation from (15) and (16) and the matrix theory are in agreement with those of HFSS. Due to the limitation of our calculated time steps, in high frequency, there is a little difference between them. However, the results based on (37) and the matrix theory is more different in low frequency 40 GHz than those of HFSS and our improved FDTD formulation. By carefully checking its , we have found out is ill conditional, and its inverse matrix is not rethat the liable. in Ref1. From this figure, Fig. 3 shows the reflection field whether (15) or (37) is used, our method is stable until 5000 time steps, although their condition numbers are different. Two groups of parallel LC circuits with circuit (38) nH and pF) are then connected sepa( rately from points 2 and 3, which are located at central points of both side boundaries of the top central trace in Fig. 1, to the conductor ground along the -direction and span eight cells. Since the passive circuit is antisymmetric, an active circuit should be added antisymmetrically in order to make reflection loss the same in both ports. Similar in operation to the previous example, its -parameters are shown in Fig. 4. Our results from dc to 160 GHz are consistent with those of HFSS. However, the results based on (37) are quite different.

SHAO AND FUJISE: IMPROVED FDTD FORMULATION FOR GENERAL LINEAR LUMPED MICROWAVE CIRCUITS BASED ON MATRIX THEORY

2265

Fig. 2. Comparison of S -parameters among our method, HFSS, and the method by (37) and the matrix theory, where a parallel LC circuit is added between point 1 and the ground along the y -direction.

Fig. 4. Comparison of S -parameters among our improved FDTD formulation based on integral transforms (15) and (37) and HFSS, where two separated parallel LC circuits are added between points 2 and 3 and the ground along the y -direction.

Fig. 3. Transient analysis for the time-domain reflection field information at the reference face Ref1.

Fig. 5. Configuration of a high-order linear lumped circuit with circuit (39).

Finally, a general high-order lumped circuit (shown in Fig. 5) is connected between point 1 and the ground nH, pF, along the -direction, where . Its circuit equation in the time domain is shown and as

(39) For this kind of lumped circuit, it is not easy to be treated by HFSS. Although a schematic method such as ADS and Microwave Office can treat a general linear active circuit, it is weak and not accurate for treating complicated passive circuit. Thus, the schematic method is also not effective for a hybrid passive/active circuit. When both the time and space increments are set the same as in the first two examples, their -parameters are shown in Fig. 6. The comparable results between (39) and the case of only one

Fig. 6. S -parameter results of a general high-order lumped circuit with circuit (39). The results are compared with those of a circuit that only has a 100-pF capacitor.

100-pF capacitor used, which is connected by the same method as (39), are also shown in this figure.

2266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

V. CONCLUSION In this paper, the improved matrix-type FDTD formulation based on the improved transform for a lumped circuit has been proposed to solve a hybrid lumped/distributed microwave system. Due to the introduction of the matrix theory, modification of Maxwell’s equations is unnecessary. Any modifications just focus on the lumped circuit. For a first-order linear circuit, regardless of whether or not our improved integral transform (15) or the traditional integral transform (37) are used, the results are same. However, for second order or higher, our method has a better condition number and more accurate results. In practical applications, a complicated linear/nonlinear circuit can be expressed easily as an equation set according to Kirchhoff’s law [14]. In many cases, it is not easy to simplify the equations set to one equation. For an equation set, a matrix method should be more flexible and more effective than an explicit and iterative method. In the future, a linear/nonlinear circuit based on an equation set will be considered by our improved FDTD formulation based on the improved integral transform. ACKNOWLEDGMENT The authors thank this TRANSACTIONS’ Editor-in-Chief, Prof. M. Steer, North Carolina State University, Raleigh, for his kind support and assistance, which is important for the authors’ current and future research. REFERENCES [1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 5, pp. 302–307, May 1966. [2] A. Taflove, Computational Electrodynamics: The Finite Difference Time-Domain Method. Norwood, MA: Artech House, 1995. [3] Z. H. Shao and G. W. Wei, “DSC time-domain solution of Maxwell’s equations,” J. Comput. Phys., vol. 189, no. 2, pp. 427–453, Aug. 2003. [4] Z. H. Shao, Z. X. Shen, Q. He, and G. Wei, “A generalized higherorder finite difference time domain method and its application in guidedwave problems,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 856–861, Mar. 2003. [5] W. Sui, D. A. Christensen, and C. H. Durney, “Extending the two-dimensional FDTD method to hybrid electromagnetic systems with active and passive lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 724–730, Apr. 1992. [6] W. Sui, Time-Domain Computer Analysis of Nonlinear Hybrid Systems. Boca Raton, FL: CRC, 2002. [7] M. Piket-May, A. Taflove, and J. Baron, “FDTD modeling of digital signal propagation in 3-D circuits with passive and active loads,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1514–1523, Aug. 1994. [8] W. Thiel and L. P. B. Katehi, “Some aspects of stability and numerical dissipation of the finite-difference time-domain (FDTD) technique including passive and active lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2159–2165, Sep. 2002. [9] G. Emili et al., “Rigorous modeling of packaged Schottky diodes by the nonlinear lumped network ((NLN)-N-2)-FDTD approach,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2277–2282, Dec. 2000.

[10] Q. X. Chu, Y. P. Lau, and F. Y. Chang, “Transient analysis of microwave active circuits based on time-domain characteristics models,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1094–1104, Aug. 1998. [11] C. N. Kuo, S. T. Chew, B. Houshmand, and T. Itoh, “Small signal analysis of active circuits using FDTD algorithm,” IEEE Trans. Microw. Guided Wave Lett., vol. 5, no. 7, pp. 216–218, Jul. 1995. [12] T.-L. Wu, S.-T. Chen, and Y.-S. Huang, “A novel approach for the incorporation of arbitrary linear lumped network into FDTD method,” Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 74–76, Feb. 2004. [13] V. S. Reddy and R. Garg, “An improved extended FDTD formulation for active microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1603–1608, Sep. 1999. [14] D. E. Johnson, J. R. Johnson, J. L. Hilburn, and P. D. Scott, Electric Circuit Analysis. New York: Wiley, 1997.

Zhenhai Shao (M’01) was born in Jiangsu Province, China, in December, 1971. He received the B.E. degree from the Nanjing Normal University, Nanjing, China, in 1994, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1997 and 2000, respectively. From 2000 to 2001, he was a Research Fellow with the National University of Singapore. From 2001 to April 2003, he was a Research Fellow with Nanyang Technological University. He is currently a Manager with the Wireless Communications Laboratory, NICT Singapore Representative Office, National Institute of Information and Communications Technology, Singapore. His research interests include microwave/millimeter-wave subsystems, high-frequency millimeter and optical package technologies, connector and filter design, numerical procedures of FDTD, transmission line matrix (TLM), time-domain finite-element method (TDFEM), and DSC methods for passive/active microwave components, and software design. He has authored or coauthored over 40 paper in international journals and conference proceedings. Dr. Shao is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

Masayuki Fujise (M’86) received the B.S., M.S., and Dr.Eng. degrees in communication engineering from Kyushu University, Fukuoka, Japan, in 1973, 1975 and 1987, respectively, and the M.Eng. degree in electrical engineering from Cornell University, Ithaca, NY, in 1980. In 1975, he joined KDD, where he was with the Research and Development Laboratories engaged in research on optical fiber transmission measurements. In 1990, he joined ATR Optical and Radio Communications Research Laboratories Kyoto, where he was a Department Head. In 1997, he joined the Communications Research Laboratory (CRL) (now the National Institute of Information and Communications Technology (NICT), Japan) Ministry of Posts and Telecommunications Japan. He is currently the Research Supervisor of the Yokosuka Radio Communications Research Center and the Director of the Singapore Wireless Communications Laboratory, NICT. He is interested in millimeter-wave wireless communications, ad hoc wireless network, and intelligent transport systems. Dr. Fujise is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1984 Jack Spergel Memorial Award presented at the 33rd International Wire and Cable Symposium.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2267

A New Six-Port Junction Based on Substrate Integrated Waveguide Technology Xinyu Xu, Renato G. Bosisio, Fellow, IEEE, and Ke Wu, Fellow, IEEE

Abstract—A six-port junction based on the substrate integrated waveguide (SIW) technology is proposed and presented. In this design of such a junction, the SIW is first converted to an equivalent rectangular waveguide, then regular rectangular waveguide design techniques are used. In this structure, an SIW power divider and SIW hybrid 3-dB coupler are designed as fundamental building blocks. A six-port junction circuit operating at 24 GHz is fabricated and measured. Good agreement between simulated and measured results is found for the proposed six-port junction. Index Terms—Hybrid coupler, power divider, six-port junction, substrate integrated waveguide (SIW).

I. INTRODUCTION

R

ECTANGULAR waveguide components have been widely used in millimeter-wave systems, their relatively high cost and difficult integration prevent them from being used in low-cost high-volume applications. The substrate integrated waveguide (SIW) concept, which recently has been proposed [1], [2] as an attractive technology for low-loss, low-cost, and high-density integration of microwave and millimeter-wave components and subsystems, is appropriate for the design of six-port receiver and radar [7]. The SIW is a type of rectangular dielectric-filled waveguide that is synthesized in a planar substrate with arrays of metallic vias to realize bilateral edge walls, and its transitions with planar structures [e.g., microstrip and coplanar waveguide (CPW)] are designed and integrated on the same substrate. In this case, the planar and nonplanar structures can be integrated within the same planar platform, which leads to the design and development of low-cost millimeter-wave integrated circuits (ICs) and systems [2]. In this way, a system can be integrated even in a package [i.e., system-on-package (SOP)], and hence, reduces size, weight, and cost, and greatly enhances manufacturing repeatability and reliability. Although the periodic via structure of the SIW is much more complicated for analysis compared with the conventional waveguide, it can be transformed to an equivalent rectangular waveguide having a nonstandard ratio of width/height [3]. By applying a generalized BI–RME method [4], the propagation characteristics of the SIW can be obtained. It was demonstrated in -like mode in the SIW has dispersion character[3] that a mode of a dielecistics that are almost identical with the tric filled rectangular waveguide with an equivalent width. This Manuscript received July 5, 2004; revised February 9, 2005. This work was supported in part by the National Science Engineering Research Council of Canada. The authors are with the Poly-Grames Research Centre, École Polytechnique de Montréal, Montreal, QC, Canada H3V 1A2 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850455

Fig. 1. Topology of SIW structure. (a) Solid view. (b) Top view.

equivalent width is the effective width of the SIW, namely, (see Fig. 1) can be approximated as follows: (1) Based on this property, existing design techniques for the rectangular waveguide can be used in a straightforward way to analyze and design various components just by knowing of the SIW. This was shown for SIW cavities [5] and a series of SIW discontinuities that were designed with well-established rectangular waveguide design techniques. A new SIW six-port junction is presented in this paper, which is designed applying the existing rectangular waveguide technique. A SIW power divider and a SIW coupler are designed as fundamental building blocks for the proposed six-port junction, then the six-port junction geometrical parameters are given for constructing a prototype, and its measurement results are presented and compared with simulated results. II. SIX-PORT JUNCTION DESIGN Six-port technology has been under developing over the past 30 years for microwave and millimeter-wave measurement applications [6]. In 1994, an application of this technology for de-

0018-9480/$20.00 © 2005 IEEE

2268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE I THEORETICAL S -PARAMETERS OF THE IDEAL SIW SIX-PORT JUNCTION

Fig. 2. Block diagram of the proposed six-port junction.

Fig. 3. Topology of the SIW power divider.

signing a direct digital receiver was proposed [7]. In principle, the circuitry of a six-port consists of dividers and combiners interconnected in such a way that four different sums of a reference signal and the signal to be measured are produced. Different lengths of the transmission lines between the components, with respect to the two signals, generate different phase values at output ports, resulting in constructive or destructive interferences. By applying an adequate algorithm, magnitude and phase of the unknown microwave signal can be determined from the signal levels or readings of the four combined signals for any given modulation scheme. The six-port junction presented here is designed for a direct receiver system [8] at a center frequency of 24 GHz with 4-GHz bandwidth It consists of two power dividers, two 90 3-dB hybrid couplers, and some phase shifters. The block diagram of the proposed six-port junction is shown in Fig. 2. Unlike the other six-port junctions [7], [9] for a direct receiver system, the proposed six-port structure is a true “six-port” without the need for any external connecting terminals. Therefore, the structure is more compact and lower cost. The six-port junction is designed with the following four goals.

A. SIW Power Divider

and . The return losses of the designed 1) Minimize six-port should be lower than 20 dB at the center frequency (24 GHz) , , and . The isolation of ports 1 and 2) Minimize 2, ports 5 and 3, as well as ports 4 and 6 should be lower than 20 dB at the center frequency of 24 GHz. to and from to 3) Keep the amplitude from close to 6 dB for well-balanced outputs 4) Keep the phase shift between the two input ports (ports 1 and 2) with respect to the four output ports (ports 3–6) close to the theoretical value (see Table I).

The topology of the SIW -plane power divider is shown in Fig. 3. A Y-junction straight structure [10] is adopted in the design of the SIW power divider. As being discussed earlier, the SIW is first converted to a conventional rectangular waveguide. The power division section consists of a bifurcated waveguide junction fed by a symmetrical step junction. The distance becan tween the two discontinuities and the input port width be optimized to achieve the power division and input specifications as required. A commercial finite-element method (FEM) package is used for this optimization.1 The power divider is optimized with two goals: to minimize and to keep and close to 3 dB. Three coefficients and are to be determined. First, the effective width of is calculated according to the substrate relthe output SIW ative permittivity and the center frequency of the power divider, then the length and the effective width of the input SIW are optimized with respect to the minimum of . Agilent Empipe3D Optimization is used to optimize and to ensure that is lower than 20 dB over the whole bandwidth. Since the and are, SIW power divider circuit is almost lossless, therefore, close to 3 dB. The final dimensions are obtained as follows (see Figs. 1 and 3). • mm. mm. • mm. • mm. • • mm. mm. • . • 1Agilent High-Frequency Structure Simulator (HFSS), ver. 5.6, Agilent Technol., Palo Alto, CA.

XU et al.: NEW SIX-PORT JUNCTION BASED ON SIW TECHNOLOGY

2269

Fig. 4. Topology of the SIW hybrid 3-dB coupler. Fig. 5.

Prototype of the SIW six-port junction (with K-connectors).

Fig. 6. and S

Simulation and measurement results of the SIW six-port junction: S (return loss).

Fig. 7. and S

Simulation and measurement results of the SIW six-port junction: S (isolation).

B. SIW Hybrid Coupler The continuous aperture coupling design [11], [12] is applied in the design of SIW-based couplers. The coupling section consists of one continuous aperture, and waveguide steps are used to achieve the matching of the input ports. The SIW coupler topology is illustrated in Fig. 4. Similar to the design of the SIW power divider, the SIW is also converted to a conventional rectangular waveguide. The ), and step length ( ) are aperture length ( ), step width ( then optimized employing the FEM software to achieve the coupling, isolation and input specifications as required. Two output ports of the coupler are sufficiently separated for machining two K-connector holes. The coupler is optimized with the following two goals: to and (at least 20 dB) and to keep and minimize close to 3 dB. The effective width of the output SIW has already been determined for the power-divider design and three other parameters and are to be determined for is optimized to the SIW coupler. First of all, the step width and . Secondly, the aperobtain an equal magnitude of and step length are optimized with reference ture length to the minimum of and . Since the value of and will also affect the power distribution of ports 2 and 3, it is necessary to repeat the first step and then the second step until those three parameters become convergent. Agilent Empipe3D Optiand to ensure mization is again used to optimize and are lower than 20 dB and and are that close to 3 dB in the whole frequency bandwidth. The final dimensions and parameters are obtained as follows (see Figs. 1 and 4). • mm. mm. • • mm. mm. • mm. • mm. • • mm. . • C. SIW Six-Port Combining the SIW power dividers and SIW couplers, an experimental SIW six-port junction has been constructed. The

six-port circuit prototype is shown in Fig. 5. Additional SIW transmission lines are introduced to realize 45 phase shift at the center frequency of 24 GHz (see the upward diagonal area in Fig. 5). SIW-to-microstrip transitions [13] are placed at each port of the circuit for measurement purpose.

2270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 8. Simulation and measurement magnitudes of port 1 to the outputs S -parameters (S –S ) of the SIW six-port junction (transmission coefficients). (a) Simulated results. (b) Measured results.

Fig. 9. Simulation and measurement magnitudes of port 2 to the outputs S -parameters (S –S ) of the SIW six-port junction (transmission coefficients). (a) Simulated results. (b) Measured results.

III. EXPERIMENTAL RESULTS

Fig. 6 shows the simulated and measured and of the proposed six-port junction. It can be noted that the return loss of these two ports is lower than 20 dB at the center frequency and is lower than 15 dB over the entire operating frequency band of interest (22–26 GHz). Fig. 7 plots the results of the simulated and measured and (representing the isolations between two RF ports and two power output ports, respectively) of the proposed six-port. It can be observed that, at the center frequency, the isolations are 21 dB for RF ports and 37 dB for power output ports. Over the entire frequency band, the isolations between the two RF ports and between the two outputs ports are lower than 20 dB. From Figs. 6 and 7, the simulated and measured curves of , , , and are in very good agreement. The measured values are higher than the simulated counterparts, which might be partly because of the effects of K-connectors in the measurement, and the offset of metallic holes location restricted to the fabrication limitation. Besides, the circuit is simulated using the equivalent rectangular waveguide, which has a higher value than its real SIW counterpart, which may be another

The six-port junction circuit is fabricated and measured on . a Rogers RT/duroid 5880 Laminates substrate with An HP8510 network analyzer is utilized for the measurement. K-connectors are placed at each port of the circuit for measurement purpose. The six-port circuit is designed for a software defined radio (SDR) direct receiver platform operating over the frequency band of 22–26 GHz. Ports 1 and 2 are connected to a local oscillator and received RF signals, respectively. The other four ports (ports 3–6) are output ports and are connected to power detectors. The output power levels of these four ports are used to determine RF signals. The six-port junction is simulated using Agilent’s HFSS 5.6, the simulation model includes SIW power dividers, SIW couplers, SIW phase shifters, and SIW-to-microstrip transitions. All the SIW components are modeled using the equivalent rectangular waveguide with ef. Considering the time efficiency of simulafective width tion, the K-connectors are not included in the HFSS simulation model, while the measurement results naturally involve effects of the K-connectors at each port (see Fig. 5).

XU et al.: NEW SIX-PORT JUNCTION BASED ON SIW TECHNOLOGY

Fig. 10. Simulation and measurement phases of port 2 to the output’s S -parameters (S –S ) of the SIW six-port junction (transmission coefficients). (a) Simulated results. (b) Measured results.

reason for explaining the difference between the simulation and measurement. – (repreFig. 8 presents simulated and measured senting the transmission coefficients of port 1 to the output ports) of the proposed six-port junction. It is found that the simulated and measured results are well matched, and the transmission coefficients are close to the theoretical predicted value 6 dB over the operating frequency band. – (repFig. 9 demonstrates simulated and measured resenting the transmission coefficients of port 2 to the outputs ports) of the proposed six-port junction. Similarly, the simulated and measured results are in good agreement and the transmission coefficients are close to the theoretical predicted value 6 dB over the operating frequency band. Figs. 10 and 11 show simulation and measurement phases – and – (representing the transmission coefof ficients of ports 1 and 2 to the output ports) of the proposed six-port junction. It is found that the simulated and measured results are matched and the transmission phase coefficients are close to the theoretical predicted value over the operating frequency band. The average phase difference of the adjoining output ports over the operating frequency band is close to the

2271

Fig. 11. Simulation and measurement phases of port 2 to the output’s S -parameters (S –S ) of the SIW six-port junction (transmission coefficients). (a) Simulated results. (b) Measured results.

theoretical value of 90 . At a center frequency of 24 GHz, the phase difference of the adjoining output ports is as follows. . • . • . • . • Compared with the simulated results, the measurement results have some phase shift, which may because of the effect of the K-connectors. IV. CONCLUSION A new millimeter-wave six-port junction based on SIW technology has been proposed and presented for the first time. This technology, which is also a part of the substrate integrated circuit (SIC) family is particularly suitable for low-cost and mass-producible applications. In the proposed structure, the SIW power dividers and hybrid couplers are designed as fundamental building blocks of the six-port junction. The transmission characteristics of the six-port junction are investigated in detail. The measurement and simulation results present a very good agreement, showing a good performance of the

2272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

proposed six-port junction. Our current effort is to develop an SDR direct receiver platform using the SIW six-port junction. ACKNOWLEDGMENT The authors would like to acknowledge the technical assistance of S. Dubé and B. Roch, both of the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada. The support of the Natural Sciences and Engineering Research Council (NSERC) of Canada is greatly appreciated by the authors. REFERENCES [1] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Guided Wave Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [2] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits—Current status and future trend,” in 2001 Asia–Pacific Microwave Conf., Taipei, Taiwan, R.O.C., Dec. 3–6, 2001, pp. 411–416. [3] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [4] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advance Modal Analysis: CAD Techniques for Waveguide Components and Filters. New York: Wiley, 2000. [5] Y. Cassivi, L. Perregrini, K. Wu, and G. Conciauro, “Low cost and high -millimeter-wave resonator using substrate integrated waveguide technique,” in Eur. Microwave Conf. Dig., vol. 2, Milan, Italy, Sep. 24–26, 2002, pp. 737–740. [6] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1075–1080, Dec. 1977. [7] J. Li, R. G. Bosisio, and K. Wu, “Computer and measurement simulation of a new digital receiver operating directly at millimeter-wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2766–2772, Dec. 1995. [8] X. Xu, K. Wu, and R. G. Bosisio, “Software defined radio receiver based on six-port technology,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Philadelphia, PA, Jun. 8–13, 2003, pp. 1059–1062. [9] S. O. Tatu, E. Moldovan, K. Wu, and R. G. Bosisio, “A new direct millimeter-wave six-port receiver,” IEEE Trans Microw. Theory Tech., vol. 49, no. 12, pp. 2517–2522, Dec. 2001. [10] S. Germain, D. Deslandes, and K. Wu, “Development of substrate integrated waveguide power dividers,” in Can. Electrical and Computer Engineering Conf., vol. 3, Montreal, QC, Canada, May 4–7, 2003, pp. 1921–1924. [11] R. Levy, “Improved single and multiaperture waveguide coupling theory, including explanation of mutual interactions,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 4, pp. 331–338, Apr. 1980. [12] Y. Cassivi, D. Deslandes, and K. Wu, “Substrate integrated waveguide directional couplers,” in Asia–Pacific Microwave Conf., Kyoto, Japan, Nov. 19–22, 2002. [13] D. Deslandes and K. Wu, “Integrated transition of coplanar to rectangular waveguides,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Phoenix, AZ, May 20–25, 2001, pp. 619–622.

Q

Xinyu Xu received the B.S. and M.S. degrees in electrical engineering from the University of Science and Technology of China (USTC), Hefei, China, in 1997 and 2000 respectively, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His research interests lie in the areas of wireless communications signal processing, including microwave/millimeter-wave circuit design, telecommunications system, and software-defined radio technique.

Renato G. Bosisio (M’79–SM’89–F’95) was born on June 28, 1930, in Monza, Italy. He received the B.Sc. degree in mathematics and physics from McGill University, Montréal, QC, Canada, in 1951, and the M.A.Sc. degree in electrical engineering from the University of Florida, Gainesville, in 1963. From 1951 to 1953, he was a Research Scientist with the Defense Research Telecommunications Establishment (Electronics Laboratory), Ottawa, ON, Canada. From 1953 to 1959, he was with the Canadian Marconi Company, Montréal, QC, Canada, and also with the English Electric Valve Company, Chelmsford, U.K., where he was involved with microwave magnetrons. From 1959 to 1964, he was with the Sperry Electron Tube Division, Gainesville, FL, where he performed research and development work on microwave-crossed field devices. From 1964 to 1965, he was with the Varian Associates of Canada, Georgetown, ON, Canada, where he was engaged in the development of traveling wave tubes. He then joined the faculty at the University of Montréal, Montréal, QC, Canada, where he became an Associate Professor in electrical engineering with the École Polytechnique de Montréal in 1965, Professor and Head of the Electromagnetic and Microwave Group in 1971, Head and founder of the Advanced Microwave Research Group (Poly-Grames) in 1990, and Emeritus Professor in 1995. In 1994, he founded and headed the Poly-Grames Research Centre for graduate student research in the design, fabrication, and measurement of microwave/millimeter-wave devices, components, and subassemblies destined for use in advanced radar and communication systems. He has authored or coauthored 190 journal publications, 230 refereed conference presentations, numerous technical reports, and industrial consultations in microwaves and millimeter waves. He has also been involved in numerous microwave innovations and holds 12 patents. His research interest cover a wide area in microwave/millimeter-wave related to advanced wireless local area networks (LANs), satellite/satellite/earth communication links, and point-to-point communications and automotive guidance systems. His research topics include automated and computer-aided microwave/millimeter-wave instrumentation and measurements, characterization of dielectric materials and electron devices, high-power microwave power applications, design/fabrication/test of microwave/millimeter-wave monolithic and hybrid ICs, and the development of new microwave/millimeter-wave six-port technology for direct digital receivers, radar, and intelligent integrated sensors. Prof. Bosisio is a member of the Order of Canada (2003), Sigma Xi Research Society at McGill University (1982), Phi Kappa Phi Learned Society at the University of Florida (1963) and l’Ordre des Ingénieurs du Québec (1965). He has been the recipient of a number of awards including the IEEE Canada Outstanding Educator Award (1996), the École Polytechnique Outstanding Professor Award (1973), and The Inventor Award presented by Canada Patents and Development Limited (1971).

Ke Wu (M’87–SM’92–F’01) was born in Liyang, Jiangsu Province, China. He received the B.Sc. degree (with distinction) in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees in optics, optoelectronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1984 and 1987, respectively. He conducted research with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, prior to joining the École Polytechnique de Montréal (Engineering School affiliated with the University of Montréal), Montréal, QC, Canada, as an Assistant Professor. He is currently a Professor of Electrical Engineering and Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering. He has been a Visiting or Guest Professor with the Telecom-Paris, Paris, France, INPG, the City University of Hong Kong, Hong Kong, the Swiss Federal Institute of Technology (ETH-Zürich), Zürich, Switzerland, the National University of Singapore, Singapore, the University of Ulm, Ulm, Germany, and the Technical University Munich, Munich, Germany, as well as many short-term visiting professorships with other universities. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship (visiting) with Southeast University, Nanjing, China,

XU et al.: NEW SIX-PORT JUNCTION BASED ON SIW TECHNOLOGY

and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-Wave Engineering (FAME). He has authored or coauthored over 400 referred papers and also several books/book chapters. His current research interests involve hybrid/monolithic planar and nonplanar integration techniques, active and passive circuits, antenna arrays, advanced field-theory-based computer-aided design (CAD) and modeling techniques, and development of lowcost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave and Optical Technology Letters, Wiley’s Encyclopedia of RF and Microwave Engineering, and Microwave Journal. He is also an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE). Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE). He has held numerous positions in and has served on various international committees, including the vice-chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general cochair of the 1999 and 2000 SPIE International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the TPC chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the 2004 IEEE Radio and Wireless Conference (RAWCON’2004). He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was elected into the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He served on the Technical Advisory Board of Lumenon Lightwave Technology Inc. He is currently the chair of the joint chapters of the IEEE MTT-S/AP-S/LEOS in Montreal, QC, Canada, and the vice-chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia–Pacific Microwave Prize, the University Research Award “Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique de Montréal on the occasion of its 125th anniversary, and the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French–Canadian Association for the Advancement of Science (ACFAS). In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

2273

2274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Off-Grid Perfect Boundary Conditions for the FDTD Method Yotka S. Rickard, Member, IEEE, and Natalia K. Nikolova, Member, IEEE

Abstract—We implement off-grid boundary conditions within Yee’s finite-difference time-domain (FDTD) method without disturbing the existing uniform mesh or changing the standard FDTD code. Both perfect electric conductor and perfect magnetic conductor walls are considered. Examples of straight, slanted, and curved walls are shown, the latter two being represented by an enhanced staircase approximation. We show that: 1) offsets comparable to the spatial step size lead to instabilities and 2) this issue is easily resolved by stepping into the neighboring cell. The method enhances the flexibility of the FDTD method with respect to complex geometrical domains without reducing the spatial step. Index Terms—Finite-difference time-domain (FDTD) methods, off-grid boundary conditions (BCs), slanted and curved boundaries.

I. INTRODUCTION

I

N THE finite-difference time-domain (FDTD) method [1], for good spatial resolution, the spatial step is usually chosen between 5%–12.5% of the minimal wavelength of interest. However, in many structures, it may not be possible to position the boundaries at integer multiples of the chosen spatial step. The usual way to handle such structures is to reduce the spatial step or to use a nonuniform grid [2]. The first option leads to an increase in the computational load. The second one lowers the accuracy, requires reduction of the time step, and may lead to late-time instabilities. Offset planar or curved metal boundaries have also been treated by few FDTD modifications. These include locally conformal methods (compared in [3]) such as the conformal finite-difference time-domain (C-FDTD) [4] and the contour-path finite-difference time-domain (CP-FDTD) [5] methods, as well as methods using new FDTD formulations [6] or sub-cell models [7]. All these require changes in the existing FDTD code, some of them substantial, as well as changes in the grid and time step. We propose an alternative to the above options, whereby the boundaries may be described without disturbing the original (coarse, uniform) spatial grid. The method employs extrapolation of adjacent field values from the internal computational domain to obtain exterior (auxiliary) field values ensuring

Manuscript received June 19, 2004; revised February 24,2005. This work was supported by the Natural Sciences and Engineering Research Council of Canada and by the Canadian Space Agency fellowship supplement under a Post-Doctoral Fellowship. The authors are with the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850457

off-grid virtual boundaries in between, as in [8]. The proposed off-grid boundaries are applicable in modeling parallel, slanted, and curved walls with respect to the existing grid. This significantly enhances the flexibility of the FDTD method with respect to complex geometrical shapes. In the proposed approach, the modifications concern only the outer boundary values of the tangential electric-field components, as is usually the case with Yee’s algorithm. Moreover, the existing conventional FDTD code and the grid remain unchanged. Time-step reduction is unnecessary, thus, the high speed of the computations is preserved. The memory requirements are practically unchanged. In the FDTD method, metal walls are usually considered to be perfect electric conductors (PECs). In general, PEC walls can be described mathematically in two ways: 1) either as vanishing tangential electric-field components, which is a Dirichlet boundary condition (BC) or 2) as vanishing normal derivatives of the tangential magnetic-field components, which is a Neumann BC. Similarly, when symmetry is present, a perfect magnetic conductor (PMC) wall may be employed to reduce the computational load. It is mathematically represented by either vanishing normal derivatives of the tangential electric-field components, Neumann BC, or vanishing tangential magnetic-field components, Dirichlet BC. Therefore, theoretically we may describe all on- or off-grid virtual perfect BCs in terms of either Dirichlet or Neumann BCs. The FDTD method based on Yee’s discretization of Maxwell’s equations [1] assumes that the field variation between the grid nodes is linear. Therefore, a linear extrapolation of the field values is used in the derivation of off-grid Dirichlet BC. Correspondingly, a quadratic extrapolation of the field values for off-grid Neumann BC is used (the latter being linear with respect to the field derivatives). To validate the proposed method, the resonant frequency for the first few resonant modes of a rectangular resonator are compared to their analytical values when the resonator is slid with respect to and in parallel with the existing grid by noninteger number of cells. A comparison of the proposed algorithm with the nonuniform FDTD method is shown. Displacements of PEC and PMC walls are considered. Examples of modeling slanted walls are also shown. A rectangular resonator is rotated while the existing grid is kept stationary. The proposed off-grid BCs are used to modify the conventional staircase approximation of the slanted boundary walls and to significantly improve its accuracy. In the presented examples, the slanted walls are misaligned with respect to the grid in two dimensions. It is straightforward to extend the method to three-dimensional misalignments. The resonant frequency for

0018-9480/$20.00 © 2005 IEEE

RICKARD AND NIKOLOVA: OFF-GRID PERFECT BCs FOR FDTD METHOD

2275

Fig. 1. Geometry of the cross section of the original rectangular cavity and its modified version displaced in the positive y -direction by  y .

1

the dominant mode and the next few resonant modes are compared to their analytical values and to the values obtained before the rotations. Finally, an example of modeling the curved walls of a cylindrical resonator is shown using our new staircase approximation. In all examples, excellent accuracy is obtained. Beside the accuracy, we also investigate the stability with our off-grid BC and give recommendations accordingly. In the Appendix, the algorithm for the implementation of the off-grid BC for slanted walls is outlined. II. OFF-GRID DIRICHLET BC First, the modeling of the off-grid Dirichlet BC at PEC walls that are in parallel with the existing grid, but do not coincide with the grid layers, is explored. Consider a rectangular resonator, modeled by the FDTD method on a uniform grid of a spatial step . While the original grid is kept stationary, the structure of size , , ) is moved in the positive -direction by ( , , as shown in Fig. 1. As is not an integer, the PEC walls perpendicular to the -direction now have off-grid locations. Using the original grid, can be modeled as described a virtual PEC wall at below. For brevity, any electric-field component tangential to the , and its grid values PEC wall is denoted by , . Assuming a linear variaare tion between adjacent layers and using the function values at at

(1)

we arrive at (2) In particular, the values assigned to the left-most layer at are

Fig. 2. Dependence of the maximum offset on the time step via Courant factor.

Similarly, at the right end, the virtual off-grid PEC wall is at and the field values of an auxiliary (additional) can be expressed in terms of the known field layer at as values from the layer at (4) Notice that the coefficients in (3) and (4) correspond to the slopes of the approximating lines and, thus, grow to infinity when the offset boundary approaches the internal grid layer. in (3) This affects the stability. Therefore, an upper limit and a lower limit in (4) are imposed. The maxdepends on the Courant factor from the imum offset time-step definition, which, for uniform grids, is (see, e.g., [2]) (5) The upper curve in Fig. 2 shows the experimentally obtained and , which can be approxinterdependence between imated as (6) In practice, the maximum offset is calculated based on the userdefined coefficient . For numerical stability, we propose approximately 2% lower margin for the maximum offset at the , which leads to high end of practical values of (7) It is shown as the lower curve in Fig. 2. From Fig. 2, the practical range of the values corin responds to maximum offsets between are dealt with as follows. (3) and (4). Offsets For a virtual off-grid PEC wall at , (3) can be generalized so that the field value at the leftmost layer at is expressed through the field value at a further neighbor as (8)

(3) Clearly, the

layer is external to the computational domain.

, Similarly, for a virtual off-grid PEC wall at (4) can be generalized so that the field value of the right-most

2276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

auxiliary layer at is expressed in terms of the known as field values from the layer at

at

(9) Formulas (8) and (9) with are used in two cases, which in (3) or in (4), and are: 1) if the offset 2) if the closest neighboring field value is zero, which happens in modeling slanted and curved walls. One may notice that (4) and (9) are actually the same as (3) and (8), respectively, when

be-

of a quadratic variation of the tangential-field values tween adjacent layers. Thus,

at at

(13)

Eliminating , , and , we obtain

from (13), for a virtual PMC wall at

(10) (14) Therefore, (3) and (8) may be used for both left- and right-handside off-grid Dirichlet BCs if the offset is always measured from the external layer to the off-grid boundary. An alternative to (8) is to use a weighted sum of and

(11) defines the position of the weighted sum where between and . The advantages of using (11) are as follows. is em1) It holds for all offsets, the dependence on bedded in the formula, and thus, the explicit switch of with for in (8) is avoided. 2) It is numerically stable because the denominator is limited . from below 3) It leads to better accuracy, especially on coarse grids, as shown in Section IV-A. If the grid is not coarse, (8) and (11) lead to practically the same result. ), 4) It holds regardless of the value of (including which is convenient in slanted-wall applications. It is important to note that the proposed algorithm is not equivalent to the common nonuniform FDTD: our space–time grid is preserved uniform for both the - and -field components, the stability conditions are thus different; the implementation of staircase approximations is different, and, finally, the numerical results exhibit differences as well.

In particular, we assign for the left-most layer at

, (15)

Similarly, for a virtual right-end PMC wall at the tangential electric-field values of the additional layer at are expressed as

,

(16) The same argument as with the offset (10) holds for the left- and right-hand-side off-grid Neumann BC. Thus, (15) may be used in all cases of off-grid Neumann BCs if the offset is always measured from the external layer to the off-grid boundary. IV. VALIDATION AND DISCUSSION A. Modeling of Off-Grid Dirichlet BC To validate the proposed method, we have implemented it in a standard FDTD code and computed the first few resonant mm, frequencies of a rectangular cavity of dimensions mm, and mm, shown in Fig. 3. The relative error is calculated as (17)

III. OFF-GRID NEUMANN BC When modeling Neumann BCs, without restricting ourselves, we can think of a PMC wall modeled as vanishing normal derivatives of the tangential electric-field components. Similar to the PEC-wall case, off-grid PMC walls can be modeled without disturbing the existing mesh. Keeping the grid stationary, when a PMC wall is moved in the positive -direction by , , Neumann BC is applied at the off-grid position (12) A linear variation with respect to this derivative requires the use

The excitation is a sine wave of 12 GHz modulated by a Blackman–Harris window (BHW) function [9]. Firstly, the resonator has been modeled by the standard FDTD algorithm on a mm with uniform grid of in (5). From (7), this leads to . The first few resonant frequencies and their relative errors with respect to the analytical values have been calculated. The frequency step of the GHz. Keeping fast Fourier transform (FFT) is the grid stationary, the resonator has then been slid in the posifor from 0.05 to 0.95 with increments tive -direction by of 0.05. In addition, around the switch points and , increments of 0.0001, 0.001, and 0.01, as well as offsets of 0.01, 0.001, and 0.0001 from both ends are used. The off-grid (left- and right-hand side) PEC boundaries have been

RICKARD AND NIKOLOVA: OFF-GRID PERFECT BCs FOR FDTD METHOD

2277

Fig. 3. Geometry of the rectangular resonator. All outer walls are PEC walls. For the off-grid Dirichlet BC experiment, the whole structure is simulated. For the off-grid Neumann BC experiment, half of the structure is simulated, terminated by a PMC wall at d = 0:5w . TABLE I RELATIVE ERRORS OF THE MODAL RESONANT FREQUENCIES WITH ON- AND OFF-GRID PEC WALLS

modeled by the off-grid Dirichlet BC, as described in Section II: for and with for , using (8) with where is measured always from the external layer to the offset boundary. The results with on- and off-grid boundaries for the and for the next few resonant dominant resonant mode modes are compared with their analytical values in Table I. It is seen that the calculated frequencies with off-grid Dirichlet BCs are indistinguishable from those obtained with the on-grid structure. Here, it is important to note that the time step has not been changed from its original value. Next, experiments are conducted where the resonator is slid in the positive -direction and, finally, in both - and -directions at the same time. In all cases, the resultant frequency is exactly the same as for the initial structure with on-grid PEC walls. With mm, the relative error a coarser mesh of for the frequency of the mode with on-grid PEC walls is 0.18%. The application of the off-grid Dirichlet BC again gives frequency and for the next exactly the same values for the few resonant frequencies as with the on-grid PEC BC. Although 30 000 time steps are more than enough to obtain the resonant frequencies with sufficient accuracy from the timedomain response, simulations with 200 000 time steps have been performed. No late-time instabilities have been observed in the . range Finally, to explore the dependence of the accuracy of the calculated resonant frequencies on the offset, only the left PEC wall of the resonator from Fig. 3 has been shifted. The simulation is purposefully made coarse by choosing a mm and a Courant factor in grid of . In this case, as per Fig. 2, (5) as . Approximately 2% reduction, as proposed in (7), yields

Fig. 4. Variation of the first resonant frequency with respect to the displacement  when only the left-hand-side PEC wall is shifted. (a) Comparison of the calculated and analytical results. (b) Relative errors in the calculated frequencies with respect to their analytical values when the off-grid BCs [see (8) and (11)] are used and when a nonuniform left-most layer is used.

, and this is the switch value used in (8). The first few resonant frequencies have been calculated using: 1) the proposed off-grid Dirichlet BCs (8) and (11), and 2) a nonuniform first layer. The calculated results for the first resonant frequency are compared with the analytical values in Fig. 4(a). To preserve the stability for any displacement with in (8) is used for , and the off-grid BC, for . The weighted sum (11) holds for any is displacement. The nonuniform FDTD with stable up to , where the nonuniform first layer for has a width . For offsets , the first (narrow) layer is merged with the second layer to form . The initial and the final a cell width of offsets are modeled with an on-grid PEC BC. The difference in the accuracy of the two methods can be seen in more detail through their relative errors with respect to the respective analytical solutions, as shown in Fig. 4(b). Note that the jump in the calculated frequency (at the corresponding switch point) for the nonuniform case is much bigger than the replaces in (8). Moreover, when using jump when , the slopes of the the weighted sum (11) for any analytical line and the calculated curve using an off-grid BC are approximately the same for all offsets within the error of the FFT calculation. Using a nonuniform first layer, although it may seem similar, does not give such a smooth result.

2278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE II RELATIVE ERRORS OF THE MODAL RESONANT FREQUENCIES WITH ONAND OFF-GRID (LEFT) PEC AND (RIGHT) PMC WALLS

TE

Fig. 5. Relative errors in the frequency of the dominant resonant mode for the rectangular resonator terminated by a PMC wall at the symmetry plane. The off-grid (left) Dirichlet and off-grid (right) Neumann BCs are applied at a distance  y with respect to their initial (on-grid) positions. The relative errors with on-grid boundaries using a Neumann BC [see (18) and (19)] are shown as big dot N and big triangle N , respectively.

1 1

The above experiment with the same coarse grid and Courant to , leads to for the factors for the nonuniform FDTD. The off-grid BC and pattern of the relative errors is the same as the one shown in Fig. 4(b) with the exception that the results produced by (8) are almost the same as those of (11). With the nonuniform first layer, the big jump remains almost the same as the one shown . If a finer grid of in Fig. 4(b), only shifted close to mm is used, no jump is observed around the switch point of (8); while the result from the nonuniform FDTD retains the jump. B. Modeling of Off-Grid Neumann BCs To investigate the accuracy of the off-grid Neumann BC, the same resonator as above has been modeled with a symmetry , as shown in Fig. 3. Initially, the wall at the plane is modeled by applying the Neumann on-grid PMC wall at BC to the tangential electric-field components. This is implemented numerically either as (18) or as (19) Both approximations are of second-order accuracy. In the foland lowing, for brevity, approximation (18) is referred to as . approximation (19) as mm, , The spatial step is and the excitation is the same as above. The first few resonant frequencies are calculated with on-grid boundaries using either or . Their relative errors with respect to the analytical values are summarized in Table II. Leaving the grid stationary, the half-resonator has then been slid in the positive -direction by , with the same increments as in Section IV-A. The off-grid Neumann BC is applied to the right-hand-side PMC wall, and the off-grid Dirichlet BC is applied to the left-hand-side PEC wall using (16) and (8), respectively. The frequencies of the first few resonant modes have been calculated again (see Table II). In Fig. 5, the relative error of the using the off-grid computed dominant resonant frequency

2

BCs is plotted versus the normalized displacement . The relative errors for the shifted resonator with off-grid BCs are calcu—denoted as lated with respect to: 1) the analytical value of ; 2) the calculated value of with [on-grid Neu; and 3) the calculated value of mann BC (18)]—denoted as with [on-grid Neumann BC (19)]—denoted as . As Fig. 5 shows, the relative error in the calculations with offset boundaries is practically the same as the error with on-grid BCs. Note that the calculations with off-grid BCs give results [the on-grid very close to the reference result obtained with and are very close to BC (18)]. The error curves [the on-grid each other because the reference result with BC (19)] is very close to the analytical value. The results for the next few resonant modes repeat the patterns shown in Fig. 5, and the relative errors with on- and off-grid BCs are approximately the same. C. Modeling of Slanted PEC Walls The applicability and accuracy of the proposed method in modeling slanted PEC walls has been investigated by the following experiment. The rectangular cavity of Fig. 3 has been rotated, as shown in Fig. 6. The slanted PEC walls are modeled by a conventional staircase (referred to as Case A) and by a modified staircase applying the off-grid Dirichlet BCs. mm, mm, First, the resonator of dimensions mm is modeled by the standard FDTD algorithm and mm and on a uniform grid of . The walls of the resonator are kept aligned with the grid. The calculated result (no rotation, on-grid BCs) for the lowest GHz has a relative resonant frequency error of 0.13% with respect to its analytical value. Keeping the grid stationary, the resonator has then been roaround the -axis. tated by 30 in parallel with the plane In the following, all cells, which are partially inside and partially outside the actual boundary, are referred to as boundary cells. In the FDTD method, these boundary cells are either excluded or included in the conventional staircase approximations depending on the percentage of their volume inside the cavity. The usual rule-of-thumb is to include these boundary cells, half or more of whose volume is in the interior.

RICKARD AND NIKOLOVA: OFF-GRID PERFECT BCs FOR FDTD METHOD

2279

Fig. 6. Rotated rectangular resonator and its (conventional) staircase approximation referred to as Case A.

Fig. 8. Numerical cell showing the application of double-face off-grid BCs for a staircase approximation. The component E (i; j; k ) is expressed through E (i; j + 1; k ) using (8) with j = n, s = 1,  =  . The component E (i + 1; j; k ) is expressed through E (i; j; k ) using (8) with j = n, s = 1, and  =  . The component E (i + 1; j; k ) is not needed. Two versions are possible: (a)  > 0:5 and  > 0:5 or (b)  < 0:5 and  < 0:5.

Fig. 7. Numerical cell showing the application of single-face off-grid BCs for a staircase approximation. The component E (i + 1; j; k ) is expressed through E (i; j; k ) using (9) with i = n, s = 1, and  =  . The component E (i + 1; j; k ) using (9) with i = n, s = 2, and 1; j; k ) is expressed through E (i  =  .

0

1) Versions of Off-Grid Dirichlet BC for Slanted Walls: The application of an off-grid Dirichlet BC in modeling slanted walls is somewhat different from that for straight walls. Two possible implementations are investigated, which are: 1) single-face off-grid Dirichlet BC—when the off-grid wall within a cell consists of one face, as shown in Fig. 7 and 2) double-face off-grid Dirichlet BC—when the off-grid wall within a cell consists of two orthogonal faces, as shown in Fig. 8. When the single-face Dirichlet BC is applied, as in Fig. 7, -component just outside the off-grid face is expressed the through the neighboring internal -component using (4) with . This is done in the same way as in the case of straight walls. Note that the other component parallel to the off-grid PEC wall—in this example, —cannot be expressed using (4) from its nearest neighbor if it equals zero. Equation (9) is then . Alternatively, the weighted sum (11) may be used with used regardless of the value of the closest component. Assigning nonzero values to the two (external) components parallel to the

off-grid PEC face is necessary as they participate in the calculations of the magnetic-field components. When the double-face off-grid Dirichlet BC is applied, as in -component, (3) or (8) is used with ; Fig. 8, for the -component, (3) or (8) is used with . The for the -component is parallel to both off-grid boundaries, but it is not needed, as there are two tangential -field components aland . ready defined in the boundary cell— and Here, it is important to note that both have to remain external to the off-grid staircase. Therefore, looking from the exterior cell corner, the off-grid boundaries within a given cell must be either: 1) both at a distance and ) or 2) greater than one-half grid step ( both at a distance smaller than one-half grid step ( and ). 2) Accuracy Improvement With the New Staircases: The conventional and modified staircase approximations of the slanted walls are compared. In Table III, the results for the first resonant frequency are shown. The relative error is 1.88% with the usual rule-of-thumb, which includes in the computational volume the boundary cells whose half-or-more volume is internal (Case A). The proposed modification is based on a staircase with off-grid BCs applied for boundary cells of volume between 25%–75% within the slanted boundary. The choice of the offsets is discussed in Section IV-C.3. It is well known that the largest errors in the calculations are due to the inadequate representation of edges and corners. Therefore, initially, the off-grid BCs were applied only around of the the corners, shown in Fig. 6 as regions , , , and

2280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE III DEPENDENCE OF THE ERROR ON THE STAIRCASE VERSION

Fig. 10. Modification of the staircase from Fig. 4 at all boundary cells of internal volume between 25%–75% using a double-face off-grid Dirichlet BC.

of the double-face off-grid BC, this leads to the following constraint (see Fig. 8): for for (20) The most straightforward choice for the offsets is for for

Fig. 9. (a) and (b) Modification of regions A and B using a single-face off-grid Dirichlet BC (Case B). (c) Modification of region A using a double-face off-grid Dirichlet BC (Case C).

cross section. Two versions are shown in Fig. 9. In the first version [see Fig. 9(a) and (b)], a single-face off-grid Dirichlet BC is applied for each cell in the modified areas. The modifications and are mirror images of those for regions of regions and , respectively. The result (Case B in Table III) is a reduction of the relative error in half in comparison with the conventional staircases—to 0.946%. If double-face off-grid BCs are used in the corner regions, as in Fig. 9(c), further reduction of the relative error results—below 0.5% (Case C in Table III). If the double-face BC is applied to all boundary cells with volume between 25%–75% inside the boundary, as shown in Fig. 10, the relative error drops to 0.014%. Simulations with 200 000 time steps have been performed and no late time instabilities have been observed. 3) Choice of the Offsets for Off-Grid Dirichlet BC: The position of the off-grid boundaries is chosen as follows. Denote the normalized internal volume of a boundary cell as . Clearly, . The replacement of the actual slanted boundary with the double-face off-grid boundary should leave the internal volume in the modified staircase approximation the same as the original internal volume (with the slanted boundary). In the case

(21)

This is the preferred choice because it is: 1) programmable—easy to implement in a subroutine before the time stepping begins; 2) robust—insensitive to rounding errors of the boundary cells; in the calculation of the volume 3) accurate—leads to very accurate results; and 4) stable—when . The boundary applied for boundary cells of are entirely excluded and those of cells of are entirely included in the staircase approximation. Fig. 11 presents the graph of the recommended offsets (21) with the bold solid lines (b). The value for corresponds to , which is the upper limit of the offset for stable staircases with double-face off-grid BCs. The same reasoning as above is applied for the offset with single-face off-grid BCs: the internal volume of the boundary cell remains intact in the staircase approximation. This natural requirement leads to (22) We note that when single-face off-grid BCs are used for is used in (8), the corresponding upper slanted walls, if , as given limit of the offset for stable staircases is set to by (7), e.g., for (i.e., ) , (i.e., ) for although values as high as corner boundary cells still preserve stability. If one needs to , must be used in (8). apply an off-grid BC for Naturally, using the weighted sum (11) instead of (8) is a better choice for two reasons, which are: 1) it gives stable results for obtained from (7) any offset (we recall that the value of

RICKARD AND NIKOLOVA: OFF-GRID PERFECT BCs FOR FDTD METHOD

Fig. 11. Choice of normalized offsets. (a) Boundary cells of internal volume 0 < V < 1 using a single-face off-grid Dirichlet BC (dash line). (b) Boundary = 0:25 and V = 0:75 using a cells of internal volume between V double-face off-grid Dirichlet B: preferred choice for the offsets (bold solid lines), possible, but not recommended choice (dashed–dotted line), unstable solution (dotted line).

is embedded in this formula) and 2) it holds regardless of the value of the closest field component (which may be zero in the staircase approximation). The graph of the single-face offset (22) is shown in Fig. 11 with the dashed line (a). Single face off-grid BC is not the recommended choice for slanted walls, as it is not robust: the accuracy is sensitive with respect to the choice of the off-grid boundary direction and offset. However, it is very well suited for the corner cells at the intersection of slanted walls. 4) Accuracy and Stability Considerations: The stability range of the single-face off-grid Dirichlet BC is . The stability range of the double-face off-grid Dirichlet BC is . For most applications, to keep the relative error below 0.1% 60 dB , it is enough to modify the staircase with an off-grid using the double BC at all boundary cells with face off-grid Dirichlet BC. In the case when the highest possible accuracy is required (relative error of the order 0.01%), one may use the off-grid BCs . for all boundary cells up to • For boundary cells with , one may use either the single-face off-grid BC (22) or the . Cells double-face off-grid BC with with are entirely included in the computational domain. , one • For boundary cells with may use the single-face off-grid BC (22). The boundary are entirely excluded from the comcells with putational domain. 5) Discussion and Illustrative Results: To summarize, the highest accuracy is obtained when for all boundary cells with in the range (0.15, 0.85), the double-face off-grid BC is used where possible, and the single-face off-grid BC at those locations where the double-face off-grid BC cannot be applied. An example of such a situation is “the worst case scenario” for the rotated cavity, where one or more corners are in very close proximity to or coincide with grid nodes. The conventional staircase approximation then gives the highest relative errors. In Fig. 12, such a rotated and translated resonator is shown, together with

2281

Fig. 12. “Worst case scenario”: the rotated resonator has corner(s) at or near grid nodes. The proposed modification of the conventional staircase is applied at all boundary cells of internal volume between 20%–80%. A double-face off-grid BC is used for most boundary cells. In regions A, D, and E, a combination of a single- and double-face off-grid BC is applied.

its conventional staircase approximation and the proposed modified staircase. The dimensions of the resonator and the discretization are the same as above. One may notice a few important implementation details as follows. 1) In corner region A of the rotated cross section, only a single-face off-grid BC can be applied, as there is only one nonzero internal field component in the cell and, therefore, the offset is chosen using (22). 2) In regions B and C, double-face off-grid BCs are applied in adjacent cells, and the offsets in each cell are chosen using (21). 3) In region D, a combination of single- and double-face offgrid BCs is applied. The corner cells are preferably always modeled with an off-grid BC, even if the offset is , in which case, either (11) is used or (8) with . 4) In region E, double-face off-grid BCs can be applied to the first and third cells, but only a single-face off-grid BC can be applied in the middle cell. The reason is that the middle and right cells have the same row index. Hence, component one of the tangential-field components, the in this case, remains in the interior of the computational in the example in Fig. 8(b) domain—see and, therefore, cannot be used as the second external component for a double-face off-grid BC. The combination of single- and double-face off-grid BCs occurs more frequently for smaller angles of rotation—in adjacent boundary cells sharing the same row or column index. To summarize, the most important considerations when dealing with slanted walls are: 1) to ensure that the field values at external nodes are not used for calculations in two adjacent boundary cells; 2) there is one nonzero internal tangential -field component in order to apply a single-face off-grid BC [see Fig. 13(a)]; or 3) there are two nonzero internal tangential -field components (orthogonal to each other) in order to apply a double-face off-grid BC [see Fig. 13(b)].

2282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 13. (a) Boundary cell with a single nonzero internal tangential component. (b) Boundary cell with two nonzero internal tangential components.

E -field E -field

TABLE IV DEPENDENCE OF THE RELATIVE ERROR ON THE ANGLE OF ROTATION

Fig. 14. Quarter cross section of the cylindrical resonator. The modified staircase uses a single-face off-grid Dirichlet BC at cell A and a double-face off-grid Dirichlet BC at cells B and C.

In the case of a combination of single- and double-face off-grid BCs in neighboring cells, the above constraints are dealt with as follows. Noninterference Rule: Let the internal volumes of two adjacent boundary cells having the same row or column index be . In order that the assigned external field values in each cell do not to interfere with each other, the double-face off-grid BC must be applied in the cell of the lesser and the single-face off-grid BC must be applied in volume . the cell of the greater volume In the Appendix, we outline an algorithm for the sequence of steps when implementing off-grid BCs for slanted walls. When the rotated resonator in Fig. 12 is approximated with is 3.80%. the conventional staircase, the relative error for With the proposed modification applied to boundary cells with , the relative error is reduced by two orders of magnitude, i.e., to 0.06%. No late time instabilities have been observed (in simulations with 200 000 time steps). Next, in order to observe how the error depends on the angle of rotation, the same resonator has been rotated at different angles. The relative errors in the calculated first resonant frequency using the conventional staircase and the proposed modification with respect to the analytical value are compared in Table IV. In all cases, the relative error of the proposed staircase with an off-grid Dirichlet BC is no more than 0.13%, which is the accuracy of the calculations with on-grid boundaries. D. Modeling of Curved PEC Walls The accuracy of the proposed method in modeling curved PEC walls has been investigated by applying it to a cylindrical

resonator. The curved PEC walls have been modeled by a conventional staircase and by a modified staircase applying the offgrid Dirichlet BCs. mm and height First, the resonator of radius mm has been modeled by the standard FDTD algorithm on mm with a uniform grid of . The conventional staircase includes in the computational domain the boundary cells with an internal volume of 50% or more. The analytical value of the dominant resonant frequency GHz. is Using the conventional staircase, the calculated frequency is 13.696 438 GHz, which represents a relative error of 1.51%. A combination of single-face and double-face off-grid Dirichlet BCs has then been applied, as shown in Fig. 14. Due to the symmetry, only one-quarter of the cross section of the cylindrical resonator is shown. At cell A, the single-face off-grid Dirichlet BC (22) is applied; at cells B and C, the double-face off-grid Dirichlet BC is applied using offsets for for cells C

cells B (23)

Here, we note that, when modeling curved walls, the stability is up to , which correregion for , i.e., for , the upper part sponds to of curve (b) in Fig. 11 is used. , The calculated resonant frequency of 13.893 102 GHz, has a relative error of 0.092%, a value lower by an order of magnitude in comparison with the mode, the conventional staircase. Similarly, for the analytical resonant frequency is 24.376 484 22 GHz and with the proposed off-grid staircase, the calculated frequency is 24.392 073 GHz, i.e., a relative error of 0.064%, while with the conventional staircase, the relative error is 0.680% (the calculated frequency is 24.210 758 2 GHz). V. CONCLUSION A simple novel method to implement off-grid PEC and PMC walls within the FDTD method has been proposed. The method

RICKARD AND NIKOLOVA: OFF-GRID PERFECT BCs FOR FDTD METHOD

is easy to incorporate into existing standard FDTD codes. It features very high accuracy and has a negligible computational impact. The proposed off-grid boundaries significantly improve the flexibility of the FDTD method while preserving the spatial and time steps. Thus, the accuracy of subgridding is achieved without its complexity and computational cost. Examples of parallel, slanted, and curved off-grid boundary walls have been shown. Although the slanted walls are misaligned in two dimensions with respect to the existing grid in our examples, the method can be easily extended to three-dimensional (3-D) misalignments. For slanted and curved walls, combinations of single- and double-face off-grid BCs have been proposed, and a robust algorithm for the choice of the offsets has been given. Further applications of the method in structures containing knife edges and corners are currently investigated. APPENDIX The algorithm to implement off-grid BCs for slanted walls can be summarized as follows. of all boundary cells in the 1) Calculate the internal volume same way as done for the conventional FDTD. 2) Record the boundary data for each slanted wall, e.g., in the form of arrays with columns Wall

3)

4)

flag

where the flag values are : cell entirely excluded; : cell entirely included; : double-face off-grid BC; : single-face off-grid BC. All offsets are initialized as . and the corresponding All flags are initialized as flag (boundary) tangential -field components are zeroed. This corresponds to a conventional staircase where all boundary cells are included in the staircase approximation. Thus, assigning off-grid BC for some boundary cells will simply rewrite exterior zeroed values. , calculate the corresponding Given Courant factor and using (7), and from there—the where range for the boundary cell volumes off-grid BC will be applied. For all boundary cells If

5) 6) 7)

8)

then flag

For all cells with flag , assign zero values to the corresponding (new boundary) tangential -field components. For the corner cells: if two nonzero internal -field compo,else flag (see Fig. 13). nentsare available, then flag For each wall: compare the row/column indexes of all ad. For the jacent boundary cells with boundary cell couples having the same row or column index, apply the noninterference rule: compare their volumes, asfor the cell of lesser volume and flag for sign flag the cell of bigger volume. : if Assign the offsets for all cells with flag , apply the first formula in (21), else apply the second formula in (21).

2283

using (22). 9) Assign the offsets for all cells with flag , apply the off-grid BC (8) with 10) For all cells with flag to the corresponding tangential-field components. 11) For all cells with flag , apply (11) to the corresponding tangential-field components. REFERENCES [1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–307, May 1966. [2] A. Taflove, Advances in Computational Electrodynamics: The Finite Difference Time Domain Method. Boston, MA: Artech House, 1997. [3] C. J. Railton and J. B. Schneider, “An analytical and numerical analysis of several locally conformal FDTD schemes,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 56–66, Jan. 1999. [4] S. Dey and R. Mittra, “A locally conformal finite-difference time-domain (FDTD) algorithm for modeling three-dimensional perfectly conducting objects,” IEEE Microw. Guided Wave Lett., vol. 7, no. 9, pp. 273–275, Sep. 1997. [5] C. J. Railton, I. J. Craddock, and J. B. Schneider, “The analysis of general two-dimensional PEC structures using a modified CPFDTD algorithm,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1728–1733, Oct. 1996. [6] K. H. Dridi, J. S. Hesthaven, and A. Ditkowski, “Staircase-free finitedifference time-domain formulation for general materials in complex geometries,” IEEE Trans. Antennas Propag., vol. 49, no. 5, pp. 749–756, May 2001. [7] J. Anderson, M. Okoniewski, and S. S. Stuchly, “Subcell treatment of 90 metal corners in FDTD,” Electron. Lett., vol. 31, pp. 2159–2160, 1995. [8] Y. S. Rickard and N. K. Nikolova, “Off-grid perfect BC’s for the FDTD method,” in Proc. Applied Computational Electromagnetics Soc. Conf., Syracuse, NY, 2004 [CD ROM]. [9] F. J. Harris, “On the use of windows for harmonic analysis with the discrete Fourier transform,” Proc. IEEE, vol. 66, no. 1, pp. 51–83, Jan. 1978. Yotka S. Rickard (M’98) received the Dipl. Eng. degree from the Technical University of Sofia, Sofia, Bulgaria, in 1976, and the M.Sc. degree in mathematics and Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1997 and 2002, respectively. Since 2003, she has been with the Natural Sciences and Engineering Research Council of Canada (NSERC) and with the Canadian Space Agency (CSA), during which time she has been with the Computational Electromagnetics Laboratory, McMaster University, Hamilton, ON, Canada. Her research interests include numerical analysis of time-dependent partial differential equations, computational electromagnetics and photonics, and computer-aided modeling of microwave to optical-wave structures. Dr. Rickard was the recipient of an NSERC Post-Doctoral Fellowship. Natalia K. Nikolova (S’93–M’97) received the Dipl. Eng. degree from the Technical University of Varna, Varna, Bulgaria, in 1989, and the Ph.D. degree from the University of Electro-Communications, Tokyo, Japan, in 1997. From 1998 to 1999, she was with the Natural Sciences and Engineering Research Council of Canada (NSERC), during which time she was initially with the Microwave and Electromagnetics Laboratory, DalTech, Dalhousie University, Halifax, NS, Canada, and then for a year with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada. In July 1999, she joined the Department of Electrical and Computer Engineering, McMaster University, where she is currently an Associate Professor. Her research interests include theoretical and computational electromagnetism, high-frequency analysis techniques, as well as computer-aided design (CAD) methods for high-frequency structures and antennas. Dr. Nikolova was the recipient of an NSERC Post-Doctoral Fellowship from 1998 to 1999. She currently holds an NSERC University Faculty Award, which she was the recipient of in 2000 and 2003, respectively.

2284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Microwave-Tomographic Imaging of the High Dielectric-Contrast Objects Using Different Image-Reconstruction Approaches Serguei Y. Semenov, Member, IEEE, Alexander E. Bulyshev, Member, IEEE, Aria Abubakar, Member, IEEE, Vitaliy G. Posukh, Yuri E. Sizov, Alexander E. Souvorov, Peter M. van den Berg, and Thomas C. Williams

Abstract—Microwave tomography is an imaging modality based on differentiation of dielectric properties of an object. The dielectric properties of biological tissues and its functional changes have high medical significance. Biomedical applications of microwave tomography are a very complicated and challenging problem, from both technical and image reconstruction point-of-views. The high contrast in tissue dielectric properties presenting significant advantage for diagnostic purposes possesses a very challenging problem from an image-reconstruction prospective. Different imaging approaches have been developed to attack the problem, such as two-dimensional (2-D) and three-dimensional (3-D), minimization, and iteration schemes. The goal of this research is to study imaging performance of the Newton and the multiplicative regularized contrast source inversion (MR-CSI) methods in 2-D geometry and gradient and MR-CSI methods in 3-D geometry using high-contrast, medium-size phantoms, and biological objects. Experiments were conducted on phantoms and excised segment of a pig hind-leg using a 3-D microwave-tomographic system operating at frequencies of 0.9 and 2.05 GHz. Both objects being of medium size (10–15 cm) possess high dielectric contrasts. Reconstructed images were obtained using all imaging approaches. Different approaches are evaluated and discussed based on its performance and quality of reconstructed images. Index Terms—Dielectric properties, high contrast, microwave imaging, tomography.

I. INTRODUCTION

M

ICROWAVE tomography is an imaging modality based on differentiation of dielectric properties within an object under the study. The dielectric properties of biological

Manuscript received June 17, 2004; revised March 10, 2005. This work was supported in part by the National Institutes of Health (National Heart, Lung and Blood Institute) under Grant R01HL065657 and by the Carolinas HealthCare Foundation through Carolinas Medical Center under a grant. S. Y. Semenov, A. E. Bulyshev, and V. G. Posukh are with the Biophysical Laboratory, Carolinas Medical Center, Charlotte, NC 28203 USA (e-mail: [email protected]). A. Abubakar is with Schlumberger–Doll Research, Ridgefield, CT 06877 USA. Y. E. Sizov is with the Troitsk Institute of Innovative and Thermonuclear Research, Troitsk, Moscow Region 142092, Russia. A. E. Souvorov was with the Biophysical Laboratory, Carolinas Medical Center, Charlotte, NC 28203 USA. He is now with the National Center for Biological Information, Bethesda, MD 20892 USA. P. M. van den Berg is with the Faculty of Applied Physics, Delft University of Technology, 2628 CJ Delft, The Netherlands. T. C. Williams is with the Department of Comparative Medicine, Cannon Research Center, Carolinas HealthCare System, Charlotte, NC 28203 USA. Digital Object Identifier 10.1109/TMTT.2005.850459

tissues and its functional changes have high medical significance. For example, it was demonstrated that dielectric properties of tissues depend on its physiological and pathological conditions, including tissue blood [1] and water contents [1]–[4], hypoxia [5], ischemia [1], [5], infarction [1], [5], and malignancies [6]–[9]. The biological tissues present a significant contrast in dielectric properties between tissues with high water content such as soft tissue and low water-content tissues, such as fat and bone. The high contrast in tissue dielectric properties presenting significant advantage for medical diagnostic purposes possesses a very challenging problem from an image-reconstruction prospective. Developed image-reconstruction methods for microwave tomography can be divided into two groups. The first group represents approximation methods such as Born or Rytov. Their latest iterative modifications have been proven very fast and reliable for imaging of low and medium dielectric-contrast objects [10]–[12]. However, they have very limited application in finding a reliable biological solution (image) of nonlinear ill-posed mathematical problems of microwave tomography, especially in cases of imaging of high dielectric-contrast objects. The second group of microwave-tomographic (MWT) imaging methods is nonapproximation methods, which is used in this study. Those methods, proven to be much more accurate, are expensive from the computer resources point-of-view. The scale of the problem in the space domain presents a significant challenge of using the nonapproximation method. At present, we are focused on high dielectric contrast, medium-size phantoms, and biological targets with the characteristic dimensions of approximately 10–15 cm, which are approximately 3–4 wavelengths at a frequency of 0.9 GHz and approximately 6–9 wavelengths at a frequency of 2.05 GHz. Earlier we have shown that frequencies near 1 GHz are applicable for whole body MWT imaging in terms of penetration and required signal-to-noise ratio (including human torso) [13]. At a frequency of 0.9 GHz, we obtained images of an excised canine heart and detect areas of myocardial infarction [14]. The excised canine heart immersed into a salty solution was considered as a medium dielectric-contrast object. Using a simulated model, we obtained images of a full-scale high dielectric-contrast model of the human torso in two-dimensional (2-D) geometry [15]. Initial images of thorax and abdomen areas of intact canines were also obtained [13]. Due to the complicated structure of mammal torsos, it was concluded that further progress in development of microwave tomography for biomedical applications requires

0018-9480/$20.00 © 2005 IEEE

SEMENOV et al.: MWT IMAGING OF HIGH DIELECTRIC-CONTRAST OBJECTS

additional in-depth imaging studies. Novel approaches for 2-D, three-dimensional (3-D) scalar, and 3-D vector MWT imaging have been developed [15]–[23], [28]–[31]. The problem of imaging of mammals torsos is threefold, i.e., the object is strongly inhomogeneous with high dielectric contrast, the object is large scaled in the space domain, and the object does not satisfy the set of inverse problems of the microwave tomography in its classical sense, i.e., it is not fully submerged into the media with known dielectric properties. The goal of this research is to study imaging performance of different 2-D and 3-D approaches on a simplified object: high dielectric-contrast medium-size phantoms and biological targets, which are fully (including top and bottom) submerged into the media with known dielectric properties. Experimental MWT imaging setup is described in Section II. Image-reconstruction methods are presented in Section III. Results are presented and discussed in Section IV. II. MWT IMAGING SETUP The imaging experiments were conducted using a 3-D MWT system [13]. The system operates at frequencies of 0.9 and 2.05 GHz. The working chamber was filled with a saline solution with dielectric properties (at 0.9 GHz) and (at 2.05 GHz). The system comprises of two similar transmitting and receiving antennas (rectangular waveguides) positioned within a working chamber by an automatic robotic system. Two families of waveguide antennas were constructed for operation in aqueous solutions at frequency ranges from 0.8 to 1.2 GHz and from 2.05 0.6 cm at to 2.5 GHz. Rectangular waveguides (2.2 cm 0.9 GHz) and (1.0 cm 0.5 cm at 2.05 GHz) were loaded by matching dielectric of ( , ) at 0.9 GHz and , ) at 2.05 GHz. To diminish boundary ( effects of the electromagnetic (EM) field on the edges of the antenna, we used metallic plates. In the far zone, an EM field is a linearly polarized (along axis in our coordinate system) EM field— . Since we have only one physical receiver (HP8753E), the data must be acquired consequentially from each position of the Hz, two complex measurereceiving antenna (IF ments at each spatial point). All tomographic experiments were conducted at room temperature. The system is capable of measuring the attenuation in the tomographic working chamber up to 110 dB with an SNR of approximately 40 dB. In this study, a 2-D phantom or an excised segment of a pig hind-leg was connected to a plate on the axis of the cylindrical tomographic working chamber. The position of the target was not changed during the experiment. To obtain a full set of tomographic data, we positioned both transmitting and receiving antennas at various points around the object. Prior to imaging experiments, we measured dielectric properties of materials, tissues, and a solution with the help of contact method using a coaxial probe (HP85070B dielectric probe) similar to [1]. Initially, we chose a vertical position for the transmitting antenna. This determines a central cross section of the biological target. The transmitting antenna was then positioned at 32 equidistant points on the circle with radius 15.0 cm (0.9 GHz)

2285

and 10.0 cm (2.05 GHz) around the object with a constant vertical coordinate. Consequently, the object was totally irradiated from 32 directions. During 2-D experiments at each position of the transmitter, the receiving antenna was positioned at 128 equidistant points on a semicylinder opposite from the transmitter. Consequentially, the dimension of the experimental data complex measurements. When a 3-D array was experimental scheme was used, at each position of the transmitting antenna, the receiving antenna was positioned at 64 equidistant points (azimuthal angle) 15 equidistant points (vertical axis with a step of 1.5 cm) on a semicylinder opposite from the transmitter antenna, with radius 15.0 cm (0.9 GHz) and 10.0 cm (2.05 GHz). Therefore, the dimension of the array of acquired complex measurements. data was III. IMAGING APPROACHES Microwave imaging approaches based on 2-D Newton, 3-D gradient, and 2-D–3-D multiplicative regularized contrast source inversion (MR-CSI) methods were used. The particulars of each method are briefed here. The major difference between Newton- and gradient-based methods from one side and MR-CSI methods from another side is that the MR-CSI does not require the solution of a full set of direct problems at each iteration step. Both unknowns (see Section III-C—the contrast and the contrast ) are updated using sources only one iteration step. A. Approach Based on Newton Method in 2-D Geometry The use of Newton-based methods for imaging a 2-D high contrast object in diffraction tomography was developed in [15], [21] and [24]. Let us consider an MWT imaging device with a cylindrical working chamber so a plurality of transmitting and receiving antennas are located on the perimeter on the inner surface of the cylinder at a single cross section. Let us also suppose that each transmitter generates a linearly polarized EM field (EM-field component) and each receiver measures this EM field component only. At this point, we are not considering any depolarization effect of the EM field, which may occur within biological objects. Suppose that the th transmitter generates EM field . According to the perturbation theory, the change in the electrical fields registered by the receiver number due to a small change in the complex dielectric properties of an object illuminated by the th transmitter is equal to (1) where and are the wavenumber and dielectric properties of the background material, is a frequency, and is a speed of light. The direct propagating wave and the Green’s function can be found from the Helmholtz’s equations

(2)

2286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

where is the wavenumber of the medium at , and and are the coordinates of the transmitter and the receiver. is calculated, the step of the Newton’s iterative If operator procedure is

with nonreflecting boundary conditions on the bound of the computational domain. The source for the back propagating wave is (10)

(3) and are the measured and calculated EM The vectors fields and symbol denotes the conjugate transpose. We used a Tikhonov regularization [second term in (5)] described in detail in [25]. The regularization parameter and the relaxation parameter were chosen by trial methods.

where are phase centers of receiver antennas, and is a normalized field produced by the receiver antenna in free space. Calculation of the sum in (10) is a time-consuming operation so it is reasonable to divide it into two steps. It is easy to see that can be found from the equation (11) with boundary conditions

B. Gradient Method in 3-D Geometry Let us generalize our problem into 3-D geometry and reforbe a mulate the problem as a minimization procedure. Let signal measured (“exp”) by receiver number , when transmitter number illuminates an object. The theoretical simulation of the . The minimization problem can then signal is denoted as be formulated in the following two ways: (4) which is the Gradient method [17], [19]. Since the problem is ill posed in the classical sense, it requires a regularization procedure [25]. Therefore, in the gradient method, we used Tikhonov regularization, and instead of (4), we minimized (5) is a Tikhonov where is a regularization parameter, and stabilization operator. To obtain a minimum in (5), an iteration procedure was used as follows: (6) is an iterative step, is where is an iterative number, the distribution of dielectric properties at the th iteration, and is the gradient of the functional . In the gradient method, we calculated the gradient of the functional as

(12) on the boundaries of the computational domain. C. MR-CSI Method Next, we formulate the MWT problem for the MR-CSI method [20], [31]. We consider a biological object B of arbi. The value trary bounded shape with complex permittivity of the permittivity, the location and the shape of this object B are unknown, but they are known to lie within a larger bounded simply connected object domain D. This object domain D is assumed to be embedded in the homogeneous lossy medium with known (measured) complex permittivity . We use a Cartesian coordinate frame where a given spatial location is expressed in terms of the unit vector , , and in the , , directions, respectively. We assume a time–harmonic and , where , is angular frequency dependence and is time. In the experiment, the unknown object is irradiated successively by a number of known incident electric fields for originating from transmitters lo. In the microwave tomography system, which we cated at used to collect the measured data, its transmitter can be modeled as a unit vertical electric dipole after an appropriate calibration procedure. Hence, the known incident electric fields are given by (13)

(7) is a constant, the asterisk represents the complex conwhere is the incident field produced by the transmitter jugation, and are the direct propagated antenna number , and and so-called back-propagated (simulated) scattered waves, which can be found from the following equations:

in which is the Green function, denotes the known wavenumber in the where embedding medium. After a calibration procedure of the measured data, this microwave tomography system produces the vertical component of . These data are measured the scattered electric field on the data domain outside , which can be written symbolically as the data equation

(8) (9)

(14)

SEMENOV et al.: MWT IMAGING OF HIGH DIELECTRIC-CONTRAST OBJECTS

2287

Fig. 1. Results of the tomographic imaging experiment using a 2-D phantom at 2.05 GHz for " —left-hand-side column and " —right-hand-side column, and for 2-D Newton imaging approach—top row, 2-D MR-CSI imaging approach—middle row. The photographic view of the phantom is at the bottom of this figure. Axes are in centimeters.

where

in which the material contrast is given by It is well known that the total electric field domain integral equation

(15) . satisfies the

(16) where

(17) The above equation is denoted as the object equation. Note that this equation is a singular integral equation and, in addition, it has a gradient-divergent operator working on the integral term; therefore, its discretization has to be carried out carefully. In order to discretize this singular integral equation, we employ

Fig. 2. Photographic view of an excised segment of a pig’s hind leg positioned at the center of the tomographic working chamber filled with a salt solution of " : j : at 0.9 GHz. Transmitting and receiving antennas with metallic plates are also shown.

= 79 0+ 10 5

the weak form technique described in [32] and the references therein.

2288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE I DIELECTRIC PROPERTIES AND WAVELENGTHS IN TISSUES AND SOLUTION IN TOMOGRAPHIC CHAMBER

2

Fig. 3. Reconstructed images of an excised segment of a pig’s hind leg using 2-D approaches. Newton method (top: grids: direct problem—256 128; inverse problem—64 64) and MR-CSI method (bottom: Grids: 112 112) for " (left-hand-side panel) and " (right-hand-side panel). The position of the investigation domains is the same as the Z = 0 case in Figs. 4 and 5. Axes are in centimeters. (a) Newton method. (b) CSI method.

2

2

The inverse scattering problem consists of determining the on by solving the data equation from a knowlcontrast on and the scattered fields edge of the incident fields on subject to the additional and necessary condition and satisfy the object equation in. that In the CSI method, one chooses to reconstruct the contrast and the contrast sources instead of the fields . The contrast sources are defined as (18)

Using (18) in (14), the data equation becomes (19) The associated object equation for the contrast sources is given by (20) In the CSI method with the multiplicative weighted -norm regularization factor (MR-CSI method), the sequences of

SEMENOV et al.: MWT IMAGING OF HIGH DIELECTRIC-CONTRAST OBJECTS

2289

Fig. 4. Reconstructed images of an excised segment of a pig’s hind leg using a 3-D gradient approach for " (left-hand-side panel) and " (right-hand-side panel). Grids: 64 64 64. Axes are in centimeter.

2 2

and for , are iteratively reconstructed by minimizing the following cost functional:

(21)

are the normalization factors. Furthermore, denotes the squared norm on or . The nonzero regularization is a weighted -norm functional, viz. factor

where

where The constant parameter controls the influence of the regularization, the so-called steering parameter. When this steering parameter is very large, the regularization factor is equal to one, and no regularization behavior of the scheme is present. When the steering parameter is small, the regularization is dominant.

2290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 5. Reconstructed images of an excised segment of a pig’s hind leg using a 3-D MR-CSI approach for " (left-hand-side panel) and " (right-hand-side panel). Grids: 56 56 56. Axes are in centimeters.

2 2

Our strategy is to choose a large steering parameter in the beginning of the iterations and a smaller one for increasing the number of iterations. Since the normalized object error term will decrease as a function of the number of iterations, despite the amount of the noise present in the data, it is natural to take the steering parameter as

where domain

denotes the reciprocal mesh size of the discretized .

In the optimization process, we begin with back-propagation values as the initial estimates for the contrast sources and contrast. In each iteration we then first update the contrast sources using a conjugate gradient step and then the contrast, also using a conjugate gradient step. This process is repeated until the value of the cost functional within two successive iterations does not change anymore or the maximum number of iteration is reached. The details description of this MR-CSI method can be found in [20] and [31]. Here, the MR-CSI approach was formulated for a 3-D vector case. The 3-D scalar and 2-D cases are just a simplification of the presented formulation.

SEMENOV et al.: MWT IMAGING OF HIGH DIELECTRIC-CONTRAST OBJECTS

2291

Fig. 7. Profiles of scattered EM fields together with " profile.

Fig. 6. Profiles of reconstructed dielectric properties of an excised segment of a pig’s hind leg using four different imaging approaches for " (top) and " (bottom).

IV. RESULTS AND DISCUSSION Results of the tomographic imaging experiment using a 2-D phantom are presented in Fig. 1. The frequency was 2.05 GHz. The photographic view of the phantom is presented at the bottom of Fig. 1. The phantom was constructed from a . Two high-contrast low-contrast media with tubes with diameters of 2.7 cm (wall thickness of 0.3 cm) and 1.4 cm (wall thickness of 0.05 cm) were positioned vertically within the phantom (see the bottom of Fig. 1). Tubes were filled with the same solution used to fill a tomographic chamber. To reconstruct the images, we used 2-D Newton (top row of Fig. 1) and 2-D MR-CSI (middle row of Fig. 1) imaging approaches. Results of the image’s reconstruction are presented for —left-hand-side column and for —right-hand-side column. Overall, images for reflect a phantom structure. The dielectric properties of a phantom “body” were properly reconstructed. However, a very high-contrast tubes possesses a challenge. Both algorithms

have reconstructed a larger tube and almost missed a smaller one (just a shadow of which can be appreciated). Higher than natural values of inside the tubes were also observed. Images of are of less quality. This can be understood since there was almost no dielectric contrast between a body of the phantom and chamber solution for . Both algorithms revealed the boundary of large tubes. The photographic view of an excised segment of a pig’s hind leg used in these experiments is presented in Fig. 2. The object was positioned at the center of the tomographic working at 0.9 GHz. chamber filled with salt solution of The skinless portion of the object (left-hand side on the photographic view) occupies approximately 40% of the side surface. Both transmitting and receiving antennas with metallic plates are also shown in this figure. The reason for using metallic plates at the edge of antennas was to avoid boundaries effect on antenna patterns and make the patterns more predictable. As was mentioned, we measured the dielectric properties of soft tissue by a contact method using a coaxial probe. The dielectric properties and wavelengths in tissues and solution in the tomographic chamber are summarized in Table I. Results of image reconstruction obtained using 2-D approaches are presented in Fig. 3 for Newton (top of this figure) and MR-CSI methods (bottom of this figure). The left-hand-side panel represents the real part of the complex and the right-hand-side panel repredielectric permittivity sents the imaginary part of the complex dielectric permittivity . Both algorithms demonstrated a qualitative imaging—the bone area with low dielectric permittivity is clearly indicated near the on images of . Oscillations of reconstructed edge of high-contrast bone-tissue boundary (local maximum to 2 cm; to 1 cm) were observed. at The use of higher values of regularization helps to smooth the image from one side, but makes the reconstructed image less informative—from another. Comparing two methods, we found that MR-CSI method might possess a more effective regularization scheme when it applies to both the dielectric property and EM field. This can also be seen on reconstructed

2292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE II PERFORMANCE CHARACTERISTICS OF USED ALGORITHM

images of (right-hand-side column in Fig. 3)—the MR-CSI method demonstrates better reconstruction results than the Newton method. This is further discussed below. Figs. 4 and 5 represent the results of image reconstruction using a 3-D gradient method (Fig. 4) and 3-D MR-CSI method (Fig. 5). In both figures, the same horizontal ( 2, 0, and 2 cm cm from the transmitter vertical position) and vertical cross section of the reconstructed 3-D images are presented for —left-hand-side panel and for —right-hand-side panel. Overall, both 3-D approaches demonstrate better images, especially for , as compared with the 2-D cases. However, oscillation of reconstructed dielectric properties near an edge of the bone–tissue boundary still exists, as evidenced on the reconstructed -profiles presented in Fig. 6. The profiles of scattered EM fields together with the profile is presented in Fig. 7. This demonstrates some correlation between observed oscilla– cm) tions in reconstructed (local maximum at – cm and profiles of EM field (local maximum at component). Surprisingly, these oscillations had for the to not been “regularized” by the MR-CSI method. These oscillations might present a significant challenge for biomedical applications of microwave tomography of high-contrast biological objects. It clearly demonstrates a need for a further in-depth study of the nature of these oscillations and ways to overcome the problem. The use of an adaptive-density mesh and regularization schemes for both the EM field and dielectric properties might help to overcome the problem. We also used more precise vector 3-D EM approaches (both based on gradient and MR-CSI methods). However, it did not help to solve the problem. Performance characteristics of used image-reconstruction algorithms are summarized in Table II. In all cases, the starting point for the calculations was a homogeneous media with the dielectric properties of the solution inside of the tomographic chamber. Therefore, there was no a priori information taken into consideration, except the dielectric properties of the chamber solution, which is either known or can be measured. We have also applied developed 3-D vector reconstruction algorithms [19], [26], [31]. In spite of significant increasing of calculation time and use of larger computer resources (as evidenced in Table II), we have not observed a “breaking” improvement of image quality in this particular case of medium-size, high-contrast, but relatively less detailed (to wavelength) biological objects. It might be attributed to a relatively small re-polarization (to the initial field) of an incident -field on high contrast, but almost a -oriented bone. As can be seen from Table II, all image-reconstruction algorithms are computer time consuming, especially in 3-D cases. For

a medium-size less detailed biological object, 2-D approaches might be applied for qualitative imaging, as evidenced in this case (Fig. 6). Unfortunately, 2-D approaches failed to reconstruct high-contrast, highly detailed medium, and large-size biological objects, as was demonstrated previously [27] when the 2-D approach fails to reconstruct a heart structure. Each particular case of application and choice of 2-D or 3-D scalar or 3-D vector approaches should be carefully considered. It is beneficial to have a series of approaches available. For example, relatively fast 2-D approaches might be used to obtain a first approximation of distribution of dielectric properties. This approximation might then be used as a starting point for more accurate, but more time consuming 3-D approaches. There is a way for significant acceleration of the calculation performance of used 2-D and 3-D approaches. The algorithms can be adopted for parallel computing based on simultaneous calculation of the EM field from each transmitter (direct problem) and even further for each receiver. We have successfully tested this idea on two double-processor Xeon stations and obtained approximately four times acceleration of performance. In conclusion, the high contrast in tissue dielectric properties presenting a significant advantage for medical diagnostic purposes possesses a very challenging problem from an image-reconstruction prospective due to a high value of the scattered EM field. The developed imaging approaches demonstrated successive imaging performance in structural reconstruction of highcontrast dielectric objects. However, further in-depth studies are needed in order to obtain a qualitative reconstruction of dielectric properties. ACKNOWLEDGMENT The authors gratefully acknowledge the technical assistance of S. Wells, Carolinas Medical Center, Charlotte, NC. REFERENCES [1] S. Y. Semenov, R. H. Svenson, and G. P. Tatsis, “Microwave spectroscopy of myocardial ischemia and infarction. 1. Experimental study,” Ann. Biomed. Eng., vol. 28, pp. 48–54, Jan. 2000. [2] H. P. Kao, E. R. Cardos, and E. Shwedyk, “Correlation of permittivity and water content during cerebral edema,” IEEE Trans. Biomed. Eng., vol. 46, no. 9, pp. 1121–1128, Sep. 1999. [3] S. Y. Semenov, R. H. Svenson, A. E. Bulyshev, A. E. Souvorov, A. G. Nazarov, Y. E. Sizov, V. G. Posukh, A. V. Pavlovsky, and G. P. Tatsis, “Microwave spectroscopy of myocardial ischemia and infarction. 2. Biophysical reconstruction,” Ann. Biomed. Eng., vol. 28, pp. 55–60, Jan. 2000. [4] J. L. Schepps and K. R. Foster, “The UHF and microwave dielectric properties of normal and tumor tissues: Variation in dielectric properties with tissue blood content,” Phys. Med. Biol., vol. 25, pp. 1149–1159, Jun. 1980.

SEMENOV et al.: MWT IMAGING OF HIGH DIELECTRIC-CONTRAST OBJECTS

[5] S. Y. Semenov, R. H. Svenson, V. G. Posukh, W. Chen, A. G. Nazarov, Y. E. Sizov, J. Kassel, and G. P. Tatsis, “Dielectrical spectroscopy of canine myocardium during ischemia and hypoxia at frequency spectrum from 100 kHz to 6 GHz,” IEEE Trans. Med. Imag., vol. 21, no. 6, pp. 703–707, Jun. 2002. [6] S. S. Chandhary, R. K. Mishra, A. Swarup, and J. M. Thomas, “Dielectrical properties of normal and malignant human breast tissues at radiowave and microwave frequencies,” Indian J. Biochem. Biophys., vol. 21, pp. 70–76, Feb. 1984. [7] A. J. Surowiec, S. S. Stuchly, J. R. Barr, and A. Swarup, “Dielectrical properties of breast carcinoma and the surrounding tissues,” IEEE Trans. Biomed. Eng., vol. 35, no. 4, pp. 257–263, Apr. 1988. [8] W. T. Joines, Y. Zhang, C. Li, and R. L. Jirtle, “The measured electrical properties of normal and malignant human tissue,” Med. Phys., vol. 31, pp. 547–550, Apr. 1994. [9] S. R. Smith, K. R. Foster, and G. L. Wolf, “Dielectric properties of VX-2 carcinoma versus normal liver tissue,” IEEE Trans. Biomed. Eng., vol. BME-33, no. 5, pp. 522–524, May 1986. [10] S. Y. Semenov, A. E. Bulyshev, A. E. Souvorov, R. H. Svenson, Y. E. Sizov, V. Y. Borisov, V. G. Posukh, I. M. Kozlov, A. G. Nazarov, and G. P. Tatsis, “Microwave tomography: Theoretical and experimental investigation of the iteration reconstruction algorithm,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 2, pp. 133–141, Feb. 1998. [11] A. Abubakar, P. M. van den Berg, and S. Y. Semenov, “A robust iterative method for Born inversion,” IEEE Trans. Geosci. Remote Sens., vol. 42, no. 2, pp. 342–354, Feb. 2004. [12] S. Y. Semenov, R. H. Svenson, A. E. Bulyshev, A. E. Souvorov, A. G. Nazarov, Y. E. Sizov, A. V. Pavlovsky, V. Y. Borisov, B. G. Voinov, G. I. Simonova, A. N. Starostin, G. P. Tatsis, and V. Y. Baranov, “Three dimensional microwave tomography: Experimental prototype of the system and vector Born reconstruction method,” IEEE Trans. Biomed. Eng., vol. 46, no. 4, pp. 937–946, Aug. 1999. [13] S. Y. Semenov, R. H. Svenson, A. E. Boulyshev, A. E. Souvorov, A. G. Nazarov, Y. E. Sizov, V. G. Posukh, A. V. Pavlovsky, P. N. Repin, A. N. Starostin, B. Voinov, G. P. Tatsis, and V. Y. Baranov, “Three-dimensional microwave tomography: Initial experimental imaging of animals,” IEEE Trans. Biomed. Eng., vol. 49, no. 1, pp. 55–63, Jan. 2002. [14] S. Y. Semenov, A. E. Bulyshev, V. G. Posukh, Y. E. Sizov, T. C. Williams, and A. E. Souvorov, “Microwave tomography for detection/imaging of myocardial infarction. 1. Excised canine hearts,” Ann. Biomed. Eng., vol. 31, pp. 1–9, Mar. 2003. [15] A. E. Souvorov, A. E. Bulyshev, S. Y. Semenov, R. H. Svenson, A. G. Nazarov, Y. E. Sizov, and G. P. Tatsis, “Microwave tomography: A twodimensional Newton iterative scheme,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1654–1659, Nov. 1998. [16] R. E. Kleinman and P. M. van den Berg, “A modified gradient method for two-dimensional problems in tomography,” J. Comput. Appl. Math., vol. 42, pp. 17–35, Jan. 1992. [17] A. E. Bulyshev, A. E. Souvorov, S. Y. Semenov, R. H. Svenson, A. G. Nazarov, Y. E. Sizov, and G. P. Tatsis, “Three-dimensional microwave tomography. Theory and computer experiments in scalar approximation,” Inverse Problems, vol. 16, pp. 863–875, Jun. 2000. [18] P. M. van den Berg and R. E. Kleinman, “Contrast source inversion method,” Inverse Problems, vol. 13, pp. 1607–1620, Dec. 1997. [19] A. E. Bulyshev, A. E. Souvorov, S. Y. Semenov, V. G. Posukh, and Y. E. Sizov, “Three-dimensional vector microwave tomography. The theory and computational experiments,” Inverse Problems, vol. 20, no. 4, pp. 1239–1259, Aug. 2004. [20] A. Abubakar, P. M. van den Berg, and J. J. Mallorqui, “Imaging of biomedical data using a multiplicative regularized source inversion method,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1761–1771, Jul. 2002. [21] N. Joachimowicz, J. J. Mallorqui, J. C. Bolomey, and A. Brouguetas, “Convergence and stability assessment of Newton–Kantorovich reconstruction algorithms for microwave tomography,” IEEE Trans. Med. Imag., vol. 17, no. 8, pp. 562–570, Aug. 1998. [22] P. Lobel, R. Kleinman, C. Pichot, L. Blanc-Feraud, and M. Barlaud, “Conjugate gradient method for solving inverse scattering with experimental data,” IEEE Antennas Propag. Mag., vol. 38, pp. 48–51, Jun. 1996. [23] W. C. Chew and Y. M. Wang, “Reconstruction of two-dimensional permittivity distribution using the distorted Born iterative method,” IEEE Trans. Med. Imag., vol. 9, no. 6, pp. 218–225, Jun. 1990. [24] A. Burov, A. Gorunov, A. Soscovez, and T. Tihonova, “Inverse scattering problems in acoustic” (in Russian), Acoust. J., vol. 32, pp. 432–449, Apr. 1986. [25] A. N. Tichonov and V. Y. Arsenin, Solution of Ill-Posed Problems. Washington, DC: Winston, 1977.

2293

[26] A. Abubakar, P. M. van den Berg, and B. J. Kooij, “A conjugate gradient contrast source technique for 3-D profile inversion,” IEICE Trans. Electron., vol. E83-C, pp. 1864–1874, Dec. 2000. [27] S. Y. Semenov, A. E. Bulyshev, A. E. Souvorov, A. G. Nazarov, Y. E. Sizov, R. H. Svenson, V. G. Posukh, A. V. Pavlovsky, P. N. Repin, and G. P. Tatsis, “Three dimensional microwave tomography: Experimental imaging of phantoms and biological objects,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1071–1074, Jun. 2000. [28] H. Harada, D. Wall, T. Takenaka, and T. Tanaka, “Conjugate gradient method applied to inverse scattering problem,” IEEE Trans. Antennas Propag., vol. 43, no. 3, pp. 784–792, Mar. 1995. [29] P. M. Meaney, K. D. Paulsen, A. Hartov, and R. K. Crane, “Microwave imaging for tissue assessment: Initial evaluation in multitarget tissue equivalent phantoms,” IEEE Trans. Biomed. Eng., vol. 43, no. 9, pp. 878–890, Sep. 1996. [30] P. Lobel, L. Blanc-Feraud, C. Pichot, and C. Barlaud, “A new regularization scheme for inverse scattering,” Inverse Problems, vol. 13, pp. 403–410, Apr. 1997. [31] A. Abubakar, P. M. van den Berg, and S. Y. Semenov, “Two- and threedimensional algorithms for microwave imaging and inverse scattering,” J. Electromagn. Waves Applicat., vol. 2, pp. 209–231, Apr. 2003. [32] A. Abubakar and P. M. van den Berg, “Iterative forward and inverse algorithms based on domain integral equations for three-dimensional electric and magnetic objects,” J. Comput. Phys., vol. 195, pp. 236–262, Mar. 2004.

Serguei Y. Semenov (M’02) received the M.S. degree in physics from the Moscow State Lomonosov University, Moscow, Russia, in 1982, and the Ph.D. degree in biophysics and radiobiology from the Moscow Biophysical Institute, Moscow, Russia, in 1985. Since 1999, he has been a Professor of physics. In 1990, he was the Director of the Laboratory of Biophysics, Kurchatov Institute of Atomic Energy, Moscow, Russia. Since 1992, he has been with the Carolinas Medical Center, Charlotte, NC, where he is currently the Director of the Biophysical Laboratory. His research interests are EM radiation interaction with biological tissues, biophysics of cardiac excited tissues and tissue malignancies, nonionizing radiation imaging, and tomography. Dr. Semenov is a member of the American Association for the Advancement of Science, the IEEE Engineering in Medicine and Biology, and the Biomedical Engineering Society.

Alexander E. Bulyshev (M’02) received the M.S. degree in physics from the Novosibirsk State University, Novosibirsk, Russia, in 1975, and Ph.D. degree in physics from Latvian University, Riga, Latvia, in 1979. In 1994, he was a Professor in physics with Tomsk University. He is currently a member of the research faculty with the Carolinas Medical Center, Charlotte, NC. His research interests are inverse problems and transfer of radiation.

Aria Abubakar (M’98) was born in Bandung, Indonesia, on August 21, 1974. He received the M.Sc. degree (cum laude) in electrical engineering and Ph.D. degree (cum laude) in technical sciences from the Delft University of Technology, Delft, The Netherlands, in 1997 and 2000, respectively. In 1996, he was a Research Student at with Shell Research B.V., Rijswijk, The Netherlands. In 1999, he was a Summer Intern with Schlumberger–Doll Research, Ridgefield, CT. From September 2000 to February 2003, he was with the Laboratory of Electromagnetic Research, Applied Geophysics Section, Delft University of Technology. He is currently a Senior Research Scientist with Schlumberger–Doll Research. His current main research activities include solving forward and inverse problems in acoustics, electromagnetics, and elastodynamics. Dr. Abubakar was the recipient of the Best 1997 Master’s Thesis Award in electrical engineering presented by the Delft University of Technology.

2294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Vitaly G. Posukh received the M.S. degree in physics from the Novosibirsk State University, Novosibirsk, Russia, in 1975. He is currently a Research Scientist with the Carolinas Medical Center, Charlotte, NC. His research interests are experimental physics and biophysics.

Yuri E. Sizov received the M.S. degree in the electronic engineering from the Moscow Electronic Institute, Moscow, Russia, in 1984. He is currently an Electronic Engineer with the Troitsk Institute of Innovative and Thermonuclear Research, Troitsk, Moscow Region, Russia, where he is expert in electronic and computer engineering.

Alexander E. Souvorov received the M.S. degree in physics from the Novosibirsk State University, Novosibirsk, Russia, in 1975, and the Ph.D. degree in physics from Latvian University, Riga, Latvia, in 1979. From 1994 to 2000, he was a Researcher with the Carolinas Medical Center, Charlotte, NC. He is currently with the National Center for Biological Information, Bethesda, MD. His research interests are inverse problems, transfer of radiation, and scientific computing.

Peter M. van den Berg was born in Rotterdam, The Netherlands, on November 11, 1943. He received the Electrical Engineering degree from the Polytechnical School of Rotterdam, Rotterdam, The Netherlands, in 1964, and the B.Sc. and M.Sc. degrees in electrical engineering and Ph.D. degree in technical sciences from the Delft University of Technology, Delft, The Netherlands, in 1966, 1968, and 1971, respectively. From 1967 to 1968, he was a Research Engineer with the Dutch Patent Office. Since 1968, he has been a member of the Scientific Staff of the Electromagnetic Research Group, Delft University of Technology, during which time he carried out research and taught classes in the area of wave propagation and scattering problems. During the 1973–1974 academic year, he was a Visiting Lecturer with the Department of Mathematics, University of Dundee, Dundee, U.K. During a three-month period in 1980–1981, he was a Visiting Scientist with the Institute of Theoretical Physics, Göteborg, Sweden. In 1981, he was a Professor with the Delft University of Technology. From 1988 to 1994, he was also with the Center of Mathematics of Waves, University of Delaware, Newark. During the summers of 1993–1995, he was a Visiting Scientist with Shell Research B.V., Rijswijk, The Netherlands. Since 1994, he has also been a Professor with the Delft Research School Centre of Technical Geoscience. His current main research interest is the efficient computation of field problems using iterative techniques based on error minimization, the computation of fields in strongly inhomogeneous media, and the use of wave phenomena in seismic data processing. His major interest is in an efficient solution of the nonlinear inverse scattering problem. Dr. van den Berg was the recipient of the Niels Stensen Stichting Award and a North-Atlantic Treaty Organization (NATO) Award.

Thomas C. Williams received the B.S. degree from North Georgia College and University, Dahlonega, in 1982. From 1990 to 1993, he conducted cardiovascular research with Heineman Medical Research Laboratories. From 1993 to 2001, he conducted cardiovascular and septic shock research with the Department of Emergency Medicine and Diabetes. From 1993 to 2001, he conducted transplant research with the Department of General Surgery, Carolinas Medical Center, Charlotte, NC. Since 2002, he has been the Research Projects Coordinator with the Department of Comparative Medicine, Cannon Research Center, Carolinas HealthCare System.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2295

An Efficient Method to Reduce the Numerical Dispersion in the ADI-FDTD Hong-Xing Zheng, Member, IEEE, and Kwok Wa Leung, Senior Member, IEEE

Abstract—A new approach to reduce the numerical dispersion in the finite-difference time-domain (FDTD) method with alternating-direction implicit (ADI) is studied. By adding anisotropic parameters into the ADI-FDTD formulas, the error of the numerical phase velocity can be controlled, causing the numerical dispersion to decrease significantly. The numerical stability and dispersion relation are discussed in this paper. Numerical experiments are given to substantiate the proposed method. Index Terms—Alternate-direction-implicit (ADI) method, artificial anisotropic parameters, finite-difference time-domain (FDTD) technique, numerical dispersion, phase velocity error.

I. INTRODUCTION

T

HE finite-difference time-domain (FDTD) method is very powerful in solving Maxwell’s equations [1]. It is well known that the largest time steps in Yee’s FDTD are given by the Courant–Friedrich–Levy (CFL) limit [2]. To remove the CFL constraint, the unconditionally stable alternate-direction-implicit (ADI)-FDTD method was proposed by Namiki [3], [4] and Zheng et al. [5]. The time-step size in the ADI-FDTD method can be much larger than in the conventional FDTD, but is limited by the numerical dispersion. Since the numerical dispersion limits the mesh resolution, as well as the time-step size for a desired accuracy, it is of great interest to investigate a technique to reduce the numerical dispersion. There are some controversies regarding the numerical dispersion relation of ADI-FDTD [3], [6]–[11]. Namiki proposed a dispersion relation as a function of time-step size, and he compared it with that of the traditional FDTD [3]. However, he did not show if the closed-form relation accords with any numerical results. Recently, Zhao pointed out a lapse in [3] and proposed closed-form dispersion relations [6]. He studied two methods for the traditional two-dimensional (2-D) ADI-FDTD and derived a dispersion equation for each of them. A numerical study on the dispersion equations was carried out by Sun and Trueman [7]. Guidelines for choosing the maximum time step for the 2-D and three-dimensional (3-D) ADI-FDTD can be found in [7] and [8], respectively. Based on the Zheng–Chen–Zhang (ZCZ) algorithm by Zheng et al. [5], numerical dispersion relations were discussed [9]–[11], but little or no applications were found to verify these schemes. The high-order ADI-FDTD [12] and the envelop FDTD [13] were presented to reduce the error of

Manuscript received January 20, 2004; revised February 24, 2005. This work was supported by the Faculty of Science and Engineering, City University of Hong Kong under the Young Junior Scholar Scheme. The authors are with the Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850441

phase velocity. These methods, however, are too complicated and computationally expensive. Juntunen and Tsiboukis [14] introduced artificial anisotropy to reduce the numerical dispersion in the traditional FDTD method. This approach is very efficient and can be implemented very easily. In this paper, we extend this method to the ADI-FDTD algorithm, namely, the A-ADI-FDTD. Following the procedures in [3], a new updating formula with added artificial anisotropic parameters is obtained, and the numerical dispersion relation for the 2-D case is re-derived in this paper. As compared with the ADI-FDTD algorithm, the added artificial anisotropic parameters can easily be implemented with very small computational load. This method is proved stable based on the result of [15]. Some numerical experiments are carried out to validate the theory, and the improvement of the numerical dispersion error is illustrated. To support the proposed method, examples of 2-D and 3-D scattering problems are demonstrated in this paper. II. ANISOTROPIC PARAMETERS AND NUMERICAL STABILITY Consider the differential form of 2-D Maxwell’s equations for the TE case in a linear, isotropic, and nondispersive medium with constitution parameters and . In this case, the - and -fields for a discrete time step can be calculated using two procedures [3]. To minimize the numerical dispersion, artificial and are added into the ADI-FDTD equations parameters and we have the following. First procedure

(1a)

(1b)

(1c) Second procedure

0018-9480/$20.00 © 2005 IEEE

(2a)

2296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

anisotropic parameters are added into the ADI-FDTD updating expressions. (2b)

III. NUMERICAL DISPERSION IN 2-D CASE The numerical dispersion increases with time-step size, which affects the phase-velocity error. The phase velocity is studied first. To begin with, the growth factors and are written in their polar forms [3]

(2c) (7) and are the dimensionless anisotropy. The superwhere script and subscript in (1) and (2) denote the discrete time and space, respectively. The numerical stability of this A-ADI-FDTD method is studied using the approach by Von Neumann [15]. A 2-D TE wave can be written as follows: (3a) (3b) where , is the complex growth factor for the first procedure, and , are wavenumbers. Inserting the eigenvalue of the plane wave into (1) leads to (4), shown at the bottom of this page. To have a nontrivial solution, the determinant of the first matrix has to be zero, giving (5)

The numerical dispersion is governed by (8) and , in which is the speed of can be found as follows: light in vacuum. From (8), where

(9) where is the Courant number [2]. If , (9) can simply be reduced to the original expression in [14], in which . With the present theory, we have , and size can, therefore, be increased to save computer resources. be a ratio factor and spatial resolution Let , where is the wavelength with no numerical anisotropy. It can be proven from (9) that

where (10) Using

, it can be shown that

and (11)

Since

,

where . Defining and as the numerical wavelength and wavenumber after the artificial anisotropic parameters are added, respectively, we have

are positive, we have (6)

(12) Similarly, we have for the second and, therefore, procedure using (2). It is noted that the ADI-FDTD algorithm, is stable for all cases. This shows that the numerical stability has not been affected even after the

where (13)

(4)

ZHENG AND LEUNG: EFFICIENT METHOD TO REDUCE NUMERICAL DISPERSION IN ADI-FDTD

2297

Substituting (10)–(13) into the dispersion relation (8), the dimensionless numerical dispersion relation can be obtained as follows:

(14) where , , and is the angle between the propagation direction and positive -axis. in (13), which is the normalized phase We will discuss velocity. In general, the solution of can be solved numerically for a given set of , , , , and in (14). However, our and such that purpose is to find the artificial parameters has to be close to unity for all the coordinate directions. It should be mentioned that and are independent, and this fact will be used extensively in the following analysis. Similar to the strategy used in [14], the optimal values for and can and , respectively. be found from (14) by putting The results are given as follows:

Fig. 1. Dispersion characteristic of A R with different Courant number S .

as a function of the spatial resolution

Fig. 2. Dispersion characteristic of A S with different spatial resolution R.

as a function of the Courant number

(15) (16) Dividing (15) by (16), we have (17) Since and (15)–(17),

are independent of

and

, we have, from

(18) (19) and . The results are very difwhere ferent from those of [14]. and , we only In order to obtain the optimal values need to take care of the maximum deviation of . In general, the maximum of occurs around [2], i.e., . Therefore, a good approximation of can be found by putting and in (14), and we have (20) . where are shown in Fig. 1. As can be The characteristics of can be achieved when observed from this figure, and . In this paper, we use for the numerical simulations. Fig. 2 shows the validation for the courant number from 0.1 to 20 with and . The results are used to determine the time-step size in (9). from unity be We let the maximum deviation of . In all the propagation directions, the global error

can be defined by the weighted arithmetic average , where and . In general, as the first step, and can be obtained from (14) by assuming ideal propagation ( and ), where the optimal parameters and can be obtained from (18) and (19) by putting (21) Subsequently, the corrected normalized phase velocity in different propagation directions can be found from (14). These parameters can also be used in (1) and (2) for computations of the - and -field. IV. NUMERICAL VALIDITY IN 2-D CASE In order to verify the proposed method, numerical stability tests are performed in the following example. Consider a source and point and an observation point which are located at , respectively, as shown in Fig. 3. The source is excited at 1.5 GHz. An area of 25.5 25.5 cm is divided into by 100 100 uniform grids, and the second-order Mur’s absorbing boundary condition (ABC) [2] is used. By taking and , can be found by using (21), and and are calculated from (18) and (19) for the A-ADI-FDTD computation. Fig. 4(a) shows the results for the conventional ADI-FDTD (without artificial parameters) and those for the A-ADI-FDTD

2298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 3. Location of source point (S ) and observation point (P ) in the computation domain. Fig. 5. Comparison of phase velocity errors computed by the conventional ADI-FDTD [7] and the proposed method. In the later, the parameters " and " are optimized at  = 45 .

Fig. 6. Comparison of phase velocity errors computed by the conventional ADI-FDTD [7] and the proposed method. In the later, the parameters " and " are optimized for both  = 0 and  = 90 .

Fig. 4. Normalized H -field in the time domain computed by the conventional ADI-FDTD (without artificial parameters) and the A-ADI-FDTD (with artificial parameters) methods. (a) Normalized amplitude. (b) Error of numerical phase velocity.

(with and ). The spacm and the time-step size tial-step sizes are is 20 ps. With reference to Fig. 4(a), the two results are in very good agreement. It is worth mentioning that negligible computational time increase has been introduced by the A-ADI-FDTD as compared to the ADI-FDTD. Fig. 4(b) shows the corresponding . Using dispersion errors defined by Error the proposed method, the maximum dispersion error is reduced from 3.85% to 0.88%.

Fig. 5 illustrates the results of dispersion errors with param, , , , and eters ( and are optimized at ). As observed from this figure, the numerical dispersion is 0.93% , obtained by using the conventional ADI-FDTD at method [7, (5)], but it is practically zero (0.00%) when the artificial parameters are introduced. The theory can also be used to minimize the numerical dispersion for both propagation directions, For example, it can be and . Deused to minimize the dispersions at and , note and as the dispersion errors for and can be determined in respectively, the parameters such a way that the root-mean-square error is minimized. For instance, the results are , when , , and . Fig. 6 shows the errors using the conventional ADI-FDTD method [7, eq. (5)] and the proposed method. The former has maximum and , respectively, errors 2.55% and 0.8% for whereas the later has negligible errors in both directions. V. DISCUSSIONS ON THE NUMERICAL DISPERSION PROPERTY IN 3-D CASE In the 3-D case, artificial dimensionless dyadic parameters , are added to the rel-

ZHENG AND LEUNG: EFFICIENT METHOD TO REDUCE NUMERICAL DISPERSION IN ADI-FDTD

2299

evant equations in [4, eqs. (1)–(12)]. The dispersion relation can then be obtained after some manipulations. Since the maximum dispersion error always occurs in the coordinate axis of the grid that has the biggest spatial increment [2], the dispersion relation can be derived from the axis analytically. Without loss of . For the rectangular cell, it generality, we can assume is assumed that the biggest spatial increment is in the -direction, i.e., . To make our discussion easier, some interesting equations have been derived based on [8]. For example, the normalized phase velocity along the -direction is governed by (22)

where

is the spatial resolution of the unit cell given by (23)

The corresponding stability criterion is found to be

(24) Let us redefine the dimensionless parameters in the 3-D case as (25) then by substituting (23)–(25) into (22), we have

Fig. 7. Maximum dispersion error of the A-ADI-FDTD as a function of S for different Z and spatial resolution R. (a) R = 20. (b) R = 40.

(26)

The procedure for finding the proper artificial anisotropic parameters is similar to that in Section III. Fig. 7(a) and (b) shows the dispersion error in the 3-D case using the proposed theory. It can be seen from this figure that the phase velocity error decreases as increases, which is to be ex[see Fig. 7(a)] and pected. The results are simulated for [see Fig. 7(b)] with parameters , , , and . The maximum error is 0.9% when , , and . This is much smaller than that of the conventional ADI-FDTD , , and [8] (1.2%) by using the same parameters ( ). In comparison, the maximum error of the conventional FDTD [14] is 1.5%, obtained by using the same parameters as . Moreover, the conthe A-ADI-FDTD, except for ventional FDTD is restricted by the CFL limit [2]. VI. SCATTERING PROBLEM IN 2-D AND 3-D CASES In order to validate the proposed method, two single-frequency problems of radar cross section (RCS) are considered. In the following example, a conducting cylinder with radius is illuminated by a sinusoidal signal at GHz and incident . The optimal artificial parameters angle and are used in the A-ADI-FDTD method with

Fig. 8. Bistatic RCS of a 2-D conducting cylinder with radius r = 10 GHz. The incident angle is 45 .

 at f =

, , and . For comparison, is used in the conventional ADI-FDTD method [3]. Fig. 8 shows the RCS computed by the A-ADI-FDTD and conventional ADI-FDTD methods. The results for both the TE- and TM- polarization cases are in very good agreement with the previous analytical ps, results [16]. The proposed A-ADI-FDTD has which is smaller than that of the conventional ADI-FDTD ( 20 ps). It is worth mentioning that less than 30% computational time is required because of the reduction of time steps.

2300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 9. Monostatic RCS for a 0.5-m-long conducting 3-D ogive with a diameter of 25 mm at its center.

The monostatic RCS of a conducting ogive of length 0.5 m and diameter 25 mm at its center is an interesting 3-D problem. Fig. 9 shows the monostatic scattering RCS pattern of this object at 3 GHz. By using the proposed method, the computation results agree very well with the measured results for both the horizontal–horizontal (HH) and vertical–vertical (VV) polarizations. The good result is due to the introduction of the disper, , and sion reduction parameters . Other parameters are , , ps, and . For comparison, the conventional ADI-FDTD [4] is also used with the same computational paramps, and the same results are obtained. eters, except for It was found that the proposed A-ADI-FDTD method is at least 20% faster than the conventional ADI-FDTD method. One of the major advantages of the FDTD method is its capability to simulate the wide-band response. Although our proposed dispersion reduction method is optimal for a single frequency, it is practically optimal for a reasonable band around . Some numerical experiments [17] indicated that the smallest frequency is for the 2-D case. Our proposed method has shown improvement in the fre. The subsequent simulation confirms quency range of the validity of the proposed method in wide-band problems. Fig. 10 shows the perspective view and its cross section of a conducting aerofoil model. The dimensions of the aerofoil are mm, mm, and mm. Usually, the aerofoil length is much higher than the other two dimensions ( and ). As a result, the 3-D problem can be simplified to a 2-D problem when the wave is incident upon the front of the aerofoil [perpendicular to , as shown in Fig. 10(b)]. In the following calculation, the gridding size is chosen to be mm with the computational domain . The following Gaussian pulse is used:

(27) where and are used in the calculations. Fig. 11 shows the RCS pattern of the conducting aerofoil illuminated by the TE and TM waves. For ease of comparison,

Fig. 10.

(a) Perspective view of the aerofoil. (b) Cross section of the aerofoil.

Fig. 11. Backscatter RCS against frequency for a 2-D conducting aerofoil. " : ," : ,Z : ,R : ps, and , t S : .

= 0 9900662 = 35

= 1 0100346 = 4 5

= 20 1 = 1 0

the calculated A-ADI-FDTD, ADI-FDTD, and measured results are shown in this figure. With reference to this figure, our A-ADI-FDTD results are in good agreement with the measured results for both the TE and TM cases. Like the traditional FDTD and ADI-FDTD, the proposed A-ADI-FDTD method has the advantage of obtaining the results over a wide spectrum in one go. For frequencies higher than , the maximum dispersion error is always less than that of the conventional ADI-FDTD. , the dispersion error is inherently small for the When proposed A-ADI-FDTD methods since the spatial resolution is fixed at . As seen from Fig. 11, the conventional ADI-FDTD with the same computational parameters gives unsatisfactory results. VII. CONCLUSION The numerical dispersion error has been reduced significantly by introducing artificially anisotropic parameters to the ADIFDTD method. With this approach, a larger time-step size can be used and, thus, computation time can be saved. This method can also be used to control the errors at different propagating directions. Numerical experiments and applications have been carried out to substantiate the theory with very good results.

ZHENG AND LEUNG: EFFICIENT METHOD TO REDUCE NUMERICAL DISPERSION IN ADI-FDTD

REFERENCES [1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 5, pp. 302–307, May 1966. [2] A. Taflove and S. C. Hagness, Computational Electrodynamics—The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [3] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2003–2007, Oct. 1999. [4] , “3-D ADI-FDTD method-unconditionally stable time-domain algorithm for solving full vector Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1743–1748, Oct. 2000. [5] F. Zheng, Z. Chen, and J. Zhang, “A finite-difference time-domain method without the Courant stability conditions,” IEEE Microw. Guided Wave Lett., vol. 9, no. 11, pp. 441–443, Nov. 1999. [6] A. P. Zhao, “Analysis of the numerical dispersion of the 2-D alternatingdirection implicit FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1156–1164, Apr. 2002. [7] G. Sun and C. W. Trueman, “Analysis and numerical experiments on the numerical dispersion of two-dimensional ADI-FDTD,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 2, pp. 78–81, 2003. [8] A. P. Zhao, “The influence of the time step on the numerical dispersion error of an unconditionally stable 3-D ADI-FDTD method: A simple and unified approach to determine the maximum allowable time step required by a desired numerical dispersion accuracy,” Microwave Opt. Technol. Lett., vol. 35, pp. 60–65, Oct. 2002. [9] F. Zheng, Z. Chen, and J. Zhang, “Toward the development of a three-dimensional unconditionally stable finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1550–1558, Sep. 2000. [10] F. Zheng and Z. Chen, “Numerical dispersion analysis of the unconditionally stable 3-D ADI-FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1006–1009, May 2001. , “A study of the numerical dispersion relation for the 2-D ADI[11] FDTD method,” IEEE Microwave Wireless Compon. Lett., vol. 13, no. 9, pp. 405–407, Sep. 2003. [12] M. K. Sun and W. Y. Tam, “An unconditionally stable high-order 2-D ADI-FDTD method,” in IEEE AP-S Int. Symp. Dig., vol. 1, 2003, pp. 352–355. [13] S. Ju, K.-Y. Jung, and H. Kim, “Investigation on the characteristics of the envelope FDTD based on the alternating direction implicit scheme,” IEEE Microwave Wireless Compon. Lett., vol. 13, no. 9, pp. 414–416, Sep. 2003. [14] J. S. Juntunen and T. D. Tsiboukis, “Reduction of numerical dispersion in FDTD method through artificial anisotropy,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 582–588, Apr. 2000. [15] J. Von Neumann, Mathematical Foundations of Quantum Mechanics. Princeton, NJ: Princeton Univ. Press, 1955. [16] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989.

2301

[17] A. P. Zhao, “Improvement on the numerical dispersion of 2-D ADIFDTD with artificial anisotropy,” IEEE Microwave Wireless Compon. Lett., vol. 14, no. 6, pp. 292–294, Jun. 2004.

Hong-Xing Zheng (M’01) was born in Yinchuan, Ningxia, China. He received the B.S. degree in physics from Shaanxi Normal University, Xi’an, Shaanxi, China, in 1985, and the M.S. degree in physics and Ph.D. degree in electronic engineering from Xidian University, Xi’an, Shaanxi, China, in 1993 and 2002, respectively. From 1985 to 1989 and 1993 to 1998, he was a Lecturer with the Ningxia Institute of Technology, Yinchuan, Ningxia, China. From 2001 to 2002, he was a Research Assistant with the City University of Hong Kong. In 2002, he was a Post-Doctoral Research Fellow with the College of Precision Instrument and Opto-Electronics Engineering, Tianjin University. He is currently a Research Fellow with the Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong. He has authored six books and book chapters and over 60 journal papers and 20 conference papers. He holds two China patents issued in 2001. His recent research interests include electromagnetic modeling, time-domain electromagnetic scattering, computational electromagnetics, etc. Dr. Zheng is a senior member of the Chinese Institute of Electronics (CIE).

Kwok Wa Leung (S’90–M’93–SM’02) was born in Hong Kong, on April 11, 1967. He received the B.Sc. degree in electronics and Ph.D. degree in electronic engineering from the Chinese University of Hong Kong, Hong Kong, in 1990 and 1993, respectively. From 1990 to 1993, he was a Graduate Assistant with the Department of Electronic Engineering, Chinese University of Hong Kong. In 1994, he joined the Department of Electronic Engineering, City University of Hong Kong, as an Assistant Professor, and in 1999, became an Associate Professor. From 2001 to 2004, he was he Programme Leader for the B.Eng. (Honors) program in electronic and communication engineering. His research interests include dielectric-resonator antennas, microstrip antennas, wire antennas, numerical methods in electromagnetics, and mobile communications. Dr. Leung was the recipient of the 1993 and 1995 URSI Young Scientists Award presented by the XXIVth General Assembly of the International Union of Radio Science (URSI) and at the 15th URSI Triennial International Symposium on Electromagnetic Theory, respectively.

2302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Design of Microstrip Quadruplet Filters With Source–Load Coupling Ching-Ku Liao and Chi-Yang Chang, Member, IEEE

Abstract—Quadruplet microstrip filters with source–load coupling are proposed to achieve similar skirt selectivity and/or in-band flat group delay as that of a sixth-order canonical form or an extracted pole microstrip filter. The diagnosis method of unwanted effects such as asynchronous resonant frequencies and unwanted couplings, which often occurs in the microstrip’s open environment, is described in detail. A systematic design flow to implement a quadruplet microstrip source–load coupled filter with proper filter response is also provided. Two trial filters exhibited quasi-elliptical and flat group-delay response are designed and fabricated. Both theoretical and experimental results are presented. Index Terms—Diagnosis, flat group delay, microstrip quadruplet filter, source–load coupling.

I. INTRODUCTION

H

IGH-PERFORMANCE microstrip filters with high selectivity and linear in-band phase response has been studied over the last two decades [1]. Additional cross-coupling between nonadjacent resonators are often used to generate finite transmission zeros for high selectivity or linear phase. Naturally, the topology of the coupling network determines the number of finite transmission zeros, whereas the relative signs and magnitudes of the different coupling coefficients control the positions of finite transmission zeros. Some well-known topologies such as canonical form, cascade quadruplet (CQ), cascade trisection (CT) [1], and extracted-pole [2] have been successfully realized using a microstrip. For instance, Jokela [3] has shown that sixth-order canonical form filter can achieve both high selectivity and linear phase, which is attractive when comparing the passband insertion loss with the CQ filter. In the CQ configuration, a minimal of eighth order is required to generate the real-frequency transmission zeros pair for selectivity, and real axis transmission zeros pair for linear phase. An eighth-order CQ filter introduces more insertion loss than that of a sixth-order canonical form filter, but it gets the gain of independent transmission zeros where design and tuning becomes easy. However, there are some disadvantages attached to the canonical structure as mentioned in [2]. Besides, according to Jokela’s paper [3], the in-band flat group delay and skirt selectivity can be obtained simultaneously, but a should hold for easy implementation. requirement of This requirement simplifies the coupling routine, but greatly constrains the freedom of choice of filter response.

Manuscript received June 27, 2004; revised November 19, 2004. This work was supported in part by the National Science Council, R.O.C., under Grant NSC 93-2752-E-009-002-PAE. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan 30050, R.O.C. (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850442

Fig. 1. Microstrip implementation for: (a) sixth-order quasi-elliptic filter with linear phase response using extracted-pole technique and (b) proposed quadruplet filter with source–load coupling.

To avoid the disadvantage of the canonical form filter, Yeo and Lancaster [2] proposed the extracted-pole microstrip filter, as shown in Fig. 1(a), where the concept is originally used in a waveguide filter. The extracted-pole filter depicts better control of finite transmission zeros than that of the canonical form filter, but it is relatively large due to the need of phase shifters. In this paper, we propose the fourth-order filter with source–load coupling, as shown in Fig. 1(b), to generate two pairs of transmission zeros as a sixth-order canonical form or eighth-order CQ filter does. The synthesis methods of the symmetric resonator filters with source–load coupling are well documented in the literature [4], [5]. A coupling diagram of the symmetric fourthorder filter with source–load coupling is shown in Fig. 2(a). However, in realistic implementation of a microstrip filter, the unwanted cross-couplings always exist and lead the coupling route to become complicated, as shown in Fig. 2(b). To identify all parameters corresponding to unwanted cross-couplings, frequency alignment, and source–load coupling, powerful computer-aided design (CAD) tools are needed. Recently, an elegant diagnosis method has been proposed to help the design of symmetric coupled-resonator filters [6]. However, the method in [6] has not taken the source–load coupling into account. In this paper, we propose a diagnosis scheme, which is applicable to arbitrary topologies with or without source–load coupling. This paper is organized as follows. In Section II, the phenomenon of asymmetric responses of a quadruplet filter is discussed

0018-9480/$20.00 © 2005 IEEE

LIAO AND CHANG: DESIGN OF MICROSTRIP QUADRUPLET FILTERS WITH SOURCE–LOAD COUPLING

Fig. 2. Coupling and routing scheme of symmetric cross-coupled quadruplet filter with source–load coupling. (a) Ideal case. (b) Including the unwanted diagonal cross-couplings.

and design guidelines are provided. In Section III, the CAD method is introduced to extract the coupling matrix with prescribed topologies. In Section IV, the diagnosis method is applied to the design of the proposed filter. Both theoretical and experimental results are presented for comparison. II. ASYMMETRIC FREQUENCY RESPONSE The cross-coupled quadruplet filter is the well-known building block for generating a pair of finite transmission zeros, which can improve skirt selectivity or in-band group-delay flatness. The conventional coupling diagram of a quadruplet filter is similar to Fig. 2(a) , except that source–load coupling is excluded. The explicit relation between the finite transmission zeros and coupling coefficients can be expressed in the low-pass domain as follows [7]:

2303

and are equal to 0.06, the wanted cross-couplings frequency response after adjusting the resonant frequencies is shown in Fig. 3(b). It can be observed that the transmission zeros drift slightly and the height of bumps tilts. In many practical applications, this change of is acceptable. However, in the case of a flat group-delay filter, as shown in Fig. 3(c), . Setting the finite transmission zeros are located at , which is similar to the previous case, and adjusting the resonant frequency to optimize the in-band return loss, we would get the results shown in Fig. 3(d). It is obvious has negligible change, but the in-band that the response of group delay tilts seriously. In most linear phase filter applications, this tilting of group delay is not allowed. From the above discussion, some observations are summarized as follows. First, higher order symmetric filters in folded form are hard to design since tuning of resonant frequencies is needed for compensating the in-band return-loss distortion. Besides, controlling more than one pair of finite transmission zeros and keep the return loss good is even more difficult. On the contrary, the source–load coupling has an extremely small contribution to the passband response and is much easier to implement an extra pair of transmission zeros. In other words, we can control the additional pair of finite transmission zeros and keep the original finite transmission zeros unchanged by merely adjusting source–load coupling without fine tuning another portion of the filter. Second, the unwanted cross-coupling is surprisingly harmful to the performance of the in-band flap groupdelay response. The only way to implement a good in-band flap group-delay filter is to avoid the unwanted cross-coupling. III. CAD METHOD FOR FILTER DIAGNOSIS

(1) In (1), is the normalized frequency and are the coupling coefficients in the low-pass prototype. The relation between and actual frequency is , where is the center frequency of the filter and is the bandwidth of the filter. For improving the skirt selectivity of the filter, the finite transmission zeros are put in the real frequency axis and the relation must be satisfied. On the other hand, to generate the imaginary frequency transmission zeros for in-band group delay flatness, must hold. However, the unwanted diagonal cross-couplings occur easily in the microstrip cross-coupled filter due to the microstrip’s open environment. Both unwanted diagonal crosscouplings and asynchronous resonant frequencies of resonators would destroy the ideal symmetric response of the reflection and transmission coefficient . In [6] and coefficient [8], the authors have shown how to extract the unwanted diagonal cross-coupling and to adjust the resonant frequencies of resonators to compensate the distortion of return loss for a skirt selectivity filter. However, in the case of a flat group-delay filter, we find that the unwanted cross-couplings seriously degrade the flatness of in-band group delay and should be suppressed to a negligible level. Fig. 3 shows some examples to demonstrate the phenomena. In Fig. 3(a), an ideal response of the synchronous-tuned quadruplet filter with symmetric finite transmission zeros at is shown. If the values of un-

In Section II, we ignore the coupling term , , and to facilitate the discussion and give some design guidelines for the quadruplet filter. To get further insight about the correspondence between the proposed physical layout in Fig. 1(b) and the coupling diagram shown in Fig. 2(b), we introduce the CAD tool to extract the entire filter network parameters from the electromagnetic (EM) simulated results here. The extraction method proposed here has two major steps. transversal In the first step, we extract the from the EM simucoupling matrix, for the filter of order lated response as Garia-Lamperez et al. have done in [9]. In [9], the authors apply the Cauchy method to get the rational polyand from the EM simnomial approximation of ulated results, and then generate the corresponding transversal coupling matrix by the method proposed by Cameron [10]. Extracting the coefficients of the rational function by the Cauchy method is attractive since there is no need of calibrating the reference plane as that in [6] and [11]. In this step, we would get the transversal coupling matrix such as the following (take the proposed quadruplet filter for instance):

(2)

2304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 3. Quadruplet filter with: (a) ideal quasi-elliptical response, (b) inclusion of unwanted cross-coupling, (c) ideal flap group-delay response, and (d) inclusion of unwanted cross-coupling.

The coupling matrix is related to the responses of via the following equation [12]:

and (3) (4)

Here,

and , is similar to the identity matrix, except that , is the symmetric coupling matrix, is the center frequency is its bandwidth, and is the diagonal of the filter and matrix . , whose value , accounts for the resonator loss. is the is unloaded quality factor of the resonator. Note that is set to be zero in the filter parameter-extraction process since the assumption of a lossless network must be satisfied in the extracand [9]. After getting the coupling matrix tion of back to calculate the of prescribed topology, one can put practical filter response. In the second step, the transversal coupling matrix is transformed into the prescribed topology. It is known that by applying the multiple similar transformations to the coupling matrix, one can get the equivalent coupling matrix with the same electrical performance as the original coupling matrix. Some methods may be found in the literature, which describe how to find the sequence of rotations (and the corresponding angles)

required for obtaining a few specific topologies [10], [13], [14]. However, to the best of the authors’ knowledge, how to transfer the transversal coupling matrix into the topology shown in Fig. 2 is still not known. Fortunately, one can apply the numerical optimization technique to determine the sequence and rotation angles of the multiple similar transformations as done by Macchiarella in [15]. The method reported in [15] works well for the synthesis of a filter with an order up to 12. The initial coupling matrix being used in [15] is the canonical folded or generic form, which corresponds to the filter of order with a maximum of finite transmission zeros. In this paper, we apply the optimization method as proposed by Macchiarella to transform the transversal coupling matrix to the prescribed topology, as shown in Fig. 2(b). Note that using the transversal coupling matrix as an initial coupling matrix extends the method of [15] applicable to a filter of order with a maximum of finite transmission zeros. In the following, we take the quadruplet filters as an example since they will be used in Section IV. Applying the multiple similar transformations to in (2), we would get the new the transversal coupling matrix coupling matrix and , which can be expressed as

(5)

LIAO AND CHANG: DESIGN OF MICROSTRIP QUADRUPLET FILTERS WITH SOURCE–LOAD COUPLING

Fig. 4.

2305

(a) Quadruplet filter with the capacitive source–load coupling controlled by the controlling line. (b) Fabricated filter with dimension (in mils) S 1 = 4,

S 2 = 8, S 3 = 41, E 1 = 90, E 2 = 20, W 1 = 64, W 2 = 30, h1 = 310, h2 = 250, g1 = 42, g2 = 26, and Line = 160.

Fig. 6. Experimental and circuit model results. Solid line: experimental results. Dashed line: circuit model including loss term.

The cost function defined as

Fig. 5. (a) Response of quadruplet filter. (b) Response of quadruplet filter with controlling line of source–load coupling. Circle: EM simulated results. Solid line: circuit model.

where is the rotation matrix of order corresponding to pivot and angle . is defined as follows:

(6) for the topology shown is Fig. 2(b) is

(7) The first four terms in the cost function indicate which crosscoupling elements must vanish, while the last four terms indicate the symmetry of the coupling route. If the symmetric condition was not included in the cost function, we might get the nonphysical solutions. In the practical implementation of the minimization procedure, the Gauss–Newton method is used to , which mindetermine the rotation angles imize the cost function . Once the rotation angles are determined, we can get the corresponding coupling matrix . It should be mentioned that the proposed extraction scheme could be applied to arbitrary topologies once their feasibility has been assessed. Depending on the setting of different cost functions, different topologies can be obtained after multiple similar transformations. For the filter of order , one can choose the

2306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 7. (a) Quadruplet filter with the inductive source–load coupling controlled by the controlling line. (b) Fabricated filter with dimension (in mils) Line = 800, s = 4, L3 = 575, L1 = 940, L2 = 770, L3 = 575, h1 = 340, and h2 = 304.

coupling matrix or coupling matrix as the initial coupling matrix, depending on the maximum number of finite transmission zeros. If the maximum number of , either an finite transmission zeros is less than [15] or coupling matrix [16] can be chosen. Otherwise, the transversal coupling matrix should be applied. IV. FILTER DESIGN EXAMPLES Here, we will focus on development of two novel quadruplet filters with source–load coupling and utilize the CAD tool introduced in Section III to do a diagnosis of the proposed filters. The design procedures are summarized as follows. Following the synthesis method described in [10], one would get the ideal coupling matrix with the topology shown in Fig. 2(a). The corresponding spacing between every resonator is determined through the characterization of the couplings, as described in [1, Ch. 8]. After EM simulation, the values of unwanted cross-couplings are extracted. Fixing the values of unwanted couplings, the optimization technique is then applied to determining the required frequency shifts of resonators and the change of other coupling elements to compensate the distortion of [17]. Two examples are given to show the design procedures. The first filter is designed to have two pairs of real frequency , 6 for transmission zeros at normalized frequency

d = 20,

skirt selectivity. The second filter is intended to have one pair of real frequency transmission zeros at normalized frequency for selectivity and another pair at for in-band flap group delay. The center frequency, fractional bandwidth, and maximum in-band return loss of both filters are 2.4 GHz, 3.75%, and 20 dB, respectively. The filters are built , on a 20-mil-thick Rogers RO4003 substrate with . The commercial EM simulation software Sonnet 9.0 [18] is used to perform the simulation. A. Quadruplet Filter With Two Pair of Real Frequency Transmission Zeros The proposed layout is shown in Fig. 4. In order to see the effect of the controlling line, we exclude the controlling line at first and adjust the quadruplet filter following the previously mentioned procedures. After extracting the unwanted diagonal cross-couplings of the quadruplet filter and compensate them, we would get the EM simulated response, shown as circles in Fig. 5(a). Using the CAD tool developed in Section III together with the cost function defined in (7), the extracted coupling ma(with the value of cost function ) is obtained trix as shown in the equation at the bottom of this page. The correis also shown in Fig. 5(a), denoted as sponding response of a solid line for comparison.

LIAO AND CHANG: DESIGN OF MICROSTRIP QUADRUPLET FILTERS WITH SOURCE–LOAD COUPLING

2307

After adding the controlling line of source–load coupling, the EM simulated response is shown in Fig. 5(b), and is denoted as cir(with the cles. The corresponding extracted coupling matrix value of cost function ) is shown in the first equation at the bottom of this page. The corresponding response of coupling is also shown in Fig. 5(b), denoted as a solid line. matrix and , it can be easily observed that the Comparing introduction of the controlling line is only a small perturbation to the original quadruplet. In other words, the controlling line has a negligible contribution to the passband response. Besides, the existence of the tiny unwanted diagonal cross-couplings and in matrix explain why the response is asymmetric and because the response becomes symmetric as are excluded from . Taking matrix into (3) and (4), and , the results are shown setting unloaded quality factor in Fig. 6, denoted as dashed lines. The measured responses are also shown in Fig. 6, denoted as solid lines. Comparing the circuit model responses with measured responses, an excellent fit can be observed, except some frequency drift toward a lower frequency. B. Quadruplet Filter for Flap Group Delay and Skirt Selectivity As mentioned in Section II, the unwanted cross-couplings and would destroy the in-band group-delay flatness. To reduce the strength of unwanted couplings, we use the L-shaped resonator and arrange the resonators in square to maximize the distance between diagonal resonators, as shown conin Fig. 7. The coupled lines with length , , and trol the strength of coupling between L-shaped resonators, respectively. The inductive source–load coupling is effectively controlled by changing the length of the controlling line with both ends connected to the ground. Resonant frequencies of and . resonators can be tuned by adjusting the length Following similar procedures in the previous design, we can , as shown in the second get the extracted coupling matrix equation at the bottom of this page. The corresponding response fits well with the EM simulated results, as shown in of into (3) and (4) and setting unloaded quality Fig. 8. Taking , we have the filter responses shown in Fig. 9 factor as doted lines. The experimental results are also shown in Fig. 9 as solid lines, which are similar to the circuit model results, except with a similar frequency drift as shown in the former

Fig. 8. Response of quadruplet filter with controlling line of source–load coupling. Circle: EM simulated results. Solid line: circuit model.

example. The frequency drift might come from the discrepancy of the substrate dielectric constant. In other words, the dielectric constant might be greater than the data sheets’ value of 3.38. From the above two examples, we can conclude that the controlling line of source–load coupling can effectively adjusting the position of finite transmission zeros with negligible perturbation to the passband. It is suggested that one can design the symmetric folded coupled-resonator filter at first and then adds the controlling line to control the source–load coupling without fine-tuning the other portion of the filter. The design method may apply to a higher order symmetric folded coupled-resonator filter.

2308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 9. Experimental and circuit model results. (a) Return loss and insertion loss. (b) Group delay. Solid line: experimental results. Dashed Line: circuit model including loss term.

V. CONCLUSION In this paper, we have proposed some microstrip filter structures suitable to have source–load coupling to generate extra transmission zeros. The importance and difficulty of frequency alignment and avoiding the unwanted couplings in a microstrip filter have also been discussed. A novel diagnosis scheme has been proposed. Following a systematic design flow, two quadruplet filters with source–load coupling where one was designed for quasi-elliptical and another was designed for flat group-delay responses were fabricated, and the measured results agree well with that of theory. It has been shown that the diagnosis method described in this paper greatly helps to judge the unwanted effects in the microstrip quadruplet filter, where these effects were usually very difficult to specify in the microstrip’s open environment. REFERENCES [1] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [2] K. S. K. Yeo and M. J. Lancaster, “The design of microstrip six-pole quasi-elliptic filter with linear phase response using extracted-pole technique,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 321–327, Feb. 2001. [3] K. T. Jokela, “Narrow-band stripline or microstrip filters with transmission zeros at real and imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 6, pp. 542–547, Jun. 1980.

[4] J. R. Montejo-Garai, “Synthesis of N -even order symmetric filters with N transmission zeros by means of source–load cross coupling,” Electron. Lett., vol. 36, no. 3, pp. 232–233, Feb. 2000. [5] S. Amari, “Direct synthesis of folded symmetric resonator filters with source–load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 264–266, Jun. 2001. [6] H.-T. Hsu, Z. Zhang, K. A. Zaki, and A. E. Atia, “Parameter extraction for symmetric coupled-resonator filters,” in Proc. IEEE MTT-S Int. Microwave Symp., vol. 3, Jun. 2002, pp. 1445–1448. [7] A. Atia and Williams, “New type of waveguide bandpass filters for satellite transponders,” COMSAT Tech. Rev., vol. 1, no. 1, pp. 21–43, 1971. [8] S. Bila, D. Baillargeat, M. Aubourg, S. Verdeyme, P. Guillon, F. Seyfert, J. Grimm, L. Baratchart, C. Zanchi, and J. Sombrin, “Direct electromagnetic optimization of microwave filters,” IEEE Micro, vol. 2, pp. 46–51, Mar. 2001. [9] A. Garia-Lamperez, S. Llorente-Romano, M. Salazar-Palma, and T. K. Sarkar, “Efficient electromagnetic optimization of microwave filters and multiplexers using rational models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 508–521, Feb. 2004. [10] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [11] A. E. Atia and H.-W. Yao, “Tuning and measurements of couplings and resonant frequencies for cascaded resonators,” in Proc. IEEE MTT-S Int. Microwave Symp., vol. 3, Boston, MA, Jun. 2000, pp. 1637–1640. [12] A. Garia-Lamperez, S. Llorente-Romano, M. Salazar-Palma, M. J. Padilla-Cruz, and I. H. Carpintero, “Synthesis of cross-coupled lossy resonator filters with multiple input/output couplings by gradient optimization,” in IEEE AP-S Int. Symp., vol. 2, Jun. 2003, pp. 52–55. [13] H. C. Bell, Jr., “Canonical asymmetric coupled-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1333–1340, Sep. 1982. [14] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [15] G. Macchiarella, “Accurate synthesis of inline prototype filters using cascaded triplet and quadruplet sections,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1779–1783, Jul. 2002. [16] , “A powerful tool for the synthesis of prototype filter with arbitrary topology,” in Proc. IEEE MTT-S Int. Microwave Symp., Jun. 2003, pp. 1467–1470. [17] H.-T. Hsu, Z. Zhang, K. A. Zaki, and A. E. Atia, “Parameter extraction for symmetric coupled-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2971–2978, Dec. 2002. [18] Em User’s Manual, Sonnet Software, Liverpool, NY, 2004. Ching-Ku Liao was born in Taiwan, R.O.C., on October 16, 1978. He received the B.S. degree in electrophysics and M.S. degree in communication engineering from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in communication engineering at the National Chiao-Tung University. His research interests include the analysis and design of microwave and millimeter-wave circuits. Chi-Yang Chang (S’88–M’95) was born in Taipei, Taiwan, R.O.C., on December 20, 1954. He received the B.S. degree in physics and M.S. degree in electrical engineering from National Taiwan University, Taiwan, R.O.C., in 1977 and 1982, respectively, and the Ph.D. degree in electrical engineering from The University of Texas at Austin, in 1990. From 1979 to 1980, he was a Teaching Assistant with the Department of Physics, National Taiwan University. From 1982 to 1988, he was an Assistant Researcher with the Chung-Shan Institute of Science and Technology (CSIST), where he was in charge of the development of microwave integrated circuits (MICs), microwave subsystems, and millimeter-wave waveguide E -plane circuits. From 1990 to 1995, he returned to CSIST, as an Associate Researcher in charge of development of uniplanar circuits, ultra broad-band circuits, and millimeter-wave planar circuits. In 1995, he joined the faculty of the Department of Communication, National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., as an Associate Professor and became a Professor in 2002. His research interests include microwave and millimeter-wave passive and active circuit design, planar miniaturized filter design, and monolithic-microwave integrated-circuit (MMIC) design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2309

Verification of First Circulation Conditions of Turnstile Waveguide Circulators Using a Finite-Element Solver Joseph Helszajn, Fellow, IEEE, and John Sharp, Senior Member, IEEE

Abstract—One means of adjusting the first circulation condition of any waveguide circulator is to have recourse to a finite-element (FE) solver. The purpose of this paper is to do so for each of the three possible geometries of the turnstile waveguide circulator. A complete statement of the first circulation condition also requires one of the susceptance slope parameter of the junction. This quantity is separately evaluated. The resonators under consideration are the side and apex coupled half-wave-long prism structures open circuited at each flat face and the conventional cylindrical geometry. The classic -plane turnstile circulator using a prism resonator is also dealt with. The agreement between the existing literature and the FE adjustment is excellent. Index Terms—Circulators, finite elements (FEs), gyromagnetic resonators, nonreciprocal networks, turnstile junctions.

I. INTRODUCTION

O

NE PROBLEM met in the construction of waveguide circulators is that of deducing the so-called first circulation condition of the junction. It is ideally met provided its degenerate counter-rotating eigennetworks and that of its in-phase one are commensurate. It coincides with the maximum power transfer or minimum reflection coefficient of the junction and essentially determines the frequency of the related circulator [1]–[6]. The so-called second circulation condition merely involves the removal of the degeneracy between the two counter-rotating eigennetworks. One classic configuration is that of a half-wave-long cylinder open-circuited on both its flat faces supported by dielectric supports on the axis of three -plane rectangular waveguides [7]–[13]. It has its origin in the original turnstile junction circulator [1]. Another is that of either an apex or side-coupled half-wave-long prism arrangement [14]–[17]. The various geometries under consideration are depicted in Fig. 1. Each configuration has been experimentally adjusted one way or another in the open literature. In the cylindrical geometry, the frequency of the degenerate eigennetworks is controlled by the dimensions of the cylinder and that of the in-phase one by the details of the dielectric spacer [9], [10], [18], [19]. The frequency of the degenerate counter-rotating eigennetworks is also affected to some degree by the spacer. The experimental adjustment of this sort of junction is, in practice,

Fig. 1. Schematic diagram of turnstile junction using apex coupled prism, side-coupled prism, and cylindrical resonators.

tedious and labour intensive. The purpose of this paper is to compare the available data on the cylindrical geometry with that obtained by having recourse to a commercial three-dimensional finite-element (FE) solver (Ansoft’s High Frequency Structure Simulator (HFSS), version 8). The agreement is excellent. The two -plane arrangements using prism resonators are dealt with separately [14]–[17]. Still another classic geometry is the -plane junction using a quarter-wave-long prism or disk resonators [20], [21]. It is also dealt with. Another important quantity that is embodied in the first circulation condition is the susceptance slope parameter of a weakly magnetized junction [22]–[24]. This quantity may also be computed from the frequency response of the degenerate eigennetworks [25]. The correlation between the existing experimental results in the open literature and the FE calculations undertaken in this study suggests that the latter formulation is more than adequate for engineering purposes. II. FIRST CIRCULATION CONDITION OF JUNCTION CIRCULATOR

Manuscript received June 28, 2004; revised September 28, 2004. J. Helszajn is with the Department of Electrical and Electronic Engineering, Heriot Watt University, Edinburgh EH14 4AS, U.K. J. Sharp is with the School of Engineering, Napier University, Edinburgh EH 10 5DT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850443

The adjustment of the three-port circulator is a classic two-step procedure. The first step coincides with the maximum power transfer condition of the junction; the second merely involves the splitting of the degeneracy between the counter-rotating eigenvalues of the junction.

0018-9480/$20.00 © 2005 IEEE

2310

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

The relationships between the scattering parameters and reflection eigenvalues of the reciprocal junction are given in the usual way by [1]–[4]. (1) (2) where is an in-phase and is a degenerate counter-rotating one-port reflection coefficient. The eigenvalues in a three-port reciprocal junction are reflection coefficients associated with the three possible terminal conditions, which produce identical reflections at each port. One such port condition coincides with in-phase voltage settings at each pair of terminals. The other two coincide with counter-rotating field settings at the same terminals. In a reciprocal junction, the latter so-called eigenvalues are degenerate. Another property of the reflection eigenvalues is that these, in a lossless junction, have a unit amplitude and are only distinguished from each other by a phase angle. The ideal passband frequency coincides with the conditions (3) (4) The passband frequency in a nonideal situation is defined by [6]

Fig. 2. Eigenvalue diagrams of definitions of passband frequencies of reciprocal three-port junctions.

The following scattering parameters of this arrangement are again a standard result in the literature [1]–[3]:

(5) (6) where and are the one-port impedances associated with each eigenvalue. The three possibilities are, in practice, defined by the angle of . This is indicated in Fig. 2. While the two nonideal conditions still produce a passband frequency, the responses of these do not produce symmetrical frequency excursions about the center frequency. This is of some importance in the development of high-quality quarter-wave coupled circulators with Chebyshev frequency responses. III. OPERATION OF TURNSTILE CIRCULATOR USING CYLINDRICAL OR PRISM RESONATORS One important classic waveguide three-port junction circulator is the turnstile one. The adjustment of this sort of circulator is a classic eigenvalue problem. The first circulation condition of the device corresponds with that for which the in-phase reflection eigenvalue is out-of phase with its degenerate counter-rotating ones. It coincides, in practice, with the maximum power transmission or minimum reflection coefficient at port 1 of the junction with the other two suitably terminated in matched loads. The three possible geometries using half-wavelength-long cylindrical prism resonators supported by dielectric spacers are illustrated in Fig. 1. The experimental adjustment of this sort of junction is, in practice, tedious and labour intensive. The second circulation condition involves the removal of the degeneracy of the counter-rotating eigenvalues by the gyrotropy of the gyromagnetic insulator.

(7) (8) where (9) The turnstile junctions dealt with here all rely on a Faraday rotation effect along the axis of the resonators. It is obtained by removing the degeneracy between the counter-rotating eigenvalues by the application of the gyrotropy. One solution is obtained with (10) (11) (12) An ideal solution is obtained with (13) (14) The first of these two conditions, in the case of the turnstile circulator, is governed by the first circulation condition outlined

HELSZAJN AND SHARP: VERIFICATION OF FIRST CIRCULATION CONDITIONS OF TURNSTILE WAVEGUIDE CIRCULATORS USING FE SOLVER

2311

Fig. 4. Frequency responses of in-phase and degenerate counter-rotating eigenvalues of a turnstile junction using a single disk resonator (R=L = 4:259, R = 4:125 mm k = 0:7; 0:8; 0:9).

Fig. 3. Turnstile resonators using half-wave-long and single and pairs of quarter -wave-long resonators.

in Section II. The second involves a Faraday effect up and down the gyromagnetic rod. The overall angle of rotation is rad

(15)

. The The ideal angle of rotation is in keeping with (14) main endeavour of this study is, however, the so-called first circulation condition specified in Section II. IV. OPERATION OF TURNSTILE CIRCULATORS One classic turnstile circulator relies for its operation on a half-wave-long cylindrical resonator open circuited at each end, supported by suitable dielectric spacers, as depicted in Fig. 1(a). A variation of this geometry, which is equivalent in every way, consists of a pair of quarter-wave-long resonators open circuited at one end and short circuited at the other. Still another consists of a single quarter-wave resonator open circuited at one end and short circuited at the other. The three possibilities are shown in Fig. 3. In these sorts of geometries, the frequency of the degenerate eigennetworks is controlled by the electrical length of the cylinder and that of the in-phase mode by the details of the dielectric spacer. The former adjustment places a magnetic wall on the cylindrical plane of the resonator and the latter one place an electric wall at the same plane. The modes are the and ones, respectively. The frequencies of the degenerate counter-rotating eigennetworks are also affected to some degree by the spacer. These sorts of geometries are described , an axial resonator length ( or ), and the by a gap factor

radius or side dimension of the resonator ( or ). It produces a unique gap factor for each and every value of radius or side dimension of the resonator. The filling factor of the junction is defined by

All the experimental data on the first circulation condition of waveguide circulators referred to in this paper apply to junctions employing demagnetized ferrite resonators. In order to account for this feature in this study, a demagnetized ferrite geometry is employed throughout as follows: (16) is the saturation magnetization , is the radian frequency (radians per second), and is the gyromagnetic ratio (2.21 10 rad/s/A/m). V. FIRST CIRCULATION CONDITION OF TURNSTILE JUNCTION USING CYLINDRICAL RESONATORS The first and second circulation conditions of the junction are both necessary and sufficient for the adjustment of the classic circulator. The first condition, shown in Fig. 2, is the main endeavour of this paper, is fixed by whether the geometry under discussion is a waveguide, stripline, or some other type of circulator. This section is restricted to any one of the three possible arrangements of the so-called turnstile circulator using cylindrical resonators. It is necessary, as with all software-based designs, to initialize the process with some realistic initial parameters. Fortunately, enough information is generally already available in the open literature in order to do so. Fig. 4 indicates the in-phase and counter-rotating reflection eigenvalues of one junction for parametric values of a gap factor

2312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 6. Topologies waveguide turnstile junctions using apex and side-coupled prism resonators. Fig. 5. Frequency responses of in-phase and degenerate counter-rotating eigenvalues of a turnstile junction using a single disk resonator (R=L = 4:259, R = 4:4 mm k = 0:70; 0:8; 0:9).

for a single quarter-wave-long structure. The aspect ratio of the resonator is and the radius is 4.125 mm. These sorts of displays may be employed to fix the first circulation condition of the adjustment. The required solution, in this instance, is at 9.35 GHz and coincides with a filling factor of 0.80. The frequency of the device is separately set by varying either the length or the radius of the resonator. Fig. 5 depicts the adjustment of this class of circulator for another radius. The optimum frequency is now 9.4 GHz. The sort of data depicted in these illustrations is obtained by evaluating the transmission and reflection scattering parameters of the junction at the terminals of the resonator as a preamble to processing the reflection eigenvalues. The physical variables utilized in obtaining this data have been experimentally obtained elsewhere [5].

VI. ADJUSTMENT OF TURNSTILE JUNCTION USING PRISM RESONATORS The classic turnstile circulator using a prism resonator may be realized in one of six ways. The side-coupled arrangement may be based on the use of a half-wave-long configuration open circuited at each flat face or on one or two quarter-wave-long geometries open circuited at one flat face and short circuited at the other. While the gain bandwidth and frequency of each resonator are essentially the same, each has a different value of the susceptance slope parameter. A similar situation is met in connection with the apex-coupled configuration. The two configurations under discussion are indicated in Fig. 6. Fig. 7 illustrates the effect of the filling factor on the apex-coupled structure using a pair of coupled resonators. It is again in excellent agreement with historic experimental data in the literature [17]. Fig. 8 gives the corresponding result in the case of the side-coupled geometry.

Fig. 7. Frequency responses of in-phase and degenerate counter-rotating eigenvalues of a turnstile junction using an apex coupled prism resonator (A=L = 4:5, k = 0:73; 0:8).

VII. POST RESONATOR The post resonator at the junction of three waveguides is one geometry for which the ideal relationship between the in-phase and degenerate counter-rotating reflection eigenvalues cannot be met. The structure under consideration is illustrated in Fig. 9. The Smith chart solution is depicted in Fig. 10. One means of idealizing the first circulation condition of this geometry is to introduce a thin metal post on the axis of the junction. VIII.

-PLANE TURNSTILE JUNCTION CIRCULATOR USING SINGLE PRISM RESONATOR

Another common waveguide junction circulator is the -plane geometry using a single prism resonator [20], [21]. Fig. 11 depicts the structure under consideration. Its eigenvalue

HELSZAJN AND SHARP: VERIFICATION OF FIRST CIRCULATION CONDITIONS OF TURNSTILE WAVEGUIDE CIRCULATORS USING FE SOLVER

Fig. 11.

2313

Topology of E -plane junction circulator using single prism resonator.

Fig. 8. Frequency responses of in-phase and degenerate counter-rotating eigenvalues of a turnstile junction using a side coupled prism resonator. (A=L = 4:5, k = 0:73; 0:8).

Fig. 9. Schematic diagram of post resonator at the junction of three waveguides.

Fig. 12. Comparison between calculated and experimental passband center frequencies of E -plane junction circulator using a single side-coupled prism resonator (A = 6:37 mm, A=L = 2:24).

Fig. 10. Frequency responses of in-phase and counter-rotating eigenvalues of degenerate post resonator.

diagram differs from that of the -plane geometry in that its in-phase eigenvector produces an electric rather than a magnetic wall on the axis of the junction. This boundary condition is normally associated with a stopband instead of the passband met in connection with the -plane geometry. In order to avoid this situation, the opening of the junction is often restricted so that it is cut off for this eigenvalue [20]. Some experimental data illustrating the relationships between the bandpass center frequency and the is reproduced filling factor for one value of aspect ratio

in Fig. 12 [21]. Also superimposed on this data are some calculations obtained here using an FE solver. A Smith chart representation of the in-phase and degenerate counter-rotating eigenvalues are separately indicated in Fig. 13. It is apparent that this solution does not coincide with electric and magnetic walls of the eigenvalues at the terminals of the resonator. The center frequency of the junction does, however, coincide with the definition of the passband frequency specified in (5). IX. SUSCEPTANCE SLOPE PARAMETER The first and second circulation conditions of the junction circulator are sufficient to describe its midband frequency and its gyrator impedance, but not its frequency response. One means of quantifying the bandwidth of the junction is to invoke the susceptance slope parameter of either its reciprocal or nonreciprocal circuits. It coincides, provided the frequency behavior of the in-phase mode eigenvalue can be neglected compared to

2314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 14. Susceptance slope parameter of an H -plane turnstile junction circulator employing a single disk resonator (---- locus of values that give ideal eigenvalue adjustment).

Fig. 13. In-phase and counter-rotating reflection eigenvalues of the E -plane using single prism resonator (A = 6:73 mm, A=L = 2:24, " = 10:3, 0M = 0:2100 T).

those of the degenerate ones, with that of the latter networks. This quantity may be extracted from the rim of the Smith chart in the sort of diagrams met in connection with its first circulation condition (17) The result for one cylindrical arrangement is

This result may be compared with the standard method or based on frequency response of the reflection coefficient voltage standing-wave ratio (VSWR)

(18) Taking the 7-dB frequency points by way of an example gives

Fig. 14 illustrates the connection between the filling factor and the susceptance slope parameter at the first circulation . condition for parametric values of X. SPLIT FREQUENCIES OF TURNSTILE CIRCULATOR The second adjustment of the turnstile circulator is outside the remit of this study. It nevertheless maybe worthwhile to use the commercial FE package to evaluate the split frequencies of the gyromagnetic resonator. A knowledge of these frequencies is sufficient to characterize the quality factor of the complex gyrator circuit of the circulator (19)

Fig. 15. Split frequencies of a turnstile junction using a single disk saturated gyromagnetic resonator for values of the magnetization between 0– 0.1600 T.

One shortcoming of the software at hand is that it does not cater to a partially magnetized problem region. In order to produce a relationship between magnetization and splitting, it is, therefore, necessary to join points corresponding to different values of saturation magnetization. One consequence of this difficulty is that the effective permeability of the gyromagnetic resonator varies with the value of magnetization and, therefore, so does the midfrequency of the frequency response. In practice, the center frequency increases as the saturation magnetization increases. The effective permeability for a saturated material is, as is well known, given by (20) Fig. 15 indicates some typical frequency responses with as a parameter. It is of note that the junction does not, in general, display discrete split frequency lines below the degree-1 circulation solution. This is a general result. It is of separate note that above the degree-1 condition, the return loss

HELSZAJN AND SHARP: VERIFICATION OF FIRST CIRCULATION CONDITIONS OF TURNSTILE WAVEGUIDE CIRCULATORS USING FE SOLVER

at the split frequencies coincide with the 9.5-dB frequencies of the display. XI. CONCLUSIONS The turnstile waveguide circulator may be realized in one of nine configurations. The adjustment of any one of them is, in practice, a tedious activity. This paper has demonstrated good correlation between an FE adjustment of its first circulation condition and historic data in the open literature. While this condition is historically referred to as the first of two necessary requirements in itself, it is not sufficient in that, strictly speaking, it is also necessary to have a statement about the frequency response of the junction. Good agreement between experiment and calculations has also been demonstrated in this instance. The post resonator at the junction of three waveguides has been separately dealt with. The classic -plane turnstile circulator using a prism resonator has also been dealt with. REFERENCES [1] T. Schaug-Patterson, “Novel design of a three-port circulator,” Norwegian Defence Res. Establishment, Bergen, Norway, Rep. R-59, Jan. 1–10, 1958. [2] B. A. Auld, “The synthesis of symmetrical waveguide circulators,” IRE Trans. Microw. Theory Tech., vol. MTT-7, no. 4, pp. 238–246, Apr. 1959. [3] U. Milano, J. H. Saunders, and L. E. Davis, Jr., “A Y-junction stripline circulator,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 3, pp. 346–351, May 1960. [4] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits. New York: McGraw-Hall, 1948. [5] J. Helszajn and J. Sharp, “Adjustment of in-phase mode in turnstile circulator,” IEEE Trans Microw. Theory Tech., vol. MTT–33, no. 4, pp. 339–343, Apr. 1985. , “Post dielectric resonator at the junction of three rectangular [6] waveguides: Calculation and measurements,” Proc. Inst. Elect. Eng., pt. H, vol. 150, pp. 90–96, Apr. 2003. [7] B. Owen and C. E. Barnes, “The compact turnstile circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1096–1100, Dec. 1970. [8] B. Owen, “The identification of modal resonances in ferrite loaded waveguide junction and their adjustment for circulation,” Bell Syst. Tech. J., vol. 51, no. 3, Mar. 1972. [9] E. J. Denlinger, “Design of partial-height ferrite waveguide circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 8, pp. 810–813, Aug. 1974. [10] J. Helszajn, “Common waveguide circulator configurations,” Electron. Eng., pp. 66–68, Sep. 1974. , “Design of waveguide circulators with Chebyshev characteristics [11] using partial-height resonators,” IEEE Tran. Microw. Theory Tech., vol. MTT-32, no. 8 , pp. 908–917, Aug. 1984. [12] Y. Akaiwa, “Operation modes of a waveguide Y-circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 11, pp. 954–959, Nov. 1974. , “A numerical analysis of waveguide H -plane Y-junction circula[13] tors with circular partial-height ferrite post,” J. Inst. Electron. Commun. Eng. Jpn., vol. E61, pp. 609–617, Aug. 1978. [14] F. M. Aitken and R. McLean, “Some properties of the waveguide Wye circulator,” Proc. Inst. Elect. Eng., vol. 110, no. 2, pp. 256–260, 1963. [15] Y. Akaiwa, “Mode classification of triangular ferrite post for Y-circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 1, pp. 59–61, Jan. 1977. [16] G. Riblet, J. Helszajn, and B. O’Donnell, “Loaded Q-factors of partial height and full-height triangular resonators for use in waveguide circulators,” in Proc. Eur. Microwave Conf., 1979, pp. 420–424. [17] J. Helszajn, “Adjustment of degree-2 H -plane waveguide turnstile circulator using prism resonator,” Microwave Eng. Europe, pp. 35–48, Jul. 1999. [18] J. Helszajn and F. C. F. Tan, “Mode charts for partial-height ferrite waveguide circulators,” Proc. Inst. Elect. Eng., vol. 122, no. 1, pp. 34–36, Jan. 1975. , “Design data for radial waveguide circulators using partial-height [19] ferrite resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 3, pp. 288–298, Mar. 1975.

2315

[20] M. Omori, “An improved E -plane waveguide circulator,” in IEEE MTT-S Inl. Microwave Symp. Dig., 1968, pp. 228–228. [21] J. Helszajn, B. Tsounis, and P. Papaionnou, “Mode chart and susceptance slope parameter of an E -plane circulator using prism resonators,” Proc Inst. Elect. Eng., pt. H, pp. 23–28, Feb. 1999. [22] J. Helszajn and F. C. F. Tan, “Susceptance slope parameter of waveguide partial-height ferrite circulators,” Proc. Inst. Elect. Eng., vol. 122, no. 72, pp. 1329–1332, Dec. 1975. [23] J. Helszajn and J. Sharp, “Resonant frequencies, Q-factor, and susceptance slope parameter of waveguide circulators using weakly magnetized open resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 434–441, Jun. 1983. [24] J. Helszajn, “A unified approach to lumped element, stripline and waveguide junction circulators,” Proc. Inst. Elect. Eng., pt. H, vol. 1, no. 1, pp. 18–26, Sep. 1976. [25] J. Helszajn and J. Sharp, “Frequency responses of quarter-wave coupled reciprocal stripline junctions,” Microwave Eng. Europe, pp. 29–35, Mar./Apr. 2003.

Joseph Helszajn (M’64–SM’87–F’92) received the M.S.E.E. degree in electrical engineering from the University of Santa Clara, Santa Clara, in 1964, the Ph.D. degree from The University of Leeds, Lees, U.K., in 1969, for his work on spinwave instabilities in magnetic insulators at large radio frequency signal level, the D.Sc. degree from Heriot-Watt University, Edinburgh, U.K., in 1974, for his early collected works on gyromagnetic devices and circuits, and the D.Eng. from The University of Leeds, in 1995, for his ongoing works. He is an international authority on nonreciprocal microwave circuits and devices. He gained his first qualification at what is now the University of North London and then undertook National Service in the Royal Air Force (1955–1957). He acquired his early industrial experience with Raytheon Inc., Sylvania Electric Inc., and Microwave Associates Inc. on the east and west coasts of the U.S. In 1971, he joined the Department of Electrical and Electronic Engineering, Heriot-Watt University, at which time he was instrumental in laying the foundation of what is now its Microwave Laboratory. In 1982, he became a personal Chair in microwave engineering with the Department of Electrical and Electronic Engineering, Heriot-Watt University. During part of the 1987 academic year, he was a Distinguished Visiting Professor with Arizona State University. He has authored 12 engineering text books, which have unified the important nonreciprocal branch of microwave engineering: Principles of Microwave Ferrite Engineering (New York: Wiley, 1969), Non-reciprocal Microwave Junctions & Circulators (New York: Wiley, 1975) (Chinese translation in press), Passive and Active Microwave Circuits (New York: Wiley, 1978) (hardback reprint, 1980), (Russian translation, 1981), YIG Resonators and Filters (London, U.K.: Wiley, 1985), Ferrite Phase Shifters and Control Devices (London, U.K.: McGraw-Hill, 1989), Synthesis of Lumped Element, Distributed and Planar Filter Circuits (London, U.K.: McGraw-Hill, 1990), Microwave Engineering; Passive, Active and Non-Reciprocal Circuits (London, U.K.: McGraw-Hill, 1992) (paperback reprint, 1993), Microwave Passive Planar Circuits and Filters (London, U.K.: Wiley, 1993) (hardback reprint, 1994), Green’s Function, Finite Elements and Microwave Planar Circuits (London, U.K.: Wiley, 1996), Theory and Practice of Waveguide Junction Circulators (London, U.K.: Wiley, 1998), Ridge Waveguide and Passive Microwave Components (London, U.K.: IEE Press, 2000), The Stripline Circulator: Theory and Practice (New York: Wiley, 2004). He has authored or coauthored over 150 papers in both the industry and university sectors. Until recently, he was one of two Honorary Editors for over 18 years of the Proceedings of the Institution of Electrical Engineers (Part H: Microwaves, Antennas and Propagation). His recent position in industry (as a consultant to Ferranti, M.o.D. contract worker, and international consultant to a number of major North American companies) has been recognized by his appointments as Honorary Vice-President of Research of Apollo Microwave, Montreal, QC, Canada, and as Director of Eurowave Ltd., Edinburgh, U.K. Prof. Helszajn is a Chartered Engineer (C.Eng.) in the U.K. He is a Fellow of the Institution of Electrical Engineers (IEE), U.K., the Royal Society of Arts, the City and Guilds Institute, the Royal Society of Edinburgh, and the Royal Academy of Engineering. He is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the 1995 IEE J. J. Thomson Medal. He was appointed an Officer of the Order of the British Empire (OBE) in the 1997 Queen’s Birthday Honors List. He was also the recipient of a 2004 Napier University Honorary Doctorate of Engineering Degree (D.Eng.).

2316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

John Sharp (M’83–SM’87) was born in Bangour, Scotland, in 1954. He received the B.Sc. (Hons.), M.Sc., and Ph.D. degrees from Heriot Watt University, Edinburgh, U.K., in 1975, 1983, and 2004 respectively. He is currently a Reader in microwave engineering and Deputy Head of the School of Engineering, Napier University, Edinburgh, U.K. Over the last 25 years, he has developed courses and projects in microwave and RF engineering for undergraduates and post graduates. These courses have been delivered both in academic and industrial settings and have included “Electromagnetic Field Theory,” “Communication Engineering, Satellite Systems,” and “Microwave and Optical Engineering.” He has been a consultant numerous times for local industry. He has also had consultancies with numerous major communication and microwave companies including GEC Marconi Avionics, BAE Systems, Motorola, RACAL-MESL, Hewlett-Packard, and AXON Cables. He has undertaken several industrial, Department of Trade and Industry (DTI), Ministry of Defence (MOD), and Engineering and Physical Sciences Research Council (EPSRC) funded projects. His particular specialization is concerned with all aspects of nonreciprocal devices with special attention to waveguide circulators. He is a reviewer for the Proceedings of the Institution of Electrical Engineers (Part H: Microwave Antennas and Propagation) and Electronic Letters. He is also a pre-publication reviewer of specialist texts for several publishers. Dr. Sharp is a Chartered Engineer in the U.K. He is a member of the Institute of Learning and Teaching. He is a Fellow of the Royal Society of Arts and the Institution of Electrical Engineers (IEE), U.K. He has served on committees of the IEE (E12 Microwave Devices and Techniques and S8 Electromagnetics).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2317

Time Reversal With the FDTD Method for Microwave Breast Cancer Detection Panagiotis Kosmas, Member, IEEE, and Carey M. Rappaport, Senior Member, IEEE

Abstract—The feasibility of microwave breast cancer detection with a time-reversal (TR) algorithm is examined. This algorithm is based on the finite-difference time-domain method, and compensates for the wave decay and, therefore, is suitable for lossy media. In this paper, we consider a two-dimensional breast model based on magnetic resonance imaging data, and examine the focusing abilities of a TR mirror comprised of an array of receivers with a single ultra-wideband pulse excitation. In order to resolve small 3-mm-diameter tumors, a very short duration pulse is necessary, and this requirement may restrict the applicability of the system due to hardware limitations. We propose a way to overcome this obstacle based on the observation that the amplitude and phase information of the tumor response is sufficient to achieve focusing. The robustness of the TR algorithm with respect to breast inhomogeneities is demonstrated, and the good performance of the method suggests it is a promising technique for microwave breast cancer detection. Index Terms—Finite difference time microwave imaging, time reversal (TR).

domain

(FDTD),

I. INTRODUCTION

M

OTIVATED by data [1]–[4], which reveal an important contrast in the electromagnetic properties of malignant tumor tissue relative to normal fatty breast tissue, several microwave imaging techniques for breast cancer detection have been recently developed. Encouraging results have been obtained with near-field tomographic image reconstruction algorithms [5], [6], confocal microwave imaging (CMI) techniques [7], and microwave imaging based on space-time (MIST) beamforming [8]. Meaney et al. have presented results with simulation and phantom data, as well as some preliminary clinical exams [9], and experimental results using phantom data have also been reported for the cylindrical CMI system [10] and the MIST planar system [11]. This paper examines the possibility of tumor detection and localization using the principles of time reversal (TR). In acoustics, physical TR experiments using transducers, which time reverse the received signal and re-emit it through the Manuscript received July 28, 2004; revised February 27, 2005. This work was supported by the Center for Subsurface Sensing and Imaging Systems, Northeastern University, under the Engineering Research Centers Program of the National Science Foundation Award EEC-9986821. P. Kosmas was with the Center for Subsurface Sensing and Imaging Systems, Northeastern University, Boston, MA USA 02115. He is now with the Wireless Communications Research Group, Loughborough University, Loughborough LE11 3TU, U.K. C. M. Rapapport is with the Center for Subsurface Sensing and Imaging Systems, Northeastern University, Boston, MA 02115 USA (e-mail:[email protected]). Digital Object Identifier 10.1109/TMTT.2005.850444

medium, have shown that the time-reversed wave focuses back to the source target in the presence of an inhomogeneous lossless medium [12]. Recently, the first attempt to perform an electromagnetic TR experiment was also reported [13]. Aside from physical experiments, a virtual procedure similar to a physical TR can be used for microwave imaging purposes. This backpropagation technique can be performed directly in the time domain using the finite-difference time-domain (FDTD) method [14]. The use of the FDTD method for TR purposes has been a topic of interest in geophysical applications, where it is more commonly described as time migration [15]. In addition, the FDTD method has been applied to calculate the adjoint backpropagation operation in simple inverse scattering schemes [16]. The possibility of time-reversing the FDTD equations was presented in [17]. There it was shown that if a point source radiates in free space and the time-reversed FDTD equations are applied to all points of the grid, the wave will converge back to the source at time corresponding to the maximum of the initial excitation. TR algorithms based on the transmission-line method (TLM) have also been proposed [18]. In this paper, we present an FDTD TR algorithm that compensates for the medium’s ohmic losses and is, therefore, equally applicable to lossless and lossy media. The key properties of the algorithm are illustrated with a simulation of an inverse source-type problem [19] in Section II. In Section III, we apply this technique to detect and localize malignant tumor-like anomalies in the breast, based on the target response received by an array of antennas, when one single point source is used for transmission. In previous study, the FDTD TR algorithm was tested for tumor detection in a semiellipsoidal homogenous two-dimensional (2-D) breast model [20]. In this paper, a realistic breast model based on magnetic resonance imaging (MRI) data is used. We consider a 2-D slice of the breast and include a skin layer and inhomogeneities in the breast tissue, which is assumed to be nondispersive. Previous simulations in [20] have shown that the FDTD TR model is, in general, robust to dispersion and measurement noise and, therefore, these aspects of the problem are not examined here. The main goal of this paper is to show that focusing can be achieved even when certain properties of the background medium are not known a priori, as will be the case in a realistic microwave breast cancer detection application. In particular, it is shown that omitting the skin layer and the distribution of the breast inhomogeneities from the breast model in the TR process does not affect the focusing of the wave back to the target. Finally, in Section IV, we conclude with a discussion on the future

0018-9480/$20.00 © 2005 IEEE

2318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

extension of this study and an overview of the aspects of this approach in relation to other methods, which have been proposed in the literature. II. ELECTROMAGNETIC TR WITH THE FDTD METHOD The derivation of the time-reversed FDTD equations is straightforward, taking into account that under the TR transfor, the following equalities are true [21]. mation . • . • . • • . To illustrate the method, we write the TR equations for the 2-D case in a lossy medium. Following the standard FDTD notation, we have

Fig. 1. Progression of the time-reversed electric field as function of time. Backpropagation starts at t = T , and the wave gradually converges (t = T 6:4 ns) to its origin at optimal time t = T 6:8 ns, and then diverges again (t = T 7:2 ns) with the diverging wave being an exact time-reversed replica of the converging wave.

0

(1)

(2)

(3) where is the grid spacing and is the temtime step corresponds to the poral increment. The next step of the backpropagated equations, which is the previous time step in relation to the real time sequence. Thus, while and denote the iteration step and temporal increment of the FDTD algorithm and, therefore, have positive values, the temporal evolution of the computational TR algorithm is expressed , where is the initial time when backpropaas gation begins. It is important to note that (1)–(3) imply a TR system where the propagating medium is reversed and, thus, losses are compensated, as it is clearly seen from the change of sign in the conductivity in (1). Such a system differs from physical TR or traditional numerical backpropagation [22], where the time-reversed wave is propagated into the same medium. As an example of this approach, we consider an ideal experiment, which corresponds to the concept of a time reversal cavity (TRC), first introduced

0

0

and analyzed in [23]. For the 2-D case that we consider, a TRC assumes that the electric field is available for all points at the four sides of a rectangular surface, which surrounds the source. To simulate the experiment, we excite a point source with a modulated Gaussian pulse of 2-GHz center frequency and let the fields propagate in the FDTD grid of size 249 249 . We record the electric field on the four sides of a square , of side 50 , and stop the forward simulations at time with being the number of iterations and being the time step. We then time reverse the recorded waveforms, and run the model described by (1)–(3) for a maximum amount of time steps. An example of this procedure is given in Fig. 1, where a sequence of “snapshots” of the electric-field distribution is shown on the -plane. The time step of these simulations is ps and the cell size is cm. The short duration pulse has a width of with its peak occurring at . The top left-hand-side figure shows the fields for the time-reversed model, which are at the initial time nonzero only for the four sides where they are recorded, at 50 , while the rest of the “snapshots” show the temporal evolution of the computational TR simulations. This figure can be easily interpreted based on TR theory; it is actually an illustration of the theoretical analysis given in [23]. Similar to [23], we can write the electric-field distribution as (4) where

denotes temporal convolution and (5)

Equations (4) and (5) imply that the time-reversed field depends and a kernel distribution, which on the excitation waveform is the difference of a converging and diverging wave, with an ar. For a short-duration pulse, rival time difference equal to

KOSMAS AND RAPPAPORT: TR WITH FDTD METHOD FOR MICROWAVE BREAST CANCER DETECTION

2319

Fig. 3. 2-D MRI-based breast model for the 2-D FDTD grid used to generate the data for input to the TR system. Element #12 of the TRM is also the transmitter of the system. The skin layer and 3-mm-diameter tumor-like spherical scatterer are artificially introduced. Fig. 2. Intensity patterns (cross-range) as function of central wavelength for the inverse source problem in a lossless and lossy dielectric (" = 2:9). In the top figure, the field intensity is plotted for two pulses of different width. Focusing for a lossy medium of  = 0:2 S/m (bottom) is similar when the medium is time-reversed (a), but deteriorates if the losses are ignored (b), or the (back)propagating lossy medium remains unchanged (c).

the two waveforms can be separated in time. This is clearly observed in Fig. 1; the converging wave first focuses at the source and the diverging wave follows and occurs as a time-reversed replica of the converging wave. The optimum focusing occurs ns, which naturally corresponds to the peak time at . For a very short temporal duration pulse, there is no significant interference between the converging and diverging wave, and the resolution of the system depends on the smallest significant wavelength of the pulse. Thus, short temporal duration pulses will lead to a much higher resolution than a monochromatic excitation. We now turn our attention to an important aspect of the time-reversed FDTD equations, the loss compensation via the sign change of the conductivity in (1). Being able to retrieve attenuated waves, FDTD TR can be applied to lossy media leading to focusing quality identical to the one achieved in the lossless case. This important property of the system is shown in Fig. 2. The propagating medium now is a hypothetical lossy and conductivity dielectric with dielectric constant S/m. This relatively high ratio of to was chosen in order to study the effect of inverting the conductivity sign in the time-reversed equations. Case (a) of the bottom plot employs this change in the conductivity, leading to results identical to the lossless case, shown in the top plot for comparison. We have also considered a TR system, which assumes no loss for the propagating medium (b) and one which time reverses the fields, but does not change the medium (c). It is evident from this figure that, although the resolution stays the same until 20 dB, there is focusing degradation for cases (b) and (c), which is observed in the 2-D images as sidelobes around the source, and only case (a) can recover the fields, as well as the lossless case. The top plot also illustrates the resolution dependence on the pulsewidth, implying that the choice of using ultra-wideband excitation pulses is necessary in order to achieve the desirable resolution. Finally, we should also note

that this loss compensation is only possible for moderately conductive media, where displacement currents are dominant. In the case of a strongly conducting medium, the reversed time Cauchy problem is ill posed and unstable [24]. III. APPLICATION TO TUMOR DETECTION A. FDTD Model and System Configuration The general properties of the system observed for the inverse source problem will equally apply to the inverse-scattering problem when treated in the context of the (distorted wave) Born approximation (DWBA) [25]. While the DWBA assumes that the background medium is known, in a real application, some of the medium’s properties such as breast inhomogeneities and skin thickness are not available and may have to be estimated. The simulations presented here will show that the time-reversed scattered field focuses back to the tumor even when an approximation of the background medium is used, which does not require knowledge of the properties in question. The geometry that generates synthetic data for our reconstructions is shown in Fig. 3. The proposed TR system is comprised of an array of 23 receivers located at a small distance from the top of the breast, with the middle also acting as a transmitter of a differentiated Gaussian pulse of 50-ps width. This source location ensures that reflections from the chest wall do not obscure detection for tumors that are not close to the chest wall [26]. In this initial investigation, specific antenna elements are not modeled and, therefore, a receiver element represents an observation point, and the transmitter is a point source assigned (TM configuration). The various tissues are an electric field considered nondispersive with values of permittivity and conductivity taken from dispersive models that fit published data [4]. Although modeling dispersion is important for an accurate prediction of the system response [26], time reversing the dispersive medium is nontrivial and, therefore, we choose to omit dispersion in our forward models. Our results are expected to apply, in general, to the dispersive case. Based on the MRI data, the regions corresponding to fibroglandular regions in the breast were linearly mapped to higher permittivity and conductivity values with an upper bound of 16% of the nominal values for breast fat, which are set at

2320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 4. Normalized reflected (left-hand side) and scattered from the tumor (right-hand side) electric field at three of the receivers of the system. The presence of skin only introduces additional scaling and delay to the tumor signal compared to an idealized case of no skin.

and S/m. The resulting permittivity values for the various regions are shown in this figure. In addition, a skin layer , S/m) of thickness varying with position from ( 1.5 to 2 mm, and a spherical (3-mm diameter) tumor-like scat, S/m) are artificially introduced as shown terer ( in this figure. The surrounding medium is a lossless dielectric , which closely matches the with dielectric constant nominal value of breast fat. Samples of the electric field scattered from the tumor, as observed in three of the receivers, are shown in Fig. 4. This field is obtained by subtracting the fields calculated from a model with and without the tumor. Also plotted for comparison is the response at each receiver after removal of the field due to the transmitter, which can be obtained by a simulation in the absence of the breast. This response is dominated by skin reflections, and also includes clutter due to inhomogeneities and reflections from the chest wall. A close observation of the signals in this figure shows that the tumor acts as a point scatterer, which only introduces an amplitude scaling and a time delay to the shape of the total reflected field. The point scatterer assumption suggests that multiple scattering can be ignored and TR is suitable for imaging. In Section III-B, we discuss some implementation aspects of the application of the FDTD TR algorithm to tumor detection, and present simulations, which show that the TR model does not require information on the skin properties or the distribution of the breast inhomogeneities.

source problem presented in Fig. 1. As the TR procedure progresses, the converging wave is followed by a wave that diverges away from the source, and focusing is achieved for the very small time interval in between these two interfering waves. In this ideal case, where all data are available and the background medium is known, the time-reversed field reaches its peak at the optimal time instant when it focuses back to the source. This is due to the spatio-temporal matched filtering achieved by the TR process [12]. However, in an application where only an array of receivers is used and uncertainties about the background medium are inevitable, this criterion may lead to a false image, and an additional criterion should also be sought. When the wave focuses back to the source scatterer, it is expected to produce an image with a sharp peak at the target location and small structure elsewhere. Since such images have small entropy, a minimum entropy criterion can be employed for the choice of the optimal image and the corresponding time instant. To compute the entropy, we calculate the inverse varimax norm, which has been previously employed in numerical backpropagation applications for land-mine detection [27]. Given that the electric field is available everywhere and for every time step in the FDTD TR model, we calculate the quantity

(6)

B. TR Imaging An important aspect of computational TR, performed directly in the time domain for imaging, is choosing the optimal time instant when the wave focuses back to the scatterer. This instant depends on the location of the scatterer and, therefore, cannot be known a priori. To understand the importance of determining the optimal time instant for successful localization of the target, one can look back at the illustration of the inverse

where is the time step of the FDTD TR algorithm, are the grid cell coordinates, and summation is over the portion of the grid that represents the breast. The fields at the time instant where the varimax norm (6) is minimized are stored as the output of the FDTD TR algorithm. The minimum entropy criterion is quite robust with respect to the input signal. Plots of the entropy as function of time show a monotonically decreasing

KOSMAS AND RAPPAPORT: TR WITH FDTD METHOD FOR MICROWAVE BREAST CANCER DETECTION

Fig. 5. Time-reversed electric field for the breast model of Fig. 3 when skin is not considered (top) and when the skin layer is introduced (bottom). The breast in both cases is considered homogeneous, and the skin effect in the bottom case is perfectly removed.

curve, which reaches a distinct minimum, before it starts to increase again to its higher initial values. Small errors in localization may occur since the criterion guarantees a tightly focused image, but not the maximum power at the focus. As it was shown in Section III-A, resolution depends on the temporal width of the exciting signal. In order to resolve tumors of diameter, which is only a fraction of the wavelength corresponding to the central frequency of the excitation signal, ultrawideband signals of very high-frequency content need to be used. This requirement can impose a restriction, which cannot be realized in practice due to hardware limitations. Thus, in order to achieve focusing with high resolution independently of the transmitted pulse, we backpropagate a temporally windowed version of the target signal, by multiplying its response with a very short Gaussian pulse centered at its peak. The input signal for backpropagation at each receiver can be expressed as (7) where is the time instant where the peak of the received waveoccurs, and is the taper parameter, which deform termines the width of the impulse temporal function. The input functions maintain the necessary amplitude-phase information, and their use enables focusing with very high resolution, as will be shown from the below simulations. A similar concept has recently been explored in ultrasonic applications of iterative TR for the detection of multiple targets [28]. Our primary goal is to examine what information on the background medium is essential for the TR algorithm to focus back to the tumor-like scatterer. This information can be used in two ways: first, to remove the clutter from the total signal and, therefore, obtain the true signal scattered from the target and, second, to construct the medium that will be used in the TR algorithm. Our simulations show that while backpropagating the true target response is essential, knowledge of the inhomogeneities or the skin thickness for the TR model is not necessary. An example of this important property of the system is shown in Fig. 5. Here,

2321

Fig. 6. Same as in Fig. 5 for two additional tumor locations, marked with white circles.

we time reverse the exact field scattered from the tumor in Fig. 3 by using the temporal windowing approach described above. The TR model considers a homogeneous breast filled with an average value of and , and no skin. In plot (a), we time-reverse data from the breast model without the skin, while in (b) the skin layer is introduced. Additional results of this second case with the skin for two more locations, one closer to the skin and one in the proximity of the chest wall, are shown in Fig. 6. It is clear from these figures that focusing is achieved in all cases. The focused wave features a (white) region of maximum field intensity, which should be identified as the area where the target is located, followed by a region of negative field intensity, which is an artifact due to the limited data configuration. For an appropriate choice of the parameter in (7), the size of the region of focus in Figs. 5 and 6 is comparable to the physical size of the tumor, and the location of the focus is very close to the true location of the target. For example, the area of focus for the two first locations in Figs. 5 and 6 is a square of approximate size 9 mm , which is very close to the physical size of the target, and the square’s center is at the top of the target. For the third location, the focal area is slightly greater, and the localization is slightly worse, but still quite accurate. Since focusing depends upon the ability to identify the tumor response from the total signal at each receiver, any algorithm that removes the clutter due to inhomogeneities and skin reflections can be used prior to TR of the resulting scattered field. For example, an algorithm that removes the skin-breast artifact was presented in [8]. Successful removal of the clutter depends upon the degree of correlation between the tumor and the dominant skin response. This very important aspect of the problem will be treated in detail in future work. Here, we examine a simple approach, which aims to detect the tumor in the presence of the unknown inhomogeneities and can be readily applied to the total field data, but assumes the skin response can be perfectly removed. For each receiver, the signal from a simulation that considers homogeneous breast fat and skin, but no tumor, is subtracted from the total field. The resulting signal is due to the tumor-like target and the breast inhomogeneities.

2322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 7. Time-reversed electric field for three different 3-mm-diameter tumor locations for an inhomogeneous breast model. The received field is backpropagated after perfect removal of the skin reflections (assumed to be known a priori, and a simple algorithm for averaging the effect of the breast a priori). The receivers used in the TR procedure are marked with stars.

To remove the background signal, we align and scale each signal, calculate the average, and subtract it from each receiver after appropriate realignment and scaling. The results for the three different target locations are shown in Fig. 7. For each case, the receivers that are used in the TR procedure are marked with a star. The signal at those receivers can be clearly identified as due to the presence of a target, while for the remaining receivers, the clutter is still comparable to the target contributions after the simple averaging procedure is applied. These latter receivers are omitted from the TR process. As it is seen from this figure, the system is able to resolve the small tumor and localize it accurately, with a small error in the third case, since the suggested TR mirror (TRM) is more suitable for tumors that are not buried too deep in the breast. For this third case, the signal due to the tumor after the clutter removal algorithm can be identified for a smaller number of receivers and, therefore, focusing quality is deteriorated. A more sophisticated algorithm that would identify the tumor signal more accurately is expected to produce better performance for our imaging system. Such an algorithm will be presented in future study. IV. SUMMARY AND CONCLUSIONS This paper has presented a new approach to microwave breast cancer detection based on numerical TR with the FDTD method. The featured FDTD TR algorithm compensates for the medium’s losses and is, therefore, applicable to lossy media. For a 3-mm-diameter tumor-like target in a breast model based on MRI data, it was shown that an array of receivers can resolve the target with high resolution by using a temporally windowed version of the target response as the input in the TR process. An important aspect of this study is the separation of the tumor detection problem into two independent processes; the first aims to estimate the scattered field form the tumor as accurately as possible using detection techniques, while the

second time reverses this field using the presented TR model. The second process is robust to uncertainties in the medium and, thus, the overall performance depends on the first step, i.e., the successful identification of the tumor response from the total signal at each receiver. A simplified example of combining these two steps was given, leading to successful detection and localization of the tumor. In a future paper, an algorithm that identifies the tumor signal to be used in the first step of our TR imaging algorithm will be presented. Other important aspects of the problem, such as false alarms, will also be investigated. The preliminary results presented here, however, encourage us to believe that the method is a promising technique for microwave breast cancer detection. Among its advantages, one should note its simplicity in implementation, and its ability to accurately model complex background media. TR has been shown to be superior to other simple delay-based focusing techniques, especially in inhomogeneous media [12]. The additional feature of our algorithm to compensate for losses is also an advantage over techniques such as CMI, where the compensation step is performed only approximately. The FDTD TR algorithm also has the potential to account for dispersion effects, and is relatively fast; the 2-D versions presented here take about 1 min of execution time on a Pentium III PC. The robustness of the method with respect to the medium’s uncertainties is another very desirable feature. On the other hand, the technique is very sensitive to the ability of the system to identify the target signal from clutter. In addition, it assumes a linearization in the inverse-scattering problem, and does not provide any information on the shape or the actual size of the tumor, but only localizes its origin. A more complete future analysis of the application of this approach to a more realistic breast cancer detection problem will shed light onto some of the aspects of the method discussed here. ACKNOWLEDGMENT The authors would like to thank Dr. M. Doyley, Darthmouth Medical School, Hanover, NH, for providing the MRI breast data. Author P. Kosmas would like to thank Prof. E. Miller and Prof. A. Devaney, both of Northeastern University, Boston, MA, for helpful discussions on various components of this study. REFERENCES [1] W. T. Joines, Y. Z. Dhenxing, and R. L. Jirtle, “The measured electrical properties of normal and malignant human tissues from 50 to 900 MHz,” Med. Phys., vol. 21, pp. 547–550, Apr. 1994. [2] S. S. Chaudhary, R. K. Mishra, A. Swarup, and J. M. Thomas, “Dielectric properties of normal and malignant human breast tissues at radiowave and microwave frequencies,” Indian. J. Biochem. Biophys., vol. 21, pp. 76–79, Feb. 1984. [3] C. Gabriel, S. Gabriel, and E. Corthout, “The dielectric properties of biological tissues: I. Literature survey,” Phys. Med. Biol., vol. 41, pp. 2231–2249, Nov. 1996. [4] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: II. Measurements on the frequency range 10 Hz to 20 GHz,” Phys. Med. Biol., vol. 41, pp. 2251–2269, Nov. 1996. [5] D. Li, P. M. Meaney, and K. D. Paulsen, “Confocal microwave imaging for breast cancer detection,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1179–1186, Apr. 2003. [6] A. E. Bulyshev, S. Y. Semenov, A. E. Souvorov, R. H. Svenson, A. G. Nazarov, Y. E. Sizov, and G. P. Tatsis, “Computational modeling of three-dimensional microwave tomography of breast cancer,” IEEE Trans. Biomed. Eng., vol. 48, no. 9, pp. 1053–1056, Sep. 2001.

KOSMAS AND RAPPAPORT: TR WITH FDTD METHOD FOR MICROWAVE BREAST CANCER DETECTION

[7] E. C. Fear, X. Li, S. C. Hagness, and M. A. Stuchly, “Confocal microwave imaging for breast tumor detection: Localization in three dimensions,” IEEE. Trans. Biomed. Eng., vol. 49, no. 8, pp. 812–822, Aug. 2002. [8] E. J. Bond, X. Li, S. C. Hagness, and B. D. Van Veen, “Microwave imaging via space-time beamforming for early detection of breast cancer,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1690–1705, Aug. 2003. [9] P. M. Meaney, M. W. Fanning, D. Li, S. P. Poplack, and K. D. Paulsen, “A clinical prototype for active microwave imaging of the breast,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1841–1853, Nov. 2000. [10] E. C. Fear, J. Sill, and M. A. Stuchly, “Experimental feasibility study of confocal microwave imaging for breast tumor detection,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 887–892, Mar. 2003. [11] X. Li, S. C. Hagness, B. D. Van Veen, and D. Van der Weide, “Experimental investigation of microwave imaging via space-time beamforming for breast cancer detection,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003, pp. 379–382. [12] M. Fink, D. Cassereau, A. Derode, C. Prada, P. Roux, M. Tanter, J. L. Thomas, and F. Wu, “Time-reversed acoustics,” Rep. Prog. Phys., vol. 63, pp. 1933–1995, 2000. [13] G. Lerosey, J. de Rosny, A. Tourin, A. Derode, G. Montaldo, and M. Fink, “Time reversal of electromagnetic waves,” Phys. Rev. Lett., vol. 92, pp. 1 939 041–1 939 043, May 2004. [14] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Boston, MA: Artech House, 2000. [15] C. J. Leuschen and R. G. Plumb, “A matched-filter-based reverse-time migration algorithm for ground-penetrating radar data,” IEEE Trans. Geosci. Remote Sens., vol. 39, no. 5, pp. 929–936, May 2001. [16] T. Tanaka, T. Takenaka, and S. He, “An FDTD approach to the time-domain inverse scattering problem for an inhomogeneous cylindrical object,” Microwave Opt. Technol. Lett., vol. 20, pp. 72–77, Jan. 1999. [17] R. Sorrentino, L. Rosseli, and P. Mezzanote, “Time-reversal in finite difference time domain method,” IEEE Microw. Guided Wave Lett., vol. 3–11, no. 11, pp. 402–404, Nov. 1993. [18] M. Forest and W. J. R. Hoefer, “TLM synthesis of microwave structures using time reversal,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1992, pp. 779–782. [19] E. A. Marengo and A. J. Devaney, “The inverse source problem in the time domain,” in IEEE AP-S Symp., Jun. 1998, pp. 21–26. [20] P. Kosmas and C. Rappaport, “Use of the FDTD method for time reversal: Application to microwave breast cancer detection,” in Proc. SPIE Computer Imaging, vol. 5299, San Jose, CA, Jan. 2004, pp. 1–9. [21] C. Altman and K. Suchy, Reciprocity, Spatial Mapping and Time Reversal in Electromagnetics. Amsterdam, The Netherlands: Kluwer, 1991. [22] A. J. Devaney, “Super-resolution processing of multistatic data using time reversal and music,” , 1999 (preprint). [23] D. Cassereau and M. Fink, “Time reversal of ultrasonic fields—Part III: Theory of the closed time-reversal cavity,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 39, no. 5, pp. 579–592, Sep. 1992. [24] M. V. Klibanov and A. Timonov, “On the mathematical treatment of time reversal,” Inv. Prob., vol. 19, pp. 1299–1318, Oct. 2003. [25] A. J. Devaney and M. Oristaglio, “Inversion procedure for inverse scattering within the distorted wave born approximation,” Phys. Rev. Lett., vol. 51, pp. 237–240, Jul. 1983. [26] P. Kosmas and C. Rappaport, “Modeling with the FDTD method for microwave breast cancer detection,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1890–1897, Aug. 2004.

2323

[27] X. Xu, E. L. Miller, and C. M. Rappaport, “Minimum entropy regularization in frequency-wavenumber migration to localize subsurface objects,” IEEE Trans. Geosci. Remote Sens., vol. 41, no. 8, pp. 1804–1812, Aug. 2003. [28] G. Montaldo, M. Tanter, and M. Fink, “Real time inverse filter focusing through iterative time reversal,” J. Acoust. Soc. Amer., vol. 115, no. 2, pp. 768–775, Feb. 2004.

Panagiotis Kosmas (S’03–M’05) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece in 1999, and the M.S. and Ph.D. degrees in electrical engineering from Northeastern University, Boston, MA, in 2002 and 2005, respectively. From January 2000 to February 2005, he was a Research Assistant with the Department of Electrical Engineering and the Center for Subsurface Sensing and Imaging Systems, Northeastern University. Since April 2005, he has been a Post-Doctoral Research Associate with the Wireless Communications Research Group, Loughborough University, Loughborough, U.K. His research interests include computational electromagnetics, and the finite-difference time-domain method in particular, antenna modeling and design, as well as inverse problems and signal-processing techniques.

Carey M. Rappaport (S’80–M’87–SM’96) received the S.B. degree in mathematics, the S.B., S.M., and E.E. degrees in electrical engineering, and the Ph.D. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1982, 1982, 1982, 1982, and 1987, respectively. From 1981 to 1987, he was a Teaching and Research Assistant with MIT. During the summers from 1981 to 1987, he was with COMSAT Laboratories, Clarksburg, MD, and The Aerospace Corporation, El Segundo, CA. In 1987, he joined the faculty of Northeastern University, Boston, MA, where, since July 2000, he has been a Professor of electrical and computer engineering. During Fall 1995, he was a Visiting Professor of electrical engineering with the Electromagnetics Institute, Technical University of Denmark, Lyngby, Denmark, as part of the W. Fulbright International Scholar Program. He has consulted for Geo-Centers Inc., PPG Inc., and several municipalities on wave propagation and modeling, and microwave heating and safety. He was Principal Investigator of an Army Research Office (ARO)-sponsored Multidisciplinary University Research Initiative on Demining and Co-Principal Investigator of the National Science Foundation (NSF)-sponsored Center for Subsurface Sensing and Imaging Systems (CenSSIS) Engineering Research Center. He has authored over 200 technical journal and conference papers in the areas of microwave antenna design, electromagnetic-wave propagation and scattering computation, and bioelectromagnetics. He holds two reflector antenna patents, two biomedical device patents, and three subsurface sensing device patents. Prof. Rappaport is a member of Sigma Xi and Eta Kappa Nu. He was the recipient of the1986 IEEE Antenna and Propagation Society (AP-S) H. A. Wheeler Award for the best applications paper as a student.

2324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Novel Compact Parallel-Coupled Microstrip Bandpass Filters With Lumped-Element -Inverters

K

Yo-Shen Lin, Member, IEEE, Chi-Hsueh Wang, Chao-Huang Wu, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Novel compact parallel-coupled microstrip bandpass filters are proposed by using additional lumped inductors to realize -inverters between coupled-line sections to achieve an equivalent to the quarter-wavelength ( 4) resonator filters. As a result, the filter order can be doubled without increasing the circuit area, and no repeated passband is observed at twice the center frequency. In addition, by introducing the cross-coupling effect, two transmission zeros at upper and lower stopbands may be created. Simple equivalent-circuit models are also established as effective design tools. Specifically, several compact fourth-order microstrip bandpass filters with good stopband rejection are implemented and carefully examined. Index Terms—Bandpass filter, coupled line, lumped element, microstrip.

I. INTRODUCTION

B

ANDPASS filters are essential building blocks for communication systems. Among various types of planar filters, the parallel-coupled bandpass filter exhibits the merits of simple synthesis procedure, good repetition, and a wider range of realizable fractional bandwidth [1], [2]. However, the conventional parallel-coupled microstrip bandpass filter suffers from the spu. This is due rious response at twice the center frequency to the unequal even- and odd-mode propagation constants for microstrip coupled-line sections [3]. Many studies have been reported to tackle this issue [3]–[10]. However, these techniques either rely on complex design/optimization procedures [3]–[8] or limit the filter to specific circuit configurations with substrate suspension [9] or ground-plane aperture [10]. Many studies on improving the performance of conventional parallel-coupled bandpass filters were also reported. In [11] and open stubs were introduced to [12], the shunt-connnected create transmission zeros at the stopband. In [13], the capacopen stubs were adopted for itively coupled gap and the creating transmission zeros such that the selectivity of the parallel-coupled bandpass filter can be improved. Recently, several microstrip bandpass filter designs based on resonators [14], [15] were proposed, which feature compact circuit size, as well as better stopband rejection with transmis. sion zeros and no spurious response at In our previous studies [16], [17], novel second-order parallel-coupled microstrip bandpass filters were proposed so as to Manuscript received April 2, 2004; revised August 22, 2004. This work was supported by the National Science Council of Taiwan, R.O.C., under Grant NSC 93-2219-E-002-021 and Grant NSC 93-2752-E-002-001-PAE. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850445

=

Fig. 1. Layout of the proposed fourth-order microstrip bandpass filter (w : s : ` : : : w s mm,

2 1 mm, = 0 3 mm, = 21 7 mm, = 3 8 mm, = 18 2 mm, = 0 7 mm, = 0 3 mm, 1 = 1 6 mm). `

:

d

:

g

:

`

:

= 35

integrate the merits of conventional parallel-coupled filters and resonator filters. In this paper, the microstrip filter structures in [17] are further extended, and novel compact fourthorder parallel-coupled bandpass filters with better stopband rejection are proposed. By introducing the via inductances between microstrip coupled-line sections for realizing the -inverters, along with the cross-coupling effect, the proposed filters may achieve two transmission zeros at upper and lower stopresonator bands. In addition, due to the equivalence to the . Although filter, these filters exhibit no repeated passband at requiring through-hole vias, as in the case of resonator filters, the filter size can be reduced by half and the merit of simple synthesis procedure is retained.

II. MICROSTRIP FILTER Fig. 1 shows the layout of the proposed parallel-coupled microstrip bandpass filter of fourth-order with lumped-element -inverters. Its equivalent-circuit model is shown in Fig. 2(a). Here, two metal-coated through-hole vias, as shunt inductors, are added between every two adjacent coupled-line sections of a conventional second-order parallel-coupled microstrip resonator bandpass filter. In this way, the open-ended resonators coupled in a conventional filter becomes two through the via inductance. In addition, the coupled-line sections are arranged like the modified parallel-coupled filter in [2] are such that two small gap-coupled series capacitances introduced between the open-ends of adjacent coupled-line secat its two tions. Here, the center coupled line is extended by open ends to achieve the required gap-coupled capacitances. provides a cross-coupled path As illustrated in [17], along which the signal would cancel the one traveling along the main path of the filter at two frequencies such that the overall filter response has two transmission zeros. The locations of the transmission zeros can be adjusted by varying the amount of . cross-coupling through changing

0018-9480/$20.00 © 2005 IEEE

LIN et al.: NOVEL COMPACT PARALLEL-COUPLED MICROSTRIP BANDPASS FILTERS

2325

Fig. 2. (a) Equivalent-circuit model for the fourth-order bandpass filter in Fig. 1. (b) and (c) Equivalent-circuit models to illustrate the equivalence between (a) and the end-coupled =4 resonator filter of fourth order when C = 0 and   =2 = =2.

0

By neglecting the cross-coupling effect first, i.e., , the equivalence between the filter in Fig. 1 and the convenresonator filter around the passband fretional fourth-order quencies can be demonstrated in Fig. 2. Here, the open-ended may be modeled by a -incoupled-line section of length verter along with two transmission-line sections of characterand length at its two ends [1], [18]. Conistic impedance sider a symmetric coupled line with homogeneous medium, the relationship between the coupled-line even- and odd-mode imand , with the -inverter value may be pedances, i.e., obtained as in [18]. In addition, the shunt inductor , together with two transmission-line sections of characteristic impedance and length at its two ends, may be equivalent to a -in, the resulted equivaverter [19]. By letting lent-circuit model in Fig. 2(c) is then equivalent to a fourth-order resonator bandpass filter. Therefore, by introend-coupled ducing the additional shunt to realize the -inverter, the order of the filter is doubled without increasing the circuit area. By noting that the signal through the cross-coupled path is substantially less than the one through the main path [17], the design of the proposed filter can be simplified by first neglecting . The design procedure the cross-coupled effect, i.e., is summarized as follows. First, according to the specified center , frequency , 3-dB bandwidth, and reference impedance the required - and -inverter values in Fig. 2(c) are obtained through the conventional filter synthesis techniques for a resonator bandpass filter [19]. Second, the corresponding coupled-line impedances are determined from the -inverter values, are determined according to the -inand the value and verter values based on [19]. The required coupled-line length is then obtained by . Third, the cross-coupled is included and its value is chosen for the desired element locations of transmission zeros. In this study, all the circuits are fabricated on a Rogers RO4003c substrate ( , , and thickness

Fig. 3. Measured and simulated results for the fourth-order microstrip bandpass filter in Fig. 1. (a) Narrow-band and (b) wide-band frequency responses.

mm), and the simulations are done by Ansoft’s High Frequency Structure Simulator (HFSS). A microstrip bandpass of 2 GHz, a 3-dB filter for Fig. 1 is designed with an of 50 based on the fourth-order bandwidth of 10%, and a maximally flat response. The corresponding circuit parameters are obtained as follows. • • • •

. . at 2 GHz. nH.

The geometrical parameters of the coupled-lines are obtained by fine tuning in HFSS, and are given in Fig. 1. The via-diameter is determined by the required value based on the closed-form expressions in [20]. The measured and simulated results are shown in Fig. 3. Good agreement between them is obtained, except for is at 1.97 GHz, with a some frequency shift. The measured minimum insertion loss of 2.34 dB and a 3-dB bandwidth of 11%. Two transmission zeros are observed, as expected. The stopband rejection is greater than 30 dB below 1.55 GHz and . The from 2.55 to 5.13 GHz with no repeated passband at return-loss response is somewhat different from that of the typical maximally flat response, mainly due to the deviation in characteristics between microstrip coupled-line structures and the ideal coupled-line sections. The total length of this filter is ap, which is much smaller than the conventional proximately long. fourth-order parallel-coupled bandpass filter that is For the conventional parallel-coupled bandpass filter design, may be established by using sections a filter of order

2326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 4. Layout of the proposed reduced-size microstrip bandpass filter of fourth order (w = 2:75 mm, s = 1:9 mm, ` = 18 mm, w = 3:85 mm, s = 1:5 mm, d = 1:1 mm, d = 1:1 mm, g = 3:5 mm, and  = 45 ).

of coupled lines. Based on the proposed filter structure, with the additional lumped-element -inverter added between coupled-line sections, a filter composed of coupled-line sections each of approximately long can realize an order of . In addition, since , each of the coupled-line such sections in the proposed filter is slightly shorter than that the filter size is quite compact compared to the conventional ones.

Fig. 5. (a) Equivalent-circuit model for the fourth-order bandpass filter in Fig. 4. (b) and (c) Equivalent-circuit models to illustrate the equivalence between (a) = 0 and and the end-coupled =4 resonator filter of fourth-order when C  =2 =   =2 = =2. 

0

0

III. FILTER SIZE REDUCTION A more compact filter structure can be achieved by introducing additional shunt inductors at the two ends of the proposed filter structures in Section II. Shown in Fig. 4 is the layout of the reduced-size fourth-order microstrip bandpass filter. The corresponding equivalent-circuit models are shown in Fig. 5, which demonstrate the equivalence to the conventional fourthresonator filter when and order . Therefore, the design of this filter may follow a similar procedure, as in Section II. Note that with the two shunt implemented by shorted stubs at input and output inductors ports to realize the -inverters, a filter based on Fig. 4 comcoupled-line sections each of approximately posed of long may achieve an order of . Therefore, the filter size may further be reduced for a given filter order. As shown in Fig. 5(a), two transmission lines of lengths besides the center inductor are now required for this filter to achieve the equivalence to the conventional resonator filter. Therefore, to maintain the desired amount of cross-coupling through the gap-coupled capacitance, the two coupled-line sections are bended by an angle in Fig. 4. One may suitably adjust the amount of cross-coupling by varying . A microstrip bandpass filter for Fig. 4 with the bended angle is designed with an of 2 GHz, a 3-dB bandwidth of 50 . The circuit parameters are obtained of 10%, and a based on the fourth-order maximally flat response as follows. • . at 2 GHz. • • at 2 GHz. nH. • nH. • The corresponding geometrical parameters are given in Fig. 4. The measured and simulated results are shown in Fig. 6. Good agreement between them is observed, except for the frequency

Fig. 6. Measured and simulated results for the fourth-order microstrip bandpass filter in Fig. 4 with  = 45 and s = 1:9 mm. (a) Narrow-band and (b) wide-band frequency responses.

shift. Measured is at 1.99 GHz with a minimum insertion loss of 1.94 dB and a 3-dB bandwidth of 14%. Two transmission zeros are observed, as expected. The stopband rejection is better than 35 dB below 1.74 GHz and from 2.29 to 5.66 GHz. The , making the filter total length of the filter is a little less than size less than half of the conventional one of the same order. Fig. 7 shows the results of another filter based on Fig. 4 with for achieving larger gap-coupled the bended angle

LIN et al.: NOVEL COMPACT PARALLEL-COUPLED MICROSTRIP BANDPASS FILTERS

Fig. 7. Measured and simulated results for the fourth-order microstrip bandpass filter in Fig. 4 with  = 60 and s = 2:2 mm.

capacitance. The gap spacing is also slightly adjusted for is at 1.99 GHz better impedance matching. The measured with a minimum insertion loss of 1.4 dB and a 3-dB bandwidth of 12.4%. Compared with Fig. 6, the two transmission zeros as expected, such that a sharper falloff are much closer to rate at passband edges is obtained at the expense of decreasing stopband rejection. All the measured responses in this study are observed to drift toward lower frequencies when compared with the simulated ones, possibly because of the deviations in dielectric constant and substrate thickness. Regardless, agreements between measured results and desired specifications are good, in general. Although requiring vias in the fabrication process, the proposed filters possess the merits of more compact size, better selectivity, good stopband rejection, and simple synthesis procedure. In addition, based on the proposed equivalent-circuit models, the filter structures in Sections II and III can easily be extended to realize higher order filters for improved selectivity. IV. CONCLUSION In this study, a class of novel parallel-coupled microstrip bandpass filters with lumped-element -inverters has been proposed and carefully examined. By introducing additional -inverters, along with the lumped inductors to realize cross-coupling effect, the proposed bandpass filters exhibit two transmission zeros at upper and lower stopbands, and have the . Based on the proadvantage of no repeated passband at posed equivalent-circuit models, the design of proposed filters may simply follow the conventional filter synthesis techniques. The locations of transmission zeros may easily be adjusted by varying the gap-coupled capacitance. In addition, the size of the proposed filter is only about half that of the conventional parallel-coupled bandpass filter. The proposed filters are useful for applications in the communication systems when high selectivity and good stopband rejection are required. REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [2] C.-Y. Chang and T. Itoh, “A modified parallel-coupled filter structure that improves the upper stopband rejection and response symmetry,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 310–314, Feb. 1991.

2327

[3] B. Easter and K. A. Merza, “Parallel-coupled-line filters for inverted-microstrip and suspended-substrate MICs,” in Proc. 11th Eur. Microwave Conf., 1981, pp. 164–167. [4] A. Riddle, “High performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microwave Symp. Dig., 1988, pp. 427–430. [5] I. J. Bahl, “Capacitively compensated high performance parallel coupled microstrip filters,” in IEEE MTT-S Int. Microwave Symp. Dig., 1989, pp. 679–682. [6] T. Lopetegi, M. A. G. Laso, J. Hernández, M. Bacaicoa, D. Benito, M. J. Garde, M. Sorolla, and M. Guglielmi, “New microstrip ‘wiggly-line’ filters with spurious passband suppression,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1593–1598, Sep. 2001. [7] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [8] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003. [9] J.-T. Kuo, M. Jiang, and H.-J. Chang, “Design of parallel-coupled microstrip filters with suppression of spurious resonances using substrate suspension,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 83–89, Jan. 2004. [10] M. D. C. Velazquez-Ahumada, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [11] J.-R. Lee, J.-H. Cho, and S.-W. Yun, “New compact bandpass filter using microstrip =4 resonators with open stub inverter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [12] L. Zhu and W. Menzel, “Compact microstrip bandpass filter with two transmission zeros using a stub-tapped half-wavelength line resonator,” IEEE Microw. Wireless Compon. Lett, vol. 13, no. 1, pp. 16–18, Jan. 2003. [13] Y.-M. Yan, Y.-T. Chang, H. Wang, R.-B. Wu, and C. H. Chen, “Highly selective microstrip bandpass filters in Ka-band,” in Proc. 32th Eur. Microwave Conf., 2002, pp. 1137–1140. [14] C.-C. Chen, Y.-R. Chen, and C.-Y. Chang, “Miniaturized microstrip cross-coupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 120–131, Jan. 2003. [15] C.-Y. Chang and C.-C. Chen, “A novel coupling structure suitable for cross-coupled filters with folded quarter-wave resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 517–519, Dec. 2003. [16] Y.-S. Lin and C. H. Chen, “Novel balanced microstrip coupled-line bandpass filters,” in URSI Int. Electromagnetic Theory Symp., 2004, pp. 567–569. [17] C.-H. Wang, Y.-S. Lin, and C. H. Chen, “Novel inductance-incorporated microstrip coupled-line bandpass filters with two attenuation poles,” in IEEE MTT-S Int. Microwave Symp. Dig., 2004, pp. 1979–1982. [18] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [19] G. L. Mattaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [20] A. E. Ruehli, “Inductance calculations in a complex integrated circuit environment,” IBM J. Res. Develop., vol. 16, pp. 470–481, Sep. 1972.

Yo-Shen Lin (M’04) was born in Taipei, Taiwan, R.O.C., in 1973. He received the B.S. and M.S.E.E. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1996, 1998, and 2003, respectively. From 1998 to 2001, he was with the Acer Communication and Multimedia Inc., Taipei, Taiwan, R.O.C., where he was involved with the design of global system for mobile communications (GSM) mobile phones. In 2001, he joined the Chi-Mei Communication System Inc., Taipei, Taiwan, R.O.C. where he was involved with the design of low-temperature co-fired ceramic (LTCC) RF transceiver modules for GSM mobile applications. He is currently an Assistant Professor with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of planar microwave circuits and RF transceiver module for wireless communication systems.

2328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Chi-Hsueh Wang was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

Chao-Huang Wu was born in Taoyuan, Taiwan, R.O.C., in 1980. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include the design and analysis of microwave filter circuits.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering at National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuit analysis and computational electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2329

Compact EBG In-Phase Hybrid-Ring Equal Power Divider Ban-Leong Ooi, Senior Member, IEEE

Abstract—A novel electromagnetic-bandgap (EBG) in-phase hybrid-ring equal power divider is described. Coupled with the closed-form analytical expressions for the EBG structure, a systematic technique of design is presented for the first time. Compared to the conventional hybrid-ring equal power divider, based on the 15-dB return-loss criteria, an increase in both the input and output impedance bandwidth of approximately 10% from a starting frequency of 2.5 GHz, and a phase error of 0.006 within the passband have been achieved for the proposed structure. The proposed in-phase hybrid-ring equal power divider, besides providing a much broader bandwidth and occupying a smaller estate area, also possesses good harmonic suppression characteristic. Index Terms—Hybrid rings, in-phase equal power divider.

I. INTRODUCTION

O

WING TO the simplicity in design, wide bandwidth in power-dividing distribution, and high isolation between different ports, the in-phase hybrid-ring equal power divider is the key component in the design of microwave devices such as power amplifiers, mixers, and antenna systems. The in-phase hybrid-ring equal power, also known as the Gysel power divider ring impedance [1]–[3], usually assumes the shape of a transformer. The Gysel power divider can be regarded as an equal Wilkinson power divider terminated with transmission stub load. Due to these large electrical lengths of transmission elements, the Gysel power divider generally occupies a significant amount of circuit estate area. Modern wireless communication systems are continuously demanding smaller device size in order to meet circuit miniaturization and cost reduction. Thus, size reduction is becoming a major design consideration for practical application. Even in the low microwave frequency range, the physical size of the in-phase hybrid-ring equal power divider is still too large for some applications. Therefore, attempts are continually being made to reduce its size [4], [5]. Although there have been numerous reported papers on using electromagnetic-bandgap (EBG) cells for size reduction, to date, most of the methods of designing the EBG circuitry [5], [6] have been a trial-by-error parametric variation of the EBG unit cell structure through a full-wave electromagnetic simulation. This method does not provide any clear analytic review of the effect of geometrical dimensions of the EBG structure on the overall circuit’s performance. Besides being slow in convergence and tedious in designing, it cannot be easily linked with any commercial circuit optimization simulator. It is thus the

Manuscript received July 25, 2004; revised January 20, 2005. The author is with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 119260 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850447

Fig. 1. (a) Typical EBG unit cell. (b) Lossless equivalent circuit.

intention of this paper to explore a more systematic method of approach of using the EBG cells into the in-phase hybrid-ring equal power-divider design. For the first time, closed-form analytical expressions that relate the EBG unit cell physical dimension to the equivalent circuit are given. Compared to the conventional in-phase hybrid-ring equal power divider, a 10% increase in both the measured input and output impedance bandwidth from a starting frequency of 2.5 GHz and a smaller estate area have been achieved. In addition, the derived circuitry also possesses good harmonic-suppression capability. II. EBG MODELING The adopted EBG unit cell, which is the microstrip version of [6], and its corresponding equivalent circuit are presented in Fig. 1(a) and (b). Compared to a conventional microstrip line, the EBG unit cell provides an increase of effective inductance and capacitance along the microstrip line, thus leading to slow-wave propagation along the line. In here, we would like to exploit this slow-wave characteristic toward circuit size reduction. As noted from Fig. 1, in order to physically design the EBG unit cell and be able to incorporate it in the in-phase hybrid-ring equal power-divider circuit-design optimization, a set of closed-form analytical expressions relating the lossless equivalent-circuit parameters in Fig. 1(b) to the geometrical dimension of the EBG unit cell is thus necessary.

0018-9480/$20.00 © 2005 IEEE

2330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

and

Fig. 2. Division of the total capacitance of the EBG’s finger into various capacitances.

For the ease of demonstration, quasi-static analysis is derived in this paper. By symmetry, one only needs to consider the lefthalf of the EBG structure. The approach used here is to divide the total capacitance into its various basic capacitances. The total capacitance of the EBG’s finger is first divided into its corresponding per unit length parallel-plate, fringe, and gap capacitances. The respective per unit length parallel-plate, fringe, and gap capacitances are illustrated in Fig. 2. Comparing Fig. 1(b) with Fig. 2, one would arrive at (1) (2) and

(10) In (7), the first term corresponds to the total capacitance of a single microstrip line in air, whereas the second term is the total capacitance of an air-filled single stripline of the same width . The factor 2 in the second term of (2) is to account for the fringe field on the two sides of the coupled lines in Fig. 2. In general, the contribution may be considered rigorously using the dielectric filling factor, but here, we have adopted an averaging process to simplify the calculation as the region of interest is and instead of and now confined only between . is computed from the average of the difference between the odd- and even-mode capacitances of the symmetrical coupled striplines and is given as (11)

(3) represents the capacitance for the field in the air The region and is evaluated through conformal mapping to give

where (12)

(4) (13)

where

(5)

(14) (15)

and

(16) (6)

Accurate evaluation for the ratio is available in [7]. The first term of (4) is taken from [8]. in (4) is needed to account for one side of the fringe capacitance in the air and is approximated as half of the difference between the total capacitance of a microstrip line filled in air and the single stripline air-filled capacitance. This expression is given as

(17) (18)

(8)

subscript or stands for left- and right-hand-side circuit is the speed of light. Each of the odd- and even-mode and capacitances is derived from the conformal mapping following [8]. , which corresponds to the unbounded The capacitance , edge fringe capacitance of a single microstrip line of width is approximated as half of the difference between the total capacitance of a microstrip line filled in air and its inherent parallel-plate capacitance. It is given

(9)

(19)

(7) where

OOI: COMPACT EBG IN-PHASE HYBRID-RING EQUAL POWER DIVIDER

2331

and (20), shown at the bottom of this page. The bounded edge , where or , is computed from fringe capacitance the difference of the even-mode asymmetrical coupled stripline, the unbounded edge fringe capacitance of a single stripline, and its parallel-plate capacitance. It is expressed as (21) where (22) (23) and Fig. 3. (a) Even- and (b) odd-mode equivalent circuit.

(24) The first term of (21) is evaluated from (15). For ease of computation, all the inductances in Fig. 1(b) are derived from the matrix of a single transmission line and is expressed as

where

,

, , and are the -matrix element, and , one can, respectively, obtain the effective characteristic impedance and propagation constant as (30) and

(25) The bandstop and bandpass region of the EBG cell can be determined from the unit length transmission parameters found in matrix, as in [9], [9]. In this paper, instead of using the one prefers to relate the effective characteristic impedance and the effective propagation constant to the odd- and even-mode and , of Fig. 1(b). Since input impedances, namely, (26) (27) (28)

(29)

(31) The odd- and even-mode input impedances are evaluated from Fig. 3(a) and (b) and are, respectively, shown in (32) and (33) at the bottom of this page. III. PROPOSED DESIGN For comparison, the design of [3] has been adopted and is reproduced in Fig. 4(a). Fig. 4(b) depicts the proposed EBG structure. Ports 3 and 2 in this figure provide the equal power signal, whereas ports 4 and 5 are the termination ports for matching. For convenience and simplicity in optimization and design, we have chosen two types of EBG unit cells along ports 2 and 3, and one type of EBG cells along ports 4 and 5. The reason for using two types of EBG unit cells is to provide better matching at port 1. The vertical oriented EBG unit cell along ports 2 and 3 will serve as the required stub matching for port 1. Since ports 4 and 5 are the termination ports for matching ports

(20)

(32)

(33)

2332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 4. (a) Conventional in-phase hybrid-ring equal power divider. (b) Proposed structure (all units are in millimeters and the port’s label are included).

2 and 3, the length from ports 3 to 4 or ports 2 to 5 is already sufficient to provide the required matching. Hence, one type of EBG unit cell is needed along ports 4 and 5. The systematic design technique for incorporation of EBG unit cells would thus involve the following three vital steps. Step 1) The circuit optimization of the capacitances and inductances of Fig. 4(b) when all the EBG unit cells in Fig. 4(b) are replaced by the equivalent circuit of Fig. 1(b). Step 2) The optimization of the physical geometrical di, , , , ) using (1)–(25), mensions (e.g., and the derived capacitances and inductances from step 1). Step 3) The final circuit’s performance simulation using the derived geometrical dimensions. Two steps of optimization can easily be performed in any commercial computer-aided design (CAD) simulator, and here, the Agilent ADS has been adopted. To keep the unknown to a relative within each low number, we have imposed that EBG unit cells and, except for EBG stub matching, no protrusion of the EBG’s fingers from the main transmission line is allowed. Each of these EBG unit cells are optimized separately using the derived capacitances and inductances values from the earlier step. Several optimization objective functions, namely,

Fig. 5. (a) Typical normalized phase-constant comparison of cascaded EBG unit cells (all units are in millimeters). (b) Typical normalized attenuation constant comparison of cascaded EBG unit cells.

where

is, respectively, (1)–(4), (11)–(12), (15)–(19), and is taken from step 1) optimization, and is, respectively, (25), and are separately implemented in the ADS schematic. Fig. 5(a) and (b) provides a typical comparison of the normalized phase constant and normalized attenuation constant variations with a different cascaded unit of EBG cells. These results are computed from (26)–(31) using the -parameters of the EBG unit cells generated from IE3D software. With an increase number of EBG unit cells, a much flatter response and a lower value of effective permittivity is obtained. The bandstop , is also noted to shift region, which is determined by toward the lower frequency range with an increase in EBG unit cells. These observed properties can greatly be used for localized broad-banding of the circuit’s performance and attenuating waves at the unwanted ports.

(34)

IV. RESULTS AND DISCUSSIONS

(35)

All the structures, as shown in Fig. 4(a) and (b), are fabriand a cated on a Duroid substrate with permittivity thickness of 20 mil. The design frequency is centered at 4 GHz.

and

OOI: COMPACT EBG IN-PHASE HYBRID-RING EQUAL POWER DIVIDER

Fig. 6.

2333

Conventional power divider and the proposed prototype.

Fig. 7. Comparison of the measured results of the conventional design [3] and the newly proposed design.

The photographs of the fabricated prototype and conventional power divider are given in Fig. 6. Fig. 7 compares the measured responses of the proposed from in-phase hybrid-ring equal power divider and design [3]. As noted from this figure, based on the 15-dB return-loss criteria, an increase in both the input and output impedance bandwidth of approximately 10% (from a starting frequency of 2.5 GHz) have been achieved. The proposed design is able to achieve an input impedance bandwidth of approximately 49.9% and an output impedance of approximately 42%. In addition, the presence of the EBG cells helps to suppress the higher order harmonics occurring between 10–14 GHz. Since the physical length of the EBG unit cell is small and the overall length of the proposed structure is shorter than the conventional power divider, one should expect a lower or similar insertion loss as the conventional power divider. This is clearly evident in Fig. 7. Fig. 8(a) provides the comparison of the circuit simulation and the measured response of the newly proposed design. As noted from this figure, except for the shift in frequency, the proposed methodology can indeed provide a reasonable prediction on the performance of the proposed in-phase hybrid-ring equal power divider. The shift in frequency is mainly due to the fact that we have only used the quasi-static value and have ignored the junction effect during the circuit computation.

Fig. 8. (a) Comparison of the circuit simulation results with the measured response. (b) Measured phase error of the proposed power divider.

Fig. 8(b) depicts the measured phase error within the passband of the newly proposed power divider. As shown from this figure, a phase error of approximately 0.006 has been achieved. V. CONCLUSION In conclusion, a novel EBG in-phase hybrid-ring equal power divider has been described. Several quasi-static analytical expressions have been formulated to provide a systematic methodology for EBG circuitry design. Instead of the often tedious and expensive full-wave electromagnetic simulation, the circuit optimization simulator can be integrated together with the derived expressions for efficient and fast design of the compact EBG in-phase hybrid-ring equal power divider. REFERENCES [1] G. F. Mikucki and A. K. Agrawal, “A broad-band printed circuit hybrid ring power divider,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 1, pp. 112–117, Jan. 1989. [2] W. Mizera, “A novel broad-band in-phase power divider,” in Proc. Microwellen und Optronik, Sindelfingen, Germany, Apr. 22–24, 1997, pp. 433–436. [3] B. L. Ooi, W. Palei, and M. S. Leong, “Broad-banding technique for in-phase hybrid ring equal power divider,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1790–1794, Jul. 2002.

2334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

[4] W. S. Tung, H. H. Wu, and Y. C. Chiang, “Design of microwave wide-band quadrature hybrid using planar transformer coupling method,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1852–1856, Jul. 2003. [5] K. M. Shum, Q. Xue, and C. H. Chan, “A novel microstrip ring hybrid incorporating a PBG cell,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 258–260, Jun. 2001. [6] J. Sor, Y. X. Qian, and T. Itoh, “Miniature low-loss CPW periodic structures for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2336–2341, Dec. 2001. [7] W. Hilberg, “From approximation to exact relations for characteristic impedances,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 5, pp. 259–265, May 1969. [8] K. C. Gupta, R. Garg, and I. J. Bahl, Microstrip Lines and Slotlines. Norwood, MA: Artech House, 1979, pp. 310–311. [9] R. E. Collin, “Periodic structure and filters,” in Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992, ch. 8.

Ban-Leong Ooi (M’91–SM’04) received the B.Eng. and Ph.D. degrees from the National University of Singapore, Singapore, in 1992 and 1997, respectively. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, National University of Singapore. He is the past Director of the Centre of RF and Microwaves. He also serves as the Deputy Director for the Monolithic Microwave and Integrated Circuit (MMIC) and Packaging Laboratory and the Supervisor for the Microwave Laboratory, National University of Singapore. His main research interests include active antennas, microwave semiconductor device modeling and characterization, microwave and millimeter-wave circuits design, and novel electromagnetic numerical methods. Dr. Ooi served as secretary for the IEEE Microwave Theory and Techniques (MTT)/Electromagnetic Compatibility (EMC)/Antennas and Propagation (AP) Singapore Chapter in 2000 and 2001, vice-chairman in 2002 and 2003, and chairman from 2004 to present. He has been actively involved in organizing both the 1999 Asia–Pacific Microwave Conference, Singapore, the 2003 Progress in Electromagnetic Research Symposium in Singapore, the 2003 Singapore IME/I R/IEEE MTT Joint Ultra-Wideband (UWB) Evening Panel Session, the 2005 International Workshop on Antenna Theory, and the 2006 EMC Zürich, Switzerland. He was the publication chairman for both the 1999 Asia–Pacific Microwave Conference, Singapore and the 2003 Progress in Electromagnetic Research Symposium. He was the sponsorship chairman for 2005 International Workshop on Antenna Theory and co-chairman for the 2006 EMC Zürich, Switzerland. He was a recipient of the 1993 International Scientific Radio Union (URSI) Young Scientist Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2335

Superconducting Spiral Wide Bandpass Filters With Wide Upper Stopband Frederick Huang

Abstract—Wide-band superconducting spiral bandpass filters have been successfully designed and tested. This extends the range of parameters that can be implemented using spirals. The shape of the spiral resonators was significantly modified to achieve the higher coupling coefficients required. A wide upper stopband was produced by using quarter-wave resonators for some of the spirals, and by shifting the second harmonic upwards for the others. One of the filters is eighth order with 1560-MHz center frequency and 27% bandwidth, and has an active area of only 9 mm 6 mm. Index Terms—Filters, microstrip, miniature, spirals, superconducting, wide-band.

I. INTRODUCTION

S

UPERCONDUCTORS are obviously useful for low-loss miniature filters. For a given order, narrow-band filters require a higher unloaded quality factor ( ) than wide-band filters. However, for a given width of the transition region, the required filter order increases with bandwidth so the required also increases and superconductors may also be required for wider bandwidths. Spirals have emerged as one of the most compact resonators [1]. They are competitive in terms of both against hair-pins [2], [3], including those which size and have stepped impedance and are folded into a quarter of their total length, making them less than one-eighth-wavelength long. They are also better than meander lines [3], [4]. Superconducting spirals have been demonstrated for narrow-band filters [1], [5], and their use is now extended to devices with up to 27% bandwidth so that a single topology can be used for a wider range of parameters. However, the shape of the spirals has to be considerably modified to implement the larger coupling coefficients. Superconducting filters with much higher order have been demonstrated elsewhere [6], but the emphasis there was neither for wide bandwidth, nor for compactness. Spiral filters might also be extended to very high order in the future. Another example of spiral superconductors is [7], where the electromagnetic (EM) fields are tightly confined, making coupling small, so that very narrow bandwidths can be implemented. Spiral shapes for a large coupling coefficient will first be described, followed by two filters that were designed and measured. Most of the account is qualitative, since fields are threeManuscript received August 22, 2004; revised January 7, 2005. This work was supported by the Engineering and Physical Sciences Research Council (U.K.) under Grant GR/S60471/01. The author is with the Department of Electronic, Electrical, and Computer Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850434

dimensional and not easily analyzed, except by computer simulation, and also the quantitative aspects have already been established [1], [5], [8]. The first filter is a demonstration of superconducting filters with no specific application. The second is a prototype for the Jodrell Bank Observatory’s Lovell Radio Telescope. The passband covers more than one range of interest. It includes the neutral hydrogen radiation line at 1420 MHz, allowing for redshifted versions of this line, and the OH molecule resonance at 1666 MHz. There are also broad-band signals from thermal sources or synchrotron-like sources in quasars, for which the region of interest is any band not masked by terrestrial interference, including radars just below 1330 MHz and mobile telephone communications above 1730 MHz. A wide upper stopband was required, and due attention has been given to this extra need. Cooling to 20 K is already available for the low-noise amplifiers so the use of superconductors introduces no new burden. The ultra-compact design afforded by spirals is not very significant in this application, but may be useful elsewhere. This laboratory was also responsible for another superconducting design for the same purpose, but using a hairpin topology [9]. The existing design procedure was intended for narrow-band filters [5]. Since it includes an iterative optimization process, wide-band filters are just within reach so it has not yet been upgraded, except for a small improvisation, which is described for the second filter. II. RESONATORS WITH INCREASED EXTERNAL COUPLING The first and last resonators in a filter are connected to the input and output, respectively, and for wide-band filters, they is must have large external coupling coefficient (where [8]) in addition to large mutual the external quality factor coupling . Spiral resonators are illustrated in Fig. 1(a)–(c). For simplicity they are also shown uncoiled in Fig. 1(d)–(f). In Fig. 1(d), the resonator is approximately a quarter-wavelength long, with an open circuit at the right-hand side and a low (usually 50 ) to the left-hand side; the width impedance determines the ratio of characteristic impedances , which, in turn, controls . Since usually has to be an integer multiple of the simulation cell size, further trimming is provided by a capacitive stub. The resonator then has of to be inductive and, therefore, slightly longer than a quarter wavelength so that the overall load is real. Quarter-wavelength resonators have no second harmonic, as required for the wide upper stopband. The main bandwidth limitation is the comproto create a large mise between requirements of a large

0018-9480/$20.00 © 2005 IEEE

2336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 2. (a) Spiral not directly coupled to an input or output. It can be partially unwound: (b) to enhance coupling with an adjacent spiral or (c) to create coupling to a nonadjacent spiral. Potentials ( and ) and current directions (1/4 cycle later, arrows) are only for the discussion on the second harmonic.

+

Fig. 1. clarity.

(a)–(c) Input (or output) spirals. (d)–(f) Also shown unwound for

and a small so that, in the spiraled form, several turns are sufficiently close to an adjacent spiral to generate a large . A wider bandwidth is possible with the spiral shown in can be Fig. 1(b), shown opened out in Fig. 1(e). Here, made small for large , while is adjusted to determine the effective impedance of the nonuniform resonator. Again, the second harmonic is absent, while the third harmonic frequency is increased slightly in the case of filter 2. Fig. 1(c) is similar to Fig. 1(a), except that the capacitive stub is replaced by a capacitive transmission line, which is slightly shorter than a quarter wavelength. Stub and resonator together can be considered to be a half-wave resonator. This has been demonstrated elsewhere [3] in copper spiral filters. and usually cannot be varied indeCenter frequency pendently; this leads to a large number of simulations, but with present-day computers, it is no great difficulty. III. RESONATORS WITH INCREASED MUTUAL COUPLING The other resonators resemble Fig. 2. They have been stretched into rectangles to increase the interaction with adjacent spirals, and the linewidths have been reduced so that the line is confined near the sides of the rectangles, so as to be closer to the lines in adjacent spirals [3]. In the second harmonic field patterns (Fig. 2), currents flow in opposite directions in the inner and outer turns so the magnetic field in the middle of the spiral is very small; significant field exists only in between the turns. This reduces the inductance of the spiral in its second harmonic mode, and pushes its frequency from twice to between two-and-one-half and three times the fundamental, as required for a wide upper stopband. The more confined field might also assist in suppressing the second harmonic by lowering the and . The spiral can be partially unwound (Fig. 2) to enhance coupling with an adjacent spiral [see Fig. 2(b)] or create coupling [3] with a nonadjacent spiral [see Fig. 2(c)]. The effect is greater if the unwound part of the spiral is broader, as shown. If only some of the resonators are

0

unwound, their second harmonics will not be at exactly the same frequency; this may further help to reduce the unwanted response. The thin lines and the small number of turns of these spirals reduce the , maximum power, over-etch tolerance, and simulation accuracy, all of which depend on the mutual action of several spiral turns [1]. Fortunately, all of these are usually less critical in wide-band devices. (A major exception was mentioned in Section I.) A 1 mm 4 mm spiral with two-and-one-half turns and linewidth and line spacing each of 0.02 mm, was compared by simulations (similar to [3]) with the hairpin described in Section I, which is less than one-eighth-wavelength long. For equal frequency (approximately 1500 MHz) and equal minimum linewidth and gap, the hairpin is 1.02 mm 6.1 mm, thus, the spiral saves approximately 36% of the area. The spiral also has 50% larger , and the maximum available is 0.18 instead of 0.16. The second harmonic is almost as high as for the hairpin, at 4700 MHz instead of 5000 MHz. The apparently wasted space in the center of each spiral can be reduced if the dimensions are changed to 0.5 mm 5 mm, but maximum available is reduced to about three-quarters of its original value. Alternatively, linewidth could be doubled (to improve and fabrication tolerance), in which case, would be reduced by only one-tenth. In another variation, reducing the dimensions to 1 mm 2.5 mm, and doubling the number of turns in compensation, halves the available . IV. FILTER 1: 409 MHz The first example of a wide-band spiral filter is fourth order, has a center frequency of approximately 409 MHz, and has 26% bandwidth. It was intended to have a Chebyshev response with a maximum ripple of 0.1 dB, for which and ; the device is symmetrical. Using a magnesium oxide (MgO) substrate with an assumed dielectric constant of 9.65 and a thickness 0.5 mm, the filter was designed as in [5] and the layout is shown in Fig. 3. Spiral 1 is 1.95 mm 7.275 mm, and has five-and-one-quarter turns with a linewidth of 0.075 mm. Spiral

HUANG: SUPERCONDUCTING SPIRAL WIDE BANDPASS FILTERS WITH WIDE UPPER STOPBAND

2337

Fig. 5. LC equivalent of filter 2 (eighth order, center frequency 1560 MHz). The LC model used is incomplete and excludes couplings k and k . The above shows all the intentional couplings in the simulated design. Other couplings are weak, but may have a small effect.

Fig. 3. Layout of filter 1 (fourth order, 409-MHz center frequency). The left-hand-side half is shown as solid lines. It is not to scale to make the minimum linewidth visible.

resonators carry the most current, and are 220 000–300 000 at 20 K and 85 000–115 000 at 77 K. Despite the narrower linewidths, these values are better than in [1] and [5] because of the lower frequency. One rough indication of power-handling ability (all there was falls when input power time for) is the amount by which is increased to a certain level [5]. At 60 mW (18 dBm), the maximum for the existing measurement system, there was no measurable compression, i.e., less than approximately 0.03 dB at both 20 and 77 K. This is much better than [1] and [5], as expected because the filters with wider bandwidths do not need to store so much energy. V. FILTER 2: 1560 MHZ

Fig. 4. Simulated and measured response of Filter 1 (fourth order). Inset shows the passband magnified. Simulated s is mostly obscured by the measured response. The filter was measured at 20 K and is untuned.

2 has four-and-one-half turns, a linewidth of 0.025 mm, and overall dimensions of 1.75 mm 7.35 mm. To the outer end is added an inverted of width 0.075 mm, which wraps around spiral 1, leaving a gap of 0.025 mm. The gap between spirals 2 and 3 is 0.075 mm. Near the input is a capacitive pad measuring 3.45 mm 1.95 mm. The filter was simulated using a cell size of 0.025 mm so that the narrowest linewidths are only one cell wide. The results are given in Fig. 4, showing a successful design. The stopband zeroes are a fortuitous accident and are not under the control of the designer. The passband was also simulated using a finer edge cell size of 0.005 mm, giving a nearly identical result. The filter was fabricated, packaged, and measured as in [1] and [5]. The results at 20 K are shown in Fig. 4, showing excellent agreement. The passband loss is approximately 0.1 dB more than the simulated lossless case, and the center frequency and bandwidth are a close match. Accuracy is important because filter errors become larger as filter order increases. The upper stopband remains below 30 dB until 900 MHz. At 77 K (not shown), the center frequency is approximately 2 MHz lower, and the losses are almost 0.1 dB higher. Some of this apparent loss may be due to insufficient compensation for the effect of temperature on the cryostat cables. Unloaded ’s were found by replacing the external connections with weak couplings, as in [1]. This resulted in four peaks in the frequency response; in each case, the depends on which

A series LC equivalent-circuit model [8] with inductive-T couplers had earlier been arbitrarily chosen, but its better known parallel dual is given in Fig. 5. As an eighth-order 27% bandwidth symmetrical quasi-elliptic filter with approximately 0.04 dB ripple, it has nearest neighbor couplings and only one “cross”-coupling . Target values were . The center frequencies of spirals 1–4 are 1481.3, 1480.6, 1487.4, and 1488 MHz; for narrow-band filters, these would have been nearly equal. In using a symmetrical filter, some of the design flexibility is lost, but iterations are simpler, as there are fewer independent parameters. Using the same substrate as filter 1, resonators with 0.02-mm linewidth and line separations and dimensions of 1 mm 4 mm were initially chosen. The resulting design graphs for , based could be impleon pairs of resonators [5], showed that mented only by changing resonators 4 and 5 to 1 mm 5 mm, and using a gap of 0.015 mm. It later emerged that, in most other areas of the filter, linewidths could have been increased to perhaps 0.04 mm for easier fabrication. The whole filter was simulated. The sidelobe just to the left-hand side of the passband was very much higher than the corresponding side lobe to the right-hand side. This was , required to probably caused by a capacitive coupling for implement a negative coupling coefficient [5]. The capacitance has a different frequency dependence. Furthermore, only one cross-coupling has thus far been implemented in the LC model so it cannot be exactly fitted to the EM simulated data, which has other cross-couplings. These effects can be compensated by adding further capacitive links between resonators 2 and 4 and between 5 and 7. Thus, Fig. 5 shows all the intentional couplings included in the design to be simulated. The capacitive and were chosen by trial and error and repeated gaps for

2338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 6. Layout of filter 2. Solid lines show the left-hand-side half. Not to scale.

simulations to equalize sidelobe levels. The values of and are in the order of 0.02 so the trial-and-error stage is not a dominating part of the design effort. In contrast, other workers have successfully designed lower order filters almost entirely by EM simulations [10]. Further iterations, using the incomplete LC model together with curve fitting, as in [5], followed, concentrating on the passband. In the final circuit (Fig. 6), (see Fig. 1) was 0.22 mm, the gaps were 0.045, 0.070, 0.15, and 0.015 mm, and there were 2–3 turns per spiral. The eight spirals, excluding the empty border to separate the device from the box walls, cover an area of 9 mm 6 mm, similar to the hexagonal cross-sectional area of a subminiature A (SMA) fixing nut. Of some interest is the in Fig. 6, which is as nearly symmetrical as the coupling single-layer topology allows. The design required almost 20 simulations. First, when the need for and became known, the directions of some spirals had to be changed (clockwise to counterclockwise [5]) to implement the negative magnitudes. Second, the trial-and-error phase is not rapidly convergent; finally, even the LC curve fitting does not converge rapidly until a reasonably accurate design is obtained. Fig. 7 shows the final result based on a minimum cell size of 0.005 mm 0.01 mm, taking approximately 40 min per frequency. It appears unambitious with approximately 0.5-dB ripple, but this fine detail varies with settings such as whether the terminals are “deembedded” and whether the box and the input/output leads are made smaller to save computation time. The filter was fabricated and the measured results at 20 K are shown in Fig. 7. Minimum loss is approximately 0.1 dB. The 0.5-dB passband ripple is slightly large for the intended application. Judging by a previous device [5], improvements can be made with tuning screws, one above each spiral to adjust center frequency. Coupling coefficients would not be changed because of the very small gaps involved. With this limited tuning, reducing the ripple near the bottom of the passband tends to increase the ripple at the top end, but nevertheless, the ripple could probably be reduced to 0.35 dB. By placing alternate screws in one row and the other screws in a parallel row, separation between screws can be more than 2 mm, sufficient for commercially available screws. LC circuit calculations suggest that the order of 2 MHz of tuning is required, and single-resonator simulations show that a 1.1-mm-diameter screw would have to be placed 0.5 mm above the resonators, making it a rather delicate operation. Laser trimming [11] has not yet been investigated.

Fig. 7. Simulated and measured response (20 K, untuned) of Filter 2. Inset shows passband, magnified. In the main graph, the simulated curve is covered by the measured result for most of the frequency range.

The measured center frequency is within 10 MHz of the simulated value. The resonators can be tuned en masse by bringing the roof of the housing down to 0.8 mm above the spirals, but not for this device since the shift is in the wrong direction. Overall, agreement between simulation and measurements is good, including the asymmetric widths of the sidelobes and the wide stopband, which extends up to 4.5 GHz. At 77 K, loss increases by only approximately 0.1 dB. As with filter 1, power dependence was not measurable at either temperature. The unloaded ’s ranged from 65 000 to 120 000 at 20 K, and from 15 000 to 30 000 at 77 K. VI. CONCLUSION Wide-band superconducting spiral filters have been presented. Together with previous studies [5], [12], they suggest that fractional bandwidths from 0.85% to 27% are possible. This range may be extended in future. For the current designs, the upper stopband has been widened by controlling the second harmonic. ACKNOWLEDGMENT The author acknowledges and greatly appreciates the general technical assistance provided by C. Ansell, University of Birmingham, Edgbaston, Birmingham, U.K. REFERENCES [1] F. Huang, “Ultra-compact superconducting narrow-band filters using single-and twin-spiral resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 487–491, Feb. 2003. [2] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [3] F. Huang, L. Yue, and D. Gulati, “Compact copper microstrip filters with spiral resonators,” Microwave Opt. Technol. Lett., vol. 42, pp. 460–463, Sep. 2004. [4] M. Reppel, H. Chaloupka, and S. Kolesov, “Highly miniaturized superconducting lumped-element bandpass filter,” Electron. Lett., vol. 34, no. 9, pp. 929–930, Apr. 1998. [5] F. Huang and X. Xiong, “Very compact spiral resonator implementation of narrow-band superconducting quasi-elliptic filters,” in Proc. 33rd Eur. Microwave Conf., Munich, Germany, Oct. 2003, pp. 1059–1062.

HUANG: SUPERCONDUCTING SPIRAL WIDE BANDPASS FILTERS WITH WIDE UPPER STOPBAND

[6] G. Tsuzuki, Y. Shen, and S. Berkovwitz, “Ultra selective 22-pole, 10-transmission zero superconducting band filter surpasses 50-pole Chebyshev rejection,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, Jun. 2002, pp. 1963–1966. [7] G. L. H. Shipton, “Efficient computer design of compact planar bandpass filters using electrically short multiple coupled lines,” in IEEE MTT-S Int. Microwave Symp. Dig., Anaheim, CA, Jun. 1999, pp. 1547–1550. [8] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, p. 100, 433, 653. [9] Y. Li, M. J. Lancaster, F. Huang, and N. Roddis, “Superconducting microstrip wide band filter for radio astronomy,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 551–554. [10] C. K. Ong, L. Chen, J. Lu, C. Y. Tan, and B. T. G. Tan, “High-temperature superconducting bandpass spiral filter,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 407–409, Oct. 1999. [11] N. J. Parker, S. W. Goodyear, D. J. P. Ellis, and R. G. Humphreys, “Tuning superconducting microwave filters by laser trimming,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2002, pp. 1971–1974. [12] G. Zhang, F. Huang, and M. J. Lancaster, “Superconducting spiral filters with quasi-elliptic characteristic for radio astronomy,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 947–951, Mar. 2005.

2339

Frederick Huang was born in Singapore, in 1955. He received the B.A. degree in engineering science and D.Phil. degree from the University of Oxford, Oxford, U.K., in 1980 and 1984, respectively. His D.Phil research concerned surface acoustic wave (SAW) devices, mainly dot array pulse compressors. Since 1989, he has been a Lecturer with the University of Birmingham, Edgbaston, Birmingham, U.K. His previous research interests have included speech signal processing, including analog voice scramblers, the use of Langmuir–Blodgett films in SAW devices, low-loss SAW filter synthesis, superconducting delay-line filters including linear phase and chirp devices, slow-wave structures and superconducting quasi-lumped elements, and switched filters. His minor research interests have included microstrip and waveguide discontinuities. His current research interests are superconducting delay lines and spiral bandpass filters. Dr. Huang is a member of the Institution of Electrical Engineers (IEE), U.K.

2340

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Surface-Passivated High-Resistivity Silicon as a True Microwave Substrate Marco Spirito, Student Member, IEEE, Francesco Maria De Paola, Student Member, IEEE, Lis K. Nanver, Member, IEEE, Emanuele Valletta, Bifeng Rong, Behzad Rejaei, Leo C. N. de Vreede, Senior Member, IEEE, and Joachim N. Burghartz, Fellow, IEEE

Abstract—This paper addresses the properties of a surface-passivated (enhanced) high-resistivity silicon (HRS) substrate for use in monolithic microwave technology. The detrimental effects of conductive surface channels and their variations across the wafer related to the local oxide and silicon/silicon–dioxide interface quality are eliminated through the formation of a thin amorphous layer at the wafer surface. Without passivation, it is found that the surface channels greatly degrade the quality of passive components in HRS by masking the excellent properties of the bulk HRS substrate and by causing a spread in parameters and peak values across the wafer. Moreover, it is seen that the surface passivation leads to excellent agreement of the characteristics of fabricated components and circuits with those predicted by electromagnetic (EM) simulation based on the bulk HRS properties. This is experimentally verified for lumped (inductors and transformers) and distributed (coplanar waveguide, Marchand balun) passive microwave components, as well as for a traveling-wave amplifier, through which also the integration of transistors on HRS and the overall parameter control at circuit level are demonstrated. The results in this paper indicate the economically important possibility to transfer microwave circuit designs based on EM simulations directly to the HRS fabrication process, thus avoiding costly redesigns. Index Terms—High-resistivity silicon (HRS), inductors, Marchand balun, substrate passivation, transformers, traveling-wave amplifier (TWA).

I. INTRODUCTION HE RECENTLY demonstrated performance levels of SiGe HBTs [1] and RF/CMOS [2] have manifested the potentials of silicon technology in communications [3], automotive [4],

T

Manuscript received August 13, 2004. This work was supported in part by Infineon Technologies under a project. M. Spirito, B. Rejaei, L. C. N. de Vreede, and J. N. Burghartz are with the Laboratory of High-Frequency Technology and Components, Delft Institute of Microelectronics and Submicrontechnology, Delft University of Technology, Delft 2600 GB, The Netherlands (e-mail: [email protected]). F. M. De Paola is with the Department of Electronics and Telecommunications Engineering, University of Naples “Federico II,” 80125 Naples, Italy (e-mail: [email protected]). L. K. Nanver is with the Laboratory of Electronic Components, Technology and Materials, Delft Institute of Microelectronics and Submicrontechnology, Delft University of Technology, Delft 2600 GB, The Netherlands (e-mail: [email protected]). E. Valletta was with the Laboratory of Electronic Components, Technology and Materials, Delft Institute of Microelectronics and Submicrontechnology, Delft University of Technology, Delft 2600 GB, The Netherlands. He is now with Advanced Control Process, 82100 Benevento, Italy (e-mail: [email protected]). B. Rong is with the Nanofacility, Delft Institute of Microelectronics and Submicrontechnology, Delft University of Technology, Delft 2600 GB, The Netherlands. Digital Object Identifier 10.1109/TMTT.2005.850435

and broad-band [5] applications. This, however, brings several technological issues in focus that may form bottlenecks, in particular the considerable losses in the conventional silicon cm substrates [6]. Currently, resistivities of at most 10–20 [low-resistivitysilicon(LRS)]arebeingused.Thiscorrespondsto conductivities that lead to considerable substrate losses and, thus, to excessive attenuation of integrated transmission lines [7] and reduced quality factors of on-chip inductors [8]. III–V-based processes have ideal substrates in that respect, but these lack other important properties such as high thermal conductivity and high and frequency-independent permittivity that qualify silicon as a true microwave substrate [7]. High-resistivity silicon (HRS) has, therefore, been investigated for use in integrated circuit fabrication processes. Two major obstacles have, however, been identified, which are: 1) since bulk losses are nearly eliminated, losses associated with parasitic surface channel formation become noticeable [9], [10] and 2) the electrical isolation of integrated devices becomes difficult due to the wide space–charge regions associated with the very light doping level of the HRS. A solution for the latter issue has been reported for CMOS device integration [12], but was not yet demonstrated for the integration of bipolar transistors. The first issue is new in silicon technology, as far as high-frequency (HF) applications go. Note though that so-called surface channel stoppers have been used in silicon integration processes for a long time to improve the electrical isolation of integrated devices through additional doping at the wafer surface in between devices. That concept, however, is not applicable for the integration of HF passive components since additional doping would give an even stronger contribution to the substrate losses. One, therefore, has to explore other ways to suppress surface-channel formation in HRS because they can considerably add to the losses of integrated passive components. Those surface channels build up at the silicon/silicon–dioxide interface as a result of either oxide contamination, interface states, or electrical biasing between a metal structure above the field oxide and the silicon [10]. These are all fairly local effects that are not entirely predictable and the associated variations in the electrical characteristics of the passive components makes the effect of such surface channels an even more serious issue. Under such circumstances, the circuit design may become a very difficult task, requiring several costly redesign cycles. In this paper, we show that application of a recently introduced surface passivation technique [13], [14] will not only lead to a higher quality of the passive components, but also to an improvement of the parameter control to such a degree that design transfer from simulation to hardware

0018-9480/$20.00 © 2005 IEEE

SPIRITO et al.: SP HRS AS TRUE MICROWAVE SUBSTRATE

2341

TABLE I DIMES-04 TRANSISTOR PARAMETERS

Fig. 1. Schematic cross section of the passive and active device structures in DIMES-04 [15] on SP HRS.

implementation in a single cycle becomes feasible. Besides giving a description of the surface passivation technique for HRS, in Section II, we will also introduce a fabrication process for dense integration of advanced bipolar transistors on HRS substrates. In Section III, we discuss the impact of surface effects, as well as of surface passivation, on the characteristics of lumped (inductor, transformer) and distributed (transmission line, Marchand balun) passive components, while particularly addressing parameter variations across the wafer. Lastly, the results for a 7.5-GHz traveling-wave amplifier (TWA) are described in Section IV with focus on the efficiency of design implementations. II. DEVICE AND COMPONENT INTEGRATION The in-house university bipolar process DIMES-04 GHz was derived from the former DIMES-03 process GHz [15] and has been used here for the fabrication of the microwave passive components and the TWA. HF and high-voltage (HV) transistors are available (Table I). The bipolar active npn device in DIMES-04 is fully implanted with less than 10% spread in the main device parameters over the wafer. The often observed higher spread in current gain associated with polysilicon emitter processes is thus avoided. The active circuits presented in this paper are, therefore, fabricated in a technology that exhibits excellent parameter control. The fabricationprocess,whichwasdesignedforlow-resistivityp-type LRS (2–5 cm) substrates, has been transferred to p-type HRS substrates having a resistivity of 2000–4000 cm. Since the very high silicon resistivity results in excessively wide space–charge regions (in the 20- m range) of the collector–substrate junctions and low integral p-type doping between neighboring n-type regions placed in the substrate, a special p-well isolation structure has been developed for dense integration of the transistors. A 3- m-deep boron-doped p-well with a peak doping concentration of 2 10 cm is created by implantation and thermal annealing prior to the implantation of the n sub-collector regions and blanket deposition of the n-type layer, in which the active devices are built [15]. Outside the silicon device regions, this n silicon layer is removed by trench etching so that the passive components can be placed directly on the HRS substrate where substrate losses are the lowest. The p-well provides a vertically increased p-type doping level under each transistor and narrow collector–substrate space–charge regions, while laterally a p channel stopper is implanted after removal

of the lightly doped n-type layer. A schematic of the active and passive integration structure is shown in Fig. 1. Since the well doping is comparable to that of the LRS substrate for which the DIMES-04 process was originally designed, all transistor characteristics including the collector–substrate capacitance remained virtually unchanged (Table I). For the integration of passive components a two-level aluminum (Al) interconnect process with a 3- m-thick top Al layer is used, as also shown in Fig. 1. Without special surface passivation, the substrate losses were still considerably above the theoretical minimum values due to the presence of conductive channels that build up at the wafer surface right beneath the silicon/silicon–dioxide interface. These channels result from positive (ion) charges in the isolating oxide, from (positive) states at the silicon/silicon–dioxide interface, or from a bias between a metal layer and the substrate [10]. According to the metal–oxide–semiconductor (MOS) theory, either a majority carrier accumulation layer or an inversion layer in combination with a depletion region can be formed, depending on the specific conditions. With the low silicon-doping level, the flat-band voltage is very low so that the bias difference between the cases of accumulation and inversion/depletion is very small [11]. That means that the chances for the presence of an accumulation or inversion surface channel and more losses than those associated with the bulk silicon are high. Given the local variations of both the oxide contamination level and the distribution of interface states, such additional losses also vary considerably across the wafer. It was, therefore, essential to apply a surface passivation technique in order to suppress these effects for minimum loss and best possible parameter control. Surface-passivated high-resistivity silicon (SP HRS) can be achieved by forming a thin silicon layer having a very high density of traps within the bandgap of silicon. This leads to a very high recombination rate and a reduced rate of impurity ionization. Consequently, accumulation, depletion, and inversion layer formation will be prevented and the additional source of loss will be eliminated. Such a high trap density can be achieved through a high-dose implantation of a neutral impurity, such as argon [13], [14] into the silicon surface region or by depositing a thin highly defective silicon layer such as poly-crystalline silicon [9] or amorphous silicon [16] onto the wafer. For the experiments presented in this paper, we used an implantation of argon at a dose of 10 cm to form the SP HRS [16].

2342

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 3. Measured S to 110 GHz. Fig. 2. Comparison of the attenuation constant for CPWs on HRS and SP HRS to an EM simulation based on HRS bulk properties (33 samples considered).

III. CHARACTERIZATION OF PASSIVE COMPONENTS Here, we discuss the improvements achieved with HRS and SP HRS wafers for four types of RF and microwave passives, i.e., transmission lines, inductors, transformers, and a coupled-line Marchand balun. Almost all comparisons between the two types of substrates are performed on the same wafer having an unpassivated wafer section and a section that was passivated by performing the argon implantation. All structures have been analyzed by using Agilent’s electromagnetic (EM)-field simulator Momentum. Measurements were done by using an HP 8510 vector network analyzer (VNA) for the two-port structures, while an HP 8753E VNA in conjunction with an ATN four-port test set was used for testing the threeand four-port structures. The on-wafer measurements were performed with Cascade air coplanar (ACP) probes. A. Transmission Lines The impact of surface effects and surface passivation on transmission lines was studied by using coplanar waveguides (CPWs). CPWs are particularly sensitive to the surface properties since the EM field is concentrated at the silicon/silicon–dioxide interface [10]. The measured and simulated attenuation constants for both HRS and SP HRS are compared in Fig. 2, in which the standard deviation from the mean value is plotted for 33 dies measured over the wafer. This has been calculated as (1) where is the mean value and is the number of measured samples. It is obvious that both the average attenuation and the spread in attenuation over the wafer are greatly improved for SP HRS as compared to HRS. Moreover, there is very good agreement between the EM simulation and the measurements on SP HRS, with a noteworthy reduction from 0.35 to 0.15 dB/mm at 15 GHz

magnitude and phase of a 3-mm CPW on SP HRS up

for the mean measured attenuation on HRS and SP HRS, respecmeasurement for a 3-mm-long CPW tively. Fig. 3 shows the up to 110 GHz. It is obvious that the line length is approximately 5/2 at 110 GHz, representing a loss of 1.7 dB. This data leads line at 110 GHz (often to a loss in the order of 0.17 dB for a used in higher harmonic termination). This low value of insertion loss is comparable to those achieved in III–V technologies [17], making the use of low-attenuation CPWs in silicon technology feasible over the full range of microwaves. B. Inductors Integrated spiral inductors have become important components for integrated RF circuits, but the achievable in standard silicon technology is still lagging behind the values achieved on GaAs substrates or on printed circuit boards (PCBs). Multimetal-layer structures and thick metallization schemes can effectively be used to reduce the ohmic losses of the metal spiral coil structure [8]. Substrate losses in the commonly used LRS are still the main reason that adequately high- values at sufficiently high frequencies cannot easily be reached in silicon technology. Migration from LRS to HRS is, therefore, a logical step. It has been found that HRS provides some improvement in , though by far, not as much as expected from EM simulations [18]. As for the CPWs, this is believed to be caused by the surface charge if no surface passivation is present. A small library of inductors on HRS and SP HRS was designed, fabricated, and measured to verify the significance of the surface passivation and to test the expected good consistency of EM simulation and measurement on SP HRS. The geometry of the spiral coils was optimized by using a physics-based simulation tool [19], while the final EM analysis of the layout was performed in Momentum. The geometrical dimension and inductance values of the experimental inductors are summarized in Table II. The values of the implemented inductors were chosen of the order of the reference to have an impedance impedance of the measurement setup at the frequency at maximum (e.g., 50 at 3 GHz) since this contributes to minimize measurement error. The measured factors (average values and variations) for SP HRS and HRS substrates are shown in Fig. 4 with an apparently good agreement of simulated results and SP HRS data.

SPIRITO et al.: SP HRS AS TRUE MICROWAVE SUBSTRATE

2343

TABLE II INDUCTORS’ LIBRARY DEFINITION

Fig. 5. (left) Plan-view photograph of inter-winded transformer. (right) Winding scheme and measured primary, secondary, and mutual inductance.

Q

Fig. 4. factors of various inductors on HRS and SP HRS, as well as simulated data from Agilent’s Momentum with HRS bulk properties as input parameters (20 samples considered for SP HRS and 12 samples for HRS). The factors versus frequency of 3.8-nH inductors on HRS, SP HRS, and from the EM simulation are shown in the inset.

Q

Note that the much greater of devices built on SP HRS compared to the HRS ones is a direct consequence of the optimization being done for the SP HRS case. The inductor coils were large and the inductors were, therefore, particularly sensitive to substrate losses. This design was not optimum for the HRS wafer with the lossy surface channels. C. Planar Transformers Planar transformers are currently of great interest to the monolithic-microwave integrated-circuit (MMIC) design community since they can provide interstate matching in single-ended, as well as differential configurations [20]. Furthermore, their applicability as a feedback element or balun allows for novel on-chip design techniques, resulting in higher circuit performance [21]. The use of transformer libraries is practically not exploitable as the geometry of the transformer (i.e., its turn ratio and its primary and secondary inductance) depends strongly on the circuit implementation. A high degree of simulation accuracy is thus necessary to avoid multiple design cycles. Moreover, since the inductance impedance is finite, the structure has to be designed and optimized [22] for the effective loading condition and the specific frequency of operation. A transformer, having an inter-winded topology [20], [23], has been integrated by using the interconnect scheme of the DIMES-04 fabrication process on HRS and SP HRS substrates. The primary and secondary windings were adjacent.

Fig. 6. Comparison of maximum available gain of transformers built on HRS and on SP HRS to the corresponding EM simulation based on HRS bulk properties (22 samples considered).

The microphotograph and the arrangement of the windings are both shown in Fig. 5. The structure facilitates a very high magnetic coupling, given and by with the mutual inductance, and the primary and the admittance and and secondary inductances, and impedance parameters, respectively [22]. The measured coufor this particular transformer. It pling factor was is important to note that transformer losses in the passband of these structures are caused both by losses in the metal windings and in the conductive silicon substrate [22], [24]. A more practical figure-of-merit for use by the circuit designer than the factor is the loss under conjugate matching conditions at both the primary and secondary windings. We, therefore, have analyzed the improvement associated with the surface passivation in SP HRS compared to the HRS wafers by considering the maximum available gain given by (2) MaxGain

(2)

2344

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

(left) Marchand balun cross section. (right) Microphotograph of the integrated structure.

where are the scattering parameters. The measurement results were based on a custom calibration method involving on-wafer calibration of the four-port test set [25]. Fig. 6 shows a remarkable reduction of the average value and the spread in loss values for SP HRS, as compared to HRS. Also, there was very good agreement of the SP HRS data with the EM simulation. Note that with SP HRS, an improvement of 1 dB is achieved at 3.5 GHz, yielding only 0.5-dB insertion loss. D. Marchand Balun A common way to implement baluns at high frequencies is to use distributed structures. Such components are usually implemented in III–V process technologies since they are particularly sensitive to substrate losses. Here, we show that the SP HRS substrate allows for a silicon implementation of a Marchand balun with a performance comparable to that achievable in III–V technology [26]. A simplified cross section and a plan-view photograph of a planar coupled-line Marchand balun is shown in Fig. 7. The design procedure used for the three coupled-line sections is similar to that in [27]. The final layout optimization was done by using ADS Momentum. The experimental verification of the Marchand balun was arranged as a back-to-back configuration of two identical balun structures avoiding multiple three-port measurements since a multiport line-reflect-match (LRM) calibration substrate and supporting software were not available at the time of measuring. The data shown in Fig. 8 present the losses of two cascaded baluns. A clear improvement of more than 2 dB is seen for the SP HRS substrate as compared to HRS, yielding less than 1-dB loss for a single balun structure. Also, the data spread over the wafer for this component confirmed the much reduced surface effects achieved by the SP HRS. The discrepancy between measurement and simulation in the low-frequency behavior of the SP HRS balun (5–12.5 GHz) can be explained by the fact that the substrate presents not only lower losses for the fundamental TEM mode, but also for the parasitic modes (not completely dumped by the air bridges), yielding deviations from the simulated/expected transfer characteristic.

IV. MICROWAVE CIRCUIT CHARACTERIZATION As mentioned in Section III, the design of a distributed circuit is traditionally considered to be the domain of III–V-based technologies. Besides the inherently higher operating frequencies

Fig. 8. Comparison of integrated Marchand balun back-to-back insertion loss on HRS and on SP HRS to the corresponding EM simulation based on HRS bulk properties (ten samples considered).

of the GaAs- and InP-based active devices for a given breakdown voltage, as compared to their silicon counterparts, this hegemony is also due to the insulating nature of a III–V substrate that facilitates straightforward integration of the essential low-loss passives. To demonstrate that the proposed SP HRS technology has the potential to become a valid alternative to the III–V-based solution, we have implemented a TWA by using the DIMES-04 fabrication process on both SP HRS and HRS. In Fig. 9, the schematic layout and chip photograph of the integrated two-stage TWA are given. The active stage is based on an emitter–follower–cascode topology in order to reduce the impact of the series resistance of the bipolar transistor on the artificial base and collector lines [28]. To implement the artificial transmission lines in a compact form, lumped inductors have been favored over transmission lines. This choice can be motivated by the fact that, at frequencies below 10 GHz, for a given dc series resistance of the metallization, inductors are able to provide a much higher inductance than the lengthy transmission lines otherwise needed at these frequencies. Note that, even with HRS, the circuit design is quite complicated since layout parasitics can easily lead to unexpected amplifier characteristics. To overcome these problems, an extensive EM modeling of the entire layout, excluding the semiconductor devices, has been performed in ADS Momentum. By using the two-stage emitter–follower–cascode configuration, we achieved

SPIRITO et al.: SP HRS AS TRUE MICROWAVE SUBSTRATE

Fig. 9.

2345

Schematic and photograph of the fabricated TWA. Total chip area is 2242

2 2099 m

.

comparable to that of state-of-the-art III–V merit TWA circuit results. V. CONCLUSION

Fig. 10. On-wafer measured characteristics of the distributed amplifier on HRS and on SP HRS in comparison to EM simulation based on HRS bulk properties.

TABLE III COMPARISON OF TWA’s BUILT-IN DIFFERENT TECHNOLOGIES

a 10-dB flat gain and a 7.5-GHz bandwidth (Fig. 10). Note that this result was achieved by using the HV transistor of GHz the DIMES-04 process technology, having an only (Table I). A clear improvement of the in-band gain for the SP HRS implementation of the amplifier with respect to standard HRS is noted. No spreading analysis is attempted for this device because it is not possible to separate the contribution of active devices to the overall spreading. Such information may, therefore, be misleading. In Table III, the fabricated amplifier is compared to state-of-the-art circuits realized in III–V technologies. From Table III, it is evident that, in spite of the much lower , the realized design has a figure-of-

The results presented and discussed in this paper have provided evidence that surface passivation is essential to fully leverage the excellent properties of HRS as a microwave substrate. Surface passivation not only greatly reduces the effective substrate loss, but also lowers the spread of the characteristics of the integrated passive components. This has been demonstrated for spiral inductors and transformers, CPW transmission lines, and Marchand baluns. Migration of an npn bipolar transistor integration process from LRS to HRS substrates is possible without any significant shift in device characteristics by using a p-well structure that leads to a raised p-type doping near the collector–substrate junction isolation. Integration of transistors and passive components with controlled characteristics has been demonstrated for a TWA circuit featuring 7.5-GHz bandwidth at only 13-GHz transistor cutoff frequency. The excellent agreement of the characteristics of the measured passive components and the TWA fabricated on SP HRS with the simulated characteristics based on EM simulation and HRS bulk properties marks the main conclusion from this study: surface-passivated HRS is a true microwave substrate that can accurately be described by its bulk properties so that the transfer from layout simulation to chip integration can be achieved in one single cycle, thus allowing for fast design realization at low cost. ACKNOWLEDGMENT The experimental part of this study was carried out in part under the Philips Associated Center, DIMES, Delft, The Netherlands.1 The authors wish to acknowledge the staff of the Integrated Circuit Processing (ICP) Laboratory, DIMES, in particular, S. Milosavljevic, T. L. M. Scholtes, H. Schellevis, W. Wien, and J. M. W. Laros for fabrication of the test components and circuits. 1[Online].

Available: http://www.dimes.tudelft.nl/pacd.html

2346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

REFERENCES [1] B. A. Orner, Q. Z. Liu, B. Rainey, A. Stricker, P. Geiss, P. Gray, M. Zierak, M. Gordon, D. Collins, V. Ramachandran, W. Hodge, C. Willets, A. Joseph, J. Dunn, J.-S. Rieh, S.-J. Jeng, E. Eld, G. Freeman, and D. Ahlgren, “A 0.13 m BiCMOS technology featuring a 200/280 GHz (ft=f max) SiGe HBT,” in Proc. Bipolar/BiCMOS Circuit and Technology Meeting, Toulouse, France, Sep. 2003, pp. 203–206. [2] C. H. Chen, C. S. Chang, C. P. Chao, J. F. Kuan, C. L. Chang, S. H. Wang, H. M. Hsu, W. Y. Lien, Y. C. Tsai, H. C. Lin, C. C. Wu, C. F. Huang, S. M. Chen, P. M. Tseng, C. W. Chen, C. C. Ku, T. Y. Lin, C. F. Chang, H. J. Lin, M. R. Tsai, S. Chen, C. F. Chen, M. Y. Wei, Y. J. Wang, J. C. H. Lin, W. M. Chen, C. C. Chang, M. C. King, C. M. Huang, C. T. Lin, J. C. Guo, G. J. Chern, D. D. Tang, and J. Y. C. Sun, “A 90 nm CMOS MS/RF based foundry SOC technology comprising superb 185 GHz f=sub T/RFMOS and versatile, high-Q passive components for cost/performance optimization,” in Int. Electron Devices Meeting Dig., Washington, DC, Dec. 2003, pp. 39–42. [3] L. E. Larson, “Integrated circuit technology options for RFICs—Present status and future directions,” IEEE J. Solid-State Circuits, vol. 33, no. 3, pp. 387–399, Mar. 1998. [4] J. Buechler, E. Kasper, P. Russer, and K. M. Strohm, “Silicon high-resistivity-substrate millimeter-wave technology,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1516–1521, Dec. 1986. [5] H.-M. Rein and M. Moeller, “Design considerations for very-high-speed Si-bipolar IC’s operating up to 50 Gb/s,” IEEE J. Solid-State Circuits, vol. 31, no. 8, pp. 1076–1090, Aug. 1996. [6] J. N. Burghartz, M. Bartek, B. Rejaei, P. M. Sarro, A. Polyakov, N. P. Pham, E. Boullaard, and K. T. Ng, “Substrate options and add-on process modules for monolithic RF silicon technology,” in Proc. Bipolar/BiCMOS Circuit and Technology Meeting, Monterey, CA, Sep. 2002, pp. 17–23. [7] A. C. Reyes, S. M. El-Ghazaly, S. Dorn, M. Dydyk, D. K. Schroder, and H. Patterson, “High resistivity Si as a microwave substrate,” in Proc. 46th Electronic Components and Technology Conf., Orlando, FL, May 1996, pp. 382–391. [8] J. N. Burghartz and B. Rejaei, “On the design of RF spiral inductors on silicon,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 718–729, Mar. 2003. [9] H. S. Gamble, B. M. Armstrong, S. J. N. Mitchell, Y. Wu, V. F. Fusco, and J. A. C. Stewart, “Low-loss CPW lines on surface stabilized highresistivity silicon,” IEEE Microw. Guided Wave Lett., vol. 9, no. 10, pp. 395–397, Oct. 1999. [10] B. Rejaei, K. T. Ng, C. Floerkemeier, N. P. Pham, L. K. Nanver, and J. N. Burghartz, “Integrated transmission lines on high-resistivity silicon: Coplanar waveguides or microstrips?,” in Proc. Eur. Solid-State Device Research Conf., Cork, Ireland, Sep. 2000, pp. 460–463. [11] B. Rong, L. K. Nanver, J. N. Burghartz, A. B. M. Jansman, A. G. R. Evans, and B. Rejaei, “C–V characterization of MOS capacitors on high resistivity silicon substrate,” in Proc. Eur. Solid-State Device Research Conf., Lisbon, Portugal, Sep. 2003, pp. 489–492. [12] D. Beck, M. Herrmann, and E. Kasper, “CMOS on FZ—High resistivity substrate for monolithic integration of SiGe–RF-circuitry and readout electronics,” IEEE Trans. Electron Devices, vol. 44, no. 7, pp. 1091–1101, Jul. 1997. [13] E. Valletta, J. van Beek, A. Den Dekker, N. Pulsford, H. F. F. Jos, L. C. N. de Vreede, L. K. Nanver, and J. N. Burghartz, “Design and characterization of integrated passive elements on high ohmic silicon,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1235–1238. [14] A. B. M. Jansman, J. T. M. van Beek, M. H. W. M. van Delden, A. L. A. M. Kemmeren, A. den Dekker, and F. P. Widdershoven, “Elimination of accumulation charge effects for high-resistive silicon substrates,” in Proc. Eur. Solid-State Device Research Conf., Lisbon, Portugal, Sep. 2003, pp. 16–18. [15] L. K. Nanver, E. J. G. Goudena, and H. W. van Zeijl, “Optimization of fully-implanted NPN’s for high-frequency operation,” IEEE Trans. Electron Devices, vol. 43, no. 6, pp. 1038–1040, Jun. 1996. [16] B. Rong, J. N. Burghartz, L. K. Nanver, B. Rejaei, and M. van der Zwan, “Surface-passivated high-resistivity silicon substrates for RFICs,” IEEE Electron Device Lett., vol. 25, no. 4, pp. 176–178, Apr. 2004. [17] W. H. Haydl, J. Braunstein, T. Kitazawa, M. Schlechtweg, P. Tasker, and L. F. Eastman, “Attenuation of millimeterwave coplanar lines on gallium arsenide and indium phosphide over the range 1–60 GHz,” in IEEE MTT-S Int. Microwave Symp. Dig., Albuquerque, NM, Jun. 1992, pp. 349–352.

[18] J. N. Burghartz, D. C. Edelstein, K. A. Jenkins, and Y. H. Kwark, “Spiral inductors and transmission lines in silicon technology using Cu–Damascene interconnects and low-loss substrates,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 1961–1968, Feb. 1997. [19] B. Rejaei, J. L. Tauritz, and P. Snoeij, “A predictive model for Si-based circular spiral inductors,” in Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting Dig., Ann Arbor, MI, Sep. 1998, pp. 148–154. [20] W. Simbürger, H.-D. Wohlmuth, P. Weger, and A. Heinz, “A monolithic transformer coupled 5-W silicon power amplifier with 59% PAE at 0.9 GHz,” IEEE J. Soild-State Circuits, vol. 34, no. 12, pp. 1881–1892, Dec. 1999. [21] D. J. Cassan and J. R. Long, “1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18-/spl /m CMOS,” IEEE J. SoildState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [22] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [23] M. Spirito, L. C. N. de Vreede, L. K. Nanver, J. E. Mueller, and J. N. Burghartz, “Low-loss passives for 2nd-harmonic termination control in power amplifiers for mobile applications,” in Proc. Silicon Monolithic Integrated Circuits in RF Systems Topical Meeting, Garmish, Germany, Apr. 2003, pp. 49–52. [24] K. T. Ng, B. Rejaei, and J. N. Burghartz, “Substrate effects in monolithic RF transformers on silicon,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 377–383, Jan. 2002. [25] M. Spirito, M. P. van der Heijden, M. De Kok, and L. C. N. de Vreede, “A calibration procedure for on-wafer differential load–pull measurements,” in 61st Automatic RF Techniques Group Conf. Dig., Philadelphia, PA, Jun. 2003, pp. 1–4. [26] K. S. Ang, I. D. Robertson, K. Elgaid, and I. G. Thayne, “40 to 90 GHz impedance-transforming CPW Marchand balun,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 1141–1144. [27] S. Basu and S. A. Maas, “Design and performance of a planar star mixer,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 2028–2030, Nov. 1993. [28] K. W. Kobayashi, R. Esfandiari, and A. K. Oki, “A novel HBT distributed amplifier design topology based on attenuation compensation techniques,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2583–2589, Dec. 1994. [29] C. Meliani, G. Rondeau, G. Post, J. Decobert, W. Mouzannar, E. Dutisseuil, and R. Lefevre, “A high gain-bandwidth product InP HEMT distributed amplifier with 92 GHz cutoff frequency for 40 Gbit/s applications and beyond,” in 24th Gallium Arsenide Integrated Circuit Symp. Dig., Monterey, CA, Oct. 2002, pp. 103–106. [30] Y. Baeyens, G. Georgiou, J. S. Weiner, A. Leven, V. Houtsma, P. Paschke, Q. Lee, R. F. Kopf, Y. Yang, L. Chua, C. Chen, C. T. Liu, and Y.-K. Chen, “InP D-HBT IC’s for 40-Gb/s and higher bitrate lightwave transceivers,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1152–1159, Sep. 2002.

Marco Spirito (S’01) received the M.Sc. degree in electrical engineering from the University of Naples “Federico II,” Naples, Italy, in 2000, and is currently working toward his Ph.D. degree at the Delft University of Technology, Delft, The Netherlands. In August 2000, he joined the Faculty of Electrical Engineering, Mathematics and Computer Science, Laboratory of High-Frequency Technology and Components, Delft University of Technology, where the main focus of his research is the design of high-performance and rugged power amplifiers. His research interests include large-signal characterization techniques such as passive and active load–pull. Mr. Spirito was the recipient of the Best Student Paper Award for his contribution to the 2002 Bipolar/BiCMOS Circuits and Technology Meeting (BCTM) Conference.

SPIRITO et al.: SP HRS AS TRUE MICROWAVE SUBSTRATE

Francesco Maria De Paola (S’99) received the M.Sc. degree in electronic engineering and Ph.D. degree from the University of Naples “Federico II,” Naples, Italy, in 2001 and 2005, respectively. In 2001, he joined the Department of Electronics and Telecommunications Engineering, University of Naples “Federico II,” where he was involved with RF design and packaging of integrated opto-electronic devices with a particular emphasis on the development of silicon-based peripheral circuitry for III–V-based photonic integrated circuits. His current research interests include analog design for mobile applications and on-wafer characterization techniques. Dr. De Paola was the recipient of the Best Student Paper award for his contribution to the 2004 International Conference on Microelectronics (MIEL).

Lis K. Nanver (S’80–M’83) received the M.Sc. degree in physics from the University of Aarhus, Aarhus, Denmark, in 1979, the Dr.Ing. degree from the Ecole Nationale Superieure des Télécommunications, Paris, France, in 1982, and the Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 1987. While with the Ecole Nationale Superieure des Télécommunications, she was involved with the simulation of charge-coupled device (CCD) structures. In 1987, she developed a medium-frequency BIFET process with the Delft University of Technology. In 1988, she joined the DIMES Integrated Circuit Process Research Sector as the Bipolar Process Research Manager. She became an Associate Professor and then a Professor with the Faculty of Electrical Engineering, Mathematics and Computer Science, Delft University of Technology, detached at the Delft Institute of Microelectronics and Submicrontechnology (DIMES) Technology Center in 1994 and 2001, respectively. Within the Laboratory of Electrical Components, Technology, and Materials (ECTM), DIMES, Delft University of Technology, she manages research on the integration of silicon devices, mainly for RF, microwave, or smart sensor applications. This research involves technologies such as AP/LPCVD epitaxy, excimer laser processing, and substrate transfer techniques. Prof. Nanver has served on the committees of the European Solid-State Device Research Conference (ESSDERC), Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), and Symposium on Microelectronics Technology and Devices (SBMicro).

Emanuele Valletta received the M.Sc. degree in electronic engineering from the University of Naples “Federico II,” Naples, Italy, in 2001. From 2001 to 2003, he was with the Department of Electronic Components, Technology, and Materials (ECTM), Delft University of Technology, Delft, The Netherlands. He is now with Advanced Control Process, Benevento, Italy. His main topics of interest are the performance of RF and microwave passive components on high-resisitivity silicon and the design of highly linear active mixer circuits using bipolar technology.

Bifeng Rong, photograph and biography not available at time of publication.

2347

Behzad Rejaei received the M.Sc. degree in electrical engineering from the Delft University of Technology, Delft, The Netherlands, in 1990, and the Ph.D. degree in theoretical condensed matter physics from the University of Leiden, Leiden, The Netherlands, in 1994. From 1995 to 1997, he was a member of the Physics Faculty, Delft University of Technology, where he carried out research on mesoscopic charge-density-wave systems. Since 1997, he has been with the Department of Electrical Engineering, Mathematics, and Computer Science, Delft University of Technology, where he is currently an Associate Professor. His research interests are in the areas of EM modeling of integrated passive components and physics of ferromagnetic devices.

Leo C. N. de Vreede (M’01–SM’04) was born in Delft, The Netherlands, in 1965. He received the B.S. degree in electrical engineering from The Hague Polytechnic, The Hague, The Netherlands, in 1988, and the Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 1996. In 1988, he joined the Laboratory of Telecommunication and Remote Sensing Technology, Department of Electrical Engineering, Delft University of Technology. From 1988 to 1990, he was involved in the characterization and physical modeling of ceramic multilayer capacitors (CMCs). From 1990 to 1996, he was involved with the modeling and design aspects of HF silicon integrated circuits for wide-band communication systems. In 1996, he became an Assistant Professor with the Delft University of Technology, involved with the nonlinear distortion behavior of bipolar transistors at the device physics, compact model, as well as circuit level with the Delft Institute of Microelectronics and Submicrontechnology (DIMES). During Winter 1998–1999, he was a guest of the High Speed Device Group, University of San Diego, San Diego, CA. In 1999, he became an Associate Professor responsible for the Microwave Components Group, Delft University of Technology. His current interest is technology optimization and circuit design for improved RF performance and linearity.

Joachim N. Burghartz (M’90–SM’92–F’02) received the M.S. degree from the Rheinisch-Westfälische Technische Hochschule (RWTH) Aachen, Aachen, Germany, in 1982, and the Ph.D. degree from the University of Stuttgart, Stuttgart, Germany, in 1987. In 1987, he joined the IBM T. J. Watson Research Center, Yorktown Heights, NY, where he was initially involved with selective epitaxial growth of silicon and related applications. From 1989 to 1992, he was involved with high-speed Si and SiGe bipolar integration processes and was then with the IBM team that pioneered IBM’s SiGe technology. From 1992 to 1994, he was partly responsible for the development of a 0.18-m CMOS technology with the Advanced Silicon Technology Centre, IBM, East Fishkill, NY. In 1994, he returned to the IBM T. J. Watson Research Center, and made original contributions to the integration of RF spiral inductors and other passive components on silicon substrates and was also involved with RF circuit design. In 1998, he became a Full Professor with the Delft University of Technology, Delft, The Netherlands, where he set up a research program in HF silicon technology. Since 2001, he has been the Scientific Director of the Delft Institute of Microelectronics and Submicrontechnology (DIMES), Delft University of Technology. He has authored or coauthored over 200 papers in reviewed journals and at technical conferences. He holds 13 U.S. patents. Prof. Burghartz is an IEEE Distinguished Lecturer and elected member of the Administrative Committee (AdCom) of the IEEE Electron Device Society (EDS) (2005–2007). He has served at several IEEE conference committees, such as the International Electron Devices Meeting (IEDM), Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), and European Solid-State Device Research Conference (ESSDERC). He is currently an associate editor for the IEEE TRANSACTIONS ON ELECTRON DEVICES.

2348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Wide-Band Superconducting Coplanar Delay Lines Yi Wang, Hieng Tiong Su, Frederick Huang, and Michael J. Lancaster, Senior Member, IEEE

Abstract—Two 25-ns high-temperature superconductor delay lines with novel double-spiral meander line structures were fabricated and measured, one based on the conventional coplanar waveguide (CPW) and the other based on the conductor-backed CPW. Compared with other published studies, the performance of the Conductor-backed CPW delay line is among the best in terms of the widest resonance-free band (2–18 GHz), low insertion loss (0.06 dB/ns at 60 K and 10 GHz), small ripple ( 1 dB up until 16 GHz), and small dispersion ( 2 ns in the variation of group delay between 2–18 GHz). This is also the first coplanar delay line successfully demonstrated without using wire bonding. The reflecting elements in the delay lines were identified through time-domain measurements. Full-wave simulations were performed to compare the double-spiral meander-line structure with conventional double-spiral line, and to identify the geometric factors restricting the bandwidth of the double-spiral meander line. Index Terms—Coplanar transmission lines, delay lines, high-temperature superconductors (HTSs), modeling.

I. INTRODUCTION

D

ELAY LINES have important applications in various signal-processing systems producing useful time delays [1]. Conventional printed circuit board and coaxial delay lines may not meet the requirement of long delay time, on the order of a 100 ns or more, while keeping low insertion loss and a miniaturized device size. Superconductors are useful for achieving these by virtue of extremely low microwave losses. Several high-temperature superconductor (HTS) delay lines were successfully tested in 1990s, most of which adopted the conventional meander or double-spiral structures [2]–[9]. Only Madden et al. [10] presented a novel meander structure based on their unit-cell design concept. Previously, HTS delay lines with different transmission-line types have been implemented. Stripline transmission lines feature a uniform dielectric medium and TEM propagation mode. Since the velocities of the even and odd mode in a pair of coupled striplines are the same, the forward coupling is negligible. However, in the implementation of HTS delay lines with stripline structures, there are always difficulties in eliminating air gaps between the upper and lower wafers when clamped together. This makes it difficult for a stripline delay line to achieve a resonance-free transmission [6], [8]. The microstrip is not a preferred structure for delay lines due to its relatively strong cross-coupling between adjacent lines. To reduce the crosstalk, microstrip delay lines have to be well separated at the Manuscript received April 4, 2004; revised August 5, 2004. This work was supported by the U.K. Engineering and Physical Science Research Council. The authors are with the School of Engineering, University of Birmingham, Edgbaston, Birmingham B15 2TT, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850436

Fig. 1. Diagrammatic illustration of: (a) the coplanar double-spiral meander line with the black area showing the HTS thin film (not to the scale) [15], (b) the tapering transition, and (c) the meander line. The strip width of the coplanar line is w , and the slot width is s.

cost of total delay achievable per unit area. However, microstrip lines are more likely to hold a pure propagation mode and be free of spurious resonances, as compared with striplines or coplanar lines. In parallel with the research reported in this paper, a microstrip delay line has been investigated [11], [12]. Coplanar lines feature low cross-coupling, partly due to the inherent screening effect of the in-plane grounds, and partly because the lines can be made very narrow while keeping a reasonable value of characteristic impedance [13]. This potentially leads to very compact devices, but the compactness has to be traded off against a higher loss level, as a narrower linewidth causes greater current density and, therefore, more conductor loss. A disadvantage with the coplanar structure is that it is difficult to hold a pure propagation mode [14]. Slotline modes and surface waves are parasitic and can all be problems. In order to suppress slotline modes, wire bonding has been used to equalize the potentials on the ground planes at either side of the signal line in previous delay lines demonstrated with a coplanar waveguide (CPW) [5], [7], [10]. To date, most of the coplanar delay lines are made from conventional CPWs, except one unsuccessful example of a conductor-backed coplanar line (conductor-backed CPW), which was briefly mentioned in [7]. In this study, both types (CPW and conductor-backed CPW) were examined in simulations and experiments. It turns out that the conductor-backed CPW delay line, without using any wire bonding, exhibits excellent performance over a wide frequency band. Both coplanar delay lines were patterned into a double-spiral meander line structure [15] in order to achieve a wide operation band. As shown in Fig. 1, the delay line is a meandered coplanar line, which is curled into a double spiral, making good use of the substrate area. Two important parameters to define a

0018-9480/$20.00 © 2005 IEEE

WANG et al.: WIDE-BAND SUPERCONDUCTING COPLANAR DELAY LINES

2349

meander line are the distance between adjacent meander seg, where is the meander ments, and the coupled length unit length. Two more parameters are needed to define the spiral between the spiral turns, and the radius structure: the space of the innermost semicircles. The design relies mainly on intensive computations using full-wave simulators.123 Design considerations and simulation results are presented in Section II of this paper. Section III contains the fabrication and measurement details. Section IV gives measurement results and analyzes both in the frequency and time domains. II. DESIGN Fig. 2(a) shows a 0.5-m ( 5.4-ns time delay) CPW doublespiral meander line, simulated using ADS and compared with a conventional double-spiral line of the same total length. Identical CPW dimensions are used. The substrate is 0.508-mmthick LaAlO with a dielectric constant of 23.6. The separation between adjacent lines in the double-spiral structure is 1.6 mm (producing a backward coupling of less than 50 dB). This is the same as in the double-spiral meander line. The meandered structure is more compact mainly because a tighter coupling of 33 dB between each adjacent meander segments is tolerated, which is determined by in Fig. 1(c). In addition, a smaller inner radius is used in the simulation layout of the meandered line. Even with these unfavorable factors, the double-spiral meander line shows much better transmission properties over a wide frequency band than the double-spiral line [see Fig. 2(b)]. It is believed that the coupling between adjacent meandered spiral turns is reduced as more electromagnetic field concentrates between the short meander segments. Analysis in time domain also suggests that the conventional double-spiral line may suffer more from cumulative forward coupling. In [12], the forward coupling between a pair of meander lines was compared with the coupling between straight lines, in the case of microstrip, where the meander-line pair exhibits less forward coupling. The coplanar double-spiral meander line is a band-limited structure. To investigate this, unwound coplanar meander lines with 91 U-bends ( 1.2-ns delay) were simulated using Sonnet up to 40 GHz. Firstly, as shown in Fig. 3(a), there is a stopband starting from 30 GHz and extending to 36 GHz. This stopband is a common feature of meander lines, which occurs at the frequency for which the meander unit length becomes half a wavelength. Cross-coupling present between the meander segments may move the band to a lower than expected frequency. The dominant feature of both coplanar delay lines is that is small enough for the stopband beyond the frequency range of interest. From Fig. 3(a), a bandgap at around 19 GHz can also be identified for both the CPW and conductor-backed CPW meander lines. (The ADS simulation in Fig. 2(b) exhibits a similar bandgap structure above 18 GHz.) To find the origin of this

1Advanced Design System (ADS) Simulation Tools, Agilent Technol., Palo Alto, CA, 2001. 2Agilent High Frequency Structure Simulator (HFSS) 5.5 Simulation Tools, Agilent Technol., Palo Alto, CA, 1999. 3Sonnet Simulation Tools, Sonnet Software, Inc., Syracuse, NY, 2002

Fig. 2. Comparison of the CPW double-spiral line and double-spiral meander line. (a) Layouts (black lines are the slots, with the live conductors too small to be visible). (b) Simulated S . The simulation frequency step is 50 MHz. In the simulation layout, w = 0:02 mm, s = 0:04 mm, l = 0:2 mm, (l l ) = 0:98 mm, r = 2:5 mm, r = 5 mm, and d = 1:6 mm.

0

bandgap, the current-density distribution at 19.08 GHz was calculated. As in Fig. 3(b), the grayscale shows the current density from the highest (white) to the lowest (black). The highly uneven distribution along the finger-like in-plane ground indicates a quarter-wavelength resonance with one end (dark) open and the other (light) short to the ground patch, which produces the reflection peak at 19 GHz. The bandgap shifts to lower freincreases. This is unique for quency as the coupled length the coplanar meander structure due to the presence of in-plane grounds. A similar bandgap structure has not been observed in microstrip meander lines. A potential drawback of this meander structure is the large number of bends, which could introduce more reflections at high frequencies approaching the band limit. The dispersion of this structure is mainly determined by these reflections and the coupling between the short meander segments. In terms of

2350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

conductor-backed CPW presents problems with the tapering transition due to the parasitic microstrip mode. To avoid this mode, a CPW-to-conductor-backed CPW transition was designed, as presented in [16]. To implement this transition, the conductor backing beneath the tapering section was etched off and a small cavity was embedded into the box base so as to form a localized unbacked coplanar taper. This was detailed in [16]. III. FABRICATION AND MEASUREMENTS A. Fabrication

Fig. 3. (a) Simulated S for coplanar meander lines with 91 U-bends. (b) Current-density distribution at 19.08 GHz (within the bandgap in S ). In this simulation layout, w = 0:04 mm, s = 0:08 mm, l = 0:4 mm, and (l l ) = 0:84 mm.

0

variation of group delay with frequency, the dispersion of a long double-spiral meander line can be predicted accurately by scaling the simulated group delay of a shorter section of meander line, as in Fig. 3, provided the coupling between the spiral turns is not significant. This will be presented later in Section IV. The parasitic slotline mode is always a concern in the design of coplanar circuits. It is problematic without proper interconnections (bonding wires or air bridges [13]) to balance the potentials of the in-plane grounds on each side of the signal line. However, this mode is difficult to characterize by simulations, as shown in Figs. 2 and 3. The transmission notches caused by this mode may occur in a frequency band that is too narrow to be visible because of finite frequency steps in simulations. Encouragingly, the experimental results in Section IV show that the conductor-backed CPW delay line is able to suppress parasitic modes efficiently and achieve a very wide-band free from resonances. The operation of the conductor-backing may be explained as a “wireless” connection of the in-plane grounds through the capacitances between the upper and lower ground planes. This desirable effect is worthy of further investigation. Since the strip width of the coplanar delay lines is only 0.04 mm, whereas the external coaxial connectors have a much wider feeding pin ( 0.2 mm), suitable transitions are critical for the wide-band application reported here. A taper can be easily realized in the CPW delay line to form a constant 50- transition, as illustrated in Fig. 1(b). In contrast, the

Both coplanar delay lines were patterned on YBa Cu O (YBCO) film with an LaAlO substrate by ion beam milling. The substrates are double-sided polished with a diameter of 2 in and thickness of 0.508 mm. The YBCO films are 600-nm thick and coated with 50-nm gold, which is used to improve the electrical contact between YBCO and normal conductors. The narrowest YBCO line is 0.040 mm and the smallest slot is 0.074 mm. Due to the high circuit density, impurity particles on the films must be carefully avoided in the patterning process. No crossover wires were bonded on either of the coplanar delay lines. The packaging of the devices has been described in [16]. K-connectors with stress release sliding contacts were used for the input and output connections. The tab of the sliding contact was connected to the coplanar circuit with silver-loaded epoxy. To achieve an optimal performance, good interconnections are required for both the signal lines and in-plane grounds. Spurious inductance and capacitance in the connecting points should be minimized. The experimental devices have a similar pattern, as shown in Fig. 1(a), but with four turns spiraling in, and four turns spiraling out. The total length is 2.28 m. The parameters used are mm, mm, mm, mm, mm, and mm. B. Measurements Details A vector network analyzer was used to measure -parameters and time-domain responses of the delay lines. The source power is 10 dBm. Due to the change of cable attenuation with temperature, room-temperature calibrations cannot be directly used for low-temperature measurements. In this study, low-temperature calibrations were performed at 30, 60, and 77 K for which the measurements were taken. A K-type adaptor was used for and a subminiature A (SMA) the response calibration of and . Separate cooling cyshort for the calibrations of cles were involved for the calibrations and measurements. Exbased on room temperaperiments show that the measured ture and low temperature (30 K) calibrations differ by 0.5 dB at 2 GHz, and 1.9 dB at 18 GHz. A frequency step of 5.0 MHz was used in the multisection sweep from 0.05 to 24.06 GHz with an 8-GHz span for each section. Finer sweeps have also been taken at a frequency step of 0.625 MHz over the frequency range up to 24 GHz to make sure the possible transmission notches with very narrow bandwidth can be observed. In the time domain, the time resolution applied was 0.122 ns.

WANG et al.: WIDE-BAND SUPERCONDUCTING COPLANAR DELAY LINES

Fig. 4. Measured S responses (dark lines) of: (a) the CPW and (b) the conductor-backed CPW delay lines, compared with the losses (light circles) estimated from the measurement of both delay lines as resonators (the experimental technique is described in more detail in [15]). The frequency step of the shown S is 5.0 MHz. The dashed line is the loss of a 25-ns RG402 semirigid microwave cable (Huber Suhner EZ141-TP/M17) at room temperature.

IV. EXPERIMENTAL RESULTS AND DISCUSSION The insertion losses of the two delay lines measured at 30, 60, and 77 K are shown in Fig. 4. Without using any wire bonding to balance the in-plane grounds, the conductor-backed CPW delay line [see Fig. 4(b)] achieved a resonance-free passband from 2 to 18 GHz, which is the widest ever demonstrated on superconducting delay lines. The bandwidth of previous superconducting delay lines [2]–[10] was hardly beyond 7 GHz. Unresponse of the like the conductor-backed delay line, the conventional CPW delay line shown in Fig. 4(a) is dominated with numerous transmission notches, which may result from the power transfer into parasitic slotline modes. This has long been a challenge to the design of coplanar circuits. The conventional way to suppress these parasitic modes is to use conductor crossovers (wire-bonding or air-bridge), interconnecting the unbalanced in-plane grounds [5], [7], [10]. The disadvantage is the increased loss and occurrence of resonances between bondings or bridges. Comparative study of the two types of coplanar delay lines reported here shows that the conductor backing of the coplanar delay line effectively suppresses the parasitic modes without sacrificing the insertion loss. Its application in a wider context may be an interesting topic for future work. The passband of the conductor-backed CPW delay line is only delimited

2351

by a bandgap at 19 GHz, which agrees very well with the simulation in Fig. 3. The dips below 2 GHz are believed to be due to the direct transmissions from the input to output connectors through the metal box. As mentioned in Section III, measurements have been taken at two different frequency steps: 5.0 and 0.625 MHz. For the conductor-backed CPW delay line, there was no loss of detail using the step of 5.0 MHz, whereas for the CPW delay line, more transmission notches could be visualized using the finer frequency steps. At 10 GHz, the measured insertion loss of the conductorbacked delay line is less than 0.08 dB/ns at 30 K, 0.1 dB/ns at 60 K, and 0.2 dB/ns at 77 K. These are higher than the values (light circles in Fig. 4) estimated from resonance measurements using a similar experimental technique, as described in [15]. This difference is due to a nonoptimal resistive loss of the epoxy used for connecting the sliding contact with the coplanar line rather than the superconductor circuit itself. The excess loss at the low frequency end ( 0.5 dB in Fig. 4) was found in close correlation with the resistance of epoxy. Measured at dc, it was 1.6 in the CPW delay line and 2.3 in the conductor-backed CPW line. Under an optimal cure condition of the epoxy, this dc resistance should be less than 0.1 and its loss is negligible. Therefore, it is expected that the insertion loss of the delay lines can be improved by 0.5 dB at low frequency and 1–2 dB at high frequency, reasonably approaching the loss predicted by resonance measurements, which is 0.04 dB/ns at 30 K, 0.06 dB/ns at 60 K, and 0.14 dB/ns at 77 K. The only lower loss reported in other HTS delay lines [2]–[10] was the stripline delay line in [6], which was 0.06 dB/ns at 77 K ignoring resonances and ripples. However, the bandwidth of the stripline delay line was severely restricted by many transmission notches. In Fig. 4, the loss curve of a 25 ns (5.2 m) RG402 coaxial cable is also included for comparison. The difference in the losses of the HTS delay line and coaxial cable is largely attributed to the difference between the surface resistances of the superconductor and normal conductor. At 77 K and 10 GHz, for the commercially available YBCO thin film used in this study, the nominal surface , whereas for the silver plated on resistance is less than 500 the inner conductor of the coaxial, it is 0.011 [17]. For the conductor-backed CPW delay line, the ripples of the insertion loss are less than 1 dB up until 16 GHz. Near the bandgap, the ripples increase rapidly. The smallest ripple period is 20 MHz, which is caused by the mismatch at the input/output. Slightly higher ripples with a period of 180 MHz can also be recognized. These result from a reflection along the meander line, which will be interpreted later in the time domain. The group delay of the delay line can be extracted from the phase change with respect to frequency. As shown in Fig. 5, the group delay increases by 2 ns between 2–18 GHz. The conductor-backed CPW delay line shows slightly smaller dispersion than the CPW. Ripples of the group delays are closely correlated to those of the insertion loss. In Fig. 5, the measured group delays are also compared with the calculation results from simulated phase responses of the meander lines in Fig. 3. Scaled by the ratio between the measured group delay and simulated one at 2 GHz, the simulated delay graph as a function of frequency agrees very well with the measurements over the entire band. Since the simulated meander line is not wound, it can be inferred from

2352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 5. Comparison of the group delay between the measurements (30 K) and simulations. The simulation results are calculated from the phase responses of Fig. 3.

Fig. 6. Time-domain transmission response of the CPW and conductor-backed CPW delay lines at 30 K (inverse-Fourier transformed from the measured S over a frequency range of 2–18 GHz). Transmitted signals at T 0; T 1; and T 2 are discussed in the text.

this agreement that the dispersion of the double-spiral meander structure is mainly attributed to the coupling between the short meander segments. The coupling between the meandered spiral turns has little effect. As the temperature changes from 30 to 77 K, the group delay increases slightly by 0.2 ns mainly over the range from 60 to 77 K due to the change of kinetic inductance of the HTS. In the time domain, the transmission response in Fig. 6 indicates a signal delay of 25 ns (signal ) for both delay lines. The noise level of the CPW delay line is 15 dB higher than the conductor-backed CPW line because of the anomalous transmission and those notches in frequency domain. The small-signal behind are delayed due to multiple reflections, which can be interpreted better from the reflection response. Fig. 7 is the reflection response of an impulse band-limited between 2–18 GHz. A symmetric pattern centred at 25 ns is shown from 0 to 50 ns. This infers that the main reflecting elements are the input/output ports (peaks A and B) and the inner part of the spirals (C). The first peak (A) is reflected from the feed-line area. Its magnitude is less than 20 dB, indicating a good transition. If the band of

Fig. 7. Time-domain reflection response of conductor-backed CPW delay lines at 30 K seen from both ports (inverse-Fourier transformed from the measured S and S over a frequency range of 2–18 GHz). Reflected signals at A–D are discussed in the text.

the input impulse is only limited between 0.05–8.05 GHz, this reflection is reduced to less than 30 dB. The pair of peaks centered at 25 ns (C) is reflected from the inner part of the spirals. An enlarged radius could reduce this discontinuity, but at the cost of the substrate area. The other reflection peak at 5.5 ns (D) is consistent with a signal path from the input, along a semicircle on the outermost turn, a reflection due to the proximity of the output terminal, and back along the same path. This reflection causes the 180-MHz ripple period in the frequency domain. In the transmission response of Fig. 6, there is a hump at 2.8 ns (signal ), corresponding to a similar path, but only in one direction, as a consequence of backward coupling. Both spurious signals have been enhanced because there are two possible paths, one clockwise and the other anticlockwise. A nonsymmetrical device would have been a better choice, as the signals would not have occurred at the same time. Box modes lower than 50 dB were observed at room temperature, but there was no evidence of any consequences at superconducting temperatures. Radiation loss was very small, except at 19 GHz, where the resonance on the in-plane grounds occurs. This was examined by looking for changes as the box lid was removed. Beyond 20 GHz, the conductor-backed CPW delay line has a cutoff starting from 26 GHz, whereas the cutoff is from 30 GHz for the CPW delay line. Simulations in Fig. 3 show that the stopband of both types of coplanar meander lines starts from 30 GHz. The unexpectedly lower cutoff frequency of the conductor-backed delay line may be related to the parallel-plate mode between the upper and lower ground planes. V. CONCLUSION HTS delay lines of both a CPW and conductor-backed CPW were fabricated on 2-in LaAlO substrates with a meandered double-spiral structures producing 25-ns time delay. Without using any bonding wires to join the two in-plane grounds, the delay line based on the conductor-backed CPW shows excellent transmission performance, free of resonance over a wide frequency band from 2 to 18 GHz. The presence of the conductor backing effectively suppresses the parasitic modes

WANG et al.: WIDE-BAND SUPERCONDUCTING COPLANAR DELAY LINES

without affecting the coplanar propagation. By analyzing the time-domain responses, parasitic reflecting elements have been identified as the input/output connections and the inner part of the spirals. Compared with other work [2]–[10], the performance of the 25-ns conductor-backed CPW delay line is among the best in terms of its widest transmission band (2–18 GHz), low insertion loss (0.06 dB/ns at 60 K and 10 GHz), small dB up until 16 GHz), and small dispersion ( 2 ns ripple ( in the variation of group delay between 2–18 GHz). This is also the first coplanar delay line successfully demonstrated without using wire bonding.

ACKNOWLEDGMENT The authors would like to thank Prof. R. G. Humphreys, QINETIQ, Malvern, U.K., for the helpful discussions and D. Holdom and C. Ansell, both of the University of Birmingham, Edgbaston, Birmingham, U.K., for their technical supports in patterning and packaging the devices.

REFERENCES [1] M. J. Lancaster, Passive Microwave Device Applications of High-Temperature Superconductors, Cambridge, U.K.: Cambridge Univ. Press, 1997. [2] Z.-Y. Shen, P. S. W. Pang, W. L. Holstein, C. Wilder, S. Dunn, D. W. Face, and D. B. Laubacher, “High T c superconducting coplanar delay line with long delay and low insertion loss,” IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, pp. 1235–1238, Jun. 1991. [3] L. A. Hornak, M. Hatamian, S. K. Tewksbury, E. G. Burkhardt, R. E. Howard, P. M. Mankiewich, B. L. Straughn, and C. D. Brandle, “Experiments with a 31-cm high T c superconducting thin film transmission line,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1989, pp. 623–626. [4] W. G. Lyons, R. S. Withers, J. M. Hamm, A. C. Anderson, P. M. Mankiewich, M. L. O’Malley, and R. E. Howard, “High T c superconductive delay line structures, and signal conditioning networks,” IEEE Trans. Magn., vol. 27, no. 2, pp. 2932–2935, Mar. 1991. [5] G. J. Hofer, H. A. Kratz, G. Schultz, J. Sollner, and V. Windte, “High temperature superconductor coplanar delay lines,” IEEE Trans. Appl. Supercond., vol. 3, no. 1, pp. 2800–2803, Mar. 1993. [6] S. H. Talisa, M. A. Janocko, D. J. Meier, C. Moskowitz, R. L. Grassel, J. Talvacchio, P. LePage, D. C. Buck, R. S. Nye, S. J. Pieseski, and G. R. Wagner, “High-temperature superconducting wide band delay lines,” IEEE Trans. Appl. Supercond., vol. 5, no. 2, pp. 2291–2294, Jun. 1995. [7] G. K. G. Hohenwarter, E. K. Track, R. E. Drake, and R. Patt, “Forty five nanoseconds superconducting delay lines,” IEEE Trans. Appl. Supercond., vol. 3, no. 1, pp. 2804–2807, Mar. 1993. [8] G. C. Liang, R. S. Wither, B. F. Cole, S. M. Garrison, M. E. Johansson, W. S. Ruby, and W. G. Lyons, “High-temperature superconducting delay lines and filters on sapphire and thinned LaAlO substrates,” IEEE Trans. Appl. Supercond., vol. 3, no. 3, pp. 3037–3041, Sep. 1993. [9] E. K. Track, G. K. G. Hohenwarter, L. R. Madhavrao, R. Patt, R. E. Drake, and M. Radparvar, “Fabrication and characterization of YBCO microstrip delay lines,” IEEE Trans. Magn., vol. 27, no. 2, pp. 2936–2939, Mar. 1991. [10] J. Madden and N. Fenzi, “HTS filters and delay lines suit EW systems,” Microwave RF, pp. 79–84, May 1994. [11] H. T. Su, Y. Wang, F. Huang, and M. J. Lancaster, “Characterizing a double-spiralled meander superconducting microstrip delay line using a resonator technique,” in IEEE MTT-S Int. Microwave Symp., 2004, pp. 135–138. [12] , “Wideband superconducting microstrip delay line,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2482–2487, Nov. 2004. [13] R. N. Simons, Coplanar Waveguide Circuits Components and System. Piscataway, NJ: IEEE Press, Apr. 2001, pp. 11–111.

2353

[14] M. Riaziat, R. Majidi-Ahy, and I. J. Feng, “Propagation modes and dispersion characteristics of coplanar waveguides,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 245–251, Mar. 1990. [15] Y. Wang, H. T. Su, F. Huang, and M. J. Lancaster, “Design of wide-band superconducting coplanar delay lines,” High Frequency Postgraduate Student Colloq., pp. 86–89, Sep. 2003. [16] Y. Wang, F. Huang, M. J. Lancaster, and H. T. Su, “Design considerations of coplanar-to-coaxial transitions for wide-band HTS delay lines,” in 34th Eur. Microwave Conf., 2004, pp. 177–180. [17] D. R. Smith and F. R. Fickett, “Low temperature properties of silver,” J. Res. Nat. Inst. Standards Technol., vol. 100, no. 2, pp. 119–171, 1995.

Yi Wang was born in Shandong, China, in 1976. He received the Bachelor’s of Science degree in physics and Master’s of Science degree in condensed matter physics from the University of Science and Technology, Beijing, China, in 1998 and 2001, respectively, and is currently working toward the Ph.D. degree in electronic and electrical engineering at the University of Birmingham, Edgbaston, Birmingham, U.K. His current research interests include superconductor microwave devices, CPWs, electromagnetic modeling, and left-handed metamaterial applications. Mr. Wang is a student member of the Institution of Electrical Engineers (IEE), U.K. He was the recipient of the U.K. Overseas Research Student (ORS) Scholarship and the Engineering School Scholarship presented by the University of Birmingham.

Hieng Tiong Su was born in Sarawak, Malaysia, in 1970. He received the B.Eng. degree in electrical and electronic engineering from the University of Liverpool, Liverpool, U.K., in 1994, and the Ph.D. degree from the University of Birmingham, U.K., in 2001. His doctoral research concerned superconducting quasi-lumped element filters. From 1994 to 1997, he was a Communication Engineer with Telecom Malaysia, Malaysia, where he was involved with the operation and maintenance of various telecommunication equipments. Since 2001, he has been a Research Fellow with the Electronic, Electrical, and Computer Engineering Department, University of Birmingham, Edgbaston, Birmingham, U.K. He has been involved with the design of novel superconducting delay lines and filters. His more recent research interests include superconducting coils for magnetic resonance imaging (MRI) and micromachining devices.

Frederick Huang was born in Singapore, in 1955. He received the B.A. degree in engineering science and D.Phil. degree from the University of Oxford, Oxford, U.K., in 1980 and 1984, respectively. His doctoral research concerned surface acoustic wave (SAW) devices, mainly dot-array pulse compressors. He spent two years with Racal Research Ltd., where he was involved with the processing of speech signals, including analog voice scramblers. At the end of 1985, he joined Thorn EMI, and was seconded to Oxford University, where he studied the use of Langmuir–Blodgett films in SAW devices. Since 1989, he has been a Lecturer with the University of Birmingham, Edgbaston, Birmingham, U.K., where he is currently with the Electronic, Electrical, and Computer Engineering Department, School of Engineering. He has been involved with superconducting delay-line filters including linear phase and chirp devices. His minor interests are microstrip and waveguide discontinuities. His more recent research areas include superconducting switched filters, slow-wave structures, quasi-lumped element filters, and spiral bandpass filters.

2354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Michael J. Lancaster (M’91–SM’04) received the Physics and Ph.D. degrees from Bath University, Bath, U.K., in 1980 and 1984, respectively. His doctoral research concerned nonlinear underwater acoustics. Upon leaving Bath University, he joined the Surface Acoustic Wave (SAW) Group, Department of Engineering Science, Oxford University, as a Research Fellow. His research concerned the design of new novel SAW devices including filters and filter banks. These devices worked in the 10-MHz–1-GHz frequency range. In 1987, he became a Lecturer of electromagnetic (EM) theory and microwave engineering with the School of Electronic and Electrical Engineering, University of Birmingham, Edgbaston, Birmingham, U.K. Shortly upon joining the School of Engineering, he began the study of the science and applications of HTSs, involved mainly with microwave frequencies. He currently heads the Emerging Device Technology Research Centre. His current personal research interests include microwave filters and antennas, as well as the high-frequency properties and applications of a number of novel and diverse materials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2355

On the RF/DSP Design for Efficiency of OFDM Transmitters Mohamed Helaoui, Slim Boumaiza, Member, IEEE, Adel Ghazel, Senior Member, IEEE, and Fadhel M. Ghannouchi, Senior Member, IEEE

Abstract—In this paper, a system-level RF/digital signal processing (DSP) design approach of power-efficient orthogonal frequency-division multiplexing (OFDM) transmitters is proposed. A DSP-based low-IF architecture, which allows a significant enhancement of their power and spectrum efficiencies, is proposed. The cascade of the peak-to-average power ratio (PAPR) reduction technique, predistortion technique, and the in-phase and quadrature modulation led to impressive improvement in the power efficiency and effective linear output power of the OFDM transmitter. Measurement results carried out on an IEEE 802.11a transmitter designed and built for this experiment are presented in terms of error vector magnitude (EVM), adjacent channel leakage ratio, and power efficiency. The power stage of this transmitter uses a heterojunction bipolar InGaP transistor operating in a deeply class AB. The cascade of the PAPR reduction and baseband predistortion processing modules results in the reduction of the power backoff operation point by approximately 10 dB accompanied by a relative increase in the wireless local area network transmitter power efficiency by roughly 400% while meeting the emission mask spectrum and EVM levels demanded by the 802.11a standard. Index Terms—Peak-to-average power ratio (PAPR) reduction, power-amplifier linearization, predistortion, wireless local area network (WLAN).

I. INTRODUCTION

D

ATA AND communication networks are converging to a wireless world for indoor and outdoor environments. The spread of real-time and interactive video applications leads to an increase in the demand for higher bit rates in data transmission systems. This imposes stringent constraints on the modulation techniques; especially in terms of robustness and spectrum efficiency. Different standards have recently been introduced using digital complex modulation techniques such as the combination Manuscript received August 9, 2004; revised December 8, 2004. This work was supported by the National Sciences and Engineering Research Council of Canada. M. Helaoui is with the Mediatron Research Laboratory, Physics, Electronics, and Propagation Department, École Supérieure des Communications de Tunis, Ariana 2083, Tunisia and also with the Poly-Grames Research Center, Electrical Engineering Department, École Polytechnique de Montréal, Montréal, QC, Canada H3V-1A2 (e-mail: [email protected]). S. Boumaiza is with the Electrical Engineering Department, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]). A. Ghazel is with the Mediatron Research Laboratory, Physics, Electronics, and Propagation Department, École Supérieure des Communications de Tunis, Ariana 2083, Tunisia (e-mail: [email protected]). F. M. Ghannouchi is with the Poly-Grames Research Center, Electrical Engineering Department, École Polytechnique de Montréal, Montréal, QC, Canada H3V-1A2 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850437

of 64 quadratic amplitude modulation (QAM) and orthogonal frequency-division multiplexing (OFDM) in the IEEE 802.11a wireless local area network (WLAN) standard. This type of modulation permits high spectrum efficiency by transmitting up to 54 Mb/s in a 20-MHz channel bandwidth [1], [2]. Moreover, its strong immunity to multipath fading makes it appropriate for an indoor wireless environment. Unfortunately, this attained performance comes with some drawbacks. The high sensitivity to linear and nonlinear distortions and the significantly varying envelope of the WLAN OFDM modulated signal constitute the major concerns. In fact, the constellation points of a high-order -QAM modulation, where designates the number of QAM constellation points, are very close to each other. Hence, they tolerate only a small value in the error vector magnitude (EVM). Thus, the choice of an architecture with reduced distortion sources is an important stage in the implementation of such OFDM transmitters. It is known that a low-IF architecture offers the lowest overall EVM compared to both superheterodyne and direct conversion architectures. This is mainly attributed to the unavoidable analog vector modulator’s impairments. Furthermore, the high peak-to-average power ratio (PAPR) of the WLAN signal imposes restrictions on the RF transmitter linearity consideration. Indeed, a high-power backoff is needed to keep the signal quality satisfactory, especially for high data-rate cases where only a small amount of distortion is tolerated. However, the transmitter power efficiency decreases when backoff is increased. Therefore, power backoff reduction is highly desirable to decrease the transmitter power consumption. Enhancing power efficiency for wireless transmitters has been the research focus of many studies over the past decade. Reduction of the PAPR value of the OFDM signal in order to decrease the power backoff has been proposed by [3]–[6]. However, this solution remains insufficient when highly nonlinear and efficient class-AB or class-B power amplifiers are used. In fact, in such a case, complex gain compression starts at an early stage and a large backoff value is required to maintain an acceptable quality of signal (QoS). Other researchers apply amplifier linearization techniques to enhance transmitter efficiency [7]–[10] by operating it closer to the saturation point. Even though extra distortion may be tolerated, in some situations, additional decrease in the backoff value is not possible since it leads to the power-amplifier saturation and rapid deterioration of the QoS. The concurrent use of both PAPR reduction and linearization techniques can lead to substantial improvement in the linear output and power efficiency of class-AB and class-B PA-based OFDM transmitters while meeting the standard requirements in

0018-9480/$20.00 © 2005 IEEE

2356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 2.

Fig. 1.

RF part of the low-IF transmitter.

Low-IF transmitter block diagram.

terms of adjacent channel leakage ratio (ACLR) and EVM performances [6]. Moreover, the low-IF architecture favorites the design-for-efficiency of OFDM transmitters by implementing digitally and in sequence the PAPR reduction technique, predistortion technique, and in-phase and quadrature (I/Q) modulation. The remainder of this paper is organized as follows. In Section II, a description of the designed transmitter is given. The setup, used for device-under-test (DUT) characterization and EVM and ACLR measurements, is detailed in Section III. Section IV deals with the baseband predistortion technique used to linearize the class-AB power amplifier. Section V explains the PAPR reduction techniques used to improve the amplifier power efficiency. Overall discussion, comparison, and performance assessment of two different PAPR reduction techniques are also presented.

Fig. 3. Characterization setup block diagram.

and linear amplifier (HMC313), is used at the output of the up-converter to compensate for the insertion losses due to the mixers. The amplification stage is composed of a cascade of two amplifiers (HMC415 and HMC408) from Hittite Microwave Corporation, Chelmsford, MA. The small-signal gain and the output saturation point of the transmitter are equal to 41 dB and 32 dBm, respectively.

II. TRANSMITTER BLOCK DIAGRAM Fig. 1 shows a detailed diagram of the proposed transmitter scheme. A low-IF architecture benefiting from digital implementation of the I/Q modulator is used to surmount the imbalance problems. which appear in the traditional direct and double-conversion schemes. It is known that for the same power backoff, the low-IF gives the best performance in term of signal quality (EVM). The digital component of this scheme is composed of a signal generator and a digital I/Q modulator. The signal generator codes and modulates the data to be transmitted. The digital I/Q OFDM signal is fed then to the optimization block. A clipper is used in the last block to reduce the signal PAPR so that power backoff is reduced; hence, the amplifier power efficiency is enhanced. The clipped signal is fed to the digital baseband predistorter, which compensates for the power-amplifier nonlinearities near its compression and saturation regions. Improvements obtainable through the optimization block will be presented later. The I/Q signal at the output of the optimization block is digitally modulated at a 70-MHz low-IF carrier and converted to analog form. The RF part, shown in Fig. 2, is composed of two stages: an up-converter and an amplification block. The up-conversion block translates the signal carrier frequency from low-IF to the desired RF frequency (5.8 GHz) and passes through an IF equal to 836 MHz. The double-conversion circuit is chosen to alleviate the constraints on image rejection filter specifications, which are used after each mixing stage. A linear controlled gain block, composed of a voltage-controlled attenuator (HMC346)

III. TRANSMITTER CHARACTERIZATION The characterization of the low-IF transmitter is a crucial step for a predistortion-based linearization procedure. Indeed, the synthesis of the predistortion parameters is based on these characterization results. Furthermore, these characteristics strongly depend on the type of excitation signal such as continuous wave (CW) or modulated signal. Indeed, modulated signals with different statistics such as probability density function (pdf) and bandwidth lead to different PA behaviors and result in different transmitter characteristics. Memory effects that are related to either thermal or electrical sources [11], are behind such dependencies. Thus, a great attention is needed during the characterization step so that accurate linearization of the transmitter over a wide dynamic range can be achieved. Among the different modulation formats supported by IEEE 802.11a, we have chosen the most spectral efficient one, i.e., 64-QAM, for modulating the complex data. A 64-carrier OFDM modulation is then applied according to the 802.11a standard requirement; 48 carriers are used for data, four carriers for piloting signals, and the 12 others are unused. The obtained signal has a PAPR equal to 9.6 dB. Fig. 3 illustrates the setup used to characterize the transmitter under test. Initially, baseband signals are synthesized in compliance with standard specifications using the WLAN library of Agilent ADS. Then I and Q streams are uploaded to the vector signal generator (ESG-4438C) through a general-purpose interface bus (GPIB) connection. The latter modulates the I and Q data, then converts the signal from digital to analog form at a 70-MHz IF carrier frequency. Knowing that the ESG-4438C

HELAOUI et al.: ON THE RF/DSP DESIGN FOR EFFICIENCY OF OFDM TRANSMITTERS

Fig. 5.

Fig. 4.

P

versus P

curve of the transmitter.

uses direct analog vector modulation, a complete characterization was carried out in order to determine its impairments for different carrier frequencies and guarantee the validity of the emulation of the digital modulation while using the ESG4438C. This study ended with various I/Q magnitude errors, offsets, and skews for different carrier frequencies. As an example, the measurement of the EVM of the analog I/Q modulated signal at a 70-MHz carrier frequency delivered by ESG-4438C was equal to 0.6%, which is very good. However, a higher EVM (approximately of 1.4%) was obtained for a carrier frequency of 5.8 GHz. If the impairment’s effects lead to the QoS degradation, an implementation of an extra digital signal processing (DSP) module to correct the modulator impairments, as proposed in [12], might be required in order to achieve the targeted I/Q modulation performances. A double-stage up-converter is used to translate the IF signal to the 5.8-GHz frequency band. The RF signal feeds the amplification block, which consists of the HMC415 and HMC408 amplifiers. The amplifier output signal is down-converted to an IF (70 MHz) using the spectrum analyzer (PSA 4446A from Agilent Technologies, Palo Alto, CA). This IF signal is further digitized and demodulated using a vector signal analyzer (VSA) (89605B from Agilent Technologies). The resulting I and Q streams are then downloaded and recorded using an Agilent ADS dynamic link with the VSA. of the transmitter as a function of The output power obtained using the setup described above the input power is shown in Fig. 4. These measurements used a realistic IEEE 802.11a RF signal to feed the transmitter, which has power satucompression point equal to 32 and 21 dBm, ration and a respectively. Since the compression begins early for the PA, a large backoff value is needed to keep an acceptable QoS at the output of the transmitter. The output power backoff is defined as follows: backoff

(1)

(dBm) and (dBm) designate the amplifier where saturation power and the input signal mean power, respectively. (in decibels) represents the small-signal gain. The high backoff, caused by the large PAPR value and the compression point and saturation disparity between the

2357

AM/AM curve of the predistorter.

power, leads to a transmitter with poor power efficiency. Hence, a reduction of the backoff level is required through a successive decrease in the PAPR signal and correction of the power-amplifier nonlinearity. IV. BASEBAND DIGITAL PREDISTORTER A digital predistortion technique consisting of pre-processing of the I and Q input streams according to the complement of the transmitter response is used to compensate for its nonlinearity effects. To achieve this correction, a complex function of the predistorter is determined while satisfying the following condition: (2) where and represent the complex nonlinear functions of the predistorter and transmitter, respectively. denotes the input signal magnitude. Both and are determined using I and Q records at the input and output of the DUT. Due to the spectrum broadening of the output signal (3–5 times the input signal bandwidth), the bandwidth of VSA-89605B, which is 36 MHz, is insufficient to characterize the nonlinear behavior of the amplifier under an 802.11a signal. To overcome this instrument limitation, the transmitter characterization, required for the synthesis of the predistortion function, was done with a signal having the same statistics as the 802.11a signals (namely, pdf and PAPR), but having a smaller bandwidth (equal to 5 MHz). In addition, the modulation choice and framing was kept the same as in the 802.11a case. To accomplish that, the sampling frequency was reduced to a quarter of its original value to obtain the desired testing signal. Thus, the measured AM/AM and AM/PM characteristics accurately describe the nonlinear behavior of the transmitter and, consequently, they can be confidently used to synthesize the memoryless predistortion function, which is independent on the input signal bandwidth. The implementation of the predistortion complex function (AM/AM and AM/PM), shown in Figs. 5 and 6, was achieved in this study using a lookup table (LUT) containing 2048 uniformly distributed entries. The instantaneous signal magnitude is initially calculated, as illustrated in Fig. 7. For each value of the input signal magnitude, one can then obtain the corresponding correction parameters and according to the following equations:

(3)

2358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE I ACLR IMPROVEMENT FOR HARD AND SOFT CLIPPING USING RECTANGULAR AND EQUIRIPPLE FILTERS

Fig. 6. AM/PM curve of the predistorter.

Fig. 7. Baseband predistorter scheme.

Fig. 9. EVM variation versus backoff for nonpredistorted and predistorted transmitters.

Fig. 8. Power spectrum density of the transmitter output with and without predistortion.

The evaluation of the transmitter output signal quality enhancement, introduced by the predistortion function, can be carried on using two metrics, namely, ACLR and EVM. ACLR is used to measure the out-of-band emission level caused by the nonlinearity while the EVM quantifies the in-band distortion effects. In Fig. 8, a typical power spectral density of the transmitter output when used with and without digital predistortion is presented. Herein, the backoff value is equal to 10 dB for both cases. One can also observe from the values presented in Table I that the predistortion operation improves the ACLR by 10.8 dB. Hence, if the resulting adjacent channel leakage level is sufficiently low, supplementary out-of-band noise caused by PAPR reduction may be tolerated and additional backoff reduction becomes feasible. The residual out-of-band spectrum emission observed in Fig. 8 is attributed to the electrical memory effect not cancelled by the predistorter since it is a memoryless one. Indeed, the significance of the PA dispersive frequency response

and the nonconstant frequency response of its biasing circuits identified as the main sources of the electrical memory effects are more likely to vary as the bandwidth of the input signal increases. Fig. 9 shows the EVM of the transmitter output as a function of the power backoff for both cases: a nonlinearized and linearized transmitter. For the nonlinearized case, the EVM exceeds the maximum allowed value (5.6%) for backoff values less than 17 dB, while the power efficiency remains below the 2% level (see Fig. 10). However, the output signal’s EVM of the linearized transmitter remains below 3% for a power backoff dB , the down to 10 dB. At this operation point backoff predistortion function results in an EVM decrease from 10% to 2%, while the power efficiency increases from 2% to 8%. That EVM reduction leaves room to tolerate additional distortions that might be introduced by a further reduction in the backoff level. This additional backoff reduction can be achieved by reducing the predistorted input signal PAPR. V. PAPR REDUCTION Various research studies have focused on PAPR reduction. It has been shown that the PAPR value increases as a function of the number of used carriers. Hence, it is proportional to the spectrum efficiency enhancement. Among the various solutions proposed to modify the OFDM signal to reduce the PAPR value for

HELAOUI et al.: ON THE RF/DSP DESIGN FOR EFFICIENCY OF OFDM TRANSMITTERS

2359

Fig. 11.

Clipping function block diagram.

Fig. 12.

Impact of the filtering on the ACLR performances.

Fig. 10. Signal quality versus power efficiency tradeoff for nonpredistorted and predistorted transmitter.

a given number of nonzero carriers, one can identify two distinguishable types of algorithms. The first one applies signal-processing functions at the transmitter side and compensates their effects at the receiver level. This can be achieved by using block codes to select the code words that minimize the PAPR [13]. Newman or Shapiro and Rudin’s multitone signal phases presented in [3] showed a significant reduction of the PAPR. Although these approaches allow for the reduction of the PAPR, they lead to a considerable decrease in the transmission rate and, subsequently, the spectrum efficiency. The second group of algorithms deals essentially with clipping. This technique is performed at the transmitter side and does not require any change or extra processing at the receiver side. This approach allows a PAPR reduction at the cost of introducing a certain amount of the in-band distortions and out-of-band noise. Two clipping methods have been proposed in literature. The first one uses a hard limiter to truncate signal magnitudes, which exceed a given threshold [4]. The second one consists of a soft clipping method that reduces the signal peaks by adding canceling pulses or by clipping and filtering the signal [5], [6], [14], [15]. Although these clipping methods minimize the out-of-band radiation of the signal, they introduce an in-band noise. In this study, a hard clipping method was implemented and the results obtained were compared to those obtained using two soft clipping methods, one that uses a rectangular window and the other that employs an equiripple filter (see Fig. 11). A comparison of the obtained EVM and power-efficiency performances of the three approaches is thoroughly investigated. The following equation gives the hard clipping function:

(4) and denote the voltage values at the clipper input where and output, respectively. represents the desired threshold value. A clipping factor can be defined as the ratio of the maximum output voltages by the maximum clipper input

(5)

Initially, a clipping factor of 70% is used. This allows a 3-dB reduction in the PAPR value (from 9.6 to 6.7 dB) and, consequently, permits an additional decrease in the operation backoff point of the PA while the standard quality (EVM) requirements are respected. The finite impulse response (FIR) equiripple filter and the rectangular window filter use 32 taps to synthesize a low-pass filter, which satisfies (6) and represent the filter input and output sigwhere nals, respectively, and are the filter coefficients. The cutoff frequency is chosen to be equal to 13 MHz. In Fig. 12, we show the spectrum for the following three cases of clipping followed by a predistorter: 1) hard clipping; 2) soft clipping with rectangular window filter; 3) soft clipping with equiripple filter. According to Fig. 12, the filtering of the clipped signal allowed an improvement of the ACLR at the transmitter output when compared to that obtained for the same power backoff (10 dB) for a signal without clipping and predistortion. For example, for an offset of 20 MHz from the central frequency, the ACLR decreases by 11 dB when applying the predistortion to a hard clipped signal with a clipping factor equal to 70%. However, the addition of the low-pass filters leads to an ACLR enhancement of 16 dB (Table I). It should be mentioned here that a reduction of 11 dB in the ACLR would be sufficient to pass the standard’s mask requirements.

2360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE II EVM AND POWER EFFICIENCY FOR LINEARIZED TRANSMITTER WITH HARD AND SOFT CLIPPING USING RECTANGULAR AND EQUIRIPPLE FILTERS

Table II illustrates the measured EVM of the RF transmitter for the three clipping cases. Different clipping factors are considered and, for each value, the EVM was measured for the minimum allowed backoff. One can conclude that the filtering operation when used in conjunction with the clipper increases the PAPR of the output signal, which reaches 7.5 dB. This limits the allowable mean output power and, consequently, leads to lower power efficiency. In fact, for the same EVM value, i.e., 4.9%, the power efficiency decreases from 10.4% to 8.5% when adding a filter. This corresponds to an efficiency degradation equal to 18%. Moreover, the hard clipped signal after incorporating the rectangular window filter experiences a deterioration of the EVM, from 3.5% to 4.9%, caused by the in-band distortion. Hence, one can conclude that the use of filtering after the clipping operation implies a QoS degradation. It is worth noting that additional filtering is unnecessary for the IEEE 802.11a transmitter, as its ACLR level meets the standard’s mask. Such an approach is helpful for applications where stringent requirements for out-of-band emission are desired. A thorough investigation of the EVM and power efficiency of the linearized transmitter when just hard clipping is applied has been carried out for different backoff values. This study enables us to determine an optimum clipping factor that realizes a tradeoff between power efficiency and signal quality (EVM). Three clipping levels of 60%, 65%, and 70% have been considered for a linearized transmitter. Figs. 13 and 14 show the measured EVM and power-efficiency values versus backoff level, respectively. For a clipping factor equal to 65%, an EVM of 4.9% is obtained for a backoff value equal to 7.5 dB. At this operation point, 10.5% efficiency is achieved. This corresponds to a 30% improvement in power efficiency caused by the clipping operation when applied to a predistorted amplifier since 8% efficiency for a backoff operation point of 10 dB is obtained when applying predistortion without clipping. The 10.5% efficiency measured is 400% better than the 2% power efficiency attained using the transmitter without predistortion for the equivalent linearity (EVM value of 4.9%).

Fig. 13.

EVM variation versus backoff for different clipping factor values.

Fig. 14.

EVM versus power efficiency for different clipping factor values.

OFDM transmitters by implementing digitally and in sequence the PAPR reduction technique, predistortion technique, and I/Q modulation. This approach has been used to design, build, and test an OFDM 802.11a transmitter where the proposed efficiency improvement algorithms are implemented and their performances are evaluated. This transmitter uses a deeply class-AB biased HBT-based power amplifier. The PAPR reduction technique along with the predistortion-based linearization technique applied to the low-IF transmitter led to an impressive gain in the relative power-added efficiency of approximately 400% for similar linearity performances. Furthermore, a significant reduction of power backoff level of approximately 10 dB was achieved while preserving the compliance of the OFDM output signal with the 802.11a standard in terms of ACLR and EVM metrics. The design-for-efficiency approach presented in this paper could pave the way to attain much higher efficiency levels for OFDM transmitters, which incorporate more efficient PAs. ACKNOWLEDGMENT

VI. CONCLUSION This paper has proposed a system-level RF/DSP design approach of power-efficient OFDM transmitters. The low-IF architecture favorably allows the design for efficiency of

The authors would like to acknowledge J. Gauthier, S. Dubé, R. Brossard and R. Archambault, all of the École Polytechnique de Montréal, Montréal, QC, Canada, for providing technical and software support during measurements.

HELAOUI et al.: ON THE RF/DSP DESIGN FOR EFFICIENCY OF OFDM TRANSMITTERS

REFERENCES [1] B. McFarland, A. Shor, and A. Tabatabaei, “A 2.4 & 5 GHz dual band 802.11 WLAN supporting data rates to 108 Mb/s,” in IEEE Gallium Arsenide Integrated Circuit Symp., Monterey, CA, Oct. 2002, pp. 11–14. [2] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications High-Speed Physical Layer in the 5 GHz Band, IEEE Standard 802.11a, 1999. [3] S. Boyd, “Comparison of low complexity clipping algorithms for OFDM,” IEEE Trans. Circuits Syst., vol. CAS-33, no. 10, pp. 1018–1022, Oct. 1986. [4] G. Hill and M. Faulkner, “Comparison of low complexity clipping algorithms for OFDM,” in IEEE Int. Personal Indoor and Mobile Radio Communications Symp., Lisbon, Portugal, Sep. 2002, pp. 227–231. [5] H. G. Ryu, B. L. Jin, and I. B. Kim, “PAPR reduction using soft clipping and ACI rejection in OFDM system,” IEEE Trans. Consum. Electron., vol. 48, pp. 17–22, Feb. 2002. [6] R. Sperlich, Y. Park, G. Copeland, and J. S. Kenney, “Power amplifier linearization with digital pre-distortion and crest factor reduction,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 669–672. [7] J. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 11, pp. 374–382, Nov. 1990. [8] S. P. Stapleton and F. C. Costescu, “An adaptive predistorter for power amplifier based on adjacent channel emissions,” IEEE Trans. Veh. Technol., vol. 41, no. 2, pp. 49–56, Feb. 1992. [9] E. G. Jeckeln, F. Beauregard, M. A. Sawan, and F. M. Ghannouchi, “Adaptive baseband/RF predistorter for power amplifiers through instantaneous AM–AM and AM–PM characterization using digital receivers,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 489–492. [10] M. Helaoui, S. Boumaiza, A. Ghazel, and F. M. Ghannouchi, “Low-IF 5 GHz WLAN linearized transmitter using baseband digital predistorter,” in IEEE Electronics, Circuits and Systems Int. Conf., Sharjah, AEU, Dec. 2003, pp. 260–263. [11] W. Bosch and G. Gatti, “Measurement and simulation of memory effects in predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1885–1890, Dec. 1989. [12] J. K. Cavers and M. W. Liao, “Adaptive compensation for imbalance and offset losses in direct conversion transceivers,” IEEE Trans. Veh. Technol., vol. 42, no. 11, pp. 581–588, Nov. 1993. [13] A. E. Jones, T. A. Wilkinson, and S. K. Barton, “Block coding scheme for reduction of peak to mean envelope power ratio of multicarrier transmission schemes,” Electron. Lett., vol. 30, pp. 2098–2099, Dec. 1994. [14] R. van Nee and A. de Wild, “Reducing the peak-to-average power ratio of OFDM,” in IEEE Vehicular Technology Conf., Ottawa, ON, Canada, May 1998, pp. 2072–2076. [15] M. Pauli and P. Kuchenbecker, “On the reduction of the out-of-band radiation of OFDM-signals,” in IEEE Communications Int. Conf., Atlanta, GA, Jun. 1998, pp. 1304–1308.

Mohamed Helaoui received the B.Eng. degree in communications and M.Sc.A. degree from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree at the École Supérieure des Communications de Tunis. He is currently a visiting doctoral student with the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests are power-amplifier predistortion, power-efficiency enhancement, and OFDM transmitter optimization.

2361

Slim Boumaiza (S’00–M’04) received the B.Eng. degree in electrical engineering from the École Nationale d’Ingénieurs de Tunis, Tunis, Tunisia, in 1997, and the M.S. and Ph.D. degrees from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1999 and 2004, respectively. In May 2005, he joined the Electrical Engineering Department, University of Calgary, Calgary, AB, Canada, as an Assistant Professor and faculty member of the Intelligent Radio Laboratory. His research interests are in the general areas of RF/microwave and millimeter components and systems for broad-band wireless and satellite communications. His specific current interests include RF/digital signal processing (DSP) mixed design of intelligent RF transmitters, design, characterization, modeling and linearization of high-power RF amplifiers, and adaptive digital signal processing.

Adel Ghazel (SM’97) received the E.E. and M.S. degrees in systems analysis and digital processing and Ph.D. degree in electrical engineering from the Ecole Nationale d’Ingénieurs de Tunis (ENIT), Tunis, Tunisia, in 1990, 1990, and 1996, respectively, and the Habilitation degree in communication and information technologies from Ecole Supérieure des Communications (SUP’COM), Tunisia, in 2002. From 1990 to 1992, he was a Specialist Engineer with the Tunisia Engineering and Industrial Construction Company, where he was involved with design and field supervision of industrial instrumentation installation. In 1993, he joined the Ecole Supérieure des Postes et des Télécommunications de Tunis, where he was an Assistant Professor and then an Associate Professor of telecommunications. In 1999, he became the Head of the Department of Electronics and Propagation, and in 2002, a Professor with SUP’COM. Since 1998, he has been working with the Software and Systems Technology Division, Analog Devices Inc., Boston, MA, where he is involved with research and development projects related to power line communication circuits and networks. He is also a founder and Technical Manager of the Research and Development Center for Embedded Systems Technology since September 2001. His current research interests include very large scale integration (VLSI) and DSP circuits, algorithms, and architectures for telecommunications. He has authored or coauthored several journal papers and numerous conference contributions and technical reports. He is a reviewer for several international journals and transactions. Dr. Ghazel has been session chairman and member of Technical and Steering Committees of national and international conferences and symposia. He is a committee member and referee for evaluating new startup projects to be supported. He was the recipient (along with his research team) of the 2002 Tunisian President Award of Research in Telecommunications presented by SUP’COM.

Fadhel M. Ghannouchi (S’84–M’88–SM’93) received the B.Eng. degree in engineering physics and the M.S. and Ph.D. degrees in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1983, 1984, and 1987, respectively. He is currently a Professor with the Department of Electrical Engineering, École Polytechnique de Montréal, where, since 1984, he has taught microwave theory and techniques and RF communications systems. He held several invited positions at several academic and research institutions in Europe, North America, Japan, and North Africa. He has provided consulting services to numerous microwave and wireless communications companies. He is also the founder of AmpliX Inc., Montréal, QC, Canada, a company that offers linearization products and services to wireless and satellite communication equipment manufacturers. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless communications. He has authored or coauthored over 250 publications. He holds seven patents.

2362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Full-Wave Analysis of Coupled Lossy Transmission Lines Using Multiwavelet-Based Method of Moments Meisong Tong, Member, IEEE, George Pan, and Guangtsai Lei

Abstract—Full-wave analysis for coupled lossy transmission lines with finite thickness is conducted using a multiwavelet-based method of moments (MBMM). We use the multiscalets with multiplicity = 2 as the basis and testing functions, and take the discrete Sobolev-type inner products to discretize the integral equation and its derivative at the testing points. Since the numerical integration is not needed in the testing procedure, the new approach is faster, yet preserves high accuracy due to the derivative sampling. In the new approach, we compute the incoming fields in the spatial domain directly without resorting to the inverse Fourier transform. Hence, the local coordinate system used to perform the Sommerfeld integral is avoided and the computational cost is reduced remarkably. In addition, a coarser mesh can be used owing to the smoothness of the multiscalets. Numerical examples show that the MBMM speeds up the traditional method of moments 3 10 times. Index Terms—Full-wave analysis, method of moments (MoM), multiwavelets, transmission lines.

I. INTRODUCTION

M

ULTICONDUCTOR transmission-line structures are the basic building blocks of microwave and digital integrated circuits. The simulation of the behavior of these structures at microwave and millimeter-wave frequencies is essential to predict their correct functioning. Therefore, full-wave analysis of coupled lossy microstrips with finite metallization thickness continuously receives extensive attention [1]–[7]. In various efforts, Faché et al. [1] present a systematic approach based on the integral equation formulation [8] and spectral-domain approach (SDA) [9]. The advantages of the integral-equation approach reside in the fact that the fields can be derived from the unknown field quantities at certain boundaries, which reduce to the circumferences of the conductors in two-dimensional (2-D) cases. This fact substantially reduces the number of unknowns compared with the finite-element method (FEM) [10], [11] or finite difference time domain (FDTD) [12], [13], where the entire 2-D cross section of the structure must be discretized. In addition, the SDA can facilitate a significant analytical preprocessing, which is effective for arbitrary cross sections. On the other hand, in the scheme presented by Faché et al., the integral equations combined with the SDA are solved using the conventional method of moments (MoM) whose basis functions are neither continuous, nor smooth (pulse or triangular bases) and testing procedure requires one more numerical integration. Thus, the ability in approximating the unknown functions is weak and the computational cost is expensive. Manuscript received August 17, 2004; revised January 4, 2005. The authors are with the Department of Electrical Engineering, Arizona State University, Tempe, AZ 84287-7206 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850438

In recent years, wavelets have been widely employed in solving electromagnetic (EM) problems [14]–[18]. We developed the multiwavelet based method of moments (MBMM) for scattering problems and the problem of a single lossless transmission line [17]. In this paper, we exploit the MBMM further to analyze coupled transmission lines with both conductor and dielectric losses using a different set of integral equations. The MBMM uses the discrete Sobolev-type inner product to test the integral equation, thus, numerical quadrature is omitted. The testing procedure is simple and easy to implement, yet the precision is guaranteed because of the enforced derivative sampling at observation points. Due to the new testing procedure, we treat the incoming fields in the spatial domain directly. As a result, no local coordinate system is required and no inverse Fourier transform (IFT) needs to be performed for the incoming fields. The only numerical integration is in the expansion procedure and it is conducted on a finite interval of the segment length. The CPU time for this integration is essentially negligible in the whole calculation process. This paper is organized as follows. Section I presents an introduction. Basic multiwavelet theory is outlined in Section II, followed by MBMM formulation in Section III. Section IV presents three numerical examples and Section V draws a conclusion. Three appendices then follow. II. MULTIWAVELETS Since we will employ multiwavelets in our modeling, a brief review is given here. For more detailed theory and background of multiwavelets, readers are referred to [18]. Recall that traditional wavelets satisfy the scalar dilation equation (1) Multiwavelets offer more flexibility than traditional wavelets by extending the dilation equation into a matrix–vector version (2) where is the low-pass filter coefficient matrix of , is the multiscalet vector of , and is the multiplicity. We choose multiplicity as the basis and testing functions because the Helmholtz equations are second-order differential equations. Multiplicity and correspond to the pulse and triangle bases, which are the low-order bases. Higher order multiplicities of and are employed in civil and mechanical engineering for structure analysis, where the governing differenfor tial equations are of the fourth order. We may select

0018-9480/$20.00 © 2005 IEEE

TONG et al.: FULL-WAVE ANALYSIS OF COUPLED LOSSY TRANSMISSION LINES USING MBMM

smoother functions, but the complexity will increase. The spa, regardless of multiplicity. Using the tial support is always time-domain approach, the dilation equation may be written as

2363

Using (7), we further obtain

(10) (3) and the where the only nonzero coefficients are , , and is . Such a compactly supported multisupport of wavelet is referred to as the finite-element wavelet in mathematics. We simply call it multiwavelet to avoid potential confusion with the FEM. It can be shown that

Since the multiscalet above is derived from its properties (6), it satisfies the Sobolev orthogonality at scaling level 0. The discrete Sobolev inner product at scaling level is defined as [18]

where the over bar denotes the complex conjugate. If inner product reduces to

(12)

(4) where

(11) , the

The Sobolev orthogonality of the multiscalets implies

(5) Alternatively, we derive the analytical expressions of the multiscalets using the properties

(13) In the derivation above, (6) has been used. III. MBMM FOR COUPLED LOSSY TRANSMISSION LINES A. Integral Equations

(6) where is the Kronecker delta and symmetry and antisymmetry of the multiscalet about given by

. The is

Consider a generalized transmission-line structure in Fig. 1. The integral equations are derived from the boundary conditions at the surface of each conductor, namely, the continuity between the total tangential external components and total tangential internal components at the surface of each conductor [1]

(7) The multiscalet

is a polynomial of degree

, namely,

(8) Substituting (8) into (6) and solving the resulting algebraic equations, we obtain for ,

(9)

(14)

2364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

of the integral sign since the normal direction is inward. The transverse components are obtained from the longitudinal components by

(17) for both incoming and scattered fields. For simplicity, we do not list the formulas for the transverse components individually. B. Incoming Fields

Fig. 1. Generalized multiconductor transmission lines in multilayered media.

To calculate the incoming fields in (16), we first discretize the contour of each conductor into segments with a step size of . We then expand the unknowns using the multiscalet basis with , namely, multiplicity

where represents the longitudinal direction and denotes the transverse direction, is the total number of conductors in the th layer where the th observed conductor dwells, is the total number of conductors, and is the th conductor in the layer . , and represent the incoming field, The superscripts , , scattered field, external field, and internal field of a conductor, respectively, as defined in [1]. If the conductors are perfect electric conductors (PECs), the integral equations above reduce to (18)

(15) The external incoming fields are created by a conductor in a homogeneous medium where that conductor is located. The longitudinal components for the th conductor can be expressed as [1]

where and are the multiscalets obtained from ; , , (9) and (10) by replacing with , , , , , and are the corresponding expansion coefficients and we omit the subscript without loss of generality. is the arc length from the reference point to the th node. Substituting (18) into (16) and making a variable , we have change

(19) (16) where is the 2-D homogeneous Green’s function with the material of the layer and is the zeroth-order Hankel function of the second kind. . , , , and are the unknown tangential and components on the surface of the th conductor, are the tangential and normal direction on the surface of the th conductor, respectively, and is the propagation constant along the -direction. Primed variables indicate the variables related to the source points and unprimed variables represent the variables pertaining to the observation points. Similarly, the internal incoming fields are produced by replacing the homogeneous medium using the conductor material. The formulas are the same as the external version, except adding a minus in front

where ( ) are given in Appendix I. The internal incoming fields can be figured out in a similar way. Note that the incoming fields are handled in the spatial domain directly without introducing local coordinate systems. As a result, the Fourier transform (FT) and IFT between the spatial and spectral domains are omitted. C. Scattered Fields The scattered fields only exist outside the conductors. To find the scattered fields produced by a conductor in the spatial domain, we must first derive their solution in the spectral domain. Let the FT with respect to be (20)

TONG et al.: FULL-WAVE ANALYSIS OF COUPLED LOSSY TRANSMISSION LINES USING MBMM

It is derived in [19] that

2365

and Letting (26) be equal to (22), i.e., , we can solve the resulting equations to obtain (21)

Equation (21) yields the following FT for the external incoming fields in (19):

(22) and are scattered coefficients and are specified where in Appendix II. Note that and is the square with a positive real part and negative imaginary root of part. The “ ” sign is taken for the upward scattered fields of the conductor and the “ ” sign is taken for the downward scattered fields of the conductor. The next step is to perform the decomposition of the TM and TE cascade for the external incoming fields. The TM components are

(23)

(28)

We use the structure in the second numerical example to illustrate the derivation of the physically scattered fields needed in integral equation (14). Since the conductors are embedded in the second layer, the spectral external incoming field coefficients in for the TM mode and for the (28) may be specified as TE mode. The detailed derivation can be found in Appendix III. D. Eigenequation Based on (17), we first determine the corresponding transverse components. For the scattered components, and are only applied to the term in (47) so the scattered transverse components can be obtained by multiplying each element in (47) by one of the following factors with its related coefficient in (17):

and the TE components are (29) (24) where and are TM and TE mode coefficients, respecand are characteristic impedances for the tively, and TM and TE modes. We have used a new coordinate system here [1] with respect to an arbitrary vector (25)

For the external and internal incoming components, and are applied to the Green’s function and the corresponding expressions are easy to derive. , , , The components of EM fields are now expressed in terms of the unknown exand , , , , , , , pansion coefficients . After moving the internal incoming and components in (14) to the left-hand side, we take the discrete and on two sides Sobolev-type inner product of of the resulting equations. Using (12) and (13), we arrive at

where and . Combining TM and TE components together yields the total components

(26) where

(30) where

(31) and can be either or . The coordinates are for the th node. We assume here for simplicity. This process creates the MBMM in which the following matrix eigenequation is obtained: (27)

(32)

2366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

where

(33) is the total field vector and

(34) is the unknown coefficient vector. is the system matrix and can be obtained from the superposition of the corresponding elements in the incoming fields and scattered fields at , . For multiple conductors, the the point system matrix is and can be formed in a similar and way. Note that the sampling on the derivatives of in (30) is easily derived because is only acted on and . The matrix eigenequation above has a nontrivial solution for the expansion coefficients only if the determinant of the system matrix vanishes. This can be satisfied only at a certain discrete eigenvalue, which is the propagation constant we wish to find. In the search of the propagation constant, we first determine the search range using the quasi-static solution as the lower limit and the square root of the dielectric constant as the upper limit. We then use Müller’s method to search the smallest value as the fundamental mode. The initial guess in this search is insensitive if selected within the range. For instance, we can choose the initial value of the normalized propagation constant and GHz in Example 1 as 1.95 at the frequencies because the dielectric constant of the substrate is 4.0, and 1.85 at and GHz. If the search goes the low frequencies, say, beyond the range for some reason, a new initial guess is provided automatically in the programming. The stop criteria are set as 10 for the difference between two consecutive values. Once the propagation constants are found for different modes, the corresponding circuit parameters of the waveguide structures can be extracted using the general procedure [1]. E. Comparison With the MoM Faché et al. developed a MoM-based approach to handle coupled lossy transmission lines with finite thickness, which was a breakthrough to the spectral-domain analysis that can handle only infinitesimally thin strips. In the MoM scheme, the pulse basis is employed for an unknown function, while the triangle basis is imposed when a derivative is involved. The external incoming components in (16) are discretized in terms of the pulse and triangle bases, and the FTs are performed term by term to obtain the corresponding closed-form expressions in the spectral domain. These closed-form spectral expressions are then inversely Fourier transformed into the spatial domain for-

mally, readily to solve the coupled integral equations (14) in the spatial domain. The testing procedure is immediately followed with the commutation of the spatial and spectral domains. The closed-form expression in the spatial integration is arrived at, is carried out numeriwhile the spectral integration cally, as the Sommerfeld integrals. The internal incoming components are treated in the same manner. Note that local coordinate systems are introduced whenever an FT or IFT is implemented in the internal and external incoming fields. The scattered field is handled in the spectral domain in a standard way of treating layered media [20], but no local coordinate is required. In contrast, we calculate (16) in the spatial domain directly, i.e., only one-third field quantities in (14) are manipulated through the time-consuming spatial-spectral transformations. The MBMM uses the multiscalet bases to expand the unknowns and the discrete Sobolev-type inner product to test the integral equations. In comparison with the MoM, the multiscalets are the higher order basis functions (pulse basis is the zeroth-order and triangle basis is the first-order functions) so they generally have a better approximating ability for the unknown functions in EM problems. The use of discrete Sobolev-type inner product changes the testing procedure, thus changes the way of satisfying the integral equations from the weak form to a discrete Sobolev norm. The MBMM is an example of of a projection method where the discrete Sobolev-type inner product projects the residues onto the space spanned by multiwavelets. Although the MBMM adds additional equations to unknowns for each variable), the acthe system equations ( tual unknowns may not necessarily increase since the MBMM requires a coarser mesh [21]. Using the discrete Sobolev-type inner product, we test the incoming fields directly in the spatial domain without performing a twofold numerical integration. In contrast, if the MoM tests the incoming fields directly in the spatial domain, it requires time-consuming twofold integrations. To avoid this, Faché et al. employed the inversely Fourier-transformed incoming fields to test, resulting in a Sommerfeld-like integration with local coordinate systems. Such a procedure greatly increases the complexity and cannot save the CPU time. The MBMM only performs a onefold integration with a finite interval of segment width so the computational time for the incoming fields is negligible in the whole process. The scattered fields are obtained in the spectral domain first, and the IFT, which is a Sommerfeld-like integral, is still needed. Notice that local coordinate systems are completely eliminated. Although there are more terms in the expressions of the spectral external incoming fields in the MBMM, the asymptotic integration, which dominates the whole integration, converges faster than in the MoM due to the higher order property of the multiscalets. The following numerical examples strongly support the MBMM. IV. NUMERICAL EXAMPLES It is a general question that a conductor cross section may possess corners. A Sobolev-type inner product requires first-order derivatives for functions defined on the contours of these conductors. To avoid such a dilemma, we prefer not to place observation points on the corners. However, when an observation

TONG et al.: FULL-WAVE ANALYSIS OF COUPLED LOSSY TRANSMISSION LINES USING MBMM

Fig. 2. Complex propagation constant of the semiburied hexagonal microstrip (a = 0:75 mm, d = 3:0 mm,  = 100 ks/m,  = 4:0, tan  = 0 or 0.001).

2367

Fig. 3. Geometry of coupled PEC microstrips with a heavily doped substrate (w = 1:8 , h = 0:85 , t = 0:75 , h = 625 , h = 3:6 , h = 1:15 ,  = 11:8,  = 4:2,  = 7:0,  = 1:0, tan  = 10 ).

TABLE I COMPARISON OF CPU TIME (SECONDS) FOR EXAMPLE 1

point is on the corner, we then average the left- and right-handside derivatives, and it works well. All examples here are executed on a DEC-Alpha 600-MHz workstation without any commercial EM software. For fair comparison, the initial guess and stopping criteria are set the same for the MoM and MBMM. Example 1: Semiburied Hexagonal Transmission Line: As the first example taken from [1], we consider a semiburied hexagonal transmission line shown in the inset of Fig. 2. The formulations are derived by cutting the wire along the interface into two parts embedded in the lower (substrate) and upper (air) medium, respectively. Note that the boundary of each part is not closed since no boundary exists along the interface. Fig. 2 shows the real and imaginary parts of the normalized complex propagation constants using the MBMM with 12 segments and the MoM with 36 segments. These results agree with the published results [1] very well. Table I provides the corresponding CPU time in obtaining these solutions at several frequencies. The MBMM demonstrates a higher computational efficiency of 3 9 times than the MoM. Example 2: Coupled PEC Transmission Lines Above a Heavily Doped Substrate: Fig. 3 depicts the structure of this example taken from [22]. This structure consists of four layers, of which one is the heavily doped silicon substrate with an extremely high loss tangent. The high loss tangent (reaching 10 ) causes the disability of the general commercial software based on the small perturbation. Our approach provides a solution very close to [22] and to the experiment for the mutual

Fig. 4. Mutual capacitance of the coupled PEC microstrips with a heavily doped substrate. TABLE II CPU TIME (SECONDS) FOR EXAMPLE 2

MHz. Fig. 4 plots the curve of the capacitance at mutual capacitance versus separation between the coupled microstrips. Table II lists the consumed CPU time for obtaining these values. It is clear that the MBMM with 34 segments 6 on each conductor saves the computational resources 3 times with respect to the MoM using 102 segments on each conductor. Example 3: Coupled Asymmetric Lossy Transmission Lines: The coupled asymmetric transmission lines are analyzed using the MBMM with 42 segments in total and the MoM with 168 segments in this example. The inset in Fig. 5 illustrates geometry of the problem taken from [23]. In [23], the substrate is lossless, while here, the substrate is lossy with . Fig. 5 shows the propagation the loss tangent constant and attenuation constant for the and modes. These results have been validated by the FEM approach. Again, our approach converges 5 10 times faster than the MoM. Table III summarizes the CPU time for this case.

2368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

where

Fig. 5. Propagation and attenuation constants of the coupled lossy asymmetric microstrips (w = 0:1 mm, w = 0:05 mm, s = 0:1 mm, t = 0:03 mm, h = 0:0635 mm,  = 5:72 10 s/m,  = 9:6, tan  = 0:00045).

2

TABLE III CPU TIME (SECONDS) FOR EXAMPLE 3

(36) Note that

are the coordinates of the th node and ( ) are the coordinates of the source points at two neighboring segments of that node, respectively. The two ( ), neighboring segments have directional angles as defined in [1, Fig. 9.8].

V. CONCLUSION

APPENDIX II

In this paper, we have developed the MBMM for the modal solution of coupled lossy transmission-line structures in multilayered media. The new approach employs the higher order multiscalets as the basis functions, and yields a better approximation of the unknown function requiring a coarser discretization mesh. The discrete Sobolev-type inner product in the testing procedure creates a point-matching-like process. As a result, the method is easier to implement, but the accuracy is preserved due to the additional restriction on the sampled derivatives. In the new testing scheme,theincomingfieldsaredirectlycomputedinthespatialdomain without the spatial-spectral domain transform in local coordinate systems. Numerical examples derived from the real-world structures have been analyzed using the novel approach. The presented results demonstrate excellent agreement with the conventional MoM solution, but the new algorithm is 3 10 times faster.

(

APPENDIX I ) IN (19)

Substituting (18) into (16), switching the integral with the summation, and making a variable change , we obtain

(35)

AND

IN

(22)

With the aid of (21), the FT of the external incoming fields in (19) yields the following scattered coefficients in the spectral domain:

(37) where

TONG et al.: FULL-WAVE ANALYSIS OF COUPLED LOSSY TRANSMISSION LINES USING MBMM

2369

interfaces, we have the following algebraic equations for TM mode:

(41) The scattered coefficients in the second layer are solved from the equations above, yielding for the TM

(38)

(42) and the TE in a similar manner

APPENDIX III SCATTERED FIELDS IN FIG. 4 In each layer, the scattered components can be expressed as follows. TM mode

(43) where

(39) TE mode

(44) (40) Fig. 1 illustrates the scattered coefficients and . Implementing the boundary conditions at each interface of the media, namely, the continuity of the tangential EM fields at the

Thus, the total scattered components in the second layer can be written as

(45)

2370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

where

(46) The total scattered components in the spatial domain are found by making an IFT in (45), namely,

(47) The coefficients above can be found by substituting (38) into (28), (28) into (42) and (43), and (42) and (43) into (46). ACKNOWLEDGMENT The authors wish to thank X. Xie, S. Ogurtsov and J. Lin, all of Arizona State University, Tempe, for the FEM data and routing work, F. Olyslager, University of Ghent, Gent, Belgium, for e-mail discussions, J. Tan, Cadence, Boston, MA, for the telephone conversations, and S. Hall, H. Heck, S. W. Lee, and C. Dai, all of the Intel Corporation, Portland, OR, for potential applications. REFERENCES [1] N. Faché, F. Olyslager, and D. De Zutter, Electromagnetic and Circuit Modeling of Multiconductor Transmission. Oxford, U.K.: Oxford Sci. Publications, 1993. [2] F. Olyslager, D. De Zutter, and K. Blomme, “Rigorous analysis of the propagation characteristics of general lossless and lossy multiconductor transmission lines in multilayered media,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 79–88, Jan. 1993. [3] M. S. Alarn, K. Hirayama, Y. Hayashi, and M. Koshiba, “Analysis of shielded microstrip lines with arbitrary metallization cross section using a vector finite element method,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2112–2117, Nov. 1994. [4] J. T. Kuo and T. Itoh, “Hybrid-mode computation of propagation and attenuation characteristics of parallel coupled microstrips with finite metallization thickness,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 274–280, Feb. 1997. [5] F. L. Lin and R. B. Wu, “Analysis of coplanar-waveguide discontinuities with finite-metallization thickness and nonrectangular edge profile,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2131–2138, Dec. 1997. [6] J. Bernal, F. Medina, and R. R. Boix, “Full-wave analysis of nonplanar transmission lines on layered medium by means of MPIE and complex image theory,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 177–185, Jan. 2001.

[7] H. H. Chen, “Finite-element method coupled with method of lines for the analysis of planar or quasi-planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 848–855, Mar. 2003. [8] N. Morita, N. Kumagai, and J. R. Mautz, Integral Equation Methods for Electromagnetics. Norwood, MA: Artech House, 1990. [9] T. Uwano and T. Itoh, “Spectral domain approach,” in Numerical Techniques for Microwave and Millimeter-Wave Passive Structures, T. Itoh, Ed. New York: Wiley, 1989. [10] J. M. Jin, The Finite Element Method in Electromagnetics. New York: Wiley, 1993. [11] J. L. Volakis, A. Chatterjee, and L. C. Kempel, Finite Element Method for Electromagnetics. Piscataway, NJ: IEEE Press, 1998. [12] K. S. Kunz and R. J. Luebbers, The Finite Difference Time Domain Method for Electromagnetics. Boca Raton, FL: CRC, 1993. [13] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [14] K. Sabetfakhri and L. P. B. Katehi, “Analysis of integrated millimeterwave and submillimeter-wave waveguides using orthonormal wavelet expansions,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2412–2422, Dec. 1994. [15] G. Oberschmidt, K. Bubke, and A. F. Jacob, “Two-dimensional waveletanalysis of a microstrip open,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 558–561, May 1998. [16] M. Fujii and W. J. R. Hoefer, “Time-domain wavelet Galerkin modeling of two-dimensional electrically large dielectric waveguides,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 886–892, May 2001. [17] G. Pan, M. Tong, and B. Gilbert, “Multiwavelet based moment method under discrete Sobolev norm,” Microwave Opt. Technol. Lett., vol. 40, pp. 47–50, Jan. 2004. [18] G. Pan, Wavelets in Electromagnetics and Device Modeling. Hoboken, NJ: Wiley, 2003. [19] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions With Formulas, Graphs and Mathematical Tables. New York: Dover, 1970. [20] A. Baños, Jr., Dipole Radiation in the Presence of a Conducting HalfSpace. New York: Pergamon, 1966. [21] G. Pan, M. Tong, and B. Gilbert, “Multiwavelet based Galerkin procedure in Sobolev space,” in Progress in Electromagnetics Research Symp., Honolulu, HI, Oct. 2003, p. 47. [22] J. Tan, G. Pan, G.-T. Lei, and B. K. Gilbert, “Full wave analysis of transmission lines in a multilayer substrate with heavy dielectric losses,” IEEE Trans. Comp., Packag., Manufact. Technol. B, vol. 19, pp. 621–627, Aug. 1996. [23] G. Pan and J. Tan, “General edge element approach to lossy and dispersive structures in anisotropic media,” Proc. Inst. Elect. Eng., pt. H, vol. 144, no. 2, pp. 81–90, Apr. 1997.

Meisong Tong (S’01–M’04) was born in Zhejiang Province, China. He received the B.S. and M.S. degrees in electrical engineering from Huazhong University of Science and Technology, Wuhan, China, in 1985 and 1988, respectively, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, in 2004. From 2000 to 2004, he was with the Electronics Packaging Laboratory (EPL), Department of Electrical Engineering, Arizona State University. He is currently a Post-Doctoral Research Associate with the Center for Computational Electromagnetics and Electromagnetics Laboratory (CCEML), University of Illinois at Urbana-Champaign. His research interests are computational electromagnetics, electronic packaging, antenna theory and design, and RF/microwave circuit and system. Dr. Tong is a member of the Applied Computational Electromagnetics Society.

George Pan, photograph and biography not available at time of publication.

Guangtsai Lei, photograph and biography not available at time of publication.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2371

Impedance Matching Considerations for Ferrite Faraday Rotators Charles R. Boyd, Jr., Life Fellow, IEEE

Abstract—When a linearly polarized plane wave propagates from a dielectric medium into a medium with Faraday rotation, a reflected wave will always occur at the interface. This reflection is necessary because the normal-mode wave impedances in the medium with Faraday rotation are nondegenerate, while the equivalent normal-mode wave impedances for the dielectric medium are degenerate. Therefore it is not possible to match both normal modes simultaneously at the interface. This paper investigates the peculiar reflected wave associated with impedance matching of Faraday rotators, and shows that it should have minimal impact on practical devices. Index Terms—Faraday rotation, ferrites, impedance matching, microwave propagation.

Fig. 1.

Plane-wave scattering arrangement.

and examining the consequences for practical Faraday rotation circuits is the objective of this paper.

I. INTRODUCTION

T

HE FIRST microwave ferrite components, marketed some 50 odd years ago, were simple waveguide isolators using 45 Faraday rotators with fixed longitudinal-field permanent magnet bias. Subsequent development of resonance isolators, junction circulators, axial toroid phase shifters, and other ferrite devices based on transverse-field magnetic bias largely replaced the simple Faraday rotator as a useful microwave component. One exception to this comment is the latching dual-mode reciprocal ferrite phase shifter [1], [2], which uses a longitudinal bias field, but operates with circular polarization in the biased ferrite region instead of the linear polarization used in a true Faraday rotator. Thus, a close relationship exists between the design considerations for dual-mode phase shifters and Faraday rotators; the same analytical methods can be used for both with differentiation applied only in interpretation of the results. The similarities extend to design details, and up-to-date dual-mode phase shifter geometries have recently been applied [3] to Faraday rotators for use in unique reciprocal microwave ferrite switches. Although an overview of the basic principle of Faraday rotation is regularly presented in introductory courses on microwaves, the focus is properly on demonstrating the important transmission characteristics of the interaction. A quick search of early literature by this author failed to find any references at all dealing with the impedance matching at the junction of an ordinary medium and a medium providing Faraday rotation. The problem can be presented in elementary terms using simple transmission-line parameters. Carrying out such an analysis

Manuscript received August 19, 2004; revised January 18, 2005. The author is with the Microwave Applications Group, Santa Maria, CA 93455 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850439

II. BASIC CONSIDERATIONS Consider the scattering conditions sketched in Fig. 1. In this arrangement, a linearly polarized plane wave propagating in free space filled with a homogeneous isotropic medium abruptly enters a medium, at normal incidence, in which Faraday rotation occurs. The normal modes of propagation in the Faraday rotating medium are modes with right-hand circular polarization (RHCP) and left-hand circular polarization (LHCP); recall that linear polarization can be expressed as a combination of equal amplitudes of RHCP and LHCP. The space filled with homogeneous isotropic medium will have degenerate RHCP and LHCP modes with the same wave impedance, while the space with Faraday rotation will have nondegenerate RHCP and LHCP modes with different wave impedances. Now define the relationship between the three values of wave impedance as (1) (2) where and are, respectively, the wave impedances of the RHCP and LHCP normal modes in the Faraday rotating is the wave impedance of the degenerate modes medium, and in the dielectric medium. The normalization has been chosen such that (3) and

0018-9480/$20.00 © 2005 IEEE

(4)

2372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

can reasonably be produced in available materials operating at moderate magnetic bias field levels. III.

RATIO AND REFLECTION-COEFFICIENT DEPENDENCE ON FARADAY ROTATION LEVEL

The amount of Faraday rotation in a given length of ferrite is equal to half the insertion phase difference between the RHCP and LHCP normal modes, i.e., (8) The relative activity of the Faraday rotation medium can be expressed as the amount of rotation per unit insertion phase. Since the insertion phase for a linearly polarized wave is the average of the RHCP and LHCP insertion phases, i.e., (9) it follows that the normalized rotation will be Fig. 2.

Reflected power versus r = 1 for selected values of .

The reflection coefficients LHCP waves will be

and

(10)

for the RHCP and

(5)

Recall that since and , changes in the wave impedances and propagation factors are directly proportional for changes only in the microwave magnetic permeability so that using (4), (11)

and (6)

of

Clearly, when and ,

, i.e., when

equals the geometric mean

and recall that is the desired ratio of Next, solve for wave impedances, expressed in terms of the normalized Faraday rotation

(12)

(7) The significance of this result is that only for the case , a linearly polarized wave incident on the Faraday rotating medium will produce reflected waves of equal, but opposite amplitude, i.e., the reflected wave field vectors in the plane of the incident wave will cancel. However, a quarter-cycle later, the RHCP and LHCP reflection vectors will add, producing a linearly polarized reflected wave. This peculiar reflected wave will thus be in time quadrature, as well as at a right angle in space, with respect to the incident wave. For the expected case in which is not too large, i.e., when the RHCP and LHCP characteristic impedances are not too difappears to provide a minimum of total ferent, setting reflected power in the two orthogonal linear polarizations, as shown in Fig. 2. can cause the Note that for large values of , setting normalized reflected power to be greater than 0.5. In this case, the normalized reflected power will be reduced to 0.5 or less by exactly matching one of the CP modes and allowing unconstrained reflection of the other CP mode. This case is not likely to occur in practice because the separation between the values for RHCP and LHCP is much greater than that which

Finally, this result can be substituted into (7) to get the desired expression of optimum reflection as a function of normalized Faraday rotation. Fig. 3 shows a plot of the dependence of return loss of the “anomalous” orthogonal reflected wave on the normalized Faraday rotation when the co-polarized reflection is cancelled, i.e., when . Although the plot has been shown for normalized rotation values up to 0.5, the maximum values for practical devices are likely to be 0.1 or less. Consequently, the return loss for the orthogonal wave should be at least 26 dB below the amplitude of the linearly polarized incident wave. IV. EXPERIMENTAL RESULTS By extension from previous studies [4], [5] and from practical hardware designs, the analysis and discussion above may also be applied to finite structures realized in square or circular waveguides. To verify the computed behavior, a test structure operating at -band was built, consisting of a long cylindrical ferrite rod of 0.280-in (7.11 mm) diameter metallized by sputtering, with each end matched to a square waveguide by a dielectric impedance transformer. A solenoidal coil of 3000 turns

BOYD: IMPEDANCE MATCHING CONSIDERATIONS FOR FERRITE FARADAY ROTATORS

Fig. 5.

Fig. 3.

Reflected wave return loss versus Faraday rotation level.

Fig. 4.

Test structure configuration.

surrounded the ferrite rod, allowing the longitudinal magnetic bias field to be adjusted by varying the coil current. Fig. 4 shows a sketch of the configuration. A test signal was applied to the ferrite rod through a rectangular waveguide port of a conventional orthogonal mode transducer (OMT) with cross-polarized reflected signals available at the second rectangular waveguide port of the OMT. Since there will be a cross-polarized reflection at the output of the rod, as well as the input, it was decided to use a ferrite with high loss at the test frequency in order to suppress the output reflected wave signal. An Ni ferrite with high saturation magnetization, Trans-Tech type TT 2-111 was selected. This material equal to approximately 14 GHz, ensuring has a value of that the material would be well into the low-field loss region at 9 GHz. In fact, the attenuation in the rod of 3.4 in (86.4-mm length) was so great that there was no detectable signal at the output port when a normal measurement-level excitation was applied to the input. A photograph of the test hardware and setup is presented in Fig. 5. Measured data from this fixture are presented in Fig. 6. It is clear that an orthogonal wave is reflected from the apparatus, and that the amplitude of this wave increases as the applied magnetic bias field is increased. Note that the onset of saturation effects in the ferrite material is indicated by the bend in the isolation versus current characteristic at a current level of approximately 140 mA. The Ni ferrite used saturates slowly, beginning

2373

Test hardware and setup.

Fig. 6. Measured reflected wave return loss versus coil current.

at a magnetization level only approximately 0.5 times the saturation magnetization value. The value of isolation at zero current represents the intrinsic isolation of the OMT, which was very high in the vicinity of the test frequency. V. CONCLUSIONS The data presented in Figs. 3 and 6 allow the following conclusions to be made regarding the interface between fully filled square or round waveguides with and without Faraday rotation. 1) Impedance matching should proceed in the usual manner, minimizing the co-polarized reflection of the wave incident from the ordinary guide to the guide with Faraday rotation.

2374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2) A small reflected wave will appear cross-polarized to the incident wave. Provisions should be made to absorb this wave in a film load or by coupling it to the side arm of an OMT. 3) The insertion loss increase caused by this orthogonal reflected wave should be limited to a few hundredths of a decibel.

REFERENCES [1] C. R. Boyd, Jr., “A dual-mode latching reciprocal ferrite phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1119–1124, Dec. 1970. [2] , “Comments on the design and manufacture of dual-mode reciprocal latching ferrite phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 6, pp. 593–601, Jun. 1974. , “High power reciprocal ferrite switches using latching Faraday [3] rotators,” in IEEE MTT-S Int. Microwave Symp. Workshop, Philadelphia, PA, Jun. 2003. [Online]. Available: http://www.magsmx.com/engineering.htm. , “A network model for transmission lines with gyromagnetic [4] coupling,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 9, pp. 552–562, Sep. 1965.

[5] W. E. Hord and F. J. Rosenbaum, “Coupled-mode analysis of longitudinally magnetized ferrite phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 2, pp. 135–138, Feb. 1974.

Charles R. Boyd, Jr. (S’52–M’58–SM’65 –F’85–LF’96) received the B.S.E.E. degree from the Carnegie Institute of Technology (now Carnegie-Mellon University), Pittsburgh, PA, in 1953, and the M.E.E. and Ph.D. degrees in electrical engineering from Syracuse University, Syracuse, NY, in 1962 and 1964, respectively. From 1953 to 1967, he was involved in various capacities, including product development and management. He was with Westinghouse Electric, Baltimore, MD, General Electric, Utica, NY, and General Electric, Syracuse, NY, and the Rantec Corporation (formerly a subsidiary of Emerson Electric), Calabasas, CA. From 1967 to 1970, he was a member of the faculty of the University of California at Los Angeles (UCLA). In 1969, he founded Microwave Applications Group, Santa Maria, CA, and served as its President and Chairman for over 30 years. In January 2005, he stepped down as President, retaining his position as Director and Chairman. He has authored or coauthored numerous technical papers, mainly involving analytical and experimental configurations of ferrite control elements. Dr. Boyd was the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) 1982 Microwave Application Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2375

Experimental Verification of Nonuniform Plasma Layer Model for Quartz-Silicon Image Guide Phase Shifters Thomas Fickenscher, Member, IEEE, and Andreas Schwolen

Abstract—The optical control of millimeter waves in image guides composed of a quartz-silicon sandwich structure is in-band frequencies. The controllability of vestigated for use at the dispersion characteristics is confirmed both theoretically and experimentally for single-moded guides using a pulse-modulated high-power semiconductor laser source. For the first time, measurement results of the frequency response of the phase shift are presented and, in addition to top side illumination, lateral illumination of the image guide is investigated. Differences between experimental and theoretical data based on a one-dimensional diffusion-controlled nonuniform plasma layer model are attributed to an inhomogeneity of the plasma distribution along the broadside of the silicon strip due to the impact of a huge surface recombination at the cutting area of the silicon strip. Index Terms—Image guide, millimeter-wave phase shifter, millimeter-wave photonics, optical control.

I. INTRODUCTION

A

T millimeter-wave frequencies, dielectric waveguides are more efficient than metallic rectangular waveguides, microstrip lines, and planar metallic waveguides. Semiconductor dielectric waveguides with an optically induced plasma layer have received considerable attention for their wide applications in controlling passive millimeter-wave devices [1]–[8]. One of the most promising applications is the realization of millimeterwave phase shifters. The presence of the plasma layer alters the wave velocity relative to that in the unperturbed waveguide, resulting in a net phase shift at the output. In electronic phase shifters, free carriers are injected from contacts; however, optical control offers the advantage of lower loss, as no additional metallization for contacts is required. A variety of dielectric waveguide structures has been discussed and analyzed for optical control, mainly focused on the basic dielectric waveguide with a single uniform plasma density layer on top [1], a buried uniform plasma density layer [9], and a uniform plasma density layer on both the top and bottom of the waveguide [10]. In addition, among the derivatives of the dielectric waveguide, optical control of rib guides and image guides both with a single uniform plasma density layer on top Manuscript received September 2, 2004. This work was supported by the Gesellschaft der Freunde und Förderer der Universität der Bundeswehr Hamburg e.V. The authors are with the Department of High Frequency Technology and Optoelectronics, Helmut-Schmidt-University/University of the Federal Armed Forces Hamburg, Hamburg D-22043, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850440

Fig. 1. Cross section and dominant transversal electric field component E of an image guide with: (a) vertically layered top side illuminated and (b) horizontally layered laterally illuminated quartz-silicon sandwich structure.

have been studied [11]. An analysis of the planar dielectric slab guide and the image guide is the only one that included a diffusion-controlled nonuniform layer model for the plasma distribution [12], [13]. Mostly, the analysis is based on the effective dielectric-constant method. Experimental verification is rarely provided. If so, highly oversized guides have been used and generally measured with a dynamic bridge method in the time domain. Thus, frequency response of the plasma loaded waveguide has not yet been measured. Furthermore, it has been found, in practice, that in oversized guides with a plasma loaded region, the waves will not remain in the fundamental mode. In this paper, experimental data of the photoinduced phase shift of single-moded laser-controlled image guides measured in the frequency domain are presented and compared with the theory based on the uniform and the diffusion-controlled nonuniform plasma layer model. For the first time, not only top side illumination, but lateral illumination has been studied. To achieve high phase shift in conjunction with low loss, a quartz-silicon sandwich structure has been used for the dielectric strips of the guides. II. THEORY The cross sections of an optically controllable image guide with a vertically layered top side illuminated and a horizontally layered laterally illuminated quartz–silicon sandwich structure backed by a conducting ground plane are shown in Fig. 1. In the case of a uniform plasma density, the propagation characteristics of this structure can be calculated using the standard effective dielectric-constant approach [8]. However, as far as a diffusion-controlled nonuniform plasma distribution is involved, the permittivity inside the plasma layer varies continuously along the vertical direction for top side illumination and continuously along the horizontal direction for lateral illumination.

0018-9480/$20.00 © 2005 IEEE

2376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

A. Diffusion-Controlled Plasma Profile The analysis begins with the solution of the steady-state onedimensional diffusion equation for the photo-generated electrons and holes when the waveguide is illuminated with abovebandgap radiation. Phase shifters designed for low loss and high phase shift will require a sandwich structure with a thin plasma layer. Thus, in contrast to [13] where the diffusion-controlled plasma profile of nonlayered semiconductor image guides with has been studied, surface recombination at the semiconductor surface opposite to the illuminated one cannot be ig, where is the ambipolar diffusion length. nored for is assumed to be However, the optical penetration depth much smaller than the thickness of the silicon layer. With the appropriate boundary conditions applied at the upper and lower semiconductor surfaces, the plasma density versus distance to the illuminated surface with for top side illumination for lateral illumination and

is given for

(1)

N d P

Fig. 2. Diffusion-controlled plasma profile ( ) calculated from the steady-state one-dimensional diffusion equation and corresponding average for broadside illuminated ( = 354 W/cm at plasma density level = 0) Si for two different layer thicknesses [surface recombination velocities = 100 cm/s (polished side, = 10 cm/s (grinded side, = 0) and )]. =

d v d

N

d

d

v

by

(2) with

where is the excess carrier lifetime, is the ambipolar diffusion constant, and and are the surface recombination velocities at the upper and lower surfaces of the semiconductor, respectively [14]. The laser-induced carrier generation rate (carriers per m s) at the illuminated semiconductor can be calculated from the optical power densurface according to sity

(3) (12) (4) (5) (6) and

(7) whereas for

, (8)

with

(9)

(10) and

(11)

where is the Planck’s constant, is the velocity of light in is the radiation absorption coefficient, which free space, , is the relative strongly depends on optical wavelength response of the semiconductor material exhibiting a peak re, and is the surface response at the wavelength . For two different layer thicknesses ( flectivity at and 100 m) and for W/cm , Fig. 2 depicts the plasma distribution in a silicon ( s, cm s, nm, and ) layer with cm/s cm/s assumed for an upper polished and a lower and cm grinded surface, respectively. The parameters and have been chosen in accordance with a laser wavenm. It can be seen that even if length of m, the actual plasma density varies by more than a factor of ten inside the thin layer. In addition, the average plasma density level , which is assumed for the computation of the uniform plasma layer approach, is shown. , the From the knowledge of the plasma distribution of the semiconductor with complex relative permittivity plasma present can be calculated according to the Drude formula (e.g., see [1]), taking into account all types of carriers, , light holes , heavy photoinduced electrons , and thermally ionized holes with holes their respective density , collision frequency , and effective mass . The photoinduced carrier densities are related via .

FICKENSCHER AND SCHWOLEN: NONUNIFORM PLASMA LAYER MODEL FOR QUARTZ-SILICON IMAGE GUIDE PHASE SHIFTERS

2377

B. Dispersion Characteristics of Nonuniform Guide Using the approach of the effective dielectric-constant method, the calculation of the propagation characteristics of the image guide, which would require a two-dimensional solution of the transverse wave equation to provide an exact solution, is approximated from the propagation characteristics of two separate slab waveguides, which are both one-dimensional in nature. The solution for the slab guides are coupled via the of the slab guide effective relative dielectric constant viewed at first, which is horizontally orientated (same stratification as the strip of the image guide in Fig. 1(a) and backed by a conducting ground plane) for top side illumination. In this case, calculated from the solution of the wave equation of the horizontal slab guide is viewed as the dielectric constant of a homogeneous hypothetical medium used as the dielectric fill of the second (vertically orientated) slab guide of width . In the following, the calculation of the propagation characteristics of the top side illuminated image guide is presented based on the nonuniform plasma layer model applied to the effective dielectric-constant method. -mode of the image guide, we have to To account for the consider the -mode of the horizontal slab guide of height and permittivity with quartz layer plasma layer air

Fig. 3. Schematic illustration of the measurement arrangement of vertically layered quartz–silicon image guide phase shifter.

by numerical techniques, e.g., by using the function bvp4c.m of the software MATLAB. Therefore, it is convenient to reduce (17) to a series of first-order equations [12], [13]. Next, the transverse wavenumber of the vertical slab guide of width and dielectric fill obtained from (16) is derived from the solution of the characteristic equation in the com-mode propagation. Finally, the propagaplex domain for of the -mode of the image guide tion constant is calculated from

(13) (19)

which has the field components , , and . With , the remaining field components are (14) and (15) where (16)

More simple expressions are found for the laterally illuminated guide where the computation starts with the calculation of the for the -mode of the vertical slab propagation constant guide of width and permittivity with plasma layer quartz layer air (20) holds inside the vertical slab guide, the As has to Helmholtz equation with nonconstant coefficient . With , the complex be solved for scalar potential function satisfies

denotes the longitudinal propagation constant of the horizontal and slab guide and denotes the angular frequency. are the free-space wavenumber and permittivity, respectively. satisfies The complex scalar potential function (17) while the eigenvalue

satisfies (18)

The boundary conditions are obtained by the demand for vanand by setting at the ishing field components for assuming infinite conductivity for the metal boundary at ground plane. The boundary value problem is solved for

(21) Hereafter, one has to deal with a nonlayered horizontal slab guide of height (backed by a conducting ground plane) with transverse wavenumber and dielectric fill with a hypothetical medium with the permittivity calculated from the propagation constant for the vertical slab guide. Finally, (19) with replaced by is used to calculate the propagation constant of the horizontally layered laterally illuminated image guide. III. EXPERIMENTAL TECHNIQUES AND RESULTS A. Sample Preparation A bar of 60-mm length and 900- m width was cut from a two-sided polished 4-in quartz wafer (700- m-thick fused silica

2378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Lithosil Q1 ). The ends of the bar were tapered in the -direction for transitions with minimal reflections to and from metallic waveguides (WR-10) with semipyramidal horns (Fig. 3). For the experimental investigations, it was sufficient to fix the quartz with the use of an adhesive tape on one of its polished sides on an aluminum ground plane. For permanent assembly, the quartz bar can be plated on its lower surface via sputtering and can be soldered on the ground plane [15]. Also, we have found that a cyanoacrylate adhesive (Loctite 401) can be used sparingly to fix the quartz bar on its right- and left-hand sides at four points onto the ground plane without affecting the transmission of the millimeter-wave signal. However, adhesive should not be applied between the quartz and ground plane to avoid air gaps. Two pieces of high-resistivity silicon (resistivity cm, s) were cut from thinned 2500–20 000 m and m, respectively) with the wafers ( same size as the width of the quartz bar (900 m, to be placed on top) and the height of the quartz bar (700 m, to be placed sidewise) as shown in Fig. 1. Due to the thinning process, the surface recombination was quite different for both surfaces and has been estimated to be 100 cm/s (polished side) and 10 cm/s (grinded side), respectively. For performing the measurements, either of these pieces was placed with its grinded surface on top of or aside the quartz bar—depending on the direction of illumination. The quartz-silicon sandwich structure backed by the ground plane provided a single-moded image guide. In order to prevent the strong impact of longitudinal carrier diffusion (carrier diffusion into dark sections), the silicon strip was cut no longer than the length of the illuminated section of the guide. This is an important aspect, since for high illumination levels, the somewhat lower carrier densities in the dark sections close to the illuminated section would cause a significant higher photoinduced attenuation than the higher carrier densities in the illuminated sections itself.

B. Experimental Arrangements For the measurement of the optically induced phase shift and attenuation, a vector network analyzer with a -band test set (HP 8510C) was used. The typical insertion loss for the device-under-test (DUT) including metallic horns and short waveguide sections, as shown in Fig. 3, was in the order of approximately 2 dB for a quartz image guide of 60-mm length. An additional marginal attenuation occurred when a silicon strip of 8-mm length was inserted. The output of a pulse modulated nm, pulsewidth fiber coupled semiconductor laser ( s, repetition rate Hz) was homogenized by an array of cylinder lenses and focused on an aperture of 3 mm width 8 mm length with a maximum optical output power density of 360 W/cm . A pulse generator was used to trigger both the laser and network analyzer. -parameters were measured in a timeslot 100 s before the falling edge of the laser output signal. Subsequently, from these illuminated state values, the dark state values have been subtracted to generate the photoinduced results.

Fig. 4. Comparison of experimental and theoretical data for a top side illuminated quartz-silicon image guide with 2a = 900 m, b d = 700 m, and d = 50 m at f = 94 GHz: (a) attenuation properties and (b) phase-shift properties versus surface plasma density and versus optical power density.

0

C. Results GHz along Measurement results at the frequency with the theoretically calculated values for the photoinduced and attenuation constants change of the phase versus surface plasma density with calculated from (2)–(7) and (12) are depicted in Fig. 4 for m and in Fig. 5 for m for the top side illuminated image guide composed of the quartz-silicon sandwich structure. Surface plasma density in addition to optical power density has been chosen as the dimension for the abscissa to enable the comparison with both the uniform and nonuniform plasma layer model. Further parameters used for simulation were s, cm/s, cm/s, cm , nm, , , cm , along with the dielectric loss factor and for the polarization loss of silicon, , , ,

FICKENSCHER AND SCHWOLEN: NONUNIFORM PLASMA LAYER MODEL FOR QUARTZ-SILICON IMAGE GUIDE PHASE SHIFTERS

2379

Fig. 6. (a) Attenuation properties and (b) phase-shift properties versus surface plasma density with parameter d calculated for a top side illuminated quartz-silicon image guide at 94 GHz with a = 700 m and b d = 700 m (uniform plasma layer approach).

0

Fig. 5. Comparison of experimental and theoretical data for a top side illuminated quartz–silicon image guide with 2a = 900 m, b d = 700 m, and d = 100 m at f = 94 GHz: (a) attenuation properties and (b) phase-shift properties versus surface plasma density and versus optical power density.

0

,

, , , , and cm [1]. The theoretically predicted values of photoinduced change in the phase and attenuation constant agree fairly well with the experimental data. The more detailed analysis based on the nonuniform plasma layer model provides even better results than the more simple uniform plasma layer model. The nonuniformity of the plasma distribution in the direction perpendicular to the illuminated semiconductor surface ( -direction in the case of the vertically layered structure) is pronounced for thicker semiconductor layers. Thus, theoretical data based on the uniform plasma layer model match somewhat better experimental results and m nonuniform plasma layer model data in the case of m. compared to Due to the arrangement of the dielectric strip as a sandwich structure, the semiconductor plasma is confined inside a layer of thickness . In contrast to nonlayered structures, no

exponential tails of the plasma distribution extend into the depth . Hence, after reaching a maximum of the dielectric strip ( cm at a certain plasma density in Figs. 4 and 5), photoinduced attenuation is dropping toward low values for increasing excitation levels beyond . The have been predicted theoretically, but characteristics of to the knowledge of the authors, not yet been proven experimentally in depth for the image guide, nor for any other type of dielectric waveguide. Theoretical and experimental data show in the case of the thinner plasma a higher peak of m compared to the thicker plasma layer layer m , but a lower value of for high excitation levels cm . This behavior is not limited to the specific values used for . Fig. 6 depicts the impact of on calculated and values based on the uniform plasma layer approach. In contrast to nonlayered structures where the dielectric strip is composed solely of semiconductor material, the phase shift indecreases with falling creases with falling , whereas and, hence, reducing plasma depth, for the nonlayered guide. is rising with the decreasing value, but for suf, a thinner plasma ficient high excitation levels layer provides lower attenuation. As phase shifters with high

2380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 7. Frequency dependence of photoinduced phase shift measured for top side illuminated quartz–silicon image guide with d = 50 m.

opto-electronic sensitivity, i.e., with high phase shift per optical power density, require high excess carrier lifetimes and, hence, high ambipolar diffusion lengths, sandwich structures with a thin semiconductor plasma layer (which could be realized by also using a heterojunction semiconductor) are much more efficient in terms of phase shift per unit attenuation than nonlayered structures. The frequency dependence of the photoinduced phase shift m is shown in Fig. 7. As expected, the measured for photoinduced phase shift reduces slightly with increasing frequency where the fields of the fundamental mode are concentrated to a greater extent inside the quartz. This has been analyzed theoretically, but to the knowledge of the authors, not yet been verified experimentally. As a consequence of an additional inhomogeneity of the plasma distribution along the broadside of the silicon strip ( -direction in the case of a vertically layered structure), which has not been accounted for in both theoretical models, the is somewhat higher and measured peak of decays slightly slower for compared with the theory (Figs. 4 and 5). In practice, the plasma distribution along the broadside of the silicon strip reduces at both edges of the strip due to a high surface recombination at the cutting area, which is expected to be much worse than the value for the grinded surface. Furthermore, it was found that when turning the silicon strip upside down (placing it with the polished side onto the quartz), approximately three times the optical power density is required to attain the maximum of the photoinduced attenuation. This demonstrates the huge difference in surface

Fig. 8. Comparison of experimental and theoretical data for of a laterally illuminated quartz–silicon image guide with 2a d = 900 m, b = 700 m, and d = 50 m at f = 94 GHz: (a) attenuation properties and (b) phase-shift properties versus surface plasma density and versus optical power density.

0

recombination between the polished and grinded silicon surface, which is also evident from the different values of the at and , respectively (ref. to gradient of Fig. 2). A comparison of measurement results and theoretical data for the attenuation and phase-shift properties of a laterally m, illuminated quartz-silicon image guide with m, and m at GHz is shown in Fig. 8. In contrast to top side illumination, the laterally excited guide exhibits a lower phase constant than the unperturbed waveguide as the lateral plasma layer gradually pushes the fields out of the strip in the direction opposite to the plasma layer. It is clearly measured is much higher compared with observed that data predicted by the nonuniform plasma layer model, whereas agree fairly well. We theoretical and experimental data of explain this by the inhomogeneity of the plasma distribution along the broadside of the silicon strip ( -direction in the case of the horizontally layered structure), which is ignored in the

FICKENSCHER AND SCHWOLEN: NONUNIFORM PLASMA LAYER MODEL FOR QUARTZ-SILICON IMAGE GUIDE PHASE SHIFTERS

one-dimensional diffusion model. For lateral illumination, this problem is much more serious than for top side illumination, as the electric field intensity distribution along the -direction shows its maximum at , the lower cutting plane of the silicon strip. This is the plane where the impact of the surface recombination at the cutting area on the plasma distribution reaches its maximum. Actually, plasma density in the silicon layer close to the maximum of the electric field distribution is much lower than calculated in our diffusion model. This also for explains the much slighter decay of as the wave still experiences a strong attenuation in the regions of lower plasma densities. Basically, for thin plasma layers in conjunction with the and predicted by the lateral illumination condition, nonuniform plasma layer model are quite similar to those predicted by the uniform plasma layer approach. In general, as well as in the case considered, the plasma distribution has an absolute minimum at the inner surface of the silicon strip (opposite to the illuminated one). On the other hand, the remaining electric field penetrating the plasma layer reduces from the inner surface toward the illuminated one. Thus, for low well below ), the lower plasma excitation levels ( density of the inhomogeneous plasma layer (refer to Fig. 2) in the region of stronger electric field intensities will cause a lower photoinduced attenuation compared with the homogeneous well above plasma layer, whereas for high excitation levels ), the lower plasma density of the inhomogeneous plasma layer in the region of stronger electric field intensities will cause a higher photoinduced attenuation (refer to Fig. 8).

IV. CONCLUSION The various propagation characteristics of millimeter waves in image guides composed of a quartz–silicon sandwich structure have been investigated at -band. Phase shift and attenuation properties resulting from the presence of an optically induced plasma have been evaluated by applying the diffusioncontrolled nonuniform plasma layer model to the effective dielectric-constant method. For the first time, lateral illumination (horizontally layered guides) has been studied in addition to top side illumination (vertically layered guides). Experiments have been carried out to demonstrate the optical control of millimeter waves using single-moded guides and a pulse-modulated high-power semiconductor laser source. In the case of top side illumination, the theoretically predicted values for photoinduced change in phase and attenuation constant agree fairly well with the experimental data. In particular, it has been demonstrated for thin plasma layers that, for high excitation levels where the photoinduced phase shift starts to saturate, photoinduced attenuation drops significantly. The photoinduced phase shift reduces slightly with increasing frequency where the fields of the fundamental mode are concentrated to a greater extent inside the quartz. For lateral illumination, theoretical data of the photoinduced phase shift are in agreement with experimental results, whereas the photoinduced attenuation measured is higher compared to

2381

the theory predicted by the nonuniform plasma layer model. Due to the impact of the strong surface recombination at the cutting area of the silicon strip, there is an additional inhomogeneity of the plasma distribution along the broadside of the silicon strip. Thus, in the laterally illuminated silicon layer, the plasma density close to the maximum of the electrical field distribution is much lower than calculated in our one-dimensional diffusion model. This also explains the much slighter decay of for , as the wave still experiences a strong attenuation in the regions of lower plasma densities.

ACKNOWLEDGMENT The authors would like to thank A.-V. Schulz-Walsemann, Fraunhofer Institute for Silicon Technology (ISIT), Itzehoe, Germany, for providing the quartz samples, C. Seifarth, Helmut-Schmidt-University/University of the Federal Armed Forces Hamburg, Hamburg, Germany, for performing the measurements, and Prof. W. Platte, Helmut-Schmidt-University/University of the Federal Armed Forces Hamburg, for many helpful discussions.

REFERENCES [1] A. M. Vaucher, C. D. Striffler, C. H. Lee, P. Mak, and A. DeFonzo, “Theory of optically controlled millimeter-wave phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 2, pp. 209–216, Feb. 1983. [2] IEEE Trans. Microw. Theory Tech. (Special Issue), vol. 43, no. 1, Jan. 1995. [3] IEEE Trans. Microw. Theory Tech. (Special Issue), vol. 45, no. 8, Aug. 1997. [4] A. Alphones, “Studies on optically controlled millimeter-wave circuits,” Ph.D. dissertation, Dept. Electron. Inform. Sci., Kyoto Inst. Technol., Kyoto, Japan, 1991. [5] T. Fickenscher, “Analysis of an optically controlled beam-splitter integrated into dielectric and image guides with low dielectric constant,” Frequenz, vol. 56, no. 5–6, pp. 139–143, May/Jun. 2002. , “A refined model for the analysis of the coupling behavior of pho[6] tonic millimeter wave beam-splitter-type couplers,” Frequenz, vol. 57, no. 5–6, pp. 97–103, May/Jun. 2003. , “Optically controlled broad-band millimeter wave beam-splitter[7] type coupler,” Int. J. Infrared Millimeter Waves, vol. 25, no. 3, pp. 553–567, Mar. 2004. [8] A. Schwolen and T. Fickenscher, “Periodic-structure photoexcitation of a GaAs image guide for selective optoelectronic MMW control,” Frequenz, vol. 57, no. 7–8, pp. 152–156, Jul./Aug. 2003. [9] M. W. Scott, T.-F. Wu, and J. K. Butler, “Analysis of a buried layer millimeter-wave phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 8, pp. 783–784, Aug. 1987. [10] K. Ogusu, “New dielectric waveguide structure for millimeter-wave optical control,” Electron. Lett., vol. 19, no. 7, pp. 253–254, Jul. 1983. [11] M. Tsutsumi and A. Alphones, “Optical control of millimeter-waves in the semiconductor waveguide,” IEICE Tans. Electron., vol. E76-C, no. 2, pp. 175–182, Feb. 1993. [12] J. K. Butler, T.-F. Wu, and M. W. Scott, “Nonuniform layer model of a millimeter-wave phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 1, pp. 147–154, Jan. 1986. [13] T. Fickenscher and A. Schwolen, “Nonuniform layer model of an image guide millimeter-wave phase shifter,” Microwave Opt. Technol. Lett., vol. 41, no. 6, pp. 486–490, Jun. 2004. [14] W. Platte, “Lichtempfindliche Halbleiterschichten in Microstrip-Schaltungen,” Ph.D. dissertation, Fac. Eng. Sci., Univ. Erlangen–Nuremberg, Erlangen, Germany, 1975. [15] Y.-W. Chang, “Millimeter-wave ( -band) quartz image guide Gunn oscillator,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 1, pp. 194–199, Jan. 1983.

W

2382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Thomas Fickenscher (M’02) was born in Hof/Saale, Bavaria, Germany, in 1965. He received the Dipl.Ing. degree from the University of Erlangen–Nuremberg, Erlangen, Germany, in 1991, the Dr.-Ing. degree from the University of the Federal Armed Forces Hamburg [now the Helmut-Schmidt-University/University of the Federal Armed Forces Hamburg (HSU HH)], Hamburg, Germany, in 1997, and is currently working toward the Habilitation degree at HSU HH. From 1991 to 1997, he was a Research Assistant with the Department of High Frequency Technology and Optoelectronics, HSU HH. In 1997, he joined Siemens AG, Kamp-Lintfort, Germany, where he was involved in design and development of receiver front-ends for GSM1800 and GSM1900 cellular phones. From 1998 to 2000, he was with Bell Laboratories, Department of Optics and High Speed Circuit Development, Lucent Technologies, Nuremberg, Germany, where he was responsible for 10-Gbit/s dense wavelength-division multiplexing (DWDM) optical receiver front-end design. In 2000, he became Head of the Laboratory for High Frequency Technology and Optoelectronics, HSU HH. He has lectured on millimeter-wave photonics since 2000 and on antennas and antenna systems since 2004. His research interests include optical techniques for microwave and millimeter-wave applications, laser-controlled millimeter-wave components, and opto-electronics. Dr. Fickenscher is a member of the Verein Deutscher Elektrotechniker (VDE) Informationstechnische Gesellschaft (ITG), the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and the IEEE Antennas and Propagation Society (IEEE AP-S).

Andreas Schwolen was born in Bendorf/Rhein, Germany, on July 11, 1971. He received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the University of the Federal Armed Forces [now the Helmut-Schmidt-University/University of the Federal Armed Forces Hamburg (HSU HH)], Hamburg, Germany, in 1998 and 2005, respectively. From 1998 to 2000, he was an Officer in the signal troops of the Federal Armed Forces and Platoon Leader of the IT-Network Platoon, Headquarters Multinational Division Central, Mönchengladbach, Germany. Afterwards he was an Officer in the staff and Company Commander of Signal Battalion 1, Rotenburg/Wümme. Since 2001, he has been a Research Assistant with the Department of High Frequency Technology and Optoelectronics, HSU HH. In January 2005, he became a Project Leader with Plath GmbH, Hamburg, Germany. His research interests are focused on the development of optically tunable microwave and millimeter-wave distributed Bragg reflector (DBR) filters based on layered silicon-quartz image guides.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2383

Bandpass Filter of Serial Configuration With Two Finite Transmission Zeros Using LTCC Technology Chun-Fu Chang and Shyh-Jong Chung, Member, IEEE

Abstract—This study proposes a second-order bandpass filter of serial configuration. The filter schema incorporates a grounding capacitor, connecting the two conventional parallel LC resonators with the ground, to provide two finite transmission zeros. The impedance matrix and graphical solutions describe proposed filter’s operation principle. To demonstrate the proposed filter schema, two bandpass filters, with center frequencies of 2.44 and 4.8 GHz, were designed and implemented using low-temperature co-fired ceramic multilayer technology. The measured results were found to agree well with the simulation results. The 2.44-GHz fabricated bandpass filter was found to possess low in-band insertion loss and high out-band suppression, making it suitable in wireless local area networks, Bluetooth, and RF home links. Index Terms—Bandpass filter, Bluetooth, finite transmission zeros, low-temperature co-fired ceramic (LTCC), RF home link, wireless local area network (WLAN).

I. INTRODUCTION

T

HE SWIFT development of mobile communication has made size and weight reduction, low cost, and high performance essential for RF products. To make a compact design of RF passive components, such as filters, baluns, matching circuits, and even antennas, can be implemented on a multilayer stack-up substrate. Other RF active components and baseband/digital circuitry can also be embedded on the same substrate to enhance product integration. This “system-on-package” concept of integrating many or all electronic components of a functional system or a subsystem into one product has attracted considerable attention recently [1]–[4]. The increase in the design degree of freedom has resulted in small high-performance embedded passive components. The low-temperature co-fired ceramic (LTCC) technology is a very widely used multiplayer technology for designing miniaturized RF passive components, owing to its three-dimensional (3-D) integration capabilities, process tolerance, and low dielectric loss. The bandpass filter is one of the most important passive components in RF circuitry, attracting significant interest in 3-D miniaturized design [5]–[12]. A good bandpass filter has low passband insertion loss and provides large suppression in the rejection area including the image signal and in-band signal harmonics. High suppression in rejection area can be provided by generating transmission zeros at the rejection frequencies. A

Manuscript received September 3, 2004; revised November 30, 2004 and December 31, 2004. This work was supported in part by the National Science Council, R.O.C., under Contract NSC 93-2752-E009-002-PAE. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan 30050, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850414

Fig. 1. Schema of the proposed bandpass filter with a grounding capacitor C forming a feedback path to produce two finite transmission zeros.

common approach to producing finite transmission zeros is to form a feedback path by adding a coupling capacitor between I/O ports [5], [8]. This study presents a bandpass filter of serial configuration with two finite transmission zeros, and demonstrates the filter using LTCC technology. The proposed filter is based on a conventional filter architecture with transmission zeros at dc and infinite frequency, and incorporates a capacitor between the traditional filter and ground, as shown in Fig. 1. The grounding capacitor provides a feedback path to the common bandpass filter and, as explained below, generate two finite transmission zeros at different sides of the passband. Section II describes the proposed filter’s operation and validates its configuration function using the filter network’s impedance matrix [13] together with graphical solutions [5], [6]. Section III presents the 3-D layout of two LTCC bandpass filters with the proposed schema. The filters were designed and simulated using a full-wave electromagnetic (EM) simulator. Section IV shows the measurement results of the two fabricated LTCC filters. The experimental and simulation results were found to agree. Finally, Section V briefly draws conclusions about this study. II. THEORY The proposed filter schema in Fig. 1 can be regarded as two two-port networks connected in series with the upper and lower parts shown in Fig. 2(a). The upper network (Network 1) can be a conventional bandpass filter with an infinite transmission zero, such as a bandpass filter with an equal-ripple or maximally flat response [13]. The filter schema chosen for this study is illustrated in Fig. 2(b). The lower network (Network 2) is simply a shunt capacitor , as illustrated in Fig. 2(c). In the upper netand the stripline section form a resonator, as do work, and the stripline . The two resonators can generate two poles supporting the filter’s passband. The coupled striplines

0018-9480/$20.00 © 2005 IEEE

2384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

or, from (3), . Restated, the frequency of the finite transmission zeros should satisfy either of the following equations derived from (1) and (2): (4) or (5) The transmission zeros can be located analytically by first considering the two coupled strip lines ( and ) in Fig. 2(b) as two inductors and with mutual inductance of . By matrices of the input/output cacorrectly cascading the matrix and, pacitor circuits and the inductor circuit, the of the upper network can then be dethus, the -matrix rived as follows:

(a)

(b)

(6) Finally, substituting (6) into (5) generates a fourth-order polynomial equation of as follows: (c) Fig. 2. (a) Representation of the proposed filter with two serially connected two-port networks. (b) The upper network (Network 1) without the grounding capacitor. (c) The lower network (Network 2) of a shunt grounding capacitor C .

and couple the major portion of signal energy between the I/O ports close to the center frequency. Capacitors and act as inverters matching the resonators to the external impedance [13] and as dc-decoupling capacitors to block the dc signal at the front or back stage of the filter. As demonstrated below, this upper network behaves as a bandpass filter without finite transmission zeros if the grounding capacitor is omitted. The impedance matrix of the proposed filter configuration in Fig. 2(a) can easily be derived as the sum of the upper and lower network ) matrices, i.e., (1) These impedance matrices assumed the filter to be lossless and, , where denotes the thus, are purely imaginary, i.e., corresponding reactance matrix. Notably, for the simple lower of the impedance network shown in Fig. 2(c), the elements matrix are the same and can be derived as (2) (i.e., the Network theory relates the scattering parameter transmission coefficient) of the filter to the impedance matrix by the following formula [13]: elements (3) represents the characteristic impedance of the I/O where ports, set to 50 in this study. The finite transmission zeros of the filter are located at the frequency where

(7) whose two positive roots are the frequencies of the finite transmission zeros. The characteristic (5) for transmission zeros can also be solved graphically. Fig. 3 depicts the left-hand side (solid line) and right-hand side (dashed lines) of (5) as frequency functions. of the upper network The mutual reactance function in Fig. 2(b) was calculated with the circuit simulator Microwave pF Office,1 using a symmetrical geometry with pF. The striplines and had and identical dimensions, 3.1 mm 0.1 mm (length width), with a narrow spacing of 0.1 mm to ensure sufficient mutual inductance. Fig. 3 compares five reactance curves for the lower network with the grounding capacitance varying from 8.5 to 18 pF. The filter’s transmission zeros, which obey relationship (5), correspond to the intersection points between the solid line and dashed lines. Clearly each grounding capacitance has two intersection points, i.e., two finite transmission zeros. Additionally, the locations of the two transmission zeros expand outward as the grounding capacitance increases. The desired transmission zeros can be obtained for the bandpass filter by selecting appropriate grounding capacitance values. and inserFig. 4 illustrates the computed return loss tion loss of the entire bandpass filter of Fig. 1. The solid lines denote the results for three grounding capacitances ( and pF), while the dashed lines represent those of the conventional filter structure [see Fig. 2(b)], which is equivalent to the proposed filter with infinite grounding capacitance 1Appl.

Wave Res. Inc., El Segundo, CA, 2002.

CHANG AND CHUNG: BANDPASS FILTER OF SERIAL CONFIGURATION WITH TWO FINITE TRANSMISSION ZEROS

2385

Fig. 3. Mutual reactance function X of the upper network (network 1) and the negative of the mutual reactance X (=1=!C ) of the lower network (Network 2) in Fig. 2. The dashed lines denote grounding capacitances of C = 8:5; 10; 11:6; 14; and 18 pF. The finite transmission zeros are located where the solid line intersects with the dashed lines.

0

Fig. 5. 3-D LTCC layout of the 2.4-GHz bandpass filter. The dielectric constant of LTCC substrate for each layer is 7.8 (at 2.5 GHz), the loss tangent is 0.004 (at 2.5 GHz), and the silver alloy thickness is 0.02 mm. The top six LTCC substrate layers are 0.039-mm thick and others are of 0.087-mm thick.

with negative reactance. The lower network is used to form a feedback so as to produce finite transmission zeros. If the upper network is capacitive, then the lower network should be inductive with positive reactance [6]. III. LTCC LAYOUTS AND EM SIMULATION

Fig. 4. Scattering parameters (computed by the circuit simulator) of the proposed bandpass filter with different grounding capacitances (C = 8:5; 11:6; and 18 pF). The response of the conventional filter without the grounding capacitor (or with C = ) is also given for comparison.

1

. The graph demonstrates that changing the grounding capacitance does not alter the filter’s passband, which remains the same as that of the conventional filter, i.e., connecting a grounding capacitor in series with a conventional filter does not influence the insertion and return losses in the passband. This phenomenon was also noted in the filter with a feedback capacitor connecting the I/O ports [5]. Furthermore, Fig. 4 shows that each filter configuration with a grounding capacitor possesses two finite transmission zeros, one in the lower stopband and the other in the higher stopband. The frequency of the lower zero falls, while that of the higher zero rises, as the grounding capacitance increases. At the limit, when the capacitance is increased to infinity, the transmission zeros converge to a dc zero and an infinite zero, as in the conventional filter. Significantly, the transmission zeros are located precisely at the intersection points in Fig. 3 for each grounding capacitance. Equation (4) indicates that transmission zeros can be produced by serially connecting two networks with positive and negative reactance. The proposed filter has an inductive upper network with positive reactance, and a capacitive lower network

Based on the proposed filter schema, two bandpass filters with different passbands were designed and fabricated using the LTCC process. The first step is to adjust the filter’s component values with the circuit simulator to obtain the ideal frequency responses. Second, a multilayer LTCC structure is designed using the new component values, and simulated using the full-wave commercial package High-Frequency Structure Simulator (HFSS),2 which is a 3-D finite-element-based EM simulator. At this stage, the mutual coupling of the filter components in the compact multilayer structure would result in different simulation responses from those obtained initially. Therefore, the LTCC layout is finally fine tuned to minimize the difference between the full-wave simulation results and the ideal results. The first bandpass filter designed in this study is typically applied in Bluetooth or IEEE 802.11 b/g wireless local area network (WLAN), which has a passband bandwidth of nearly 100 MHz centered at 2.44 GHz. Besides low insertion loss in the passband, the filter should also produce a high rejection at 1.8/1.9 GHz and at around 4.9 GHz to suppress the DCS 1800 interference signal and the second harmonic of the operating frequency. The filter has circuit component values with ideal frequency responses, as described in Section II, with the grounding pF. capacitance After the second and third design steps, a 3-D layout of the first filter was obtained and shown in Fig. 5. The first filter contained ten LTCC layers. The top six layers were 0.039-mm thick, and the others were 0.087-mm thick. The thickness of the metal plates (silver alloy) was 0.02 mm. Since the grounding capacitor 2Ansoft

Corporation, Pittsburgh, PA, 2001.

2386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

had a large capacitance value of 11.6 pF, the top four layers were employed to realize its capacitance. Layers 1 and 3 were ground layers, connected to each other by the side pads (not depicted in this figure) on the longer edges of the LTCC substrate. The and metal plate on Layer 2 generated two capacitors to grounds (Layers 1 and 3), respectively. Additionally, Layer 4 to the third-layer ground. After equalproduced a capacitor izing the potentials on the two metal plates by a via, the three and ) were connected in parallel with capacitors ( , thus realizing the large grounding capacitor. and , which were connected in series The capacitors to Ports 1 and 2 (I/O ports), respectively, were generated by the and in metal plates on Layers 6 and 5. The capacitors the two resonators of the filter were produced by the plates on between and was conLayers 5 and 4. The stripline by Via 1 and connected to by Via 2. Notably, nected to . Simthese two vias would contribute a small inductance to was connected to by Via 3 and connected to ilarly, by Via 4. The striplines were located on Layer 9 with 0.1-mm spacing, considering the limitation of distance between two adjacent lines in fabrication. The required mutual inductance could be obtained using an appropriate coupling length. Significantly, the mutual inductance was formed by edge-coupled, instead of broadside-coupled, striplines. This coplanar layout would reduce the inaccuracy in LTCC fabrication because the error probability of metal offset in edge coupling is much smaller than that in broadside coupling. Furthermore, the edge-coupled striplines maintained the symmetry of the 3-D layout and, thus, the symmetry of the filter functions. The bottom layer (Layer 10) was a ground with the central part excavated in order to avoid the large parasitic grounding-capacitance effectbetween thetwostriplinesandtheground.Thesethree ground layers (Layers 1, 3, and 10) were connected by the side pads on the two longer edges of the LTCC substrate, and the I/O ports are on the shorter edges of the LTCC. The total size of the LTCC bandpass filter was 2.5 2.0 0.8 mm . Fig. 6 shows the full-wave EM simulation resultsfor the 3-D LTCC layout, as compared to the ideal responses computed by the circuit simulator. TheEM simulationresultswerefound toagreewith theidealones, except for higher insertion loss in the passband resulting from the conductor and dielectric losses considered in the EM simulation. These results demonstrate that the 3-D configuration is a good bandpass filter with low in-band insertion loss and high out-band rejection (larger than 30 dB) at 1.8/1.9 GHz and the second harmonic frequency (around 4.9 GHz). Fig. 6 also illustrates the EM simulation results for the LTCC layout without bottom ground excavation. These results differ significantly from the EM simulation results for the layout with bottom ground excavation, confirming the strong parasitic grounding-capacitance effect on the filter performance. The second bandpass filter was designed with a bandwidth larger than 0.9 GHz centered at the frequency of 4.8 GHz. Using the proposed filter schema in Fig. 1, an ideal bandpass filter pF, response was obtained with pF, and pF. The dimensions (length width) of and were both 1.05 mm 0.15 mm. The spacing between striplines was set to 0.15 mm.

Fig. 6. EM simulated scattering parameters of the 2.4-GHz bandpass filter with (solid lines) and without (dotted lines) excavated bottom ground plane. The dashed lines denote the parameters computed by the circuit simulator.

Fig. 7. 3-D LTCC layout of the 4.8-GHz bandpass filter. The dielectric constant of LTCC substrate for each layer is 7.8 (at 2.5 GHz), the loss tangent is 0.004 (at 2.5 GHz), and the thickness of the silver alloy is 0.02 mm. The top seven layers of LTCC substrate are 0.039-mm thick, and others are of 0.087-mm thick.

In this case, all components were smaller in this bandpass filter than those in the 2.4-GHz bandpass filter. Therefore, this filter was realized in a smaller size of 2.0 1.2 0.88 mm with the 3-D LTCC layout revealed in Fig. 7. The LTCC had 11 layers; the top seven layers were 0.039-mm thick, while the other layers were 0.087-mm thick. This filter, being smaller than the previous filter, constructed the grounding capacitor using only the top two layers. Moreover, three layers were employed and from Layers 3 to 5 with connecting the to construct plates on Layer 3 to those on Layer 5 by vias forming two verand on Layer tical interdigital capacitors. The striplines and by vias and to each 7 were respectively connected to other at a buffer pad on Layer 6. The buffer pad was connected through a via from Layers 6 to to the grounding capacitor 2. The buffer pad reduced the total number of required vias, thus pushing cost down and increasing the fabrication yield. Noand tably, the striplines were in parallel with the capacitors formed between Layers 3 and 2. Additionally, the bottom

CHANG AND CHUNG: BANDPASS FILTER OF SERIAL CONFIGURATION WITH TWO FINITE TRANSMISSION ZEROS

2387

Fig. 8. Scattering parameters of the 4.8-GHz bandpass filter calculated by EM simulator (solid lines) and circuit simulator (dashed lines).

Fig. 10. Comparison of the measured (solid lines) and EM simulated (dashed lines) scattering parameters of the 4.8-GHz bandpass filter.

Fig. 9. Comparison of the measured (solid lines) and EM simulated (dashed lines) scattering parameters of the 2.4-GHz bandpass filter.

results, the second harmonic of 2.4-GHz signal could be suppressed to 38 dB, and the suppression during 3.7–6 GHz was higher than 30 dB. The zero on the low-skirt side provided suppressions of 41 dB at 1.916 GHz, 38 dB at 1.9 GHz, and 27 dB at 1.8 GHz. The passband insertion loss from 2.4 to 2.483 GHz was better than 1.93 dB, with a minimum value of 1.7 dB at 2.48 GHz. The measured response agrees well with that of the EM simulation. Fig. 10 compares the measured and EM simulated results for the 4.8-GHz bandpass filter. The measured response agrees well with the EM simulation response, except that the two finite transmission zeros shifted slightly to higher frequencies in the measured response. In the measured results, the 1.5-dB insertion-loss bandwidth extended from 4.42 to 5.16 GHz, with a minimum value of 1.16 dB at 5 GHz. The suppression was 35 dB at the lower zero (3.75 GHz) and 50 dB at the higher zero (7.96 GHz). The 4.8-GHz bandpass filter had a larger passband fractional bandwidth, but a worse out-band rejection at the lower side of the passband, compared to the 2.4-GHz bandpass filter. This difference was found because the ratios of the zero location to the band edge for two designs are different. The closer the zero is to the band edge, the less the ultimate rejection will be got. In Fig. 9, the ratio of the lower zero to the lower band , while in edge in the measured results is the 4.8-GHz bandpass filter (Fig. 10), the ratio is . Consequently, the 2.4-GHz filter has more ultimate rejection at the low-skirt side owing to a farther zero than that of the 4.8-GHz filter. Fig. 11 presents a photograph of the two fabricated LTCC filters.

ground did not need to be excavated since the striplines’ layer was far from the bottom ground. Fig. 8 compares the EM simulation results for the 3-D layout with the ideal responses calculated by the circuit simulator. These results agree with each other quite well. IV. EXPERIMENTAL RESULTS After the analysis and EM simulation, the designed filters were fabricated using the Dupont 951 LTCC process with dielectric constant of 7.8 (at 2.5 GHz), loss tangent of 0.004 (at 2.5 GHz), and thickness of the silver alloy of 0.02 mm. The commonly used printed-circuit board FR4 with a dielectric constant of 4.7, loss tangent of 0.02, and thickness of 0.4 mm was applied as the test board to measure the performance of the fabricated LTCC filters. Fig. 9 shows the measured results for the 2.4-GHz bandpass filter, together with the EM simulation results. The two transmission zeros of the measured response occurred at 1.9 and 4.3 GHz, which are 100 MHz higher than in the simulation. Significantly, the zero at the high-skirt side on the measured result, which is much deeper than that of the simulation, can provide a ) of 45 dB. Moreover, in the measured signal suppression

V. SUMMARY AND FUTURE WORKS In this study, a bandpass filter schema of serial configuration has been proposed and realized using the LTCC multilayer process. In the proposed configuration, the method of producing two finite transmission zeros by the grounding capacitor is entirely different from that by the traditional coupling capacitor between I/O ports. Two bandpass filters with different passbands were designed and demonstrated. The circuit design, LTCC layout, EM simulation, and experimental results were

2388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

[6] C.-W. Tang, Y.-C. Lin, and C.-Y. Chang, “Realization of transmission zeros in combline filters using an auxiliary inductively coupled ground plane,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2112–2118, Oct. 2003. [7] A. Sutono, J. Laskar, and W. R. Smith, “Development of integrated three dimensional Bluetooth image reject filter,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 2000, pp. 339–342. [8] I. Awai, A. C. Kundu, and T. Yamashita, “Equivalent-circuit representation and explanation of attenuation poles of a dual-mode dielectric-resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2159–2163, Dec. 1998. [9] V. Piatnitsa, E. Jakku, and S. Leppaevuori, “Design of a 2-pole LTCC filter for wireless communications,” IEEE Trans. Wireless Commun., vol. 3, no. 2, pp. 379–381, Mar. 2004. [10] C.-H. Lee, A. Sutono, S. Han, K. Lim, S. Pinel, E. M. Tentzeris, and J. Laskar, “A compact LTCC-based -band transmitter module,” IEEE Trans. Adv. Packag., vol. 25, no. 3, pp. 374–384, Aug. 2002. [11] A. Simine, V. Piatnitsa, A. Lapshin, E. Jakku, D. Kholodnyak, S. Leppaevuori, and I. Vendik, “Design of quasi-lumped-element LTCC filters and duplexers for wireless communications,” in Eur. Microwave Conf., vol. 3, Oct. 2003, pp. 911–914. [12] W. Y. Leung, K.-K. M. Cheng, and K. L. Wu, “Multilayer LTCC bandpass filter design with enhanced stopband characteristics,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 240–242, Jul. 2002. [13] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

Ku

Fig. 11. Two fabricated LTCC filters. The photograph on the left-hand side shows the 2.4-GHz bandpass filter, and the photograph on the right-hand side one shows the 4.8-GHz bandpass filter.

thoroughly described. The measurement results were found to agree well with the EM simulation results. Both the fabricated bandpass filters were compact with low insertion loss in the passband and high suppression in the rejection area. Finally, the serial configuration design concept described in this study is clearly extendable to two networks with positive and negative reactance to generate transmission zeros. A lower network with higher circuitry complexity is now under investigation to increase the design degree of freedom and/or obtain more transmission zeros. ACKNOWLEDGMENT The authors would like to thank the Mag.Layers ScientificTechnics Company Ltd, Hsin-Chu, Taiwan, R.O.C., for manufacturing the two LTCC filters and for helpful discussions on the LTCC layouts. The authors further appreciate the reviewers for their valuable comments and suggestions. This paper’s proposed filter schematic is patent pending. REFERENCES

Q

[1] A. Sutono, D. Heo, Y.-J. E. Chen, and J. Laskar, “High- LTCC-based passive library for wireless system-on-package (SOP) module development,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1715–1724, Oct. 2001. [2] N. K. Das and H. L. Bertoni, Eds., Directions for the Next Generation of MMIC Devices and Systems. New York: Plenum, 1997, pp. 105–111. [3] C. M. Scanlan and N. Karim, “System-in package technology, application and trends,”, [Online]. Available: http://www.amkor.com/products/notes_papers/. [4] R. R. Tummala and V. K. Madisetti, “System on chip or system on package?,” IEEE Des. Test Comput., vol. 16, no. 2, pp. 48–56, Apr.–Jun. 1999. [5] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003.

Chun-Fu Chang was born on February 1, 1982, in Kaohsiung, Taiwan, R.O.C. He received the B.S. degree in communication engineering from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2004, and is currently working toward the M.S. degree in communication engineering at the National Chiao Tung University. He is currently involved with research on microwave circuits, LTCC RF passive components, and LTCC front-end modules for WLAN applications.

Shyh-Jong Chung (M’92) was born in Taipei, Taiwan, R.O.C. He received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1984 and 1988, respectively. Since 1988, he has been with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, R.O.C., where he is currently a Professor. From September 1995 to August 1996, he was a Visiting Scholar with the Department of Electrical Engineering, Texas A&M University, College Station. He was the leader of a sub-program in the four-year Advanced Technologies for Telecommunications National Research Program, which was sponsored by the Ministry of Education, Taiwan, R.O.C. He has authored or coauthored over 70 technical papers in international journals or conferences, including several invited papers and speeches. His areas of interest include the designs and applications of active and passive planar antennas, communications in intelligent transportation systems (ITSs), LTCC-based RF components and modules, packaging effects of microwave circuits, and numerical techniques in electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2389

Analysis and Design of Wide-Band SiGe HBT Active Mixers Tom K. Johansen, Member, IEEE, Jens Vidkjær, Member, IEEE, and Viktor Krozer, Senior Member, IEEE

Abstract—The frequency response of SiGe HBT active mixers based on the Gilbert cell topology is analyzed theoretically. The time-varying operation of the active mixer is taken into account by applying conversion matrix analysis. The main bandwidth-limiting mechanisms experienced in SiGe HBT active mixers performing frequency conversion of wide-band signals is discussed. The analysis is verified by computer simulations using a realistic high-frequency large-signal SiGe HBT model. An active mixer design based on the Gilbert cell topology modified for wide-band operation using emitter degenerated transconductance stage and shunt feedback load stage is discussed. Experimental results are given for an active SiGe HBT BiCMOS mixer implemented in a 0.8- m 35-GHz process. Index Terms—Active mixer circuits, frequency-response analysis, SiGe HBT.

I. INTRODUCTION

R

ECENT advances in radar and wireless communication systems demand monolithic microwave integrated circuits (MMICs) providing frequency conversion, modulation, and demodulation of wide-band signals around microwave carriers. Active mixers based on the Gilbert cell topology [1] are usually preferred for monolithic integration of these functions due to their high conversion gain over a broad frequency band, and good port-to-port isolation. Good microwave performance has been reported for active mixers implemented in InP HBT [2], SiGe HBT [3], GaAs HBT [4], and GaAs pseudomorphic high electron-mobility transistor (pHEMT) [5] technologies. Previously reported monolithic active mixers have mostly been optimized for downconversion performance in wireless applications. Typically, such mixers are capable of frequency conversion of narrow-band signals over a wide range of RF input frequencies to a fixed low IF output frequency. This differs from the demands on active mixers for wide-band applications. Here, the wide-band signal experiences bandwidth limitations at both the RF input frequency and IF output frequency. In order to optimize the performance of active mixers for wide-band applications, an understanding of the main bandwidth limitations in the Gilbert cell topology is needed. The large local-oscillator (LO) signal applied to the transistors in the switching stage of the Gilbert cell gives rise to a time-varying circuit. Therefore, a traditional linear time-invariant circuit analysis for finding the bandwidth limitations becomes invalid. Instead, a conversion

Manuscript received September 20, 2004; revised December 21, 2004. This work was supported by the Danish Technical Research Council. The authors are with the Section of Electromagnetic Systems, ØrstedDTU, Technical University of Denmark, 2800 Lyngby, Denmark. Digital Object Identifier 10.1109/TMTT.2005.850421

Fig. 1. Gilbert cell mixer.

matrix analysis [6] should be applied in order to find an expression for the conversion gain as a function of the RF input frequency and IF output frequency. A previous attempt [7] to analyze the conversion gain of the Gilbert cell neglected the IF output frequency response and, thus, only considered the downconversion of narrow-band signals. This paper describes a theoretical analysis of the conversion gain of SiGe HBT active mixers based on the Gilbert cell topology. An analytical expression is derived, which is capable of predicting the main bandwidth limitations for active mixers performing frequency conversion of wide-band signals. The analysis is verified with harmonic-balance simulations using a realistic SiGe HBT high-frequency large-signal model. The insight gained from the analysis is used in the design optimization of an SiGe HBT active mixer for wide-band applications. Experimental results are given for an active mixer implemented SiGe HBT BiCMOS process. in a 0.8- m 35-GHz II. FREQUENCY-RESPONSE ANALYSIS The schematic representation of an SiGe HBT active mixer based on the Gilbert cell topology is shown in Fig. 1. The Gilbert , cell mixer consists of the transconductance stage , and load circuit. The circuit is biased switching quad . The transconductance stage confrom a tail current source verts the input voltage signal at the RF frequency into a current then ideally multiplies signal. The switching quad at the rate of the LO signal, thus enabling the this current by wanted frequency conversion. The output current signal at the

0018-9480/$20.00 © 2005 IEEE

2390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 2. Time-varying small-signal equivalent-circuit model.

Fig. 3. Evaluation of time-varying transconductance.

IF frequency from the switching quad is finally converted into a voltage signal by the load circuit. Neglecting any device parasitics, the voltage conversion gain of the Gilbert cell mixer is given as [8]

substrate resistance , respectively. Furthermore, the value of the collector–substrate capacitance is doubled and the value of the substrate resistance is halved in order to take into account the collector dotting in the switching quad. The time-varying switching stage is driven from an ideal small-signal current . This current source can be determined from trasource ditional linear time-invariant analysis of the transconductance stage. The nonlinear – characteristic of the transfer current results in a time-varying transconductance waveform given by

(1) where is the thermal voltage. When the device parasitics are taken into account, the expression for the conversion gain (1) changes, as will be shown using conversion matrix analysis below. First of all, the conversion-gain expression becomes a function of two frequencies, i.e., the RF input frequency and IF output frequency. Secondly, the low-frequency conversion gain is reduced because of the presence of series resistances. A. Conversion Matrix Analysis The starting point for conversion matrix analysis is to make a linearization around a time-varying operation point for the nonlinear elements of the Gilbert cell mixer circuit. The large-signal LO drive pumps the transistors in the switching . The common-emitter points for the differquad ential transistor pairs and are virtual ground for the large-signal LO drive. As a consequence, the transconductance stage is time invariant under small-signal RF drive conditions. At high frequencies, the dominating nonlinearities in the switching quad transistors are associated with the transfer current and the base–emitter charge [9] so the simplified time-varying small-signal equivalent-circuit model shown in Fig. 2 is sufficient for analysis. In this model, only one pair of transistors in the switching quad is considered, which is justified by the symmetry of the switching quad. The base–collector capacitance and base–emitter conductance have been neglected because their contributions are small, but significantly complicate the analysis. Constant values are assumed for the base resistance , emitter resistance , and collector resistance . A constant value equal to the bias value and the is also used for the collector–substrate capacitance

(2) where is the applied LO signal. A graphical evaluation of the transconductance waveform for the switching quad transistors under large-signal LO drive is illustrated in Fig. 3. Notice that the large-signal LO . Assuming drive is superimposed on the base bias voltage , the instantaneous switching of the transistors transconductance waveform resembles a square wave given as

if otherwise (3) is the period of the LO signal. The instantaneous where switching assumption remains valid as long as the LO amplitude across the internal junctions is sufficient to assure hard limiting of the differential pairs in the switching quad. Simicharacteristic of the base–emitter larly, the nonlinear results in a time-varying capacitance waveform charge given by (4)

JOHANSEN et al.: ANALYSIS AND DESIGN OF WIDE-BAND SiGe HBT ACTIVE MIXERS

and includes depletion and diffusion terms. Assuming instantaneous switching, the base–emitter capacitance waveform also resembles a square wave given as

2391

where the diagonal matrix containing the mixing frequencies is the frequency-domain equivalent to a time-domain differentiation. The Fourier coefficients for the time-varying base–emitter capacitance are given as

if

for

(5) otherwise

for

is the forward transit time and is the zero bias where base–emitter depletion capacitance. The peak value of is justified by the fact that the average value of the base–emitter depletion capacitance for a forward-biased junction is approx[10]. This formulation provides a satisfactory imately tradeoff between analysis accuracy and easy interpretation of the final expression for the conversion gain. The time-varying elements in the Gilbert cell mixer in the presence of the small-signal RF excitation give rise to mixing frequencies represented as [11] (6) is the IF angular frequency and and where are the RF and LO angular frequencies, respectively. For the present investigation, only the response at the IF frequency, as well as the upper and lower RF sideband, are considered in the analysis. Conversion matrices relate the current and voltage components at different mixing frequencies in a time-varying circuit. For the time-varying transconductance, the relation is

(7)

are the resulting currents at the mixing frequency where for voltages at all relevant excitations frequencies , and the asterisks denote a complex conjugate. The elements of the conversion matrix are the Fourier coefficients of the timevarying transconductance given as

(10)

for where corresponds to the average base–emitter capacitance. Kirchoff’s laws must hold for the individual current and voltage components in the vectors in (7) and (9). As a consequence, the time-varying equivalent circuit for the Gilbert cell mixer in Fig. 2 can thus be analyzed in a manner analogous to that used for linear time-invariant circuit. An important difference is that all elements must have a conversion matrix form. For fixed-value components, this form is a diagonal matrix, and the element value must occupy all the locations on the main diagonal [6]. Kirchoff’s voltage law makes it possible and in to express the base–emitter voltage vectors at the common-emitter point as terms of the voltage vector (11) (12) is the conversion matrix where is the identity matrix, is the conversion matrix for is for the conversion matrix for is the conversion mais the diagonal matrix containing the mixing trix for is a diagonal matrix with frequencies as described above, , and is a diagonal matrix with elements . elements Kirchoff’s current law gives

(13) where is the small-signal excitation vector with typically only one nonzero element. Substitution of (11) and (12) into (13) in terms of the current source excitation as determines

for for

(8)

for where corresponds to the average transconductance. Similar for the time-varying base–emitter capacitance, the relation is

(14) can be exThe differential output voltage vector and pressed in terms of the base–emitter voltage vectors as

(9) (15)

2392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

where is a diagonal matrix with frequency-dependent elements given as

and represent the finite current switching delay in the quad tranfor the transconductance stage desistors. The gain fined as the ratio between the short-circuited output current and the RF voltage excitation is easily determined from a linear time-invariant analysis of a small-signal hybrid- equivalent circuit with the following approximate result [12]: (23)

(16) is a diagonal matrix with elements , and is a diag. Combining expressions (11), onal matrix with elements (12), (14), and (15), the conversion switching gain defined as the ratio between the differential output voltage at the IF frequency and the ideal current excitation at the RF frequency can be determined. As expected for a balanced structure, the RF excitation is fully suppressed in the differential output voltage. Substitution of the Fourier coefficients for the time-varying transconductance and time-varying base–emitter capacitance into the expression for the conversion switching gain, and simplifying using a symbolic mathematical software tool, gives

(17) where the low-frequency conversion gain

is given as

where the low-frequency transconductance

is given as (24)

in Fig. 1.1 It shows a reducand where the elements refer to tion in the low-frequency transconductance due to the series reand . The frequency response of the transconsistances ductance stage is determined by a single-pole response given as

(25) where the influence of the base–collector capacitance, collector–substrate capacitance, and collector resistance have been neglected. This is justified by the fact that, at any instant, the switching quad presents a low impedance to the transconductance stage. The final expression for the voltage conversion gain is then found simply by of the Gilbert cell mixer multiplying (17) and (23) as follows:

(18)

and shows a very small gain reduction due to the emitter resistances in the switching quad transistors. The conversion switching gain shows a two-pole and one zero response with respect to the IF frequency expressed as

(26)

and shows a two-pole response with respect to the RF frequency and a two-pole and one zero response with respect to the IF frequency. B. Analysis Verification

(19) and (20) for the poles and (21) for the zero, respectively. It is observed that a significant substrate resistance actually may lead to partial pole-zero cancellation in the IF frequency response of the Gilbert cell mixer. The single pole response with respect to the RF frequency is expressed as (22)

To verify the derived expression for the conversion gain, harmonic-balance simulations on a Gilbert cell mixer were performed using Agilent ADS. The high-frequency large-signal model for the SiGe HBT devices used in the simulations is shown in Fig. 4. This model includes the dominating nonlinearities and parasitic elements in the SiGe HBT devices [13]. The large-signal model parameters for a 4 0.8 m area SiGe aA, HBT device used in the current investigation are pS, fF, fF, fF, , . Several of the large-signal model parameters and has been extracted from a dedicated small-signal equivalent-circuit model using the method described in [14]. The Gilbert cell mixer is designed for a low-frequency conmA. version gain of 20 dB at a bias current of 1A

differential-mode half-circuit is considered here.

JOHANSEN et al.: ANALYSIS AND DESIGN OF WIDE-BAND SiGe HBT ACTIVE MIXERS

2393

TABLE I INDIVIDUAL CONTRIBUTION FROM POLES AND ZEROS TO 3-dB IF BANDWIDTH OF SiGe HBT GILBERT CELL MIXER

Fig. 4. High-frequency large-signal SiGe HBT model for harmonic-balance simulations.

pole due to output loading at the switching quad. If a lower bias due to the finite current switching current is used, the pole delay in the quad transistors may become significant. III. WIDE-BAND ACTIVE MIXER DESIGN

Fig. 5. Comparing calculated and simulated conversion gain for the Gilbert cell mixer. Solid line: calculated. Dashes line: simulated without C . Dotted–dashed line: simulated with C .

The schematic of an SiGe HBT active mixer design based on a Gilbert cell topology modified for wide-band operation is shown in Fig. 6. The Gilbert cell employs emitter degeneration and a dif( and ) for the transconductance stage with resistive shunt feedback ferential amplifier stage for the load circuit. The Gilbert cell core is embedded with emitter follower stages with on-chip 50- shunt resistors for input buffering at the RF and LO ports, as well as for output buffering at the IF emitter follower stages port. Two stages of emitter followers are needed at the IF port in order to minimize loading of the shunt feedback stage. Resistive emitter degeneration in the transconductance stage allows a significant extension of the RF bandwidth. This is, however, at the expense of reduced conversion gain, as shown in (23)–(25). A peaking capacitor provides a zero in the transfer function, which extends the transconductance stage bandwidth with relaxed resistive emitter degeneration, as shown by the following expression [15]:

The SiGe HBT devices in the transconductance stage and the switching quad each have an emitter area of 4 0.8 m . The . devices in the transconductance stage are biased at peak Fig. 5 compares the calculated conversion gain versus IF frequency for a fixed LO frequency of 1.25 GHz with harmonicbalance simulations. An excellent agreement is observed for both the 3-dB IF bandwidth and the high-frequency rolloff rate up to 100 GHz. As shown in Fig. 5, the effect of the base–collector capacitance is small, and neglecting it in the analysis is justified. C. Discussion It is instructive to investigate the main bandwidth limitations in the Gilbert cell mixer. The expression derived for the conversion gain provides improved design insight into the optimization of Gilbert cell mixers for wide-band applications. In Table I, the and the zero individual contributions from the poles to the 3-dB IF bandwidth is calculated under the assumption of fixed LO frequency of 1.25 GHz. The dominant poles are related to the transconductance stage and the output loading at the switching quad. As mentioned previously, the zero due to the substrate resistance partly compensates for the dominant

(27) where the approximation is applied. It should be mentioned, however, that the combination of resistive and capacitive emitter degeneration leads to nonoptimum linearity and noise for the active mixer compared to inductive emitter degeneration typically found in narrow-band designs [8]. The shunt feedback load circuit originally described in [16] has several advantages compared with other methods for extending the IF bandwidth of the Gilbert cell mixer [17].

2394

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Modified Gilbert cell mixer.

Including the effect of the shunt feedback load circuit into the expression for the conversion switching gain, (17) results in

(28)

where the low-frequency conversion gain mined by the feedback resistance as

is now deter-

• The conversion gain is independent of the low-frequency . open-loop voltage gain • For increasing low-frequency open-loop voltage , the bandwidth increases; however, once gain , the frequency response peaks, thus, optimal low-frequency open-loop voltage gain exist. , the conversion • For increasing feedback resistance gain increases; however, the bandwidth decreases. Thus, the key to obtaining high conversion gain and wide IF bandwidth without excessive peaking in the Gilbert cell mixer lies in the proper design of the shunt feedback load circuit for optimal performance.

(29) IV. EXPERIMENTAL RESULTS and the simple pole response with

in (17) is transformed into a second-order

(30) where is the low-frequency open-loop voltage gain. The details of the analysis of the shunt feedback load circuit are mainly determines given in the Appendix. The frequency the bandwidth of the shunt feedback load circuit. The frequency . response peaks when the damping factor becomes In general, the following observations regarding conversion gain, bandwidth, and peaking of the shunt feedback load circuit are made.

The wide-band active mixer design based on the modified Gilbert cell was realized in a 0.8- m 35-GHz SiGe HBT BiCMOS process. A photograph of the active mixer is shown in Fig. 7. The die size is 0.9 0.9 mm and the power consumption is 170 mW when biased at 5 V. The active mixer was measured with on-wafer probes and the frequency-dependent cable and connector losses were carefully deembedded from the measurement results. When both the RF and LO ports are swept in frequency with a fixed IF frequency of 0.4 GHz, a conversion gain of 8.5 dB at 0-dBm LO power and a 3-dB RF bandwidth of 11 GHz was achieved, as shown in Fig. 8. The LO–IF isolation is better than 25 dB despite a single-ended measurement condition for the active mixer. A 3-dB IF bandwidth of 7.5 GHz was achieved when both the RF and IF port are swept in frequency with the LO fre-

JOHANSEN et al.: ANALYSIS AND DESIGN OF WIDE-BAND SiGe HBT ACTIVE MIXERS

2395

Fig. 7. Photograph of the active mixer.

Fig. 10. power.

Measured (-) and simulated (-o-) IF output power versus RF input

in Fig. 10. The measured double-sideband noise figure for the wide-band active mixer is around 14 dB. The measured performance for the active mixer are very well predicted by simulations. The good agreement is a result of accurate high-frequency modeling of SiGe HBT devices and substrate effects associated with interconnection lines and pad structures. V. CONCLUSION

Fig. 8. Measured (-) and simulated (-o-) RF frequency response and LO–IF isolation under single-ended condition.

An expression for the conversion gain of SiGe HBT active mixers based on the Gilbert cell topology has been derived using conversion matrix analysis. The expression is capable of predicting the frequency response of the Gilbert cell mixer up to the 3-dB bandwidth and beyond. An optimized active mixer design based on the modified Gilbert cell topology for wide-band operation has been described. The experimental results for a modified Gilbert cell mixer implemented in a 0.8- m 35-GHz SiGe HBT BiCMOS process demonstrated 11- and 7.5-GHz RF and IF bandwidths, respectively, and a conversion gain of 8.5 dB. This presents a significant extension compared with the theoretically obtainable bandwidths from the traditional Gilbert cell in this process. APPENDIX ANALYSIS OF SHUNT FEEDBACK LOAD CIRCUIT

Fig. 9.

Measured (-) and simulated (-o-) IF frequency response.

quency fixed at 2 GHz, as shown in Fig. 9. The IF bandwidth presents a significant extension compared with the obtainable IF bandwidth from the traditional Gilbert cell, which is limSiGe ited to approximately 4 GHz for the 0.8- m 35-GHz HBT BiCMOS process. An input RF power level of 18 dBm was measured at 1-dB IF output power compression, as shown

The shunt feedback load circuit in the modified Gilbert cell mixer is most easily analyzed using feedback theory. In order to apply feedback theory, the single-ended equivalent circuit is divided into a basic amplifier and a feedback network, as shown in Fig. 11 [10]. A number of approximations are applied in order to simplify the analysis. First, it is assumed that the signal feedback through the devices is much smaller than the signal feedback through so that the base–collector capacitance can be neglected. It is furthermore assumed that the forward transmission through the feedback resistance is negligible compared with the transmission through the devices because the latter has gain. The capacitive loading at the output of the shunt feedback load circuit has

2396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

where the last approximation is valid if , which is normally the case. REFERENCES

Fig. 11. Single-ended equivalent circuit for the shunt feedback load circuit. The loading due to the feedback network is absorbed in the basic amplifier.

little influence on the frequency response for typical values of load resistances so it is neglected in the analysis. The output of the switching quad at the IF frequency is represented as a Norton absorbed into the basic equivalent circuit ( and ) with amplifier. The basic amplifier open loop gain and feedback network transfer function are found from Fig. 11 as (31) and (32) respectively, where is the effective load resistance when the loading effects from the feedback network is taken into account. The transfer function from the input to the output voltage at the IF frequency can current be represented as (33)

where is the low-frequency open-loop voltage gain of the basic amplifier. The approximation in (33) is valid . Substituting the Norton admittance for (34)

[1] B. Gilbert, “A precise four-quadrant multiplier with subnanosecond response,” IEEE J. Solid-State Circuits, vol. SC-3, no. 4, pp. 365–373, Dec. 1968. [2] K. Kobayashi, R. M. Desrosiers, A. Gutuerrez-Aitken, J. C. Cowles, B. Tang, L. T. Tran, T. R. Block, A. K. Oki, and D. C. Streit, “A DC–20 GHz InP HBT balanced analog multiplier for high-data-rate direct-digital modulation and fiber-optic receiver applications,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 2, pp. 194–202, Feb. 2000. [3] J. Glenn, M. Case, D. Harame, B. Meyerson, and R. Poisson, “12-GHz Gilbert mixers using a manufacturable Si/SiGe epitaxial-base bipolar technology,” in IEEE Bipolor/BiCMOS Circuit Tech. Meeting, 1995, pp. 186–189. [4] K. Osafune and Y. Yamauchi, “20-GHz 5-dB-gain analog multipliers with AlGaAs/GaAs HBT’s,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 518–520, Mar. 1994. [5] C. Campbell and J. Beall, “Design and performance of a highly integrated wide-band active downconverter MMIC,” presented at the IEEE Radio Frequency Integrated Circuits Symp., 2001. [6] S. A. Maas, Nonlinear Microwave and RF Circuits, 2nd ed. Norwood, MA: Artech House, 2003. [7] C. Pallier, C. Algani, and G. Alquie, “Analysis of conversion gain in a bipolar Gilbert cell microwave mixer,” in High Frequency Postgraduate Student Colloq., 1997, pp. 130–135. [8] K. L. Fong and R. G. Meyer, “Monolithic RF active mixer design,” IEEE Trans. Circuits Syst. II, Analog Dig. Signal Process., vol. 46, no. 3, pp. 231–239, Mar. 1999. [9] R. G. Meyer, “Intermodulation in high-frequency bipolar transistor integrated-circuit mixers,” IEEE J. Solid-State Circuits, vol. SC-21, no. 4, pp. 560–563, Apr. 1986. [10] P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 3rd ed. New York: Wiley, 1993. [11] S. A. Maas, “Theory and analysis of GaAs MESFET mixers,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 10, pp. 1402–1406, Oct. 1984. [12] M. Reisch, High-Frequency Bipolar Transistors. Berlin, Germany: Springer-Verlag, 2003. [13] J. D. Cressler and G. Niu, Silicon-Germanium Heterojunction Bipolar Transistors, 1st ed. Norwood, MA: Artech House, 2003. [14] T. K. Johansen, J. Vidkjær, and V. Krozer, “Substrate effects in SiGe HBT modeling,” in Proc. Eur. Gallium Arsenide and Other Compound Semiconductors Application Symp., Munich, Germany, Oct. 2003, pp. 879–882. [15] K. Ohhata, E. Ohue, and K. Washio, “Design of a 32.7-GHz bandwidth AGC amplifier IC with wide dynamic range implemented in SiGe HBT,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1290–1297, Sep. 1999. [16] E. M. Cherry and D. E. Hooper, “The design of wide-band transistor feedback amplifiers,” Proc. Inst. Elect. Eng., vol. 110, no. 2, pp. 375–389, Feb. 1963. [17] T. K. Johansen, “Monolithic microwave integrated circuits for wideband SAR system,” Ph.D. dissertation, Dept. Elect. Eng., Tech. Univ. Denmark, Lyngby, Denmark, 2003.

where the collector resistance has been neglected for simplicity into (33) gives

(35)

Tom K. Johansen (M’03) was born in Ringsted, Denmark, in 1972. He received the M.S. and Ph.D. degrees in electrical engineering from the Technical University of Denmark, Lyngby, Denmark, in 1999 and 2003, respectively. In 1999, he joined the Section of Electromagnetic Systems, ØrstedDTU, Technical University of Denmark, Denmark, where he is currently an Assistant Professor. From September 2001 to March 2002, he was a Visiting Scholar with the Center for Wireless Communication, University of San Diego, La Jolla, CA. His research includes the modeling of HBT devices, nonlinear circuit analysis, and MMIC design.

JOHANSEN et al.: ANALYSIS AND DESIGN OF WIDE-BAND SiGe HBT ACTIVE MIXERS

Jens Vidkjær (S’72–M’72) received the M.S. and Ph.D. degrees from the Technical University of Denmark, Lyngby, Denmark, in 1968 and 1975, respectively. Since 1970, he has been with the Electronics Laboratory and the Semiconductor Laboratory, Technical University of Denmark, where he is currently a Reader with the Electromagnetic System Group, ØrstedDTU. His research areas have included RF power-amplifier design, computer-aided design (CAD) methods, device modeling, measurement accuracies, and MMIC design.

2397

Viktor Krozer (M-93–SM’03) was born in Leningrad, Russia, in 1958. He received the Dipl.-Ing. degree in electrical engineering and Dr.-Ing. degree (suma cum laude) from the Technische Hochschule Darmstadt (TH Darmstadt), Darmstadt, Germany, in 1984 and 1991, respectively. His Dr.-Ing. thesis focused on the development of analysis methods for large-signal nonlinear microwave circuits and semiconductor device simulation. In 1991, he joined the Microwave Electronics Laboratory, TH Darmstadt, as a Senior Research Scientist involved in the areas of high-temperature semiconductor device operation and submillimeter-wave device development. From 1996 to 2002, he was a Professor of electrical engineering with the Technical University of Chemnitz (TU Chemnitz), where he was involved in the area of microwave electronics, and where he was the Head of the Microwave Laboratory. Since 2002, he has been with the Section of Electromagnetic Systems, ØrstedDTU, Lyngby, Denmark. He has contributed to several book chapters and has authored or coauthored over 100 papers appearing in international journals and conferences. His research interests include physical modeling of semiconductor devices and circuits, MMIC and multichip module (MCM) technology, reliability of semiconductor devices, and submillimeter-wave devices and systems.

2398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

On-Wafer Noise-Parameter Measurement Using Wide-Band Frequency-Variation Method Robert Hu and Tzu-Hsien Sang

Abstract—In this paper, it is demonstrated that the newly proposed wide-band frequency-variation method, where only one set of matched and mismatched noise measurements is used, can efficiently determine the noise parameters of an ultra-sensitive transistor on-wafer at room temperature. Since the experimental setup is similar to that of conventional noise-temperature measurement while no complicated hardware is employed, this new approach is straightforward, yet efficient, and can be easily extended to applications with much higher or broader frequency ranges. Both the measured noise parameters of the post-amplifier stage and the transistor under test will be presented and investigated. Index Terms—Frequency variation, noise parameters.

Fig. 1. On-wafer noise-parameter measurement setup using wide-band frequency-variation method.

parameters to demonstrate the feasibility of this new noise measurement approach.

I. INTRODUCTION

II. EXPERIMENTAL SETUP

ECENTLY, we proposed a novel wide-band frequency-variation method for the purpose of measuring the cryogenic noise parameters of a wide-band low-noise amplifier (LNA), and it is revealed that this method works equally well at room temperature for both passive circuits and LNAs [1]. In this paper, a wide-band frequency-variation method is adopted in measuring the noise parameters of an ultra-sensitive transistor on-wafer at room temperature. Since neither prerequisite noise model of the transistor, nor any complicated tuner technique is required [2], [3], this approach is reliable and convenient. One challenge in measuring the noise parameters of an ultra-sensitive transistor is, in addition to its low noise temper, the ature, that for certain generator reflection coefficient transistor’s output reflection coefficient can be larger than one and, thus, cause system instability. While it is true in the tuner brings in oscillation, it can be deemed case that if a chosen unsuitable and soon be replaced by another tuner setting; in the wide-band frequency-variation method, on the contrary, once the causes instability, the only choice is to revise implemented the whole system. In other words, the conditional stability of the transistor itself not only imposes a restriction on the available , but also demands a well-performing post-amplifier. In this paper, the experimental setup and its design methodology will be discussed first. Measured noise parameters of the post-amplifier, where the resistive-feedback circuit configuration is used, are then presented. The reason why this amplifier is nearly matched in terms of noise will be addressed as well. As for the transistor, a revised algorithm for the noise parameter calculation is proposed that is then followed by the measured noise

of a two-port circuit can be exThe noise temperature pressed as a function of four noise parameters

R

Manuscript received September 28, 2004; revised December 12, 2004. This work was supported in part by the National Aeronautics and Space Administration under Grant NAG5-9493 and by the National Science Council of Taiwan under Contract NSC 93-2218-E-009-068. The authors are with the Department of Electronics Engineering, National Chiao-Tung University, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.850425

(1) is the minimum noise temperature, is the noise where is the optimum reflection coefficient, is the generratio, ator reflection coefficient, and is simply 290 K. Recently, we demonstrated that one set of matched and mismatched noisetemperature measurements is mathematically sufficient in deriving the four unknown noise parameters over a wide frequency range, known as the wide-band frequency-variation method. In measuring the noise parameters of the transistor using the wide-band frequency-variation method, the experimental setup is similar to that of the 50- noise-temperature measurement. As illustrated in Fig. 1, in the mismatched noise-temperature measurement, the mismatched circuit and coaxial cable will cause the noise temperature of the transistor versus frequency to be highly periodic; while in the matched case, the mismatched circuit is replaced by a matched bias-tee for the transistor’s gate bias. Here, the noise source (NS) is Agilent N4000A. The output of the custom-made post-amplifier is connected to the Agilent noise-figure analyzer. Fig. 2 shows the mismatched and matched generator reflection coefficients, which are measured with reference to the input of the transistor. The rapid clockwise phase variation as frequency increases is due to the combined effect of the coaxial cable and the RF probe in front of the transistor. Details of the mismatched circuit can be found in [1]. The nonzero generator reflection coefficient in the matched case is due to the finite output reflection coefficients of the NS and the bias-tee. Due to the finite isolation of the transistor under test, the measured output reflection coefficient (in decibels) of the transistor in

0018-9480/$20.00 © 2005 IEEE

HU AND SANG: ON-WAFER NOISE-PARAMETER MEASUREMENT USING WIDE-BAND FREQUENCY-VARIATION METHOD

2399

M

Fig. 2. 3–18-GHz matched and mismatched generator reflection coefficients, of Fig. 1. i.e.,

T

TN

Fig. 4. 5–15-GHz measured noise parameters of the post-amplifier stage. and 4 . (b) 0 . (a)

Fig. 3. Measured output reflection coefficient of the transistor, i.e., Fig. 1, in the matched and mismatched cases.

S

of

the mismatched case can be positive at several frequencies, as displayed in Fig. 3. In the matched case (solid curve), the measured curve is below 0 dB; while in the mismatched case (dashed curve), it can be positive and make the system unstable if the post-amplifier does not have a matched input over the whole bandwidth. The diminishing magnitude of the mismatched curve for frequencies below 5 GHz is due to the low reflection coefficient of the mismatched circuit at these frequencies; otherwise, the large ripples at the low end of the frequency will render this system useless, as can be easily noticed from the bias oscillation of the post amplifier. Therefore, to ensure the system stability, a resistive-feedback amplifier is designed to have a low input reflection coefficient over a wide bandwidth. The use of isolator is ruled out because of its limited bandwidth, and so is the balanced configuration. By directly connecting to the RF probe, this post-amplifier not only contributes less noise temperature, but also has its noise parameters less frequency dependent than if a coaxial cable is inserted between this amplifier and the RF probe. The amplifier’s 40-mW power dissipation is low and will not heat up the transistor on-wafer, as otherwise can be observed from the rising with time of the measured noise temperature. Commercial amplifiers, such as the MITEQ-AFS4 series LNAs that dissipate hundreds of milliwatts, are not suitable here for two reasons. First, even if a large heat sink can be attached to this amplifier

to effectively reduce the temperature of the chassis, the heat generated inside can still flow through its input connector’s central metal pin to the RF probe, and then to the transistor under test. Second, if a long coaxial cable is inserted as a thermal buffer between this amplifier and RF probe, noise parameters of the post-amplifier stage where the cable is included will change at in the misa rate comparable to or even larger than that of matched noise measurement, which implies the measured noise parameters of the post-amplifier stage cannot be accurate. As for the RF probe, its -parameters also need to be known to correctly account for its noise impact [4]. For the GGB 40A, i.e., 0.3 dB, at 10 GHz, GSG-100P probe, it has which will contribute a matched noise temperature of 21 K and is quite comparable to that of the transistor under test. The meaare all below 25 dB. Knowing the -parameters of sured the RF probe and other related passive circuits, the measured overall noise temperatures can then be properly deembedded; noise parameters of the post-amplifier stage are, therefore, derived from the corresponding matched and mismatched data. III. NOISE PARAMETERS OF THE POST-AMPLIFIER STAGE As the post-amplifier’s noise temperature is strongly influand enced by its 400- feedback resistor, the measured of the post-amplifier stage tend to be independent of frequency, while the large phase variation of the measured versus frequency is mainly due to its input RF probe, as shown in Fig. 4, which includes the RF probe and its following post-amplifier. In Fig. 4(a), both the minimum noise temperature and the product have units of kelvins. Fig. 4(b) shows the that moves counterclockoptimum reflection coefficient wise as frequency increases. The large phase variation of is mainly due to the physical dimension of the RF probe. A quick inspection on the value of , which lies between 1 and 2, suggests that the derived noise parameters are reasonable [5]. Using the definition of noise temperature, the matched and mismatched noise temperatures can, in turn, be reconstructed from the just derived noise parameters. Both agree well with the raw data, as shown in Fig. 5. Here, Fig. 5(a) corresponds to the matched case, where the solid curve is the measured noise temperature, while the dashed curve is its simulated counterpart, which is based on the just-derived noise parameters. Fig. 5(b) shows the measured (solid curve) and simulated

2400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 7. Fig. 5. 8–12-GHz matched and mismatched noise temperatures of the post-amplifier stage. (a) Matched T . (b) Mismatched T .

High electron-mobility transistor under test.

By setting the imaginary part of the generator admittance as (3) which is a small number, the capacitor-related terms in expression can be removed. By letting the above , the value of that corresponds to the minimum noise temperature is

Fig. 6. Resistive-feedback circuit configuration used for explaining the nearly matched noise temperature of the post-amplifier.

(dashed curve) noise temperatures in the mismatched case. In both graphs, it is 8–12 rather than 5–15 GHz on the -axis for the purpose of clearer comparison between the measured and simulated curves. The reason why this resistive-feedback post-amplifier has an , which implies that the matched is almost-matched , is intriguing. Of course, if most of the noise comes close to will follow the confrom the output part of the circuit, then jugate of the input reflection coefficient, and then results in a . However, this is too bold an assumption since matched the feedback (parallel) resistor will contribute noise to both the transistor’s gate and drain ports, and, unlike the case where a shunt resistor is directly added to the input of the circuit, the noise generated at the input part of the circuit cannot be treated as uncorrelated to the noise coming from the output part of the circuit. An explicit noise-temperature derivation is, therefore, necessary. In Fig. 6, the temperature of the feedback resistor is set to be the ambient temperature ; that of the inis an artificial temperature . The intrinsic resistor , which is only a few ohms, is omitted trinsic gate resistor here to simplify the noise derivation. First, since the noise wave from the feedback resistor itself is indeed not correlated to the noise , the noise wave from the transistor’s intrinsic resistor temperature of this circuit will be

(2)

(4) For

K, K, , and mS, there is , i.e., an almost-matched optimum reflection coefficient. One implication of the above derivations is that, if a transistor circuit with feedback resistor is designed to have low input reflection coefficient and good noise match at room temperature, a cryogenically signal-matched input, as mainly determined by and , cannot guarantee an accompanying noise match unless and vary proportionally to each other. IV. NOISE PARAMETERS OF THE TRANSISTOR Once the noise parameters of the post-amplifier stage are known, matched and mismatched noise temperatures of the transistor, which is a high electron-mobility transistor, can be properly deembedded. In this transistor (Fig. 7), the gate on the left-hand side is split into four 50- m-long branches and, thus, has a total length of 200 m, which is more than the 150 m used in the post-amplifier. The drain bias voltage and current V and mA, with gate voltage set to are 0.19 V and gate current in the microampere range. Though this ultra-sensitive transistor, as fabricated by Northrop Grumman Space Technology (NGST), Redondo Beach, CA, using 0.1- m InP technology has long been employed in the design of wideband LNAs for radio-astronomical applications [6], [7], its noise characteristics have not been fully explored. In extracting the four noise parameters, noise temperature expression needs to be linearized before applying the least squares fit, as was explained in Section II. To reduce the impact of measurement errors, two-step linearization is usually the precan be obtained from ferred approach [8]. First, the value of the phase of the measured mismatched noise temperature via high-precision sinusoid estimation methods [9], [10]; with this

HU AND SANG: ON-WAFER NOISE-PARAMETER MEASUREMENT USING WIDE-BAND FREQUENCY-VARIATION METHOD

Fig. 8. 5–15-GHz measured noise parameters of the transistor. (a) T 4T . (b) 0 .

N

and

2401

Fig. 9. 8–12-GHz matched and mismatched noise temperatures of the transistor. (a) Matched . (b) Mismatched .

T

T

known , noise temperature can now be linearized in terms of three, instead of four, new variables

(5) and (6) To comply with the physical meaning in the real transistor case, two constraints are added in this revised least squares fit. First, since cannot be larger then one and is always , variables and must be negative, while smaller then should be larger than zero [11]. Second, needs to be positive to again guarantee a smaller-than-one . By contrast, these constraints will be hard to implement in the original fourvariable situation [1]. and are derived and linearized, noise parameters Once of the transistor are ready to be obtained. In Fig. 8(a), both the and product have units minimum noise temperature is around 1.05 across the of kelvin. The ratio of frequency range. Fig. 8(b) shows the optimum reflection coeffithat moves counterclockwise as frequency increases. cient Fig. 9 displays the measured and simulated noise temperatures in both matched and mismatched cases. Here, Fig. 9(a) corresponds to the matched case where the solid curve is the measured noise temperature, while the dashed curve is its simulated counterpart, which is reconstructed from the just-derived noise parameters. Fig. 9(b) shows the measured (solid curve) and simulated (dashed curve) noise temperatures in the mismatched case. and can then Comparisons with the model-based be carried out, as displayed in Fig. 10. Here, Fig. 10(a) shows the minimum noise temperature where the solid curve is the measured result; the five dashed curves are with the transistor’s drain temperature set from 1500 K (curve 1) to 3500 K (curve 5) with increment of 500 K between adjacent curves. Fig. 10(b) shows curves. While a drain temperature of the corresponding

Fig. 10. Comparison of the measured and model-based the model is based on [1]. (a) . (b) 4 .

T

TN

T

and 4

T N where

2500 K used in the model does have its most agreeing with values are, howthe measured result, all the model-based ever, larger than the measured one and, thus, demand some explanations. As has been indicated in [5], noise resistance is, among the four noise parameters, most susceptible to measurement errors. This can be observed through simulation where the mismatched is deliberately shifted upwards: the resulting increases by roughly the same amount while only a fraction of that will be . Possible measurement errors aside, one can added on to attribute this discrepancy to the noise model employed where the intrinsic transistor’s drain noise and gate noise are assumed to be uncorrelated. In [12] and [13], the derived noise resistance predicted by the zero-correlation model is noticeably lower across the frequency range than that measured by the tuner method, and agreement between measurement and model can only be achieved when nonzero noise correlation is allowed in curve of a revised the model. Thus, one might expect the model will be more in line with the measured one. V. CONCLUSION In this paper, the noise-parameter measurement of an ultra-sensitive transistor on-wafer at room temperature using the wide-band frequency-variation method has been carried out, and the measured results have been presented and investigated. In addition to this ultra-low-noise application, this approach is expected to be used, either alone or in combination with the tuner method, to facilitate noise measurements at even higher and broader frequency ranges.

2402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

ACKNOWLEDGMENT Author R. Hu would like to thank Dr. S. Weinreb, G. Chattopadhyay, M. Edgar, D. Miller, F. Rice, J. Kooi, S. Lin, M. Yang, and Prof. J. Zmuidzinas, all of the California Institute of Technology, Pasadena, Prof. G. Rebeiz, The University of Michigan at Ann Arbor, MI, Dr. J. Ward, Jet Propulsion Laboratory (JPL), Pasadena, CA, Dr. F. Lo, National Radio Astronomy Observatory (NRAO), Charlottesville, VA, and Dr. P. Koch, M.P. Chen, and S. Y. Liu, all of Academia Sinica, Taiwan, R.O.C., for their support and encouragement. The authors thank Dr. D. Williams, National Institute of Standards and Technology (NIST), Boulder, CO, and the reviewers of this paper for their suggestions and comments. REFERENCES [1] R. Hu and S. Weinreb, “A novel wide-band noise-parameter measurement method and its cryogenic application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1498–1507, May 2004. [2] J. Gao, C. L. Law, H. Wang, S. Aditya, and G. Boeck, “A new method for pHEMT noise-parameter determination based on 50- noise measurement system,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2079–2089, Oct. 2003. [3] C. E. McIntosh, R. D. Pollard, and R. E. Miles, “Novel MMIC sourceimpedance tuners for on-wafer microwave noise-parameter measurement,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 125–131, Feb. 1999. [4] R. T. Weber, A. J. Slobodnik, and G. A. Roberts, “Determination of InP HEMT noise parameters and S -parameters to 60 GHz,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1216–1225, Jun. 1995. [5] M. W. Pospieszalski, “Modeling of noise parameters of MESFET’s and MODFET’s and their frequency and temperature dependence,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 9, pp. 1340–1350, Sep. 1989. [6] N. Niklas, A. Mellberg, I. Angelov, M. E. Barsky, S. Bui, E. Choumas, R. W. Grundbacher, E. L. Kollberg, R. Lai, N. Rorsman, P. Starski, J. Stenarson, D. C. Streit, and H. Zirath, “Cryogenic wide-band ultra-lownoise IF amplifiers operating at ultra-low dc power,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1705–1711, Jun. 2003. [7] R. Hu, “An 8–20-GHz wide-band LNA design and the analysis of its input matching mechanism,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 528–530, Nov. 2004. [8] L. Escotte, R. Plana, and J. Graffeuil, “Evaluation of noise parameter extraction methods,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 382–387, Mar. 1993.

[9] R. O. Schmidt, “Multiple emitter location and signal parameter estimation,” in Proc. RADC Spectral Estimation Workshop, Rome, NY, 1979, pp. 243–258. [10] R. H. Roy, A. Paulraj, and T. Kailath, “ESPRIT—A subspace rotational approach to estimation of parameters of cisoids in noise,” IEEE Trans. Acoust., Speech, Signal Process., vol. ASSP-34, pp. 1340–1342, 1986. [11] M. W. Pospieszalski, “On the measurement of noise parameters of microwave two-ports,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 4, pp. 456–458, Apr. 1986. [12] J. H. Han and K. Lee, “A new extraction method for noise sources and correlation coefficient in MESFET,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 3, pp. 487–490, Mar. 1996. [13] J. Stenarson, M. Garcia, I. Angelov, and H. Zirath, “A general parameter-extraction method for transistor noise models,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2358–2363, Dec. 1999.

Robert (Shu-I) Hu received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1990, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 2003. From 1996 to 1999, he was with Academia Sinica, Taipei, Taiwan, R.O.C., where he was involved with millimeter-wave receivers. In 1999 and 2003, he was with the California Institute of Technology, Pasadena, where he was involved with millimeter-wave wide-band receivers. He is currently with National Chiao-Tung University, Taiwan, R.O.C. His research interests include microwave and millimeter-wave electronics.

Tzu-Hsien Sang received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1990, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1999. He is currently an Assistant Professor with National Chiao-Tung University (NCTU), Taiwan, R.O.C. Prior to joining NCTU in 2003, he was with the start-up company Excess Bandwidth, Sunnyvale, CA, where he was involved with the physical layer design for broad-band technologies, mainly xDSL. His research interests include signal-processing techniques for communications, time-frequency analysis, and noise modeling for high-frequency solid-state devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2403

Design and Analysis of a 70-ps SiGe Differential RF Switch Timothy M. Hancock, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents the design and analysis of an SiGe high isolation single-pole double-throw (SPDT) differential absorptive switch at 24 GHz for pulsed ultra-wideband applications. Sub-100-ps envelope rise times are achieved through the use of differential current steering. The SPDT results in 1.9 dB of gain in the passband and an isolation of 35 dB while remaining matched at its ports (absorptive). The measured rise time of the of 80 GHz and RF envelope is 70 ps using a transistor with an agrees with both the simulated and analytically determined values. Index Terms—Current mode logic (CML), emitter coupled logic (ECL), RF switches, rise time, silicon–germanium (SiGe), switching speed, ultra-wideband (UWB). Fig. 1. Block diagram of a UWB pulsed radar solution.

I. INTRODUCTION

M

ICROWAVE switches have always been a key component of electronic systems, but with pulsed ultra-wideband (UWB) technology emerging as a potential solution for high data rate, short-range communications, and high-resolution radar systems, the need for sub-nanosecond pulse formation has become evident. For automotive radar applications in the 22–29-GHz UWB band, pulsewidths less than 500 ps are required to achieve a spatial resolution of 10–15 cm [1]. A typical pulsed radar consists of a microwave source and pulse former in the transmitter, while the receiver simply correlates the radar return with what was originally transmitted to determine the range of the target (Fig. 1). The pulse former needs to be an absorptive switch with high isolation to prevent continuous wave (CW) leakage that could violate the spectral mask limitations imposed by the Federal Communications Commission (FCC). True microwave switches are implemented with p-i-n diodes [2]–[5], III–V field-effect transistors (FETs) [6]–[8], and recently, CMOS FETs [9], [10]. With the exception of [6], these designs switch no faster than 1–2 ns. Step-recovery diode circuits are available to form monocycles that are a few hundred picoseconds long and can be useful for some time-domain applications [11]. However, this solution is not a pulse-modulated

Manuscript received October 10, 2004; revised January 18, 2005. This work was supported by M/A-COM Research and Development under a gift. T. M. Hancock was with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109-2122 USA. He is now with the Analog Device Technology Group, Massachusetts Institute of Technology Lincoln Laboratory, Lexington, MA 02420-9108 USA (e-mail: [email protected]). G. M. Rebeiz was with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109-2122 USA. He is now with the Department of Electrical Engineering and Computer Science, The University of California at San Diego, La Jolla, CA 92093-0407 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850427

CW source as needed for many applications and they rely on specialized diodes that are not readily available in commercial monolithic integrated-circuit (IC) processes. Passive switching solutions (diodes, FETs, etc.) will always have excellent linearity and relatively low power consumption, as well as broad bandwidth performance. However, for this application of UWB pulse formation, these issues are of little concern with switching speed being the overriding design specifications. This leads to the need to investigate a transistor-based topology to achieve sub-100-ps switching times. The absorptive switch in Fig. 1 performs the function of a pulse modulator, therefore, to find a solution, it is natural to look to a popular balanced RF modulator design, i.e., the Gilbert cell [12]. The Gilbert cell is a four-quadrant multiplier that works well as a mixer when one of the inputs is overdriven to the limiting case of a square wave. In this operational regime, the Gilbert cell multiplies the RF signal by either 1 or 1, thus providing the desired mixing action. Alternatively, it could be used as a bi-phase modulator since this signal inversion is equivalent to a 180 phase shift. To implement the pulse modulator in Fig. 1, it is necessary to multiply the RF signal by 1 or 0. This is not easily done with a Gilbert cell since the 0 state would correspond to a perfectly balanced zero differential voltage on one of the inputs of the Gilbert cell and would rely on perfect matching between the transistors to achieve good isolation. For these reasons, a Gilbert cell does not inherently implement a pulse modulator and an alternative circuit topology must be investigated. Recently, subnanosecond pulse formation has been demonstrated by using SiGe bipolar transistors and current steering to switch the RF signal path [13], [14]. The topology we initially presented in [13] is further analyzed in this paper to determine the limitations on the rise time of the RF envelope.

0018-9480/$20.00 © 2005 IEEE

2404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 2. Schematic of proposed RF switching network to implement differential absorptive SPDT switch.

II. CIRCUIT DESIGN In a previous publication [13] (Fig. 2), we introduced a topology that uses current steering to control the RF switching while maintaining a constant port impedance regardless of the state of the switch (i.e., an absorptive switch). A brief description of the design is repeated for completeness before analyzing the limitations on the rise time of the RF envelope. Transistor pairs A-D are differential common-base stages where transistor pairs B and C make up the core amplifying elements of the switch. A common-base stage was chosen because of the inherently good isolation between the collector and emitter when the transistor is off. The switching function is achieved by steering the bias current of each common-base is low, the tail currents are pair. When the control voltage steered through transistors and to bias the common-base stages A and C, thus allowing the signal to pass from Ports 1 to is high, the bias current is steered toward transistor 3. When pairs B and D and the signal passes from Ports 1 to 2. This transistor topology inherently implements a single-pole double-throw (SPDT) absorptive switch. It is absorptive at all three ports so the load or source impedance that an oscillator or power amplifier would see remains constant regardless of the state of the switch. The three ports can be matched to any characteristic impedance, and in this design, matching networks were used to facilitate measurement with 50- test equipment. In [13], an absorptive SPST switch was implemented by matching only two of the ports and leaving one of the output ports open and without a matching network for a more compact layout. In this study, both output ports were matched to implement an absorptive SPDT. III. ANALYSIS OF SWITCHING TIME The switching time of the RF envelope is limited by two mechanisms, which are: 1) the inherent rise time of the transistor core and 2) the bandwidth of the circuitry that follows the transistor core. The rise time of the RF current envelope is first found in the presence of an infinite bandwidth output network, which is implemented by a dc voltage source. Once this rise time is determined, the impulse response of the finite bandwidth output network is used to determine the total rise time at the output port (through convolution). The circuit in Fig. 2 is an

Fig. 3. Schematic of the switching circuit to be analyzed with transistor parasitics. TABLE I CIRCUIT

AND TRANSISTOR PARAMETERS FOR NUMERICAL EVALUATION

SPDT switch where two SPST networks share a common port. The sharing of Port 1 has virtually no effect on the transient performance of the circuit and, therefore, only one half of the circuit is analyzed. This is shown in Fig. 3 with the associated transistor parasitics. The circuit of Fig. 3 can be broken in two distinct parts: above plane A is the RF section where the RF input network is repreand , where is a sented by the series combination of is the RF port impedance. Below dc blocking capacitor and plane A is the differential pair that steers the bias current to the appropriate RF transistors during switching. To further simplify the analysis, the circuits above and below the reference plane can be analyzed separately. The transistor and circuit parameters are listed in Table I where bias-dependent variables such as base resistance and junction capacitances have been approximated to their (constant) values around the bias point. The delay and rise time of the differential pair below reference plane A is very small and is virtually decoupled from the remainder of the rise-time analysis. Using the charge control model, the differential pair’s response to a large-signal input voltage step was analyzed, similar to what is done when analyzing an emitter coupled logic (ECL) gate [15], [16] and will not be presented here. For the remainder of the analysis, the differential pair below plane A is approximated by an current step ps and linear rise time of with a delay of ps ( is taken when the control voltage instantly changes

HANCOCK AND REBEIZ: DESIGN AND ANALYSIS OF 70-ps SiGe DIFFERENTIAL RF SWITCH

2405

Fig. 4. Simplified model of: (a) RF stage and (b) passive parasitics.

from 3.5 mA.

to

). The final value of the current step is

A. Response of RF Transistors to an Emitter Current Step The circuit above plane A is composed of two differential common-base stages. The stage that is analyzed is transistor pair B because their emitters are connected to the RF inputs, and the and RF port impedance have a dc blocking capacitor strong influence on the switching time. Although transistor pair B is in a differential configuration, the bias is a common-mode signal and, therefore, only half of the transistor pair needs to be analyzed. Fig. 4(a) shows the simplified circuit to be analyzed is the RF small-signal input. where The transistor’s base current can be analyzed using the charge control model [17]

and (b) current through Fig. 5. Plot of: (a) driving current I charging the base–emitter junction using (2) and (3).

C

while

. For time is given by the solution to a first-order differential equation (Fig. 5) (3) where A

(1)

(4)

and is the excess minority charge in the base–emitter rewhere is the forward transit time. The first term of (1) is gion and the steady-state bias current and is negligible when the dc curis large. The last term is also negligible because rent gain is approximately constant due to the dc voltage sources and . The remaining two terms represent the current necessary to charge and populate the base–emitter region with excess minority carriers. In general, both of the these current components flow at the same time and require numerical simulation to evaluate them. However, as a first-order approximation, the time required to charge the base–emitter junction is broken into is off and and two portions of time, first when is completely charged such that . second when is off, the circuit simplifies to Fig. 4(b) and is valid When until the intrinsic collector and, hence, the emitter current be. For and for comes 10% of the current follows the linear ramp of the input current step with a final value at of

A

(2)

where , and the equivalent resistance from of the capacitors have been taken as

ps

(5)

from results in the total charge Integrating and is equal to , where is needed to charge when begins to conduct a significant amount of collector and have the same area so the current. The transistors is the control voltage swing . However, total change in only needs to change by a fraction of before a significant portion of the emitter current to begins to flow. This is approxisuch that mated as 10% of mV To determine the time

(6)

must be integrated as follows:

(7) The expression in (7) can be solved numerically for . However, to determine an approximate closed-form expression, can be approximated as a linear function for by

2406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

B. Transient Response of the RF Transconductance The RF envelope does not follow the bias current directly, but rather the magnitude of the transconductance of the RF stage. The transconductance can be determined using small-signal analysis that is linearized about the large-signal operating point. Referring to Fig. 4(a), the transconductance of the RF stage can be determined in a common-base configuration analytically when two simplifications are made. First, the effect is ignored because of its use primarily as a dc blocking of capacitor. Second, the effect of is ignored because dominates at microwave frequencies. The transconductance of the RF stage is, therefore,

Fig. 6. Comparison of simulated (using ADS) and calculated (using analytical . equations) transient collector bias current through the RF stage

(13)

replacing the exponential term with a linear ramp of the same 90% rise time

where is the thermal voltage, and is the result of the large-signal analysis from Section III-A and can be represented by the piecewise function

Q

(8) Substituting (8) into (7), time

is determined by

(14)

ps

Solving for the 90% rise time of the magnitude of

(9) begins to conduct collector current and Time is when decreases to zero such that the base current is dominated by . This transition happens quickly and is approximated into , as instantaneous. Substituting and

(10)

where is the time constant associated with the collector current. Evaluating at , where to avoid a discontinuity in the A, one gets base current, ps

(11)

The collector current can be approximated as a ramp with the same 90% rise time as (10). The ramp reaches its final value at where ps

ps

(15)

and approximating as a ramp, the transconductance reaches its final value at where

ps

(16)

It should be noted that the RF envelope (16) has a faster rise time than the collector bias current (12). This is due to the nonlinear (with respect to the collector current) transconductance of the RF stage caused by the emitter degeneration. This nonlinearity also makes the shape of the RF envelope very sensitive to the collector bias current when the collector bias current is small. Therefore, the small difference in the collector bias current around in Fig. 6 results in the error in the RF envelope around in Fig. 7. This error diminishes as the value of the collector bias current increases, as is evident from the simulated and calculated envelopes intersecting near their 90% values.

(12)

C. Effect of the Output Matching Network on the RF Envelope

A comparison of the simulated and calculated collector current is shown in Fig. 6 where the simulated response using ADS1 exhibits some overshoot and ringing not captured by the firstorder analysis.

Section III-B addressed the rise time of the RF envelope into an infinite bandwidth network. However, the output network limits the bandwidth of the signal, resulting in an increased rise nH, fF) time. In this design, an LC match ( is used to conjugately match the transistor output impedance to 100 (differential). The center frequency is 24 GHz and the 3-dB bandwidth is 17.5 GHz. The network was designed using

1ADS

2003A, Agilent Technology Inc., Palo Alto, CA, 1983–2003.

HANCOCK AND REBEIZ: DESIGN AND ANALYSIS OF 70-ps SiGe DIFFERENTIAL RF SWITCH

Fig. 7. Comparison of the simulated (using ADS) and calculated [using (16)] RF envelope into an infinite bandwidth network.

2407

Fig. 9. Comparison of the simulated (using ADS) and calculated RF envelope at the output of the matching network.

resulting from the convolution. Both envelopes reach 90% of their final value at where ps

(17)

Notice that the switching time increased from 21.2 ps to 69.3 ps after the output matching network. This means that the output matching network completely dominates the switching time and one must have a very wide-band matching network in order to result in a very short RF pulse. A simulation with an – – – matching network with a 3-dB bandwidth of 25 GHz results in an output envelope rise time of 57 ps. D. Practical Considerations on the Rise Time

Fig. 8. Single-ended lumped-element approximation of output matching network. (a) Schematic. (b) Frequency response. (c) Impulse response.

full-wave simulation in Sonnet.2 Fig. 8(a) shows an approximate singled-ended representation of the output network. For and , the effect of and the output of transistors are ignored because the collector impedance is dominated by and . provides the bias to the core and increases the bandwidth by decreasing the of the network. Fig. 8(b)–(c) shows the frequency and impulse responses, respectively. To determine the rise time of the RF envelope, it is straightforward to numerically convolve the impulse response with the input waveform, which is taken as a 24-GHz RF signal whose envelope has a linear rise time given by (16). Fig. 9 shows a comparison between the simulated RF and calculated RF envelopes 2Sonnet

9.52, Sonnet Software Inc., North Syracuse, NY, 1986–2004

The previous sections have analyzed the rise time of RF envelope with the assumption that the switch control was being driven by an ideal generator with zero rise time and zero source impedance. In reality, for measurements, the switching control was driven by an Agilent 8133A pulse generator with a typical 10%–90% rise time of 60 ps. In addition, there is an on-chip digital buffer and level shifter, which actually drives the switching control voltages. This has the net effect of changing the initial ps) and rise time ( ps) of the collector time ( . However, the rise time of the collector current current of of is a weak function of the rise time of the col. Therefore, the rise time of the lector current of is somewhat decoupled from collector current of the rise time of the input control voltage. E. Summary of Rise-Time Analysis This analysis has illustrated several important concepts in determining and optimizing the rise time of the RF envelope in a circuit that uses current steering to switch the RF signal path. In the absence of a band-limiting network, the envelope follows the transconductance of the RF stage, and the rise time of the transconductance will always be faster than the rise time of the bias current. The current steering topology used for the RF switch is closely related to the ECL logic gate that has been extensively analyzed in the literature. Work done at IBM gives a

2408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 10. Microphotograph of sub-nanosecond differential absorptive SPDT without pads (1000 m 550 m).

2

figure-of-merit (FOM) that is directly proportional to ECL gate [18]. Our circuit was implemented in delay a 0.5- m emitter technology with a FOM of 1.2 ps, whereas the FOM for IBM’s 0.12- m process is less than 0.4 ps showing a more than 3 improvement. As technology scales, switching speed will continue to decrease, but this poses a microwave design challenge: If the bandwidth of the microwave circuits that follow the RF pulse is not increased, then further improvement in the active device technology will have little effect on the pulsed RF rise times. IV. MEASURED RESULTS The circuit was fabricated in Atmel’s SiGe2-RF process. The technology offers two HBTs with a unity current gain of 50 and 80 GHz and a collector–emitter cutoff frequency of 4 and 2.5 V, respectively. Both breakdown voltage of transistors have a maximum oscillation frequency device was used in the design of 90 GHz.3 The 80-GHz the switch. Fig. 10 shows a microphotograph of the differential absorptive SPDT without pads for an active area of 1000 550 m . The differential absorptive SPDT was characterized with on-chip measurements. The differential RF ports were probed with a ground–signal–signal–ground (G–S–S–G) probe and a 0 /180 hybrid was used as a balun to convert the 100differential ports to single-ended 50- ports. The network analyzer was calibrated to the probe tips using a differential calibration substrate (Cascade Microtech). A G–S–S–G probe was also used to provide the switch with the differential control was provided using a standard dc probe. logic, and The measured and simulated -parameters for the SPDT are shown in Fig. 11. The switch shows a gain of 1.9 dB with a 35-dB on–off ratio. Also, both the input and output ports are absorptive so that it does not perturb the load or source impedances that an oscillator or power amplifier would see during switching. The 1-dB compression point, third-order input intercept point (IIP3), and noise figure are similar to the SPST presented in [13] and were not re-measured because the circuits have the same core topology and nearly identical matching networks. Table II presents a comparison between the simulated and measured results for the SPDT switch. The linearity of the 3Atmel SiGe HBT Foundry, Heilbronn, Germany. [Online]. Available: http://www.atmel.com/products/SiGeBipolar/

Fig. 11. Measured (solid) and simulated using ADS (dashed) S -parameters of the sub-nanosecond SPDT absorptive switch. TABLE II AND MEASURED PERFORMANCE OF THE 70-ps SPDT SWITCH

SIMULATED

topology is limited by the use of a resistive load ( in Fig. 2) and could be improved with the use of an RF choke. The use of an FET technology may also improve the linearity, possibly at the expense of the switching time. A BiCMOS solution may provide a good tradeoff where the bipolar devices are used for the current steering and the more linear CMOS device is used in the RF signal path. The switching speed was measured by applying a 24-GHz CW signal to the input and a digitizing oscilloscope to the output. The switch control lines were driven by an Agilent 8133A 3-GHz differential pulse generator with a rise time of approximately 60 ps. A 500-ps pulse is shown in Fig. 12(a).

HANCOCK AND REBEIZ: DESIGN AND ANALYSIS OF 70-ps SiGe DIFFERENTIAL RF SWITCH

2409

V. CONCLUSION This paper has presented the design and analysis of an SiGe sub-nanosecond differential absorptive SPDT switch at 24 GHz. Sub-nanosecond switching of the RF path is achieved through the use of differential current steering. The SPDT switch achieves 1.9 dB of gain in the passband and an isolation of 35 dB while remaining matched at its ports (absorptive). The measured rise time of the RF envelope is 70 ps and agrees well with both the simulated and calculated values. ACKNOWLEDGMENT The authors would like to thank Dr. J. P. Lanteri, M/A-COM, Lowell, MA, for supporting this study through a generous access to the ATMEL mask sets, and Dr. I. Gresham, M/A-COM, for technical discussions. Fig. 12.

Measured: (a) 500-ps pulse (b) filtered to reduce baseband leakage.

Fig. 13. Comparison of measured, simulated (using ADS), and calculated RF envelope (using analytical equations).

The differential topology suppresses the baseband feed-through because the control voltage is a common-mode signal. However, two 0 /180 hybrid couplers were used as single ended to differential baluns at the input and output ports and have a bandwidth of 6–26.5 GHz. This results in common-mode signals below 6 GHz experiencing mode conversion and being superimposed on the desired RF signal at the output of the coupler. The control voltage occupies the spectrum up to 3 GHz and can be removed by post-processing the data with a third-order 3.5-GHz Butterworth high-pass filter, as seen in Fig. 12(b). This allows for more accurate characterization of the rise time of the RF envelope. The envelope of the modulated signal is virtually impossible to measure directly when the envelope rise time is the same order of magnitude as the period of the RF carrier. From Fig. 12(b), it is clear that the waveform is not perfectly symmetric about the -axis, however, the envelope can be approximately re-constructed with two polynomials (above and below the -axis) resulting in two rise times of 50 and 90 ps. Averaging these two values results in an estimated rise time of 70 ps. A comparison of the measured, simulated, and calculated waveforms are shown in Fig. 13.

REFERENCES [1] I. Gresham, A. Jenkins, R. Egri, C. Eswarappa, N. Kinayman, N. Jain, R. Anderson, F. Kolak, R. Wohlert, S. P. Bawell, J. Bennett, and J.-P. Lanteri, “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2105–2122, Sep. 2004. [2] J. Putnam, M. Barter, K. Wood, and J. LeBlanc, “A monolithic GaAs PIN switch network of a 77 GHz automotive collision warning radar,” in Proc. IEEE RFIC Symp., 1997, pp. 225–228. [3] T. Buber, N. Kinayman, Y.-H. Yun, and J. Brogle, “Low-loss high-isolation 60–80 GHz GaAs SPST PIN switch,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 1307–1310. [4] O. Levy, A. Madjar, D. Kryder, and S. Matarasso, “Fully terminated Ka band high isolation, high power MMIC SPDT switch in GaAs PIN technology,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 2019–2022. [5] D. Hoag, J. Brogle, T. Boles, D. Curcio, and D. Russell, “Heterojunction PIN diode switch,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 255–258. [6] M. Madihian, L. Desclos, K. Maruhashi, K. Onda, and M. Kuzuhara, “A sub-nanosecond resonant-type monolithic T/R switch for millimeterwave systems applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1016–1019, Jul. 1998. [7] H. Tosaka, T. Fujii, K. Miyakoshi, K. Ikenaka, and M. Takahashi, “An antenna switch MMIC using E/D mode p-HEMT for GSM/DCS/PCS/WCDMA bands application,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 5–8. [8] Y. Tsukahara, T. Katoh, Y. Notani, T. Ishida, T. Ishikawa, M. Komaru, and Y. Matsuda, “Millimeter-wave MMIC switches with pHEMT cells reduced parasitic inductance,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 1295–1298. [9] T. Ohnakado, “A 0.8 dB insertion loss, 23 dB isolation, 17.4 dBm power handling 5 GHz transmit/receive CMOS switch,” in Proc. IEEE RFIC Symp., 2003, pp. 229–232. [10] Z. Li, H. Yoon, F.-J. Huang, and K. K. O, “5.8-GHz CMOS T/R switches with high and low substrate resistance in a 0.18 m CMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 30, no. 1, pp. 1–2, Jan. 2003. [11] J.-S. Lee, C. Nguyen, and T. Scullion, “New uniplanar subnanosecond monocycle pulse generator and transformer for time-domain microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1126–1129, Jun. 2001. [12] B. Gilbert, “A precise four-quadrant multiplier with sub-nanosecond response,” IEEE J. Solid-State Circuits, vol. 3, no. 12, pp. 365–373, Dec. 1968. [13] T. M. Hancock, I. Gresham, and G. M. Rebeiz, “A differential subnanosecond high-isolation absorptive active SiGe 24 GHz switch for UWB applications,” in Proc. IEEE RFIC Symp., 2004, pp. 497–500. [14] I. Gresham and A. Jenkins, “A fast switching, high isolation absorptive SPST SiGe switch for 24 GHz automotive applications,” presented at the 33rd Eur. Microwave Conf., Munich, Germany, 2003. [15] J. M. C. Stork, “Bipolar transistor scaling for minimum switching delay and energy dissipation,” in Proc. IEEE Int. Electron Devices Meeting, 1988, pp. 550–553.

2410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

[16] M. Y. Ghannam, R. P. Mertens, and R. J. V. Overstraeten, “An analytical model for the determination of the transient response of CML and ECL gates,” IEEE Trans. Electron Devices, vol. 37, no. 1, pp. 191–201, Jan. 1990. [17] R. S. Muller and T. I. Kamins, Device Electronics for Integrated Circuits, 2nd ed. New York: Wiley, 1986. [18] B. Jagannathan, M. Meghelli, K. Chan, J.-S. Rieh, K. Schonenberg, D. Ahlgren, S. Subbanna, and G. Freeman, “3.9 ps SiGe HBT ECL ring oscillator and transistor design for minimum gate delay,” IEEE Electron Device Lett., vol. 24, no. 5, pp. 324–326, May 2003.

Timothy M. Hancock (S’96–M’05) received the B.S. degree in electrical engineering from the Rose-Hulman Institute of Technology, Terre Haute, IN, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, where he was involved with the development of SiGe integrated microwave components. During the summers of 2000 and 2001, he was involved with a fully integrated global positioning system (GPS) solution and power control circuitry for handset power amplifier applications. In 2004, he was with M/A-COM, where he was involved with the design of SiGe components for an automotive radar solution at 24 GHz. He is currently a Technical Staff Member with the Analog Device Technology Group, Massachusetts Institute of Technology (MIT) Lincoln Laboratory, Lexington, where he is involved with the development of integrated microwave circuits and systems.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena. He is a Full Professor of electrical engineering and computer science (EECS) with the University of California at San Diego, La Jolla. He authored RF MEMS: Theory, Design and Technology (New York: Wiley, 2003). His research interests include applying microelectromechanical systems (MEMS) for the development of novel RF and microwave components and subsystems. He is also interested in SiGe RF integrated-circuit (RFIC) design, and in the development of planar antennas and millimeter-wave front-end electronics for communication systems, automotive collision-avoidance sensors, and phased arrays. Prof. Rebeiz was the recipient of the 1991 National Science Foundation (NSF) Presidential Young Investigator Award and the 1993 International Scientific Radio Union (URSI) International Isaac Koga Gold Medal Award. He was selected by his students as the 1997–1998 Eta Kappa Nu EECS Professor of the Year. In October 1998, he was the recipient of the Amoco Foundation Teaching Award, presented annually to one faculty member of The University of Michigan at Ann Arbor for excellence in undergraduate teaching. He was the corecipient of the IEEE 2000 Microwave Prize. In 2003, he was the recipient of the Outstanding Young Engineer Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a Distinguished Lecturer for the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2411

Design of Generalized Chebyshev Filters With Asymmetrically Located Transmission Zeros Zlatoljub D. Milosavljevic, Member, IEEE

Abstract—A simple design technique is introduced for generalized Chebyshev filters with asymmetrically located transmission zeros. The efficient and exact procedure is given for the calculation of transmission zeros for a class of generalized Chebyshev low-pass prototype filters with a maximum of four asymmetrically located transmission zeros of any multiplicity. This technique is based on obtaining the frequencies of magnitude characteristic extreme values in closed form, and after this, transmission zeros can be calculated by solving a system of nonlinear equations. Novel formulas for orders of zeros of maximally selective filters with equiripple characteristics in the passband and stopband are deduced. The obtained results are verified and illustrated by given examples. Index Terms—Asymmetric response, generalized Chebyshev filters, maximum selectivity, zero order, zeros.

I. INTRODUCTION

T

HE generalized Chebyshev amplitude characteristic defines a filter with equiripple passband response. However, transmission zeros can be arbitrarily placed in the stopband. Since the transmission zeros can be placed arbitrarily, then both symmetric and asymmetric frequency responses can be achieved. In this case, an asymmetric frequency response means that the transmission zeros are located on only one side of the passband, whereas a symmetric response results when transmission zeros are placed on both sides of the passband. A synthesis procedure for generalized Chebyshev filters with a maximum of four real transmission zeros of any multiplicity is already known [1]–[7]. However, the considered filters are with . symmetrically located transmission zeros Many applications require that a microwave filter should be more selective on one side of the passband than the other [8]–[10]. For example, in cellular communications (base station and handset applications), a transmit filter should have high attenuation in the receive band and vice versa [8]. This may be elegantly achieved by using a generalized Chebyshev prototype filter with asymmetrically located transmission zeros. There is no simple relation for calculating the degree of the generalized Chebyshev filter because the transmission zeros can be placed arbitrarily. The standard method for transmission zero estimation is to simulate the transfer function on a computer and select the zero locations as required [10]. Another different and interesting design method is presented in [11], but it deals with a multiple and symmetrically located transmission zero for a filter with Butterworth characteristic in the passband. In this paper, an exact, efficient, and simple procedure will be

Manuscript received October 18, 2004; revised January 5, 2005. The author is with LK Products, 90440 Kempele, Finland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850430

presented for the calculation of transmission zeros for a class of generalized Chebyshev filters with a maximum of four asymmetrically located transmission zeros of any multiplicity. This is the extension of the procedure for two transmission zeros, given in [12]. The extreme-value frequencies are expressed in the closed form. Real transmission zeros are calculated by solving nonlinear equations. Calculated values of orders of zeros of maximally selective filters with equiripple characteristics in the passband and stopband are given in the table in Section III for filter degrees ranging from 2 to 14. These results are used to deduce new formulas for zero orders in closed form. By using these formulas, zero orders can be calculated for filter and a maximum of four transmission zeros of degrees any multiplicity. The transfer function for the prototype filter can be obtained after the transmission zeros are calculated. The next stage in the synthesis is the extraction of the prototype elements from the transfer function. For example, the extraction of the finite frequency transmission zeros in the form of inverters and shunt capacitance and susceptance elements. Finally, the prototype network can be transformed into its equivalent cross-coupled network (using triplets), a form that is more easily realizable in practice. Three examples are given. The first example demonstrates the transmission zeros calculation for a maximally selective filter. The second one describes the synthesis of a generalized Chebyshev asymmetrical prototype filter. The last example shows a designed and realized filter in ceramic technology. II. EXTREME VALUES AND TRANSMISSION ZEROS The generalized Chebyshev magnitude squared characteristic with multiple asymmetrically located transmission zeros can be written in the form [12] (1) where (2) (3) and (4) In the above equations, is the filter degree, is the number of zeros at infinity, is the number of zeros, is the order of the unknown transmission zero , and (5) where

0018-9480/$20.00 © 2005 IEEE

is the maximum attenuation in the passband.

2412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

The proposed procedure for finding the transmission zeros starts with the determination of the frequencies of magnitude characteristic extreme values. By equating the first derivative of to zero, the following equation: (6) is obtained. This equation is valid when all transmission zeros are located above the passband, i.e., in the case of the prototype . In the other case, when asymmetrically filter, it gives located transmission zeros are below the passband ( for a prototype filter), (6) can be applied, and after the transmission zeros calculation, it is necessary to change the sign of the values, . The (6) can be reduced to a polynomial form i.e., to take of magnitude of degree . Roots of (6) are the frequencies characteristic extreme values in the stopband. The solutions for have been described in [12], whereas the case with three , can be obtained by applying transmission zeros, i.e., Cardan’s method [13]. , In the case of the filter with four transmission zeros, (6) yields (7) where the coefficients

and

are

Equation (13) can be solved by Cardan’s method [13] to ob. An arbitrarily chosen value of is tain the roots used to form (15) (16) The four roots of the above equations are also roots of (9). The frequencies of magnitude characteristic extreme values, which are the roots of (7), are calculated by use of for . and can be found The transmission zeros by equating the attenuation characteristic to the specified minimums of attenuation in the stopband at the frequencies of extreme values. In this way, a system of nonlinear equations is may be obtained by solving obtained. Transmission zeros this system. One specific and commonly used practical case is that the magnitude characteristic is equiripple in the stopband, at all frequeni.e., attenuation in the stopband is equal to cies of extreme values. Another important parameter is the frequency , which is the measure of the filter selectivity. This is the frequency at which the attenuation characteristic reaches the for the first time. The procedure presented minimum value for the determination of transmission zeros is general, and it can be used for the design of any magnitude characteristic in the stopband. This means that the magnitude characteristic can have different values at the frequencies of extreme values in the stopband, not only equiripple. After obtaining the transmission zeros, the magnitude characteristic should be determined. The polynomial form (2) can be represented in the form [8], [9]

(17) where the complex frequency and transmission zeros are , taking into account all the transmission zeros at finite, as well as infinite frequencies. The transfer function can be formed as (8) The next replacement [13] of

by

(18) where is defined by (1), whereas the reflection function can be formed as

changes (7) into (19)

(9)

can be obtained from the left half-plane roots of the denominator of (19).

where (10) (11) (12) To solve (9), it is necessary to form the equation (13) where and

(14)

III. NEW FORMULAS FOR ZERO ORDERS Many different filters are analyzed by use of specially created programs. The calculated values of zero orders are given in Table I for maximally selective filters of degrees , the maximum selectivity criterion being that of minimizing . A maximally selective filter has the steepest attenuation characteristic in the transition region and the transition region between passband and stopband is the smallest possible. The calculated

MILOSAVLJEVIC: DESIGN OF GENERALIZED CHEBYSHEV FILTERS WITH ASYMMETRICALLY LOCATED TRANSMISSION ZEROS

ZERO

TABLE I ORDERS FOR FILTER DEGREES 2

2413

In the above equations, the minimum filter degree is and . It is very important to note the periodic character of zero orders. These new formulas are valid for all possible filter degrees.

 n  14

IV. APPLICATIONS A. Transmission Zeros Calculation

values of are valid for equiripple attenuation characteristics in both the passband, as well as the stopband. The calculated numerical values of are used to derive new expressions of zero orders in the closed form for maximally selective filters with asymmetrically located transmission zeros of different degrees and number of zeros. In the case of maximally selective generalized Chebyshev filters, the order of the first transmission zero is defined by (20) is the integer part of and period . This where and . is valid for all values of , i.e., For filters with two transmission zeros, , and the order of the second zero is (21) other For filters with three transmission zeros, of the second zero is

, and the order

(22) other and the order of the third zero is (23) In the last case of the filters with four transmission zeros, , and the order of the second zero is (24) other The order of the third zero is

The generalized Chebyshev low-pass prototype filter with the following requirements is designed in this example: dB, dB, , and . The design is based on the previously presented procedure and using new formulas for zero orders. It starts from the minimum filter with four single transmission zeros degree . The calculated values are , and . does not fulfill the given condition. The deThis value of . Three sign procedure is continued for the filter degree transmission zeros are still single, i.e., , and the . The values fourth is double, i.e., and are calculated in this case. The value for is still greater than that required, and the calculation is continued for . Now the orders of transmission zeros the filter degree and . For this filter, transmisare sion zeros are and , and the frequency at which the attenuation for the first time characteristic reaches the minimum value . The calculation is complete because this filter is fulfills the given requirements. B. Synthesis Example A third-degree low-pass prototype network with two transmission zeros at infinity and one at finite frequency will be synthesized. The given filter specifications are: 1) maximum attendB; 2) minimum attenuuation in the passband ation in the stopband dB; 3) filter degree ; ; and 5) the order of transmission zero 4) number of zeros . is calculated The transmission zero value using the presented procedure; corresponding filter selectivity . This is the frequency at which the attenuation is for the first time. characteristic reaches the minimum value . The calculated parameter is can be calculated as it is explained previously, and then can be formed from the left halfplane roots of the denominator of (19). The input admittance can be formed as

(25) where is

is as given in (21), whereas the order of the fourth zero

(26) other

(27)

2414

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Third-degree generalized Chebyshev asymmetrical filter.

Fig. 3.

S -parameter simulations of a synthesized third-degree filter.

Fig. 4. Side view of a TEM combline ceramic filter.

simulated transmission and reflection characteristics for the filter synthesized in this example are given in Fig. 3. Fig. 2. Cross-coupled realization of a generalized Chebyshev filter.

where and . The synthesis procedure is described in [8] and [12], and the complete synthesis cycle is shown in Fig. 1. The element values are and . It should be noted here that the frequency invariant reactances/susceptances do not exist in practice, but can be approximated over a narrow frequency band by inductors or capacitors. The realized prototype filter shown in Fig. 1 is not particularly useful for bandpass filter applications. A standard lowpass to bandpass transformation of this circuit would give two shunt bandpass resonators shunted by susceptances. This is not a problem since they can be absorbed into the resonators, resulting in the small change of resonant frequencies. However, the series branch would become a bandstop resonator in series with a reactance, which is very difficult to realize in any microwave technology, except by using lumped elements. From the practical point-of-view, it is more convenient to transform the realized network into a cross-coupled network [8], [12]. The final transformed network is shown in Fig. 2. The calculated element values are . This network has a cross-coupling inverter from input to output. The

C. Realization Example The generalized Chebyshev low-pass prototype filters can be used in the design of filters realized in different technologies. For example, they are applicable in dielectric loaded filters. One particular case is a ceramic TEM filter or diplexer realization widely used in handset applications. The dielectric loaded waveguide filter is another type of realization, which is suitable for cellular radio base-station applications. These filters are also widely used in satellite communication applications. As an example, a ceramic bandpass filter, which can be used as a PCS1900 receive (Rx) filter for handset applications will be realized. The chosen asymmetric low-pass prototype has to fulfill the next requirements: maximum attenuation in the passband dB (this corresponds to the value or maximum return loss of 24.45 dB), nonequiripple attenuation characteristic in the stopband with three different attendB, dB, and uation levels of dB, filter degree , number of zeros , . and the orders of transmission zeros The calculated transmission zeros based on the previous reand quirements are . Using negative transmission zeros values and , and applying a standard low-pass to bandpass filter transformation procedure, the corresponding Rx filter can be designed. The specified center frequency of the PCS1900 Rx band is 1.96 GHz and bandwidth is 60 MHz. The realized bandpass filter is a combline structure presented mm mm, and in Fig. 4. The dimensions are mm. It consists of a high-permittivity ceramic length is

MILOSAVLJEVIC: DESIGN OF GENERALIZED CHEBYSHEV FILTERS WITH ASYMMETRICALLY LOCATED TRANSMISSION ZEROS

2415

ACKNOWLEDGMENT The author would like to thank Dr. M. Roberts, Filtronic, Shipley, U.K., for his help in making this paper’s manuscript more clear and understandable, and the reviewers for very useful comments. REFERENCES

Fig. 5.

Simulated and measured results of a ceramic TEM filter.

block with circular holes as resonators. The structure is metallized, apart from one side, as marked in this figure. The holes are also metallized and they are open circuited at one end and short circuited at the other. The other dielectric layer is . A special a printed circuit board with the permittivity layout is created on the bottom surface of the ceramic to define the proper couplings between the TEM transmission lines, i.e., resonators, and it is connected to the layout on the printed circuit board. A detailed description on this kind of design can be found in [8 , Sec. 7.5]. The simulated (ideal) and measured transmission and reflection characteristics of the ceramic Rx filter can be seen in Fig. 5. A good agreement between the results is obtained. V. CONCLUSION A very efficient and simple procedure has been presented for the design of the class of generalized Chebyshev magnitude characteristic with asymmetrically located transmission zeros. The transmission zeros can be of arbitrary multiplicity, and their maximum number is four. The frequencies of magnitude characteristic extreme values in the stopband have been obtained in closed form. Transmission zeros have been calculated by solving nonlinear equations and new equations for zero orders of maximally selective filters with equiripple stopband characteristic have been presented. The generalized Chebyshev prototype is one of the most useful because it combines the equiripple amplitude characteristic with the arbitrary position of transmission zeros in the complex plane. These filters are suitable for realization in different technologies, e.g., ceramic technology, cavity, and waveguide filters, etc., and can be used in handsets, base-station, and satellite applications, etc. Three examples have been given. One of them has verified transmission zeros calculation procedure, another has presented the synthesis procedure for the prototype, and the third has shown a realized ceramic filter for handset applications.

[1] J. D. Rhodes and S. A. Alseyab, “The generalized Chebyshev low-pass prototype filter,” Int. J. Circuit Theory Appl., vol. 8, pp. 113–125, 1980. [2] S. A. Alseyab, “A novel class of generalized Chebyshev low-pass prototype for suspended substrate stripline filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1341–1347, Sep. 1982. [3] Z. D. Milosavljevic and M. V. Gmitrovic, “A class of generalized Chebyshev low-pass prototype filter design,” Int. J. Electron. Commun., vol. 51, no. 6, pp. 311–314, Nov. 1997. [4] Z. D. Milosavljevic and M. V. Gmitrovic, “An efficient procedure for narrow-band bandpass filter design,” Appl. Microwave Wireless, vol. 12, no. 5, pp. 30–41, May 2000. [5] Z. D. Milosavljevic and M. V. Gmitrovic, “Design of maximally selective generalized Chebyshev filters,” Circuits Syst. Signal Process., vol. 21, no. 2, pp. 195–205, Mar./Apr. 2002. [6] Z. D. Milosavljevic and M. V. Gmitrovic, “Realizable bandpass filter structures with optimal redundancy parameters,” Facta Univ. Ser. Elect. Energet., vol. 13, no. 1, pp. 131–141, Apr. 2000. [7] R. Levy, “Filters with single transmission zeros at real or imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 172–181, Apr. 1976. [8] I. Hunter, Theory and Design of Microwave Filters, ser. Electromagn. Wave. London, U.K.: IEE, 2001. [9] P. D. Sleigh, “Asymmetric filter design for satellite communications applications,” IEE Colloq./IEEE Colloq. Dig., pp. 6/1–6/4, 1982. [10] R. J. Cameron, “Fast generation of Chebyshev filter prototypes with asymmetrically-prescribed transmission zeros,” ESA J., vol. 6, pp. 83–95, 1982. [11] J. Huruya and R. Sato, “Transmission characteristics and a design method of transmission-line low-pass filters with multiple pairs of coincident zeros and multiple pairs of coincident poles,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 8, pp. 865–874, Aug. 1980. [12] Z. D. Milosavljevic, “A class of generalized Chebyshev prototype filters with asymmetrically located transmission zeros,” in Proc. 6th Int. TELSIKS Conf., Nis, Serbia and Montenegro, Oct. 2003, pp. 323–326. [13] M. Abramowitz and I. A. Stegun, Eds., Handbook of Mathematical Functions. New York: Dover, 1970.

Zlatoljub D. Milosavljevic (S’94–M’01) was born in Knjazevac, Yugoslavia, in August 1968. He received the Dipl.-Ing., M.Sc., and Ph.D. degrees in electrical engineering from the University of Nis, Nis, Yugoslavia, in 1993, 1997, and 2001, respectively. From 1993 to 2000, he was a Research and Teaching Assistant with the Faculty of Electronic Engineering, University of Nis. In September 2000, he joined Handset Products (now LK Products), Filtronic LK, Kempele, Finland, where he is currently Research Engineer. His main research interests are active and passive antennas, network synthesis, signal processing, filters, diplexers, and multiplexers with lumped and distributed elements.

2416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Parameter Extraction for On-Chip Interconnects by Double-Image Green’s Function Method Combined With Hierarchical Algorithm Wenliang Dai, Zhengfan Li, and Junfa Mao, Senior Member, IEEE

Abstract—A novel double-image Green’s function approach combined with the hierarchical algorithm is proposed to compute the frequency-dependent capacitance and conductance for the on-chip transmission lines and interconnects embedded in multiple SiO2 layers of the general CMOS process. The effect of a protective layer and lossy silicon substrate layer of the CMOS process are considered in the four-layer structure deduced by the equivalent dielectric-constant approach whose adaptability is further proven in this paper. This double-image Green’s function approach is fast convergent with the increasing order of reflections and transmissions, which is further accelerated by the hierarchical algorithm for computation of the Green’s function rapidly. Moreover, the proposed method avoids the computation of bound charges on the dielectric interfaces. The frequency-dependent capacitance and conductance gained from the proposed method are shown to be in good agreement with the data obtained by other relevant methods. Index Terms—CMOS process, double-image Green’s function method, equivalent dielectric-constant approach, frequency-dependent parameter extraction, hierarchical algorithm.

I. INTRODUCTION

M

IXED-SIGNAL integrated circuits have been demonstrated to provide high-performance system solutions for various applications such as wireless communications and high-speed communication backbones. The continued scaling and improvement of semiconductor processes have made it possible to integrate RF, analog, and digital circuitry on a common silicon substrate and create system-on-chip (SoC) solution for various mixed-signal applications. Furthermore, RF and high-speed integrated circuits in silicon-based CMOS technology are increasingly desirable due to the fabrication cost advantage. However, unlike RF circuits on low-loss substrates, such as alumina and GaAs, the lossy nature of the semiconducting silicon substrate may have a significant impact on the loss and dispersion characteristics of on-chip interconnects in RF, high-speed analog-signal, and mixed-signal integrated Manuscript received November 18, 2004. This work was supported by the Nature Science Foundation of China under Grant 60271030. W. Dai was with the Department of Electronic Engineering, Shanghai Jiaotong University, Shanghai 200030, China. He is now with the High Speed Technology Center, Cadence Design Systems Inc., San Jose, CA 95134 USA (e-mail: [email protected]). Z. Li and J. Mao are with the Department of Electronic Engineering, Shanghai Jiaotong University, Shanghai 200030, China (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850431

Fig. 1. Extracted frequency-dependent capacitance and conductance in typical standard CMOS technology.

circuits. From the simulation results for interconnects near substrate [1], it can be seen that the influence of frequency-dependent parameters to high-speed circuits becomes more and more serious because the signals in high-speed digital circuits have so short rise and fall times that the signals possess a broad frequency spectrum. Hence, accurately extracting frequency-dependent parameters for the interconnects based on lossy substrate becomes a key step for on-chip design. With regard to the interconnects embedded in general CMOS process, the physical equivalent circuit shown in the Fig. 1(a) is composed of two parallel parts of circuits. One part is the in the SiO layer and in the capacitances SiO , repreprotective layer. The other part is the capacitance senting the SiO layer, in series with the capacitance and to represent the semiconducting silparallel conductance icon substrate. Even though each individual fixed capacitance and conductance within Fig. 1(b) is frequency independent, in the simple the merging admittance equivalent extracted circuit model in Fig. 1(c) becomes a complex function of frequency. Thus, the extracted susceptance and conductance are highly frequency dependent is called capacitance; here, parallel with conductance and because the imaginary part of admittance is positive and it acts like a capacitor. In this paper, we provide a novel, simple, and accurate closed-form Green’s function to extract the parameters for arbitrary dimensional interconnects and transmission lines embedded in the general CMOS process. The hierarchical algorithm is further developed to accelerate the computational convergence of our proposed double-image Green’s function based on the fact that the electric field decreases as the distance increases and the potential due to a cluster of particles is the sum of the potential due to each individual particle.

0018-9480/$20.00 © 2005 IEEE

DAI et al.: PARAMETER EXTRACTION FOR ON-CHIP INTERCONNECTS BY DOUBLE-IMAGE GREEN’S FUNCTION METHOD

Fig. 2. Schematic presentation of typical 0.25-m CMOS process.

Fig. 3. Schematic presentation of typical 0.18-m CMOS process.

In addition to the substrate loss, the effect of protective layer (cover) may also contribute to the overall transmission behavior of on-chip interconnects through affecting the distributed capacitance. Thus, in this paper, the protective layer is added upon the five metal layers [2]. The protective structure is then the same as the schematic presentation of the 0.25- m process shown in Fig. 2 whose insulator SiO layers have only one identical dielectric permittivity of 4.1. Different from the 0.25- m process, the scheme of the 0.18- m process shown as Fig. 3 has six metal layers with permittivity of 3.7 and the five insulator SiO layers with a permittivity of 4.2. Toward 0.13- m process technology, the schematic presentation is similar to the 0.18- m process, except that the number of metal layer is increased to 8 layers.

2417

The multilayered dielectric structures have been investigated by various methods such as [3] and [4]. However, their fatal weakness is the restriction to infinitely thin conductors, which hampers the generalization for practical interconnects whose thickness and width has the same dimension. Zheng and Li’s improved method [5] can deal with the multilayer structure by replacing the thick conductor with many infinitely thin conductors, but it meets difficulty to extend to a three-dimensional (3-D) structure. Although FastCap [6] can calculate the capacitance for the multilayered interconnects with great generality, it cannot deal with the dielectric layer with complex permittivity. Mathematically, the extraction for capacitance extraction can be extended to the conductance extraction by substituting the conductivities for the dielectric constants. However, FastCap might meet great difficulty because the dielectric is assumed lossless in the FastCap equations, while an improved version used for computing the conductance term has not been reported by the existing literature. Furthermore, the essential number of sub-panels subdivided on the dielectric interfaces is very large, which reduces the efficiency for capacitance extraction of the practical CMOS process technology. Alternatively, the total charge Green’s function method [7] can handle the 3-D interconnects on a lossy substrate with very high accuracy; however, it also has to process the additional charges on large dielectric interfaces, especially for 3-D structures, which needs a large number of sub-panels, thus reducing the computational efficiency. The full-wave analysis such as finite difference time domain (FDTD) can extract the parameters for on-chip interconnects, but it needs a large matrix that results in very low efficiency even though it has higher accuracy. In this paper, we proposed a novel different method, the double-image Green’s function method, to compute the frequency-dependent capacitance and conductance of on-chip interconnects. The proposed method can solve the four-layer structure such as a 0.25- m process with a protective layer conveniently. It avoids the computation of bound charges on the dielectric interfaces and it has great generality for other CMOS process technologies after the rational simplifying process. At the same time, our double-image method is very convenient to process the finite thickness 3-D conductor structure such as orthogonal short interconnects efficiently. As regards the infinite image series that resulted from the double-image method, the hierarchical algorithm is implemented to speed its convergence and reduce the time to establish coefficient matrix resulted from the method of moments (MoM) [8], which has higher accuracy, fewer variables, and a strong ability to handle complex structure. Even though the rigorous methods for a multilayered dielectric structure are more complete, they are quite complicated and cannot be readily implemented, especially for design work. In Fig. 3, one can easily observe the difference of dielectric permittivity between the metal layer and insulator layer for the 0.18- m process technology, where the dielectric permittivity of protective layer PASS2, SiO layer PASS1, and substrate layer are 7.9, 4.2, and 11.8, while the dielectric constants of all SiO layers are 3.7 and 4.2, respectively. Based on

2418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

this character of the 0.18- m process, all of the SiO layers are assumed to have equal dielectric permittivity through the equivalent dielectric-constant method [9] whose approximation rationality is verified in Section IV. Thus, all interconnects are embedded in one identical SiO layer and the 0.18- m process is deduced to the four-layer structure like the 0.25- m process. The double-image Green’s function method can then also be used to calculate the frequency-dependent capacitance and conductance of interconnects of the 0.18- m process. The other CMOS processes such as the 0.13- m process keep the same principle as the 0.18- m process, except that the number of SiO layers becomes larger, which provides great generality of our work. Even though the copper process with a stop etch layer is reduced into five layers, it can be dealt with by the double-image method. Fig. 4. Illustration of image Green’s function.

II. DOUBLE-IMAGE GREEN’S FUNCTION METHOD In this paper, the boundary-element technique, or the MoM, is adopted to compute the frequency-dependent capacitance and conductance matrix for interconnects [10] based on the CMOS process whose silicon substrate has a complex permittivity. must be determined in Therefore, the Green’s functions advance. In free space, Green’s function is the interaction potential between two point charges or line charges. When the space is subdivided into two different dielectric half-spaces, the Green’s function is the sum of two potentials on the field point by the source charge and its image charge. While the space has three different dielectric layers such as the metal–insulator–semiconducting (MIS) structure, the Green’s function becomes a summation of infinite series resulted from the infinite images on the two dielectric interfaces [11]–[13]. As regards the four-layer structure discussed in this paper, the images of the Green’s function are complex because of the infinite reflections in the protective layer and SiO layer and the infinite transmissions through three dielectric interfaces. It is very difficult to express the Green’s function through a general image method when the number of dielectric layers is increased to four layers. With regard to the four-layer structure illustrated in Fig. 4, the four regions of the cross section are the air layer, protective layer, SiO layer, and silicon substrate layer, which we define as regions I–IV, respectively. The three dielectric interfaces 1–3 are parallel to each other and all interconnects are embedded in the SiO layer with one dielectric constant. The character of light transmission and reflection in different medium layers is adopted in this paper to obtain the images for the four-layer structure. In order to get the formula of the dielectric image Green’s function, we divide the images into two opposite directional images by two interfaces of the SiO layer, which is shown in Fig. 4, in which the dashed line denotes the initial up images by the top interface 2 and the solid line denotes the initial down images by the bottom interface 3, which, in this paper, is called the double-image method. Taking the initial down line as an example, one part of the solid line of the source point in the SiO layer reflects back on

interface 3 and another part transmits into the silicon substrate layer without any reflection because it is viewed as a half boundless area for its large depth compared with that of the SiO layer and protective layer and so does the air layer. The whole contribution to the Green’s function in region III from the initial down images can also be illustrated by the following two three-cascade series summations:

(1)

(2)

(3)

(4)

other

(5)

(6)

DAI et al.: PARAMETER EXTRACTION FOR ON-CHIP INTERCONNECTS BY DOUBLE-IMAGE GREEN’S FUNCTION METHOD

(7)

(8)

(9) where and are the thickness of the protective layer and and are the permittivity of the protective SiO layer. , is the layer, SiO layer, and silicon substrate, respectively. is the operation conductivity of the silicon substrate and is the field point and is the frequency. source point. From Fig. 4, the Green’s function that resulted from the initial down images are composed of two parts, and , which are located alternatively at illustrated as and . , , and are integers equal stands for the reflections to or greater than zero. between interfaces 2 and 3, while stands for the reflections between interfaces 1 and 3, where represents the transmissions passing twice through interface 2. stands for the reflections between interfaces 1 and 2 after the solid line transmits through interface 2. Namely, there are not any reflections or transmissions in region II before the solid lines transmit from regions III to II, which in (4). Equations (1) and is insured by the function (2) represent the initial down-direction images by interface 3, which is shown as solid lines, and so does the initial up-direction image, which is shown as follows:

(10)

(11) where (10) and (11) represent the initial up-direction images by interface 2, denoted by the dashed line in Fig. 4. From (1)–(11), the Green’s function for both the source and field point situated in the multiple SiO layers can be easily gained as follows:

(12)

2419

With the increase of transmission and reflection, the distance between image source point and fixed field point becomes larger and larger and the charge of image source becomes smaller and smaller because the moduli of , , and are smaller than 1, which results in the fast convergence of Green’s function series . It should be pointed out that the complex dielectric image Green’s function can also be extended conveniently to two-dimensional (2-D) parameter extraction for the on-chip interconnects because the horizontal positions of images on the -coordinate, as well as the -coordinate are not changed, except that the vertical positions on the -coordinate are changed with the increase of transmission and reflection.

III. HIERARCHICAL COMPUTATION Instead of calculating the infinite image Green’s function series directly to solve the 3-D parameters of interconnects, the idea of the hierarchical algorithm is adopted to shorten the time for generating the coefficient matrix elements. The hierarchical algorithm is realized by the fact that the electric field decreases as the distance increases and the potential due to a cluster of particles is the sum of the potential due to each individual particle. In this paper, the interaction between two conductors is obtained by the superposition of two kinds of interactions. One kind is the interaction between the original source conductor and field conductor, while the other is the interactions between the image source conductors and field conductor. The image source conductors have the same dimensions as their original source conductor, except that the positions on the -axis are changed with the times of imaging, but the field conductor has only one fixed position and dimension. The key difference from [14] is that our partition projects are composed of not only the practical conductor (the original conductor), but also the artificial conductor (their images). Another key difference from [14] is that our partition process is done in advance instead of subdividing the conductor surfaces during the procedure of the constructing of the coefficient matrix. Both the field conductor and original source conductor are subdivided into the largest number of sub-panels. The field conductor and image source conductor are then subdivided into a different number of sub-panels according to the position of the image conductor on the -axis. The numbers of sub-panels subdivided for both the field conductor and source image conductor are decreased correspondingly when the distance between them is increased. Finally, the interaction value on the field conductor is obtained through superposition of all the potentials from the original source conductor and its image source conductors. The whole process of the hierarchical algorithm is carried out as follows. At first, the surfaces of the whole structure are subdivided into a few rectangular mother panels according to its geometry and position. The mother panel is then subdivided into four father panels and the father panel is subdivided into four children with one-fourth of its dimension again, and the four children are subdivided in the same principle as its father panels, as shown in Fig. 5. The partition process is repeated until the dimension of the final child reaches the dimensional value previously given.

2420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 7. Microstrip transmission line with two dielectric layers. Fig. 5. Partition of the conductor surface.

TABLE I CAPACITANCE (PICROFARDS PER METER) CALCULATED FROM THREE METHODS FOR THE MICROSTRIP TRANSMISSION LINE

interaction. The other interactions between the image source of panel A and field panel B with less sub-panels at lower levels are then superposed to the first kind of interactions. For instance, the interaction value between the farthest image source of panel A and field panel B, both with only one sub-panel, is divided by the number of sub-panels for original source A and then divided by the number of sub-panels for the field panel B at the highest level 4. The quotient is added to each interaction between the original source panel A and the field panel B. Through this way, the interactions between the source of panel A (original source and its image sources) and field panel B can be executed. IV. NUMERICAL EXAMPLES Fig. 6.

Interaction with images by blocks.

At the same time, all of the panels subdivided are organized in a complete tree and the root is viewed as the mother panel. After partitioning all of the conductor–dielectric interfaces, the hierarchical algorithm deals with the interaction between each pair of mother conductor panels recursively including itself. In Fig. 6, the mother panel subdivided procedure is also illustrated by a different number of sub-panels as the no. 1, no. 2, no. 3, and no. 4 levels, respectively, and sometimes the level may be more. When the image source of panel A is close enough to the field panel B, the interaction between them is done by their sub-panels with the number at the highest no. 4 level as the smallest block, which is similar to the interaction between the original source panel A and field panel B. However, the interaction between the image source of panel A and the field panel B is done by their sub-panels at the no. 3 level when the disbetween them becomes tance four times their dimension with the increase of , , and from , , , and in (12). When , , and is large enough that the interaction can be dealt with by the largest block, just by the field panel B and the relevant image source of panel A without any subdivision, namely, by their roots. During the entire procedure, the interaction between original source panel A and field panel B is done at first by the most number of sub-panels at the highest level, which leads to the , which means the first kind of element of coefficient matrix

Having obtained the Green’s function formula (12), the capacitance and conductance are calculated by the MoM, where the closed-form expressions for evaluation of potential integral derived by Wilton et al. [15] is used to compute the interaction value combined with hierarchical algorithm. Good agreement of the novel double-image Green’s function method combined with a hierarchical algorithm is obtained by comparison with other methods through the following examples. Example 1 In order to further illustrate the rationality of dielectric layers’ reduction in this paper, a microstrip with two different dielectric constant layers are investigated, as shown in Fig. 7, where the width of the infinitely thin signal conductor equals 4 m. m and The thickness of dielectric layers are m, respectively. At first, the microstrip is simplified by the average reduced method whose dielectric constant is given by . The same microstrip is then simplified by another method, the equivalent dielectric-constant method introduced in Section I, and its equivalent dielectric constant is obtained by and , where and is the thickness and dielectric perdielectric layer. mittivity of the From the computational results shown in Table I, it can be seen that the capacitance extraction error through the equivalent dielectric-constant method is less than 0.8%, while the error through average method is a mere 2.7% when the dielectric constants are 3.7 and 4.2. However, when the two dielectric

DAI et al.: PARAMETER EXTRACTION FOR ON-CHIP INTERCONNECTS BY DOUBLE-IMAGE GREEN’S FUNCTION METHOD

2421

constants are 2 and 10, the error through the equivalent dielectric-constant method is larger than 15% and the error through the average method reaches 107%. The comparison results show a high accuracy for handling different layers with close dielectric constants through the equivalent dielectric-constant reduced method. Therefore, the equivalent dielectric constant method can make the CMOS process technology deduced rationally to a four-layer structure, and our double-image Green’s function method can be used to calculate the parameters of on-chip transmission lines and interconnects. Example 2 Consider a coplanar transmission line using the first metal layer embedded among the 0.18- m process technology, as shown in Fig. 3, where the dielectric permittivity of each layer is illustrated. The conductivity of the lossy silicon substrate is 10 S/m and its thickness is 750 m. The center conductor of the coplanar transmission line is the signal line and the other two side conductors are ground lines, while the distance between the signal line and two ground lines is 30 m and their dimensions are 10 m 1 m and 20 m 1 m, respectively. In Fig. 3, the thickness of each periodical SiO layer is 1.13 m and 0.2 alternatively, while the thickness of both the protective layer and interlayer dielectric (ILD) layer are 0.7 m and the thickness of the PASS1 layer is 1 m. Using the equivalent dielectric-constant method simplified model, the frequency-dependent capacitance and conductance over 0 20 GHz through the double-image Green’s function method are compared with those through the improved 2-D FDTD [1] and the total-charge Green’s function method [7], whose idea is realized by its extensional work (see [10]) when some dielectric layers such as a silicon substrate have complex permittivities, as shown in [10, Sec. V], and then the frequency-dependent capacitance and conductance are easily worked out. In this example, we treat a matrix much smaller in size than that used in the total charge Green’s function approach. 192 subsections on the boundaries of the conductors and 1200 additional subsections on the dielectric interfaces are used in [10]. In our proposed method, only 192 subsections on the boundaries of the conductors were used. It costs 498 s for the total charge Green’s function method, while only 37 s for our proposed method. All the above numerical experiments were performed on a 500-MHz Pentium III PC with 256-MB memory. In general, for such types of structures, our new method is about ten times faster than the total charge approach. Even though the full-wave 2-D FDTD method has very high accuracy, it is well known that the computational speed is the slowest in the three methods because it has to mesh the whole cross section area, but not boundaries. The computed results are illustrated in Fig. 8, and the maximum difference of capacitance between the three methods is less than 1% and that of conductance is less than 3.5%. Therefore, the proposed method can extract the 2-D frequency-dependent capacitance and conductance efficiently with high accuracy. From the results shown in Fig. 8, one can see that the capacitance parameter drops from 500 to 100 pF/m and the conductance parameter increases from 0 to 5.5 S/m in the

Fig. 8. Extracted capacitance and conductance of on-chip coplanar transmission line.

Fig. 9. Two short 3-D interconnects based on the second metal layer of the 0.18-m process.

frequency range of 0–10 GHz. There are such significant variations in the extracted parameters, which is a result of the fact that the gap between the signal line and ground lines adopted in this paper is much larger than the thickness of SiO layers so that the lossy silicon substrate has a strong effect on coplanar structures. Example 3 Since the principle of the double-image method for a 2-D complex Green’s function on a lossy substrate is the same as that for a 3-D complex Green’s function, discussed in Section II, the proposed method can be applied to frequency-dependent parameter extraction with high accuracy for the 3-D structure based on a silicon substrate such as the 0.18- m CMOS process. The two short orthogonal conductors using the second metal layer based on the 0.18- m CMOS process, shown as Fig. 9, are computed as an important model for a variety of 3-D interconnects. All of the dielectric layers are assumed to extend to the infinity on the horizontal direction. The dimensions for each

2422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE II FREQUENCY-INDEPENDENT CAPACITANCE COMPARISON FOR TWO SHORT INTERCONNECTS IN FIG. 9

structure composed of an air layer, an SiO layer, a protective layer, and a lossy silicon substrate layer that dominates the frequency dependence of capacitance and conductance. The double-image Green’ s function method is proposed to compute the deduced four-layer structure, while the computational speed is accelerated through employing the hierarchical algorithm. Examples have shown that the proposed double-image Green’s function method based on the MoM with hierarchical algorithm works efficiently to characterize the 2-D and 3-D frequency-dependent capacitance and conductance with high accuracy for on-chip transmission lines and interconnects. Hence, our new method should be useful and efficient in the frequency-dependent capacitance and conductance extraction for the design of CMOS-oriented high-speed analog and mixed-signal integrated circuits.

REFERENCES

Fig. 10. Frequency-dependent capacitance/conductance of two short interconnects in Fig. 9.

short conductor are 0.7 m 1 m 5 m and the gap between them is 1 m, while the other geometry and material data of this structure is the same as Example.2. For comparison with the capacitance solver FastCap, the silicon substrate layer is as.A sumed as a lossless dielectric with permittivity total of 2130 panels on a surface of conductors and 6750 panels on the three dielectric interfaces are employed in FastCap, while only 2048 panels are used on surfaces of conductors in our proposed method with six levels involved in the hierarchical algorithm. The frequency-independent capacitance calculated from FastCap agrees well with those from our method shown in Table II. All numerical experiments were performed on the same computational circumstance as Example.2. The simulation time of FastCap and our proposed method for this example is approximately the same. However, FastCap takes more memory and accuracy requirement and lossless dielectric restriction. Furthermore, more unknowns for FastCap usually would be involved to achieve a certain accuracy since it has to deal with the panels on the dielectric interfaces. On the contrary, our new method has the great advantage that it only deals with the surfaces of conductors, and it has wide application areas concluding the lossless substrate and lossy substrate with complex permittivity, and its relative efficiency increases when the number of unknowns increases. Here, the same structure shown in Fig. 9 based on a S/m lossy silicon substrate layer with the conductivity is calculated and its frequency-dependent capacitance and conductance are illustrated in Fig. 10. V. CONCLUSION The schematic of a typical CMOS process has been deduced by the equivalent dielectric-constant method into a four-layer

[1] Z.-Y. Yuan, Z. Li, and M.-L. Zou, “Computer-aided analysis of on-chip interconnects near semiconductor substrate for high-speed VLSI,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., vol. 19, no. 9, pp. 990–998, Sep. 2000. [2] A. Deutsch, G. V. Kopcsay, C. W. Surovic, B. J. Rubin, L. M. Terman, R. P. Dunne, T. A. Gallo, and R. H. Dennard, “Modeling and characterization of long on-chip interconnections for high performance microprocessors,” IBM J. Res. Develop., vol. 39, pp. 547–567, May 1995. [3] A. Farrar and A. T. Adams, “Multilayer microstrip transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 10, pp. 881–889, Oct. 1974. [4] R. Crampagne, M. Ahnadpanah, and J.-L. Guiraud, “A simple method for determining the Green’s function for a large class of MIC lines having multilayered dielectric structures,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 2, pp. 82–87, Feb. 1978. [5] J. Zheng and Z. Li, “Efficient computation of 2-D multiconductor interconnection lines in the layer media by convergence acceleration of dielectric Green’s function via Padé approximation,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1339–1343, Sep. 1998. [6] K. Nabors, S. Kim, and J. White, “Fast capacitance extraction of general three-dimensional structures,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1496–1506, Jul. 1992. [7] C. Wei, R. F. Harrington, J. R. Mautz, and T. K. Sarkar, “Multi-conductor transmission lines in multilayered dielectric media,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 439–449, Apr. 1984. [8] R. F. Harrington, Field Computation by Moment Method. New York: Macmillian, 1968. [9] W. K. W. Ali and S. H. Al-Charchafchi, “Using equivalent dielectric constant to simplify the analysis of patch microstrip antenna with multilayer substrates,” in IEEE AP-S Int. Symp., vol. 2, Jun. 1998, pp. 676–679. [10] J. Venkataraman, S. M. Rao, A. R. Djordjevic, T. K. Sarkar, and Y. Naiheng, “Analysis of arbitrary oriented microstrip transmission lines in arbitrarily shaped dielectric media over a finite ground plane,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 952–959, Oct. 1985. [11] J. D. Jackson, Classical Electrodynamics, 1st ed. New York: Wiley, 1962, ch. 5. [12] P. R. Wallace, Mathematical Analysis of Physical Problems. New York: Dover, 1984. [13] W. Dai, Z. Li, and F. Li, “Dielectric image Green’s function analysis of the broad-band properties of coplanar transmission lines on semiconducting substrate with Padé approximation approach,” in 3rd Int. Microwave and Millimeter Wave Technology Conf., Aug. 2002, pp. 852–855. [14] W. Shi, J. Liu, and T. Yu, “A fast hierarchical algorithm for three dimensional capacitance extraction,” IEEE Trans. Computer-Aided Design Integr. Circuits Syst., vol. 21, no. 3, pp. 330–336, Mar. 2002. [15] D. R. Wilton, S. M. Rao, A. W. Glisson, O. M. Albundak, and C. M. Butler, “Potential integrals for uniform and linear source distributions on polygonal and polyhedral domains,” IEEE Trans. Antennas Propag., vol. AP-32, no. 3, pp. 276–281, Mar. 1984.

DAI et al.: PARAMETER EXTRACTION FOR ON-CHIP INTERCONNECTS BY DOUBLE-IMAGE GREEN’S FUNCTION METHOD

Wenliang Dai received the M.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2001, and the Ph.D. degree in electronic engineering from Shanghai Jiao Tong University, Shanghai, China, in 2004. He is currently with the High Speed Technology Center (HSTC), Cadence Design Systems Inc., San Jose, CA, where he is a Senior Member of Technical Staff. His interests include computational electromagnetic fields, microwave, and millimeter waves circuitry, parameter extraction for on-chip interconnects, signal/power integrity analysis for high-speed circuit systems in whole chip-package-board co-design flow, computer-aided design (CAD)-oriented modeling and simulation of high-frequency high-performance electronic systems on lossy semiconducting substrates (CMOS process), and design and measurement of RF integrated circuits (RFICs) and high-speed integrated circuits (ICs).

Zhengfan Li graduated from Tsinghua University, Beijing, China, in 1958. For 21 years, he was with Tsinghua University, initially as an Assistant, then as a Lecturer, and finally as an Associate Professor. In 1979, he joined Jiao Tong University, Shanghai, China. From 1981 to 1983, he was with the Department of Electronic Engineering, Cornell University, Ithaca, NY, as a Visiting Scholar. He is currently a Professor with the Department of Electronic Engineering, Jiao Tong University, Shanghai, China. He has performed research in the fields of electromagnetic theory, radar system, microwave ICs, and microwave field-effect transistor (FET) linear and nonlinear circuits. His current interests are in high-speed IC systems and packaging structures, including extraction of the circuit parameters and circuit simulation of IC systems under high-speed conditions. He is an Editor for the Journal of the China Institute of Communication and the Journal of Microwaves. Prof. Li is a committee member of the Microwave Communication Society of China.

2423

Junfa Mao (M’97–SM’01) was born in 1965. He received the B.S. degree in nuclear radiation physics from the National University of Defense Technology, Hunan, China, in 1985, the M.S. degree in experimental nuclear physics from the Shanghai Institute of Nuclear Research, Academic Sinica, Shanghai, China, 1988, and the Ph.D. degree in electronic engineering from Shanghai Jiao Tong University, Shanghai, China, in 1992. Since 1992, he has been a faculty member with the Department of Electronic Engineering, Shanghai Jiao Tong University. He is currently a Professor and the Associated Dean of the School of Electronic, Information and Electrical Engineering, Shanghai Jiao Tong University. From May 1994 to May 1995, he was a Visiting Scholar with the Chinese University of Hong Kong, Hong Kong. From September 1995 to August 1996, he was a Visiting Post-Doctoral Researcher with the University of California at Berkeley. He has authored or coauthored over 100 papers and has coauthored one book. His research interests include the signal integrity of high-speed ICs and microwave circuits on silicon substrate. Dr. Mao is a Cheung Kong Scholar of Ministry of Education, China. He is the chair of the IEEE Shanghai Subsection for 2004 and 2005. He was the recipient of the 2004 Second-Class National Natural Science Award of China.

2424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Broad-Band Characterization of FET Self-Heating Anthony Edward Parker, Senior Member, IEEE, and James Grantley Rathmell, Member, IEEE

Abstract—The temperature response of field-effect transistors to instantaneous power dissipation has been shown to be significant at high frequencies, even though the self-heating process has a very low time constant. This affects intermodulation at high frequencies, which is examined with the aid of a signal-flow description of the self-heating process. The impact on broad-band intermodulation is confirmed with measurements over a range of biases. Intermodulation measurements are then used to obtain parameters that describe the heating response in the frequency domain. This description is then implemented in a time-domain model suitable for transient analysis and compared with measured heating and cooling step responses.

with the aid of a signal-flow description of the process. Section II presents a measurement of intermodulation that confirms the impact suggested by a previous simulation [8]. The impact on broad-band signals is discussed and the transfer response of self-heating is extracted from these measurements. Section III develops a time-domain model of self-heating and fits this to measured step responses of a microwave pseudomorphic high electron-mobility transistor (pHEMT). Section IV discusses the implementation of the self-heating model in circuit simulators.

Index Terms—Intermodulation, memory effect, microwave fieldeffect transistor (FET), self-heating, thermal response.

II. SELF-HEATING AND INTERMODULATION PROCESSES

I. INTRODUCTION

M

ODERN microwave circuit performance is susceptible to the dispersion of microwave transistor characteristics. This is because the complex signals used in communication systems invoke charge trapping and self-heating mechanisms that are sensitive to bias, temperature, and frequency variations. These mechanisms have slow time constants, so have not been considered significant at high-frequencies. Recently, however, the interaction of complex signals with slow time constants has been linked to the generation of intermodulation products and the asymmetry in intermodulation [1], [2]. This has been described as a memory effect. Electrothermal simulations have alluded to the possible influence of self-heating on intermodulation [3], and a simulation of heating as a first-order memory effect has demonstrated an impact on intermodulation [4]. However, a standard finite-element thermal analysis, computed with finite differences [5], of a typical transistor structure has shown that the frequency response of self-heating is much more significant at high frequencies than a first-order model would suggest [6]. Conductance measurements can be used to determine the frequency response of self-heating for small-signal models [7]. The problem is that conductance is also influenced by trapping mechanismsthatmaynotbeseparable.However,underspecificbiasconditions, intermodulation terms can be dependent on the thermal response to difference frequencies present in the signal. This can be used to characterize the frequency response of heating [8]. This paper develops a full characterization and a model of the self-heating process suitable for broad-band applications. Section I examines the impact of self-heating on intermodulation Manuscript received January 16, 2005. This work was supported by the Australian Research Council. A. E. Parker is with the Department of Electronics, Macquarie University, Sydney 2109, Australia (e-mail: [email protected]). J. G. Rathmell is with the School of Electrical and Information Engineering, The University of Sydney, Sydney 2006, Australia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850399

The self-heating process is a temperature change caused by power dissipation that has a frequency response determined by the physical structure of the transistor. The power dissipation is a function of the drain current. The drain current is influenced by the temperature dependence of carrier mobility, threshold voltage, and carrier saturation velocity [7]. Thus, the heating process is a feedback mechanism. Additional intermodulation products are generated by this feedback mechanism and its interaction with the inherent nonlinearity of a transistor. A. Self-Heating This study focuses on microwave field-effect transistors (FETs) operating in the active region where the temperature dependencies of threshold voltage and carrier mobility are dominated by the temperature dependence of carrier saturation velocity. In this case, the temperature dependence of drain current is well described in the time domain by (1) where is the isothermal current (A) at ambient temperature, which is a nonlinear function of gate and drain potentials. The term (1/W) is a function of the thermal resistance of the transistor structure and the temperature dependence of the (W) is convolved with drain current. The power dissipation . The extraction from intermoda thermal impulse response ulation measurements of a frequency-domain representation of is given in Section III and the extraction from step-response measurements of is given in Section IV. Fig. 1 shows a simplified signal-flow schematic of the heating process (1). The input signal passes through an intrinsic nonlinearity to produce the isothermal current. This then passes through a negative-feedback process that implements the reduction in current caused by self-heating. The feedback loop passes instantaneous power dissipation through a low-pass filter. The instantaneous power is determined from the output current and voltage. The low-pass filter has a frequency-domain response that is the Fourier transform of the thermal impulse re. sponse

0018-9480/$20.00 © 2005 IEEE

PARKER AND RATHMELL: BROAD-BAND CHARACTERIZATION OF FET SELF-HEATING

Fig. 1. Simplified signal-flow schematic of a self-heating process dominated by carrier velocity saturation.

The salient features of the thermal response are captured in the following transfer function, which was chosen because it fits the simulation well: (2) where and are the upper and lower rolloff frequencies and is the order, which is less than unity, of the response in the intervening band [8]. The lower rolloff ( 100 kHz) is set by the overall thermal path (the heat capacity and thermal resistance of the whole device). The upper rolloff ( 1 GHz) is set by the size of the channel region where power is generated. Note that, in many is small cases, the choice of is not significant because and other reactive components will dominate. In the intervening band, the response reduces by less than 20 dB/decade. This implies that self-heating has a measurable effect at microwave frequencies. However, the main reason that self-heating has a significant impact on intermodulation is the interaction of a multitone signal with the low-frequency thermal response. B. Third-Order Intermodulation There are three sources of nonlinearity within the system of Fig. 1 that contribute to intermodulation. The first is the calculation of instantaneous power, which involves an inherent multiplication of drain current and drain voltage. This produces components at the fundamental frequencies (being the signal current multiplied by bias potential and signal voltage multiplied by bias current) and second-order products (from the multiplication of signal voltage and signal current). The second source of nonlinearity is the multiplier that combines the isothermal drain current (produced by the intrinsic nonlinearity) with the output of the thermal response. The third source of nonlinearity is the intrinsic nonlinearity of the device that generates fundamental, second-order, thirdorder, and higher order products. and , the thirdFor a two-tone input with frequencies is order intermodulation product at a frequency of given by

(3)

2425

and are first, second, and third derivatives of where the output of the intrinsic nonlinearity with respect to its input [6]. The amplitude of the input tones are (V), and the output bias current and voltage are (A) and (V). The ratio of , which is generally comoutput voltage and current is plex and frequency dependent. For clarity of the explanation, the transistor’s output conductance is not isolated in this simple exalone, is chosen pression. To understand the effect of to be constant over all frequencies and is set to 50 in the measurements. Simulations and analyses [6] confirm that the three terms in (3) are produced by the following mechanisms. • The term involving is generated by the multiplication of the intrinsic nonlinearity and thermal response outputs. Second-order products of the intrinsic and are mixed with the funnonlinearity at damental products of the thermal response. The latter are and , and include the proportional to both bias dependence associated with the first-order product of the power dissipation calculation. is also gen• The term involving erated by the same multiplier. Fundamental products of and are mixed with the the intrinsic nonlinearity at second-order products of the thermal response. The latter and , respectively. are proportional to • The remaining term in (3) is the third-order product of the intrinsic nonlinearity. This is dominant at most biases, but is zero at a point near pinchoff in all FETs. It is possible to control these contributions by the choice of signal frequencies and bias. The contribution involving can be made dominant in a two-tone measurement by using high-frequency tones and selecting a bias such that the other contributions cancel each other. The latter occurs at a bias near from pinchoff. This leads to an extraction procedure for . a plot of intermodulation distortion (IMD) versus It is also possible to eliminate the first contributor by setting , though, in practice, this may be more difficult.

III. INTERMODULATION MEASUREMENTS The nature of the dependence of intermodulation on frequency spacing for a pHEMT can be seen in the measurements of Fig. 2, which is one of a set of many that were performed over varying drain bias and frequency conditions. For the measurements, two tones were used near 500 MHz, with a difference frequency less than 10% of the center frequency. Typically, these frequencies are orders of magnitude greater in (2) and orders of magnitude less than . Thus, than and change at a rate of dB/decade. Since there is only a 10% variation in frequency, these changes . are less than 0.8 dB over the entire measurement for varies considerably because The value of ranges from 0 to 50 MHz ( 25 dB in Fig. 3). The signal frequencies were set significantly lower than the of the device so that access and capacitance elements are negligible. Drain bias was delivered via an RLC network that presented 50 to the drain at all frequencies. This was done so that

2426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

A. Broad-Band Variation

Fig. 2. Measured third-order intermodulation for a pHEMT as a function of = 140 mA and difference frequency and gate bias. For this device, I V = 1:4 V. Drain bias is V = 3 V, each input tone is at 15 dBm, and the lower tone is at 500 MHz.

0

0

Fig. 3. Measured third-order intermodulation versus difference frequency for the pHEMT of Fig. 2. Drain bias is V = 4:5 V, each input tone is at 15 dBm, = 1:34 V to 1.28 V in and the upper tone is at 500 MHz. Gate bias V 0.01-V steps is the parameter. The dashed line is H (!) given by (2) with a rollover frequency of 8 kHz and 7-dB/decade slope.

0

0 0

0

baseband impedance changes do not contribute to intermodulation variation [2]. Thus, any variation in intermodulation versus difference frequency will be due to a process within the device such as self-heating. The measurements were analyzed by considering the interfor a two-tone test with input modulation product at and . The upper intermodulation products frequencies at are those where , and lower intermodulation products . Thus, for a lower product are those where for an upper product. is the conjugate of The behavior of the intermodulation surface in Fig. 2, which is of the lower intermodulation product, is very similar to a simulated surface for which the only frequency dependence in the model was self-heating [8]. Measurements of the upper product, which are not shown here, show similar responses with a swap of peaks and nulls in the regions where the thermal effect dominates. This is evidence of a phase difference consistent with the . conjugate behavior of

Self-heating coexists with, and in most cases is dominated by, other intermodulation mechanisms. However, for linear applications, operating points are selected to minimize intermodulation. At these points, self-heating is significant and gives intermodulation a dependence on frequency spacing. Consider the case of low difference frequency, at the front . There are two nulls edge of Fig. 2, where that occur at gate biases such that the terms in (3) cancel. One . The other, near is at the point near pinchoff where V, is a point where is sufficiently negative to cancel the other terms. Consider the case of high difference frequency, at the rear . The second term in (3) edge of Fig. 2, where term near V is is substantially reduced so the no longer cancelled. The null near pinchoff still exists, but at a slightly different gate bias. A significant problem for broad-band applications is the variV ation with difference frequency of the null near ). This variation is due (for which the current is 40% of to self-heating and persists in the active region over a very wide range of drain biases. The null can be exploited in applications with bandwidths less than the self-heating rolloff frequency . However, components of a wider bandwidth signal would be presented with substantially larger intermodulation. In the region of the intermodulation null, the thermal transfer function leads to a significant asymmetry in the intermodulation products. This is due to the conjugate relationship between the for the upper thermal transfer function and lower intermodulation products. Self-heating is not the only frequency-dependent process evident in the pHEMT of Fig. 2. Impact ionization and electron trapping are also present to varying degrees depending on bias. In Fig. 2, there is a marked reduction in intermodulation in the high gate-bias high-difference frequency region. The frequency at which this reduction occurs varies exponentially with drain bias, which suggests that it is related to charge trapping (possibly impact ionization [9]). Trapping-related dependencies are beyond the scope of this paper, but will be the subject of further investigations. B. Transfer Function The local minimum in intermodulation near pinchoff is visible on the right-hand-side edge of the surface in Fig. 2. Although not clear in Fig. 2, the response is visible . This occurs at a in this null, which can be used to extract in (3) cancel bias at which all terms not involving each other. There is also a contribution from threshold-voltage temperature dependence that is not considered in (3). However, this is dependent on the same thermal transfer function so the net effect is an offset in the magnitude of the intermodulation, but not a change in the rolloff frequency or slope. The third-order intermodulation for the pHEMT of Fig. 2 is ). To obshown in Fig. 3 for a region near pinchoff (5% ,a serve a full view of the thermal transfer function

PARKER AND RATHMELL: BROAD-BAND CHARACTERIZATION OF FET SELF-HEATING

Fig. 5.

2427

Ladder network for implementing h(t) in a circuit simulator.

input has settled to 0.2% at s. Thus, the isothermal current after the step can be approximated by s For a step change from a point dissipating power other point dissipating power , the drain current is Fig. 4. Measured step response to V = 6; 5; and 4 V—from top to = 0:0; 0:5; and 1:0 V for the bottom in each of three groups of V pHEMT of Fig. 2. Steps are: (a) from a low power point at V = 1 :0 V = 5:0 V and (b) from a high power point at V = 0:0 V and and V = 5:0 V. The response of (6) with  = 0:2 W is shown by the lines. V The stretched exponential (4) used ! = 2 8000 rad/s and n = 0:35, which were obtained from Fig. 3.

0

0

0

2

gate bias that minimizes the other contributors to intermodulation can be used. This is the bias that gives minimum intermodulation at large difference frequencies. curve in Fig. 3 is an estimate of the thermal reThe and fitted to the data. This line sponse of the device with is seen to approximate the actual response, albeit with a sharper in (2) was chosen to simply capture the rolloff. Note that salient features of the thermal response. The difference frequencies used are too small to observe . This term could be estimated from knowledge of the channel dimensions and its thermal properties. However, any value for GHz would be suitable for most applications because the thermal response is relatively small at this frequency. The magcurve in Fig. 3 was arbitrarily set to fit the nitude of the graph, but cannot be used to determine because the intrinsic nonlinearity terms are not known. A transient measurement can be used to determine . IV. TRANSIENT RESPONSE Step response measurements for the pHEMT are shown in Fig. 4. Each measurement is for a step change from a cool or warm bias point. After stepping from the cool bias to a higher power dissipation point, there is a significant droop in current over time as the device heats up. After stepping from the warm bias to a lower power point, there is an increase in current as the device cools down. For a sufficiently long time, the measurements settle to the same dc characteristics. In the time domain, it is possible to approximate the step reby sponse corresponding to the thermal transfer function , which was chosen because it fitted a stretched exponential the thermal analysis simulation well, as follows: (4) From a consideration of (4), with rad/s and (taken from Fig. 3), the thermal response to a step

(5) to an-

(6) This assumes an ideal step change that ignores the variation in power dissipation associated with the current droop. This avoids the calculation of the convolution that would be better done in a circuit simulation. The simplification provides an effective process for determining the parameter and a means to extrapolate isothermal characteristics from step response measurements. For example, the parameter in Fig. 4 was selected for a good fit over all lines in the data. The lines of Fig. 4 are consistent with the heating and cooling of the step changes. There are, however, deviations at extremes of step changes and long times. There is evidence of a 100-ns impact ionization process that is dependent on drain potential and is considerably slower at lower drain biases. At the 5.0-V bias selected here, this trapping process was faster than the thermal process being investigated. Since the thermal response derived from intermodulation measurements indicates that the process has settled by 50 , the long-time droop in drain current must be due to other mechanisms such as electron trapping. V. MODEL IMPLEMENTATION in (1) is represented above The thermal impulse response in either the frequency domain by (2) or as a step response by and , are approxima(4). These representations, i.e., tions that well describe the salient features of the thermal response in their respective domains. It should be noted that the inand the differentiation of verse Fourier transform of yield different impulse responses. However, simulations have been carried out to confirm that these impulse responses are apand proximately the same. Figs. 3 and 4 demonstrate that are self-consistent enough to fit data from the same device. There is scope for further study to devise self-consistent and yet and functions. tractable In frequency-domain simulators, the implementation of can be straightforward. Some simulators (such as ADS) provide a circuit element that is explicitly described by a user-defined function of . For other simulators, including a time-domain simulator such as SPICE, the array network in Fig. 5 can be used. The network consists of a current source proportional to the instantaneous

2428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

TABLE I GUIDE FOR SETTING UP THE LADDER NETWORK IN Fig. 5

useful for determining initial values before optimizing the response with simulation. For a smooth response, the number of elements should be set so that there is approximately one node per decade of frequency between the lower and upper rolloff points. Fewer points will improve simulation speed at the expense of accuracy. Fig. 6 shows the frequency- and time-domain responses of and , respectwo ladder networks compared with tively. The eight-element network provides a reasonable simulation of both phase and magnitude. The four-element network is also reasonable in magnitude and may be adequate in most applications. Note that need be no larger than the highest harmonic freon a case-byquency of interest in the simulation. Reducing case basis can speed up simulations that would otherwise need to resolve the very-fast time constants involved. VI. CONCLUSION Measurements presented here show that the self-heating process in FETs has a significant impact on intermodulation of broad-band signals. This is due to a feedback mechanism that is sensitive to difference frequencies present in the signal. For broad-band applications, simulators can account for selfheating by including it in the device models with the architecture of Fig. 1. The implementation of these models requires a description of the thermal response. This response is dependent on the physical thermal properties of the device, but has features that can be captured by suitable approximations. A freand a time-domain subquency-domain approximation circuit (Fig. 5) have been presented here. These approximations compare well with measured intermodulation and transient responses. They should be suitable for most applications. Note that electron trapping and impact ionization, which will each exhibit a frequency dependence, still need to be investigated. A similar analysis and sub-first-order frequency response may prove appropriate. The isolation of the thermal response with intermodulation measurements will be helpful in an investigation of this. The approach to thermal characterization and modeling presented here is based on simple physical concepts. Therefore, it has broad application to other devices and material systems. REFERENCES

Fig. 6. Responses of the ladder network in Fig. 5 with four (—) and eight (—) nodes compared with (- - -): (a) the frequency-domain response H (! ) and (b) the time-domain response u(t). Parameters are n = 0:5; ! = 2 10 rad/s, and ! = 2 10 rad/s.

power dissipation and a ladder of resistor and capacitor elements. The values of the resistors and capacitors follow a geometric series with growth rates and , respectively. For upper and lower rolloff frequencies of and , the resistors and capacitors of Fig. 5 can be estimated with the aid of Table I. and need to be tuned iteratively to optiThe values of and . A spread sheet is mize the simulated values of

[1] N. B. De Carvalho and J. C. Pedro, “A comprehensive explanation of distortion sideband asymmetries,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2090–2101, Sep. 2002. [2] J. Brinkhoff and A. E. Parker, “Effect of baseband impedance on FET intermodulation,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1045–1051, Mar. 2003. [3] S. David, W. Batty, A. J. Panks, R. G. Johnson, and C. M. Snowden, “Thermal transients in microwave active devices and their influence on intermodulation distortion,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 431–434. [4] N. L. Gallou, J. M. Nebus, E. Ngoya, and H. Buret, “Analysis of low frequency memory and influence on solid state HPA intermodulation characteristics,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 979–982. [5] K. M. McNally, A. E. Parker, D. L. Heintzelman, B. S. Sorg, J. M. Dawes, T. J. Pfefer, and A. J. Welch, “Dynamic optical thermal modeling of laser tissue soldering with a scanning source,” IEEE J. Select. Topics Quantum Electron., vol. 5, no. 4, pp. 1072–1082, Jul./Aug. 1999.

PARKER AND RATHMELL: BROAD-BAND CHARACTERIZATION OF FET SELF-HEATING

[6] A. E. Parker and J. G. Rathmell, “Self-heating process in microwave transistors,” in URSI Commission C Applications in Radio Science Workshop, P. Wilkinson, Ed., Hobart, Australia, Feb. 18–20, 2004. [Online]. Available: http://www.ips.gov.au/IPSHosted/NCRS/wars/wars2004/index.htm, pp. 1–8. [7] B. M. Tenbroek, M. S. L. Lee, W. Redman-White, R. J. T. Bunyun, and M. J. Uren, “Self-heating effects in SOI MOSFET’s and their measurement by small-signal conductance techniques,” IEEE Trans. Electron Devices, vol. 43, no. 12, pp. 2240–2249, Dec. 1996. [8] A. E. Parker and J. G. Rathmell, “Contribution of self heating to intermodulation in FETs,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 6-11, 2004, pp. 803–807. , “Bias and frequency dependence of FET characteristics,” IEEE [9] Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 588–592, Feb. 2003.

Anthony Edward Parker (S’84–M’90–SM’95) received the B.Sc., B.E., and Ph.D. degrees from The University of Sydney, Sydney, Australia, in 1983, 1985, and 1992, respectively. In 1990, he joined Macquarie University, Sydney, Australia, where he is currently Head of the Electronics Department. He is involved with a continuing project on pulsed characterization of microwave devices and design of low-distortion communications circuits. He has consulted with several companies including M/A-COM, Lowell, MA, and Agilent Technologies, Santa Rosa, CA. He has developed accurate circuit simulation techniques, such as used in field-effect transistor (FET) and high electron-mobility transistor (HEMT) models. He has authored or coauthored over 120 publications. His recent research has been in the area of intermodulation in broad-band circuits and systems, including a major project with Mimix Broadband Inc. Prof. Parker is a member of the Institution of Telecommunications and Electronic Engineers, Australia, and a committee member of the IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) New South Wales (N.S.W.) Local Chapter.

2429

James Grantley Rathmell (M’89) received the B.Sc., B.E., and Ph.D. degrees from The University of Sydney, Sydney, Australia, in 1977, 1979, and 1988, respectively. From 1979 to 1981, he was involved with radio astronomy with both the Molonglo and Fleurs aperture synthesis telescopes. From 1982 to 1986, he was involved with gate array and very large scale integration (VLSI) design of digital integrated circuits. During this time, he was a member of the teaching staff with The University of Sydney. In 1986, he joined The Nucleus Group, where he was involved with the design of biomedical equipment. He managed the research and development of advanced ultrasound imaging equipment. In 1989, he returned to The University of Sydney. In 1995, he began a collaborative with Macquarie University, Sydney, Australia, where he is involved with collaborative work on the development of pulsed-bias and pulsed S -parameter characterization of microwave devices.

2430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Ring-Hybrid Microwave Voltage-Variable Attenuator Using HFET Transistors Carlos E. Saavedra, Senior Member, IEEE, and You Zheng, Student Member, IEEE

Abstract—In this paper, a voltage-variable microwave attenuator circuit is presented. The input signal first enters a rat-race power splitter where a 0 and a 180 pair of signals is generated. The 0 signal passes through a common-gate field-effect transistor (FET) that is fully turned on, with its gate voltage set to 0 V. The 180 signal enters another common-gate transistor biased in the triode region. By changing the gate voltage of the second FET, the amplitude of the 180 signal is varied. The in-phase and out-ofphase signals are summed at the output and variable attenuation is achieved. The concept was demonstrated experimentally from 3.0 to 3.4 GHz and a variable attenuation from 6 to 30 dB was achieved. The phase response is linear over the frequency band and exhibits a group delay of 0.71 ns. The input 1-dB compression point of the attenuator is 0 dBm and the second harmonic suppression is 18.5 dB at 0-dBm input power. Index Terms—Attenuator, common-gate transistor, power combiners, power splitters, variable attenuator.

I. INTRODUCTION

A

TTENUATOR circuits are frequently used in microwave communications systems in order to bring the gain of a transceiver within a specified window. This is because, in many instances, the amplifiers used in the system have a fixed gain and these gains do not exactly sum up to the required overall gain. Other applications of attenuators include RF source power control, and beam-forming networks [1]. Obtaining a fixed attenuation value is a relatively simple task and it can be accomplished using a resistive T-network [2], -network, or bridged-T network. Other fixed attenuators have been proposed using asymmetric rat-race couplers [3]. In many applications, however, what is needed is a variable attenuator whose attenuation can be electronically changed over a specified range. Such circuits make it possible to have very precise transceiver gain control over frequency and temperature if the voltage versus attenuation characteristic is programmed into a microcontroller. To date, a variety of voltage-variable attenuator (VVA) circuit implementations have been demonstrated. Some VVAs, particularly the integrated-circuit (IC) versions, are derived from the basic lumped-element resistive topologies using T, bridged-T, or -networks of transistors [4]. In those designs, the reflection coefficient of the VVA is generally small since the incident signal is absorbed by the network. The circuit in [4] has Manuscript received November 24, 2004; revised January 26, 2005. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada. The authors are with the Department of Electrical and Computer Engineering, Queen’s University, Kingston, ON, Canada K7L 3N6 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850400

Fig. 1.

VVA circuit using series HFET transistors.

an attenuation range of 28 dB from dc to 900 MHz. In [5], a bipolar-based variable attenuator is demonstrated at low RF frequencies, which exhibits an attenuation of 0–25 dB. Some attenuators use transmission lines with p-i-n diodes in shunt [6]. By changing the bias voltage of the diode, the equivalent resistance to ground changes and the incident signal can be attenuated. The attenuator in [6] exhibits an overall attenuation range from 6 to 35 dB, and a linear attenuation from 12 to 28 dB reported at a single frequency of 12.5 GHz. A different VVA implementation works on the reflection principle [7]. In that approach, a branchline coupler is used to split the incoming signal into in-phase and quadrature components. The two output ports of the coupler are terminated with varactor diodes, which reflect the incident signal and the waveforms destructively interfere back at the isolated port, which is now the output. By changing the bias voltage of the varactor diodes, the amplitude of the reflected signals vary and variable attenuation is achieved. The circuit in [7] has an attenuation range of 2.2–17 dB over a frequency span of 2.8 to 4.2 GHz. A monolithic counterpart of the reflection-type amplifier is described in [8] and it has an attenuation range from 1 to 14 dB from 8 to 12 GHz. In this paper, a new concept for a VVA is presented. The circuit consists of a ring hybrid, two field-effect transistors (FETs), and a 0 Wilkinson power combiner. The circuit exhibits an overall attenuation range from 6 to 30 dB over a 13% bandwidth. The attenuator can achieve high attenuation values with low harmonic distortion. At 10-dB attenuation, the second harmonic is 18.5 dB below the fundamental. Furthermore, the attenuator has a simple bias circuit and it is easily modeled. This paper is organized as follows. Section II describes the operation of the circuit. Section III presents the calculated and experimental results. Section IV concludes this study. II. VARIABLE ATTENUATOR CIRCUIT A circuit diagram of the proposed VVA is shown in Fig. 1. The incident signal enters the ring hybrid, where it is equally split into in-phase (0 ) and out-of-phase (180 ) components.

0018-9480/$20.00 © 2005 IEEE

SAAVEDRA AND ZHENG: RING-HYBRID MICROWAVE VVA USING HFET TRANSISTORS

2431

In arriving at (1) and (2), it is approximated for simplicity that there is perfect isolation between the two output ports 4 and 6 of the 180 ring hybrid. In this manner, the voltage generated at port 6 due to the changes in the impedance at port 4 due to are ignored. In spite of this simplification, our modeled results agree well with measurements and simulations. The scattering matrix of a Wilkinson power combiner is Fig. 2. VVA model.

The in-phase signal passes through the transistor , which is fully turned on by setting its gate voltage to 0 V. The series resisis very small so that the signal propagates tance presented by through the device with minimal loss. The out-of-phase signal , whose gate terminal is conenters the second transistor . Transistor operates in the nected to a control voltage triode region and, thus, it behaves as a voltage-controlled resischanges, the amplitude of the tance: as the control voltage changes because the drain-to-source signal passing through resistance of the transistor varies. When the signals emerging and are added using the 0 Wilkinson at the drains of power combiner, attenuation takes place. Maximum attenuation occurs when both transistor gates are at 0 V, and minimum attenis well below its threshold uation when the gate of transistor voltage so that it is fully turned off. The minimum attenuation of this circuit is 6 dB because the ring hybrid generates a 3-dB power split and the Wilkinson generates another 3-dB power is off (infinite drain–source resistance). In split when device principle, the presence of transistor would seem redundant since that device is always turned on and the loss through it is is fully turned on, the signal small. However, when transistor passing through it experiences a nonzero phase shift. Therefore, the same phase shift should be present on the top-half of the circuit so that the top and bottom signals cancel each other cor. rectly and without distortion. Thus, the need for transistor To mathematically model the attenuation versus control voltage characteristic of this circuit, one can use the simplified circuit model shown in Fig. 2. If the input signal to the attenu, then the ring hybrid will generate two signals with ator is and . equal amplitude and opposite phase or These two signals encounter two series resistances and , which are the drain-to-source resistances of transistors and , respectively. In this model, the parasitic capacitances of the transistors are neglected without significant is adverse impact on the calculated results. The resistance is a function of the control constant, while the resistance because the transistor operates in the triode region. voltage Assuming that the Wilkinson power combiner at ports 2 and 3 and using -parameters, looks like it is perfectly matched to is the transmission coefficient through (1) is the characteristic impedance of the system. The where is transmission coefficient through (2)

(3)

from which it is evident that the signal emerging at port 1 (the output port in Fig. 2) is given by (4) where and are the signals incident at ports 2 and 3 and is the output signal. For the circuit in Fig. 2, note that and have opposite signs because these signals come from the two output ports of the 180 ring hybrid. Therefore, the output signal is (5) Using (5), the voltage attenuation circuit is (in decibels)

from input to output for this

(6) The equivalent RF drain–source resistance of the GaAs heterostructure field-effect transistors (HFETs) is given by (7) is the drain–source voltage drop and is the where drain–source current. Using the TriQuint model (TOM) for FET devices, the drain–source current is [9], [10] (8) where (9) (10) and is the output feedback coefficient, is the transconducis the gate–source voltage (equal to tance coefficient, here), is the threshold voltage, is the nonscalable portion , is of threshold voltage, is the ac pinchoff change with the power law exponent, and is the saturation voltage coefficient. The above TOM equation covers the depletion region and is suitable for small-signal modeling. Substituting (9) into (8)

2432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 3. Fabricated attenuator.

Fig. 4. Measured, calculated, and simulated (ADS) attenuation versus control voltage at 3.24 GHz.

and then differentiating according to (7) yields the equivalent drain–source resistance in the depletion region

(11)

where

is calculated from (8) and (9). III. RESULTS

The proposed VVA was fabricated using a substrate with a relative dielectric constant of 3.2 and a thickness of 0.50 mm. A photograph of the microstrip circuit is shown in Fig. 3. A milling machine was used to pattern the circuit board. The ring hybrid has a rectangular geometry and it was designed for a center frequency of 3.24 GHz. The transistors used were packaged devices (NE34018CT) from NEC, Tokyo, Japan. Fig. 4 shows the measured, calculated, and simulated (using ADS) attenuation of the VVA circuit at 3.24 GHz versus the . The results show that, as expected, gate control voltage the minimum attenuation is 6 dB. The maximum attenuation measured was 30 dB. The calculated curve in Fig. 4 was determined using (5)–(10). For the calculations, the TOM model parameters used were those specified in the device data sheets, except for , which was was set to 2.0 (ideal case), and the threshold voltage

Fig. 5. (a) Attenuation, (b) input reflection coefficient, and (c) output reflection coefficient versus frequency and control voltage.

set to 0.85 V, which was determined experimentally from dc current–voltage measurements. The model in (7)–(9) predicts is zero and that the drain–source resistance is infinite when is above the threshold voltage. In reality, the resistance is finite since there is a drain–source channel induced. To overof 0.1 V was used. This value was come this situation, a at dc with zero applied voltage, arrived at by measuring that would yield the same . and then finding the value of Ultimately, what one is interested in is the inverse derivative in

SAAVEDRA AND ZHENG: RING-HYBRID MICROWAVE VVA USING HFET TRANSISTORS

Fig. 6.

Measured insertion phase shift versus frequency.

(6), and the precise value of is not so critical as long as the transistor stays in the depletion region. Fig. 5(a) presents the measured attenuation versus frequency and control voltage for this VVA. The attenuation is essentially constant versus frequency up to 25-dB attenuation, and then shows a moderate frequency dependence at a gate control voltage of 0.507 V, or 30-dB attenuation. The frequency span of this attenuator is 3.0–3.4 GHz, which implies a 13% bandwidth. The main factor limiting the frequency response is the phase error of the ring hybrid. At the center frequency, the hybrid produces two exactly out-of-phase signals, but as one moves away from the center, the phase difference changes and the signals do not exactly cancel out any more at the Wilkinson power combiner. The input reflection coefficient of the VVA versus frequency was also measured for different gate control voltages in the linear attenuation region of 10–30 dB. The results are shown in Fig. 5(b). The reflection coefficient at each gate voltage slowly derolls off with frequency. More interesting is the fact that creases with increasing attenuation. This is the opposite of what happens in a reflection-type attenuator. The reason the reflection coefficient decreases in this VVA is because, at high attenuation, and are both fully turned ON. Thus, there is transistors little energy reflected back toward the input from the source terand . The output reflection coefficient of the minals of attenuator is plotted in Fig. 5(c). It is seen that the output reflection coefficient changes in the reverse manner as the input reflection coefficient with applied control voltage. To examine the group delay of the fabricated VVA, the insertion phase shift at an attenuation of 10 dB (corresponding conV) was measured versus frequency, as trol voltage shown in Fig. 6. The insertion phase is linear with frequency, indicating a uniform group delay of 0.71 ns through the 400-MHz bandwidth at the examined attenuation. Fig. 7 shows the measured power performance of the attenuator. The graph shows the output power versus input power for the fundamental signal and its second harmonic. The measurements were made at an attenuation of 10 dB. The input 1-dB compression point for the attenuator is 0 dBm. The suppression of the second harmonic at 0 dBm input power is 18.5 dB.

2433

Fig. 7. Measured output power performance and harmonic generation of the attenuator.

IV. CONCLUSION A concept for a VVA using a ring hybrid has been demonstrated. Whereas this attenuator circuit has a simple construction and is cost effective, the tradeoff occurs in the large area required due to the large size of the ring hybrid. However, this issue can be solved by using a very high dielectric-constant substrate. The circuit operates from 3.0 to 3.4 GHz and exhibits an attenuation range from 6 to 30 dB. The attenuation versus control voltage characteristic of the VVA was calculated using the TOM model, and the results agree quite well with experiment. The reflection coefficient of the VVA decreases with increasing attenuation and the phase response of the attenuator exhibits a linear dependency with frequency.

REFERENCES [1] H. Takasu, C. Sakakibara, M. Okumura, and S. Kamihashi, “S -band MMIC attenuator with small phase variation,” in IEEE Asia–Pacific Microwave Conf., Singapore, Dec. 1999, pp. 421–424. [2] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, pp. 198–199. [3] H.-R. Ahn and I. Wolff, “Asymmetric ring-hybrid phase shifters and attenuators,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1146–1155, Apr. 2002. [4] R. Kaunisto, P. Korpi, J. Kiraly, and K. Halonen, “A linear-control wideband CMOS attenuator,” in IEEE Int. Circuits Systems Symp., Sydney, N.S.W., Australia, May 2001, pp. 458–461. [5] Y. Zheng and C. E. Saavedra, “A bipolar voltage-variable attenuator for radio-frequency applications,” in IEEE 17th Can. Electrical Comput. Eng. Conf., Niagara Falls, Canada, May 2004, pp. 95–98. [6] B.-J. Jang, “Voltage-controlled PIN diode attenuator with a temperature compensation circuit,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 7–9, Jan. 2003. [7] C. R. Trent and T. M. Weller, “S -band reflection type variable attenuator,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 243–245, Jul. 2002. [8] S. Lucyszyn and I. D. Robertson, “Analog reflection topology building blocks for adaptive microwave signal processing applications,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 3, pp. 601–611, Mar. 1995. [9] A. McCamant, G. McCormack, and D. Smith, “An improved GaAs MESFET model for SPICE,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 822–824, Jun. 1990. [10] H. Statz, P. Newman, I. Smith, R. Pucel, and H. Haus, “GaAs FET device and circuit simulation in SPICE,” IEEE Trans. Electron Devices, vol. ED-34, no. 2, pp. 160–169, Feb. 1987.

2434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Carlos E. Saavedra (S’92–M’98–SM’05) received the Ph.D. degree in electrical engineering from Cornell University, Ithaca, NY, in 1998. From 1998 to 2000, he was with the Millitech Corporation, South Deerfield, MA, where he designed millimeter-wave transmitter and receiver circuits for 31-GHz local-to-multipoint distribution systems and 38-GHz point-to-point radio systems. Since August 2000, he has been an Assistant Professor with the Department of Electrical and Computer Engineering, Queen’s University, Kingston, ON, Canada. His current research activities include the design of RF and microwave circuits and systems such as phase shift-keying modulators, demodulators, phase shifters, microwave filters, and phase-locked loops. His teaching interests are in the area of electronic circuits for communications applications. Dr. Saavedra is a member of Eta Kappa Nu and Tau Beta Pi. He was the recipient of the 2001 Excellence in Teaching Award presented by the Queen’s University 2002 electrical engineering class.

You Zheng (S’03) received the B.Sc. degree from Xiamen University, Xiamen China, in 2000, the M.Sc. degree in electrical engineering from Queen’s University, Kingston, ON, Canada, in 2004, and is currently working toward the Ph.D. degree at Queen’s University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2435

A Novel 60-GHz Monolithic Star Mixer Using Gate–Drain-Connected pHEMT Diodes Kyung-Whan Yeom, Member, IEEE, and Du-Hyun Ko

Abstract—In this paper, a novel 60-GHz monolithic star mixer using the gate–drain-connected pseudomorphic high electron-mobility transistor (pHEMT) diodes instead of conventional drain–source-connected diodes is proposed. The mixer is fabricated using 0.1- m GaAs pHEMT process. The measurements -band show the conversion loss of 13–18 dB over the full (50–75 GHz), local oscillator to RF isolation of approximately 35 dB, and the input 1-dB compression of approximately 3 dBm. Index Terms—Doubly balanced mixer (DBM), GaAs pseudomorphic high electron-mobility transistor (pHEMT), monolithic microwave integrated circuit (MMIC), star mixer.

I. INTRODUCTION

T

HE DOUBLY balanced mixer (DBM) provides higher dynamic range, good port-to-port isolation, and excellent rejection of even-order spurious responses [1]. A diode-ring DBM is commonly used in low frequencies. However, the realization of the diode-ring DBM in a monolithic microwave integrated circuit (MMIC) is a formidable task due to lack of proper diodes and baluns. Maas and Chang [2] and Basu and Maas [3] successfully designed the diode DBM MMIC applying the star configuration with the coplanar waveguide (CPW) dual balun. In Maas and Chang’s design [2], mixer diodes were implemented by the high electron-mobility transistor (HEMT) and HBT as well. Between the two implementations, the HBT diode yielded the better mixer performances. Ryu et al. [4] modified the mixer further replacing the coplanar waveguide (CPW) balun by the microstrip balun for a MMIC process with backside via. Another well-know realization of the DBM MMIC may be the field-effect transistor (FET) resistive ring DBM in [1], [5], and [6], where FET functions as variable resistor depending on local oscillator (LO) gate driving voltage. This configuration generally shows the third order intercept (TOI) higher than a diode DBM. Chen et al. [5] developed the FET resistive ring DBM with three different types of baluns. Tsai et al. [6] improved the previous mixer in size replacing the LO balun by the simpler type of active balun. However, the previous FET resistive-ring DBM requires three separate baluns. The RF and LO

Manuscript received November 19, 2004; revised January 26, 2005. This work was supported by the Korea Science and Engineering Foundation under the ERC Program through the Millimeter-Wave Innovation Technology Research Center, Dongguk University. K.-W. Yeom is with the Department of Radio Science and Engineering, Chungnam National University, 305-764 Yuseong, Daejeon, Korea (e-mail: [email protected]). D.-H. Ko is with the Department of Electronics, Dongguk University, 100–715 Seoul, Korea. Digital Object Identifier 10.1109/TMTT.2005.850402

baluns in [5] and [6] may be somewhat hard to adapt into millimeter-wave frequencies. The performances of the baluns are generally poorer than the dual balun of the star DBM. Furthermore, IF bandwidth is, to some degree, limited by the IF balun. The pseudomorphic high electron-mobility transistor (pHEMT) is attractive for MMICs. The pHEMT MMIC process is widely used in implementing low-noise amplifiers and power amplifiers up to sub-millimeter-wave frequencies. In mixer design with a pHEMT, diodes are conventionally implemented by drain–source (DS)-connected pHEMT diodes. A recent study [7], however, has revealed that the DS-connected pHEMT diode can be better modeled by series connection of two diodes. The additional diode is mainly due to the heterojunction barriers dominant in the high current region. The heterojunction diode leads to intolerably poorer mixer conversion loss than achievable with a Schottky diode alone [8]. In this paper, the novel star mixer is proposed using gate–drain (GD)-connected pHEMT diodes where the gate–source junctions are reverse biased to pinchoff. Due to the reverse bias, the mixing occurs mainly by the DS conductance rather than by the gate–source junction diode. Consequently, the conversion loss does not suffer degradation due to heterojunction diode. Besides, the DS conductance is not as highly nonlinear as the diode. This may result in the better TOI than DS-connected pHEMT diode mixer. In the point of the structure, the star mixer has a simpler topology, while the resistive ring mixer requires three separate baluns. More wide-band operation is possible with the removal of the IF balun. The proposed mixer may be preferred in mixer design with a pHEMT. II. DESIGN OF STAR CONFIGURATION DBM A. DS-Connected pHEMT Diode Fig. 1 shows the equivalent circuit of a DS-connected pHEMT diode [7] conventionally used for the diode mixer. is essential for the better mixer conversion The lower loss. The series resistance is due to the resistances from the gate metallization and from the complex pHEMT epi-layer as well. Recently, the epi-layer structure of the pHEMT becomes more complex and includes multiple highly resistive thin intrinsic spacing layers. This may inevitably lead to higher . Furthermore, the compatibility with other circuits makes the immore difficult. provement of Fig. 2 shows the conversion losses for various cases of diodes. In the simulation, we used the typical values extracted from the 6- m dot-shaped DS-connected pHEMT diode fabricated with

0018-9480/$20.00 © 2005 IEEE

2436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 1. Equivalent circuit of a DS-connected pHEMT diode. R is the series resistance, C is the depletion capacitance, D is the Schottky diode, and D is the heterojunction diode due to layer interface such as AlGaAs/GaAs or AlGaAs/InGaAs. I , I and  ,  are the saturation currents and ideality factors of the Schottky and heterojunction diodes.

Fig. 3. I–V characteristics of the two parallel GD-connected pHEMT diodes (2 50 m). (a) The symbol of the diode. (b) The I–V characteristics.

2

B. GD-Connected pHEMT Diode

Fig. 2. Simulation and measured results of the LO characteristics of the star mixer conversion loss. The LO and RF frequencies are 40 and 37.6 GHz, respectively. The balun has the even- and odd-mode impedances of Z = 32

and Z = 153 . The diode parameters are R = 177 , C = 25 fF, I = 0:24 pA,  = 1:23, I = 80 A, and  = 7:95. A: conversion loss for the Schottky diode D with R . B: conversion loss for the Schottky diode D with R and C . C: conversion loss for the DS-connected pHEMT diode in Fig. 1.

a 0.25- m pHEMT process of the Electronics and Telecommunication Research Institute (ETRI), Daejeon, Korea [8]. The extracted diode parameters are close to those in [7]. The star mixer topology in [9] is employed in the fabrication of a 40-GHz star and (curve A) shows the mixer. The diode model with conversion-loss saturation somewhat degraded from the typical one (6–10-dB conversion loss) because of the higher value of . The addition of (curve B) to this diode moves the LO power level for the conversion-loss saturation to a higher level and degrades the conversion-loss saturation by approximately 2 dB. The further addition of the heterojunction diode (curve C) makes the mixer conversion loss intolerably poor. This figure also shows the measured results for the fabricated 40-GHz star mixer. The good agreement with the simulation is found. Consequently, from the results, the DS-connected pHEMT diode may be a poor choice to mixer application.

Fig. 3(a) shows the circuit symbol of the GD-connected pHEMT diode and Fig. 3(b) compares the simulated dc I–V characteristics with the measured results for the 0.1- m -gate GaAs pHEMT of Dongguk University, Seoul, Korea. The EEHEMT1 model is used for the pHEMT and its parameters , the drain are extracted with Agilent ICCAPS. For voltage is lower than the source. The roles of drain and source are then interchanged. The current flows from the source to the drain with the same dependence as the drain current for . Thus, the drain current increases linearly for small and gradually saturates by the pinchoff mechanism. , the channel is opened and the drain current may For increase proportional to . However, the gate–source spacing is so small (in our pHEMT, 0.5 m) that the critical electrical field of mobility is easily reached with relatively low applied voltage. Drift velocity saturation may be the key mechanism of . The saturation current for the current saturation for is slightly higher than that for . Fig. 3(b) shows both the measured and simulated results of dc characteristics. The agreement is poor due to an unstable MMIC process. The measured dc characteristics generally show slow current change: the saturation and pinchoff are not distinct as the simulation. Fig. 4 shows the star mixer circuit using the GD-connected pHEMT diodes. The star mixer circuit is modified from [3] to apply dc bias. The capacitors and inductors are dc blocks and RF chokes, respectively. The dc bias is set at the pinchoff

YEOM AND KO: NOVEL 60-GHz MONOLITHIC STAR MIXER USING GD-CONNECTED pHEMT DIODES

2437

Fig. 6. Circuit of the mixer in Fig. 4 for the simulation. The LO and RF dual baluns are split into two identical Marchand baluns.

Fig. 4. Double-balanced star mixer with the GD-connected pHEMT diodes (modified from [3]). V is the dc-bias voltage of 1.5 V.

Fig. 7. Simulated conversion loss with the gatewidth as a parameter. In the simulation, the 58-GHz LO with the power of 15 dBm and the 60.4-GHz RF with power of 30 dBm are injected.

0

Fig. 5. Comparison of the 40-GHz star mixer LO characteristics. The same baluns as the 40-GHz star mixer with DS-connected pHEMT diodes are used in the simulation of the star mixer with GD-connected pHEMT 50 m GD-connected pHEMT diode. diodes. A: conversion loss for 2 B: curve C in Fig. 2 (DS-connected pHEMT diode case). C: conversion loss for GD-connected pHEMT diode of V = 1:0 V.

2

0

V to yield the high resistance during the OFF period of LO driving. Fig. 5 shows the simulation results for the 40-GHz star mixer using the 2 50 m GD-connected pHEMT diode. For comparison, the simulated conversion loss of the DS-connected pHEMT diode in Fig. 2 is plotted again (curve B). The GD-connected pHEMT diode provides the better conversion loss than the DS-connected pHEMT diode by approximately 30 dB at a 15-dBm LO. The conversion loss is improved by approximately Schottky diode (curve A 8 dB, even compared with the high in Fig. 2). The smaller LO power operation is possible for the GD-connected pHEMT diode with smaller pinchoff voltage.

Curve C is the conversion loss for the GD-connected pHEMT diode of the pinchoff voltage of 1.0 V, which shows the conversion loss saturation for a smaller LO. The pinchoff voltage is implemented simply by replacing the pinchoff voltage parameter in the EEHEMT1 model. The question of the simulation validity is in the EEHEMT1 model, obviously not including the heterojunction diodes. However, the GD-connected pHEMT diodes are reverse biased and it leads to most of the mixing operation where the EEHEMT1 model is valid. From that point, the simulation with the EEHEMT1 may be adequate. Unfortunately, the measured data for the GD-connected pHEMT diode 40-GHz star mixer with the topology in [9] is currently nonexistent. The measured data for the designed 60-GHz star mixer with the topology in Fig. 4 is plotted for comparison. Although the structures of the two mixers are quite different, they are basically star mixers with balun parameters almost equal. The measured data exhibits the better conversion

2438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 9. Microphotograph of the fabricated CPW 60-GHz star mixer. The size is 1.5 mm 1.5 mm.

2

Fig. 8. (a) Computed conversion loss for the odd-mode impedances of the LO and RF baluns. All the lengths are set as a quarter-wavelength at 60 GHz. (b) The computed conversion loss for the electrical length of the LO and RF baluns. The frequency yielding the electrical length 90 is normalized with 60 GHz. All the odd-mode impedances are set as 32 in the simulation for the length.

loss than the DS-connected pHEMT diode in spite of the higher operating frequency. The measured LO characteristics V and those of the model with is between those of the conversion-loss saturation somewhat degraded than the simulation.

are set as five times of the odd mode. The results are shown in Fig. 8(a) and (b). From the simulation results, the odd-mode is chosen considering the implementaimpedance tion feasibility. The length is selected as the quarter wavelength at 72 GHz for both RF and LO baluns for the wider bandwidth. Using the circuit simulation results, the three-coupled CPW line dual balun is designed on the GaAs substrate with a thickness of 680 m and a permittivity of 12.9. Momentum for electromagnetic (EM) simulation is used in the design of the dual balun. The air bridges are included in the EM simulation of the dual balun. Thus, the effects of the air bridges are absorbed somewhat in the dual balun. The connection lines used to connect four GD-connected pHEMT diodes are approximately treated as the asymmetric coplanar stripline (CPS) with the air bridge as the ground. The simulation including the connection lines shows the conversion loss becomes worse with frequency: it is better at low RF frequency and worse at high frequency by approximately 2 dB. III. FABRICATION AND MEASUREMENT RESULTS

C. Design of the Star DBM Fig. 6 shows the circuit for the simulation. For easier simulation with Agilent ADS, the dual balun in Fig. 4 is split into two identical Marchand baluns. The mixer conversion loss is calculated for a two-finger pHEMT with the gatewidth as a parameter. The result is shown in Fig. 7. Smaller gatewidth leads to a worse conversion loss because the ON resistance becomes higher. On the other hand, larger gatewidth accompanies the effects of parasitic elements more and the conversion loss become worse again. The width is selected as 50 m. The mixer conversion loss is simulated again for the odd-mode impedances and for the lengths of RF and LO baluns. The even-mode impedances of all Marchand baluns

The designed star mixer was fabricated using the foundry based on a 0.1- m -gate GaAs pHEMT of Dongguk University. Fig. 9 shows the microphotograph of the fabricated mixer. In the test of the fabricated mixer, the quadrupler is placed between the mixer and the Agilent source 83650B to supply the 58-GHz LO. The maximum power of the quadrupler is approximately 13 dBm. The -band waveguides are used to connect the quadrupler output to the wafer probe. The RF power is adjusted as 10 dBm just before the wafer probe. The dc bias for the mixer is set as 1.5 and 1.5 V. Fig. 10 shows the measured down-conversion loss of the mixer for 50–75-GHz RF. The measured conversion loss is worse than the simulation by 3–8 dB. The main reason is

YEOM AND KO: NOVEL 60-GHz MONOLITHIC STAR MIXER USING GD-CONNECTED pHEMT DIODES

Fig. 10. Frequency response of the conversion loss of the fabricated mixer. The LO is 58 GHz and 13 dBm and the RF power is set as 10 dBm.

0

Fig. 11. Plot of normalized conversion loss for RF power. The measured input 1-dB compression is approximately 3 dBm. The RF frequency is 60.4 GHz and the LO is 58 GHz of 13 dBm.

believed in the difference of the measured dc characteristic and the loss of the balun. In Fig. 3, the measured dc characteristics generally show the slow current change than the model. The ON resistance inversely proportional to the slope becomes larger, which leads to the loss in the transmission of RF power to IF during the ON period. This may result in increased conversion loss. Generally, the better conversion loss is observed for the sample showing the steeper slope. The conversion loss of D5_1 is poorer by approximately 5 dB than A1_4. The similar trends are observed in other samples. The ripple is believed in the mismatch of the multiple connections of the waveguides and the 1-mm coaxial cable used for RF injection. The EEHEMT1 model parameters are optimized to fit the measured dc characteristics although, to be more accurate, the re-extraction should be done for the measured pHEMT. Using the modified parameters, the conversion loss for frequency is re-simulated. The re-simulated conversion loss exhibits the average of the measured data, as expected.

2439

Fig. 12. Up-converting spectrum. The 58-GHz LO with the power of 13 dBm and the 2.4-GHz IF of 0 dBm are injected to the mixer. LO leakage = 22:54 dBm. The two up-converted tones are observed at the RF port (55.6 GHz of 12.94 dBm and 60.4 GHz of 12.32 dBm).

0

0

0

Fig. 11 shows the conversion loss for RF power. The simulated input 1-dB compression is 15 dBm (the corresponding output 1-dB compression is approximately 4–5 dBm). However, the measured 1-dB compression is drastically degraded, as shown in Fig. 11. The measured 1-dB compression is approximately 3 dBm. The reason for the degradation is again believed in the difference in the dc characteristics. In Fig. 3, the measured pinchoff just appears at 1.5 V. The simulation is done again moving the dc bias V (close to the knee voltage). The result is also shown in Fig. 11. The drastic degradation of 1-dB compression is observed. Thus, it can be concluded the difference of the pinchoff voltages in the simulation and measurement is mainly responsible for the 1-dB compression degradation. Fig. 12 shows the up-converting spectrum. The up-converting signal is injected to the IF port in Fig. 9. Its power and frequency are 0 dBm and 2.4 GHz, respectively. From Fig. 12, the LO leakage of 22 dBm is observed and the resulting LO RF isolation is approximately 35 dB. IV. CONCLUSION In this paper, the novel star mixer MMIC based on a pHEMT has been proposed. Unlike the DS-connected pHEMT diode mixer, the proposed mixer does not suffer the degradation of the conversion loss due to the heterojunction diode. In addition, the star configuration provides the simpler topology. The measurement results are somewhat more degraded than expected. The mixer is sufficiently compatible with other well-developed circuits with a pHEMT such as low-noise amplifiers and power amplifiers. The mixer may be preferred as the basic building block in implementing bigger MMICs using pHEMTs. ACKNOWLEDGMENT The authors are grateful to Prof. J.-K. Rhee and Prof. H.-M. Park, both of Dongguk University, Seoul, Korea, for the support of this study and also to the undergraduate students of Dongguk University, Seoul, Korea, for their efforts

2440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

in measurements. The helpful discussion about the devices with Prof. S.-D. Kim, Dongguk University, is also deeply appreciated. REFERENCES [1] S. A. Maas, Microwave Mixers, 2nd ed. Norwood, MA: Artech House, 1993. [2] S. A. Maas and K. W. Chang, “A broad-band planar, doubly balanced -band diode mixers,” IEEE Trans. Microw. Theory Tech., monolithic vol. 41, no. 12, pp. 2330–2335, Dec. 1993. [3] S. Basu and S. A. Maas, “Design and performance of a planar star mixer,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 2028–2030, Nov. 1993. [4] Y. I. Ryu, K. W. Kobayashi, and A. K. Oki, “A monolithic broad-band doubly balanced EHF HBT star mixer with novel microstrip baluns,” in IEEE Microwave Millimeter-Wave Monolithic Circuit Symp. Dig., 1995, pp. 119–122. [5] T. H. Chen, K. W. Chang, S. B. T. Bui, L. C. T. Liu, and S. Pak, “A double balanced 3–18 GHz resistive HEMT monolithic mixer,” in IEEE Microwave Millimeter-Wave Monolithic Circuits Symp., 1992, pp. 167–170. [6] M. C. Tsai, M. J. Schindler, W. Struble, M. Ventresca, R. Binder, R. Waterman, and D. Danzillo, “A compact wide-band balanced mixer,” in IEEE Microwave Millimeter-Wave Monolithic Circuit Symp., 1994, pp. 135–138. [7] C. H. Chen, S. M. Baier, D. K. Arch, and M. S. Shur, “A new and simple model for GaAs heterojunction FET gate characteristic,” IEEE Trans. Electron Devices, vol. 35, no. 5, pp. 570–576, May 1988. [8] S. S. Kim, J. H. Lee, and K. W. Yeom, “Design and fabrication of 40 GHz MMIC double balanced star mixer using novel balun,” J. Korea Electromagn. Eng. Soc., vol. 15, pp. 258–264, Mar. 2004. , “A novel planar dual balun for doubly balanced star mixer,” IEEE [9] Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 440–442, Sep. 2004.

Ka

Kyung-Whan Yeom (M’95) was born in Seoul, Korea, in 1957. He received the B.S. degree in electronics from Seoul National University, Seoul, Korea, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1982 and 1988, respectively. From 1985 to 1991, he was with LG Precision, as a Principal Engineer. He was with the Microwave Integrated Circuit (MIC) Team as a Team Leader and subsequently involved in military electronics division for electronic warfare (EW) equipments. From 1991 to 1995, he was with LTI, where he was involved with power-amplifier modules for analog cellular phones. In 1995, he joined the Chungnam National University, Daejeon, Korea, as an Assistant Professor. He is currently a Professor with the Department of Radio Science and Engineering, Chungnam National University. He has been the Editor-in-Chief of the Korea Electromagnetic Engineering Society (KEES) since 2004. His research interests are the design of hybrid and monolithic microwave circuits and microwave systems. Prof. Yeom is a member of KEES since 1995. He was the recipient of the IR-52 Jang Youg-Sil Prize presented by the Ministry of Science and Technology (MOST) of Korea for his research on cell phone power amplifiers.

Du-Hyun Ko received the B.S. degree in electronic engineering from Dongguk University, Seoul, Korea, in 2003, and is currently working toward the M.S. degree in electronic engineering at Dongguk University. His current research interests include millimeterwave devices, circuit design, and device modeling.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

2441

Two-Stage Ultrawide-Band 5-W Power Amplifier Using SiC MESFET Ahmed Sayed and Georg Boeck, Senior Member, IEEE

Abstract—This paper describes a two-stage 5-W broad-band amplifier covering the frequency range from 10 MHz to 2.4 GHz. An SiC MESFET is used as the power stage. A large-signal table-based model has been developed and verified for the SiC device by comparison with measurements. A novel broad-band choke structure was developed to obtain high dc isolation and low RF loss over the full bandwidth. No impedance transformer was used at all. Broad-band input and output matching networks and shunt feedback topology were introduced to fulfill the bandwidth requirements. Typical values of 22-dB power gain, 37-dBm output power, 28% power-added efficiency, and 47-dBm third-order intercept points have been achieved in a two-stage design using a GaAs MESFET as driver stage. All power and linearity results were obtained over the whole frequency band. The design procedure is given in detail and the results are being discussed and compared with simulations.

Fig. 1. Small-signal equivalent circuit.

Index Terms—MESFET, silicon carbide, table-based model, two-stage power amplifier (PA).

I. INTRODUCTION

F

EATURES of wide-bandgap semiconductors that provide high RF power density [1], excellent power-added efficiency (PAE) performance, high breakdown voltage, high-frequency operation, small die size, and less complex amplifier arrangement make such technology a serious challenge to silicon LDMOS devices for high-power applications [2]–[4]. Over the last years, many authors have utilized these superior features of SiC MESFETs and have applied them in the development of different generations of power amplifiers (PAs) for use in digital audio and video broadcasting [5], [6] and aerospace and military systems [7]–[9]. A comparison of Si, GaAs, and SiC MESFET power densities indicates that SiC is a very promising material for high-power and high-frequency operation [10]. A serious problem of wide-band PAs, especially in the GaAs fieldeffect transistor (FET) and GaAs monolithic-microwave integrated-circuit (MMIC) cases [11], [12] is the output matching because of the low intrinsic device impedance. Depending on the amplifier data, this problem can be mostly overcame only by using (external) transmission-line transformers that strongly limit the total bandwidth. The SiC-MESFET technology avoids this drawback and provides high large-signal output impedance because of its high drain–source breakdown voltage. In hybrid arrangements, as in this study, the advantages of GaAs and SiC

Manuscript received September 30, 2004; revised March 3, 2005 and March 7, 2005. The authors are with Microwave Engineering, Technische Universität Berlin, 10587 Berlin, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850404

Fig. 2.

Simulated and measured

S -parameters at V

= 30 V and V

09 V from 1 MHz to 4 GHz. Squares: measurements. Circles: simulations.

=

can be combined successfully. As a first step, we have introduced an ultra-broad-band SiC MESFET 5-W single-stage PA in [13]. In this paper, we discuss modeling aspects, present enhanced results, and an extension toward a two-stage design as a continuation of the previous study. For the first time, the twostage amplifier provides 5-W output power and 22-dB power gain within a frequency range from 10 MHz to 2.4 GHz without any kind of impedance transformer. Section II describes in brief the modeling work performed for the SiC MESFET. It is an extension of the results given in [14] toward large-signal modeling. Section III introduces the design procedure in three steps. First, the selection process leading to the power transistor, which fulfills the design requirements, is described. The development of the dc-biasing networks that meet the desired bandwidth is considered in the next step, while the third one deals with input, output, and interstage matching networks (ISMNs), as well as shunt feedback circuit design resulting in the required broadband characteristic. In Section IV, experimental results are given and discussed. Small-signal gain, stability factor, and matching, as well as

0018-9480/$20.00 © 2005 IEEE

2442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 3. Modeled: (a) C

and (b) C

as a function of internal control voltages.

power performance measurements (PAE, output power, and power gain) over the frequency range from 10 MHz to 2.4 GHz are introduced. Two-tone measurements with frequency spacing of 200 kHz and AM–AM and AM–PM conversion data followed by noise-figure (NF) measurements are also carried out, and the influence of the driver stage on the overall performance is discussed. Finally, the design procedure and experimental results are concluded in Section V. II. SiC MESFET MODELING The modeling work done for the SiC MESFET is described in brief here. Numerical values are related to the CREE CRF-24010 device. Fig. 1 shows the small-signal equivalent circuit used. The model elements are divided into two categories, i.e., the , , , , , , extrinsic parasitic elements ( , , and ) and the intrinsic bias-dependent elements , , , , , , and ). ( , Note that the extrinsic parameters are capital letter subscripted, while subscripts in small letters denote the intrinsic parameters. The parasitic elements were extracted using a cold-FET technique, as reported in [14]. The initial values of some intrinsic , , and ) were evaluated according to the proelements ( cedure given in [16]. The other initial parameters were estimated from experience. Finally, an optimization process was applied, resulting in a minimum error function. As a result, good agreement was found between measured (squares) and simulated (cirwas analyzed cles) -parameters (Fig. 2). The deviation in and a network analyzer calibration problem has been found as a real cause. Other selected examples concerning the small-signal model at multiple bias points are given in Fig. 3 for the intrinsic capacand . itors Concerning the large-signal behavior of the SiC-MESFET, the Angelov model [15], [17] has been found to be a very suitable base. We achieved accurate results for both dc and harmonic-balance simulations with minor changes and extensions of the model equations. Fig. 4 shows a comparison of simulated and measured output characteristics of the used transistor and good agreement can be noticed.

Fig. 4. Measured (squares) and simulated dc output characteristics. V from 11 to 6 V.

0

0

varies

Fig. 5. Measured (symbols) and simulated (solid lines) power performance of a single-stage PA based on the derived model. f = 1 GHz, V = 30 V, and I = 500 mA.

The proposed model has been implemented as a user-defined model into the harmonic-balance circuit simulator ADS from Agilent and small- and large-signal simulations were accomplished. Simulated output power, gain compression, and power-added efficiency of the SiC power stage based on the derived model have been compared with measurements. Fig. 5 shows the reGHz, V, and mA. A very sults at good agreement concerning power gain and output power can be observed, whereas the PAE curves show a slight difference. The impact of case temperature variation has also been studied, and the temperature model has been verified this way. Fig. 6 shows the simulated and measured power performance of

SAYED AND BOECK: TWO-STAGE ULTRAWIDE-BAND 5-W PA USING SiC MESFET

2443

Fig. 6. Measured (symbols) and modeled (solid lines) power-stage GHz, V V, and performance versus case temperature. f I mA.

= 1

= 500

= 30

Fig. 8. Measured (symbols) and modeled (solid lines) AM–AM and AM–PM V and I response of the SiC power stage versus frequency. V mA.

= 30

500

=

Fig. 7. Measured (symbols) and modeled (solid lines) AM–AM (triangles) and GHz, AM–PM (squares) conversions of the SiC MESFET power stage. f V V, and I mA.

= 30

= 500

=2

the SiC power stage versus case temperature. A good agreement between simulations and measurements has been achieved with only 0.6-dB deviation of the power gain at 60 C. Single-tone linearity performance of the derived model has been studied on the base of the AM–AM and AM–PM response. AM–AM distortion is created by variation of the amplifier gain as a function of input power, while AM–PM distortion is defined as change of the phase between input and output signals with varying input power. Mathematically, AM–AM and AM–PM distortions are defined by

Fig. 9. Measured (symbols) and simulated (solid lines) IP2 and IP3 of the SiC V, and I mA. kHz, V power stage versus frequency.

1 = 200

= 30

= 500

grees per decibel), respectively, have been achieved over the full band at 1 dB . Measured and simulated second- and third-order intercept kHz points of the SiC power stage using a tone spacing are depicted in Fig. 9. Output second-order (IP2) and third-order intercept point (IP3) values of higher than 70 and 47 dBm, respectively, have been achieved. Typically, the predictions are too optimistic by a few dBm. III. DESIGN

(1) A. Transistor Choice (2) where is the transmission phase in degrees. Fig. 7 shows the measured and simulated AM–AM and AM–PM conversions of the power stage at 2 GHz. The AM–AM response has a compression characteristic, which is rather soft with only 0.3 (decibels per decibel) at 1 dB . The AM–PM response shows a similar weak behavior with only 0.2 (degrees per decibel) changes at 1 dB . This figure also shows an excellent agreement between simulations and measurements. Measured and simulated AM–AM and AM–PM distortions have been extracted over the entire bandwidth and are depicted in Fig. 8 at 1 dB . This figure shows a very satisfying agreement between measurements and model-based simulations with only a few percent deviation. Very low values for AM–AM and AM–PM distortions of 0.45 (decibels per decibel) and 0.75 (de-

The first and most important step in a PA design process is the selection of a suitable transistor for the power stage in order to meet the required specifications of the amplifier. In this study, the design goals were a wide frequency range from 10 MHz to 2.4 GHz with a considerably flat small-signal gain of 23 1 dB and an output power of at least 5 W. Due to the power requirements and the limited operating voltage of LDMOS and HBT devices, broad-band impedance transformation would be necessary by using such devices. This is a serious drawback because ultra-broad-band impedance transformation is complicated and lossy. The tradeoff between output power of the power and required drain–source breakdown voltage FET is based on (3), given for an inductive-fed class-A power stage (3)

2444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 12. Optimum source impedances versus frequency. Fig. 10. Breakdown voltage of the power stage versus output power required for a 50- load.

Fig. 13.

DC-biasing network.

Fig. 11. Output power contours based on load–pull simulations (step-1 dBm) at 2.4 GHz.

The knee voltage of the FET is neglected in this first-order approach. Based on (3) and by using a 50- load, the required is depicted in Fig. 10 versus the output power. Due to the high bandgap of SiC, FET devices based on this material system are able to operate up to more than 100-V drain–source voltage and, therefore, are able to match 50loads (at low frequencies) up to a few tens of watts without additional impedance transformation. Therefore, the CREE CRF-24010 SiC MESFET device has been selected as power stage for our application. It is specified for applications up to 3 GHz and 10 W of output power. A small-signal gain up to 15 dB is achievable. Numerical load–pull optimization has been performed at the highest frequency to indemnify the 5-W output power at 2.4 GHz. In our broad-band application, load–pull characterization at lower frequencies is less important because the optimum load impedance is not sustainable over the full bandwidth and, on the other hand, power requirements at lower frequencies also become more and more relaxed. The simulated load–pull data at 2.4 GHz are shown in Fig. 11. At 1-dB power compression, a maximum output power of 38.8 dBm at 2.4 GHz was found for optimum load and source impedances at the same time. This proves that the SiC device works close to its limit in our design at the high-frequency end. Optimal load impedance was found to be nearly real (37 )

Fig. 14. Simulated and measured the power stage.

S -parameters of the broad-band choke for

and very weak, dependent on frequency. In contrast, the source impedance shows strong dependence on frequency (Fig. 12). Optimum source and load impedances of the SiC device at 2.4 GHz, as well as power flatness over the full band were the design goals for the broad-band matching networks described in Section III-C. For the driving stage, a GaAs FET (Fujitsu FLL177ME) with the following specifications has been used: dBm; • output power at dB dB at 2.3 GHz. • small-signal gain B. DC-Biasing Network The chokes have to be designed very carefully because they influence frequency response and stability of the amplifier. Very

SAYED AND BOECK: TWO-STAGE ULTRAWIDE-BAND 5-W PA USING SiC MESFET

Fig. 15.

2445

Two-stage PA structure.

large inductance values have to be realized because of the low corner frequency of 10 MHz. The inductance has been split up into three series-connected elements of different size to overcome the problems with parasitic capacitive coupling. Thus, a sufficiently high self-resonance frequency (SRF) [18] has been obtained. Air- and ferrite-loaded coils have been used and tested using an evaluation board. Reflection and transmission coefficients of the chokes have been measured and optimized this way. The three coils in combination with a small resistor in between two parallel bypass capacitors have been found as a suitand able solution (see Fig. 13). The capacitance values are selected to give very low reactance over the whole operating frequency range. Together with the low-impedance resistor, they are also responsible for supporting absolute stability over the whole operating range of the amplifier. The dc blocking capachave to provide a good RF through over the whole itors bandwidth. Fig. 14 shows, for the proposed combination, simulated and measured reflection and transmission data up to 3 GHz. Maximum/minimum transmission/return losses of 0.4 and 20 dB, respectively, have been achieved. Good agreement between simulated and measured results can also be observed. C. Feedback and Matching Networks In broad-band amplifier design, the Darlington technique, based on two transistors shunted with a feedback resistor, has been presented and modified by Armijo and Meyer [20]. This technique suffers from PAE reduction because the transistors do not saturate at the same time. Krishnamurthy et al. [21] has improved this approach by adding a resistor between the trandoubler, can overcome sistors. The new technique, called a the previous problem and increase the bandwidth; however, the result is output power reduction and increased circuit complexity. In both papers [20], [21], shunt feedback technique has also been applied. It is well known that the shunt feedback technique increases bandwidth, stabilizes gain, and reduces distortion and influence of device tolerances. At the same time, input and output impedances can be controlled. We apply the shunt feedback technique to each individual single FET stage, together with multisection distributed matching networks using microstrip lines, as well as passive SMD devices to flatten and increase the bandwidth. Referring to Fig. 15, the feedback and of each stage is defined by feedback resistances , where are acting as pure dc blocks. capacitances

The values of [19] as

that satisfy the required gain is given in for

(4)

where and is the power gain of the stages. Unconditional stability and improved broad-band performance can be obtained by adding a parallel combination of and in series at the input of each stage (see Fig. 15) is in the order of a few ohms. where The design of the matching network was started with the output network (OMN) synthesis, as described in [22] and [23]. Initial load and source impedance values were taken from the load–pull analysis presented in Section III-A. Further goals of this first design cycle were little network complexity, gain flatness, an output return loss, and an output power of at least 10 dB and 5 W, respectively, over the full bandwidth. It has been found that the design goal can be firstly achieved by a simple LC low-pass network. By this procedure, the load impedance of the ISMN (optimum source impedance of the SiC FET) was also found. For the GaAs driver, FET load–pull analysis was also performed. The so-found load impedance, together with the source impedance of the SiC power FET, have been used as input data for synthesis and optimization of the ISMN with respect to maximum and flattened power transfer. A tapered microstrip line, together with a low-pass section, has been found as the most suitable solution. The input matching network was found in a similar manner using a 50- match and the GaAs FET input impedance as source and load impedances for the matching network. Three matching sections (a microstrip line together with an open stub in low-pass form) had to be used at the input to realize the high-impedance transformation ratio necessary for matching the 50- source impedance. After finding the initial topologies and element values by the described procedures, the final design parameters were found by an overall optimization of all amplifier design parameters. During this final step, the described design strategy has been found to be very suitable. The circuit simulations were performed by using Agilent ADS. The substrate material data are based on ROGERS 4003 laminate with a permittivity of 3.38 and a thickness of 0.51 mm. As an example of the designed matching networks, Fig. 16 illustrates the microstrip realization of the distributed ISMN. Similar input and output matching topologies are given in [13].

2446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 16. Optimized ISMN topology based on ADS.

Fig. 17.

Fig. 18.

Simulated (solid lines) and measured (symbols) return loss.

Fig. 19.

Power performance measurements at 1 GHz.

Fig. 20.

Power performance measurements versus frequency.

Simulated and measured gain and stability factor.

IV. EXPERIMENTAL RESULTS The fabricated PA was characterized and the results were compared with simulations. Measurements, as long as not mentioned otherwise, were performed using a heat sink, which has kept the FET cases at room temperature. For the first time, a good agreement has been found. Small-signal -parameters, power performances, two-tone measurements, AM–AM, AM–PM, and noise measurements are presented here. A. Small Signal -Parameters Small-signal gain, stability factor, and input and output return losses were measured using a vector network analyzer. Bias data V, mA, V, and were mA, respectively. Fig. 17 shows wide-band characteristics from 10 MHz to 2.4 GHz with a small-signal gain of 23 1 dB . A very good agreement can and a stability factor be observed for the gain, whereas the measurement based factor is considerably higher. The reasons for that are additional losses of microstrip lines, surface mount devices (SMDs), and the substrate not precisely implemented enough in the related models. Fig. 18 shows input and output return loss. Simulated and measured results match pretty well and the matching quality is satisfactory. The agreement between simulations and measurements could be improved by more precise modeling of SMD devices and discontinuities. B. Power Performance The power measurements were performed using an RF signal generator in conjunction with a medium PA as a power source.

Fig. 19 shows output power, power gain, and PAE versus input V, power at 1 GHz for the bias parameters mA, V, and mA. From this figure, an output power of 37 dBm, power gain of up to 23 dB, and PAE of 28% at 1 dB can be taken. PA performance versus frequency is finally depicted in Fig. 20. Up to 2.4 GHz, an output power and power gain of , respectively, were obtained. 37 dBm and 22 1 dB 1 dB is also shown in Fig. 20. The required input power at

SAYED AND BOECK: TWO-STAGE ULTRAWIDE-BAND 5-W PA USING SiC MESFET

Fig. 21. Two-tone measurement at kHz.

200

Fig. 22.

P

= 0 dBm, f = 1 GHz, and 1 =

Fig. 23. Measured AM–AM (triangles) and AM–PM (squares) conversions of the two-stage PA versus frequency.

V, mA, achieved. Bias parameters were V, and mA. Fig. 22 shows that, compared to the SiC power stage alone (Fig. 9), the GaAs FET driver stage degrades the overall linearity performance. While the IP3 degradation was in the order of a couple of decibels, the IP2 performance degraded by approximately 10 dB. Although the overall linearity of the two-stage design is still very acceptable, we spend some effort to achieve a similar performance as for the power stage alone. We studied very careful the IP2 behavior of the GaAs FET dependent on the operating point and source and load impedances. We have also improved the OIP2 performance from initially 50 dBm to over 60 dBm in the final design by a few redesigns and proper settings of all parameters. However, all in all, we have to state that the chosen GaAs FET (Fujitsu FLL177ME) seems to not be the best choice for our application, especially not with respect to IP2 performance. We will spend further work for analysis of this issue, taking into account other FET types and sizes.

Measured linearity performance versus frequency.

C. Two-Tone Performance The two-tone test was characterized by performing two-tone intercept point measurements. Two closely spaced input tones of , equal amplitude were applied to the amplifier at and respectively, where is the frequency spacing between the two tones. The fundamental-, second-, and third-order components were determined using a spectrum analyzer as a function of input power. An enhanced multitone signal generator (ESG, Agilent Technologies, Palo Alto, CA) was used to provide the two-tone signal GHz with frequency spacing kHz and at dBm. The output amplitude spectrum was taken from the spectrum analyzer (PSA, Agilent Technologies), as shown in Fig. 21. An input power sweep was applied and the output secondorder intercept point (OIP2) and output third-order intercept point (OIP3) were finally calculated using the equation Harmonic Suppression

2447

(5)

where is the order of the harmonic. The extracted secondand third-order intercept points at different frequencies are presented in Fig. 22. The diagram shows that minimum values of OIP2 and OIP3 of 61 and 48 dBm, respectively, have been

D. AM–AM and AM–PM Distortions Depending on the application, additional amplifier characterizations can give a more accurate representation of nonlinear distortions in an amplifier. Fig. 23 shows both amplitude and phase characteristics at 1 dB versus frequency. The two-stage design exhibits AM–AM and AM–PM values of up to 1.2 dB dB and 1 deg dB over the full bandwidth. Both values are approximately twice the amount of the power stage alone and are, from that point-of-view, acceptable. Although these values are also pretty low, they could be improved by a larger driver FET. E. NF Measurements Although the NF does not belong to the important parameters of a PA as the last function block in a transmitter chain, we nevertheless have characterized the noise properties. In Fig. 24, we show both small-signal gain and NF, which were measured using the Agilent spectrum analyzer (PSA) as an NF meter. Fig. 24 shows the results versus frequency. NF values below 4 dB over the whole bandwidth (typically 3 dB) have been achieved. This noise performance is excellent for a PA. The noise performance of the SiC power stage alone has also been measured, and it can be stated that it is worsen just by a

2448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 24. Measured small-signal gain and NF of the two-stage design versus frequency.

TABLE I SUMMARY TWO-STAGE PA MEASUREMENTS

few tenths of a decibel compared to the two-stage design. All performance data are summarized in Table I. V. CONCLUSION A two-stage 5-W wide-band RF PA has been designed using an SiC MESFET power stage covering the frequency range from 10 MHz to 2.4 GHz. A large-signal model has been developed and verified for the SiC device. Low-frequency dispersion and temperature behavior are included. 23 1 dB small-signal gain has been achieved over the full bandwidth by combining feedback and matching circuits properly. Small-signal -parameters, power performances, intermodulation characteristics, 1 dB power and NF data were measured, resulting in 22 gain, 37-dBm output power, 28% PAE, 47 dBm OIP3, and 4 dB NF. Low sensitivity against AM–AM and AM–PM conversions has been noticed. Good agreement has been achieved between simulations and measurements. The SiC MESFET technology appears to be very suitable and reliable for power applications up to the lower gigahertz range. REFERENCES [1] S. T. Allen, W. L. Pribble, R. A. Sadler, T. S. Alcorn, Z. Ring, and J. W. Palmour, “Progress in high power SiC microwave MESFETs,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Anaheim, CA, Jun. 1999, pp. 321–324.

[2] J. F. Broch, F. Temcamani, P. Pouvil, O. Noblanc, and J. P. Prlgent, “Power amplification with silicon carbide MESFET,” Microwave Opt. Technol. Lett., vol. 23, no. 1, pp. 16–18, Oct. 1999. [3] J. C. M. Hwang, “Wide bandgap semiconductor wide bandwidth wide temperature range power amplifiers,” in IEEE ED-S Gallium Arsenide Integrated Circuit Symp., Monterey, CA, Oct. 1999, pp. 51–54. [4] F. Temcamani, P. Pouvil, O. Noblanc, C. Brylinski, P. Bannelier, B. Darges, and J. P. Prigent, “Silicon carbide MESFET’s performances and application in broadcast power amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Phoenix, AZ, May 2001, pp. 641–644. [5] W. L. Pribble, J. W. Palmour, S. T. Sheppard, R. P. Smith, S. T. Allen, T. J. Smith, Z. Ring, J. J. Sumakeris, A. W. Saxler, and J. W. Milligan, “Application of SiC MESFET’s and GaN HEMT’s in power amplifier design,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Seattle, WA, Jun. 2002, pp. 1819–1822. [6] K. E. Moore, C. E. Weitzel, K. J. Nordquist, L. L. Pond III, J. W. Palmour, S. Allen, and C. H. Carter, Jr., “4H-SiC MESFET with 65.7% power added efficiency at 850 MHz,” IEEE Trans. Electron Device Lett., vol. 18, no. 2, pp. 69–70, Feb. 1997. [7] A. P. Zhang, L. B. Rowland, E. B. Kaminsky, J. W. Kretchmer, R. A. Beaupre, J. L. Garrett, and J. B. Tucker, “Microwave power SiC MESFET’s and GaN HEMTs,” in IEEE Lester Eastman High Performance Devices Conf., Newark, DE, Aug. 2002, pp. 181–185. [8] R. A. Sadler, S. T. Allen, W. L. Pribble, T. S. Alcorn, J. J. Sumakeris, and J. W. Palmour, “SiC MESFET hybrid amplifier with 30 W output power at 10 GHz,” in IEEE Cornell High Performance Devices Conf., Ithaca, New York, Aug. 2000, pp. 173–177. [9] M. G. Walden and M. Knight, “Evaluation of commercially available SiC MESFET’s for phased array radar applications,” in IEEE Int. Electron Devices for Microwave and Optoelectronic Applications Symp., Manchester, U.K., Nov. 2002, pp. 166–171. [10] C. E. Weitzel, “Comparison of SiC, GaAs, and Si RF MESFET power densities,” IEEE Trans. Electron Device Lett., vol. 16, no. 10, pp. 541–453, Oct. 1995. [11] J. Kye-Ik, L. Jae-hak, P. Seung-Won, K. Dong-Wook, L. Won-Sang, L. Chae-Rok, C. Ho-Young, C. Hyung-Kyu, and C. Ki-Woong, “A 5 to 27 GHz MMIC power amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Boston, MA, Jun. 2000, pp. 541–544. [12] T. Inoue, K. Hosoya, and K. Ohata, “High-gain wide-band V -band multistage power MMICs,” in Topical Millimeter Waves Symp., Kanagawa, Japan, Jul. 1997, pp. 40–43. [13] A. Sayed, S. von der Mark, and G. Boeck, “An ultra wide-band power amplifier using SiC MESFETs,” presented at the 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004. [14] A. Sayed and G. Boeck, “Small signal modeling of SiC power MESFET’s for ultra broad-band power amplifiers,” in 28th Compound Semiconductor Devices Integrated Circuits Workshop, Smolenice, Slovakia, May 2004, pp. 79–80. [15] I. Angelov, N. Rorsman, J. Stenarson, M. Garcia, and H. Zirath, “An empirical table-based FET model,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2350–2357, Dec. 1999. [16] J. A. m. Otten and F. M. Klaassen, “A novel technique to determine the gate and drain bias dependent series resistance in drain engineered MOSFET’s using one single device,” IEEE Trans. Electron Devices, vol. 43, no. 9, pp. 1478–1488, Sep. 1996. [17] I. Angelov, H. Zirath, and N. Rosman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [18] X. Hongxi, P. B. Kenington, and M. A. Beach, “A high performance ultra-broadband RF choke microwave applications,” in IEE Evolving Technique for Small Earth Station Hardware Colloq., Feb. 1995, pp. 1–4. [19] G. D. Vendelin, A. M. Pavio, and U. L. Rhode, “Amplifier design,” in Microwave Circuit Design Using Linear and Nonlinear Techniques. New York: Wiley, 1990, ch. 4, sec. 4.1, pp. 240–240. [20] C. T. Armijo and R. G. Meyer, “A new wide-band Darlington amplifier,” IEEE J. Solid-State Circuits, vol. 24, no. 8, pp. 1105–1109, Aug. 1989. [21] K. Krishnamurthy, S. I. Long, and M. J. W. Rodwell, “Cascade-delay-matched distributed amplifiers for efficient broad-band microwave power amplification,” in IEEE Microwave and MillimeterWave Monolithic Circuits Symp. Dig., 1999, pp. 819–822. [22] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [23] J. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifiers. Norwood, MA: Artech House, 2003.

SAYED AND BOECK: TWO-STAGE ULTRAWIDE-BAND 5-W PA USING SiC MESFET

Ahmed Sayed was born in Cairo, Egypt, in 1970. He received the Bachelor degree in engineering from Helwan University, Giza, Egypt, in 1992, and the M.Sc. degree and Ph.D. degree in the field of transistor modeling and wide-band PA design based on wide-bandgap technology from the Technische Universität Berlin, Berlin, Germany. In 1997, he has joined Electronic Research Institute (ERI), Cairo, Egypt as Research Assistant with the Microstrip Department. He is currently with Microwave Engineering, Technische Universität Berlin, Berlin, Germany. Dr. Sayed was the recipient of a 1999 Ph.D. scholarship.

2449

Georg Boeck (M’93–SM’00) was born in Wertingen, Germany, in 1951. He received the Dipl.-Ing. degree in electrical engineering and Doctoral degree from the Technische Universität Berlin, Berlin, Germany, in 1977 and 1984, respectively. In 1984, he joined Siemens Research Laboratories, Munich, Germany, where his research concerned fiber optics and GaAs electronics. From 1988 to 1991, he was a Full Professor of electronic devices and circuits with the Fachhochschule Regensburg, Regensburg, Germany. Since 1991, he has been the Chair of the Microwave Engineering Laboratory, Technische Universität Berlin. His main areas of research are characterization, modeling and design of microwave semiconductor devices, microwave integrated circuits (MICs), and MMICs up to the millimeter-wave range.

2450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

A Low-Voltage and Low-Power RF MEMS Series and Shunt Switches Actuated by Combination of Electromagnetic and Electrostatic Forces Il-Joo Cho, Taeksang Song, Sang-Hyun Baek, and Euisik Yoon, Member, IEEE

Abstract—This paper reports new RF microelectromechanical systems (MEMS) switches actuated by the combination of electromagnetic and electrostatic forces for low-voltage and low-power operation. The proposed RF MEMS switches have utilized the proper combination of two actuation mechanisms: taking advantage of the large actuation force from electromagnetic actuation for initial movement and the low-power feature from electrostatic actuation for holding the actuator position. Both series- and shunt-type switches have been implemented using the proposed actuation mechanism. From the fabricated switches, feasibility of operation has been successfully demonstrated. The fabricated switches can be operated within several hundred microseconds. In the series-type switch, the isolation has been measured as 34 dB and insertion loss as 0.37 dB at 20 GHz. In the shunt type switch, the isolation is 20.7 dB and insertion loss is 0.85 dB at 19.5 GHz. The proposed RF MEMS switches are mechanically robust and the combination of electromagnetic and electrostatic actuations makes it possible to achieve excellent switching characteristics at low power and low voltage below 5 V. Index Terms—Electromagnetic actuation, electrostatic actuation, RF microelectromechanical systems (MEMS) switch, series type, shunt type.

I. INTRODUCTION

W

IRELESS communication has made an explosive growth of emerging consumer markets, as well as in military applications of RF, microwave, and millimeter-wave circuits and systems. These include wireless personal communication systems, wireless local area networks, satellite communications, automotive electronics, etc. In these systems, the RF switch is one of the essential components to handle RF signals [1]. Previously, RF switching has been implemented by using p-i-n diodes and GaAs MESFETs in the form of junction field-effect transistor (JFET)-based semiconductor switches [2], [3]. However, these semiconductor switches show poor performance in the respect of signal loss and power consumption as the frequency increases. Recently, RF microelectromechanical systems (MEMS) switches have been introduced for low

Manuscript received October 3, 2004; revised March 3, 2005. This work was supported in part by the Ministry of Science and Technology of Korea under a National Research Laboratory program. I.-J. Cho was with the Division of Electrical Engineering, Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea. He is now with the LG Electronics Institute of Technology, Seoul 137-724, Korea. T. Song, S.-H. Baek, and E. Yoon are with the Division of Electrical Engineering, Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea. Digital Object Identifier 10.1109/TMTT.2005.850406

insertion loss, high isolation, and low power consumption [4], [5]. Most of the RF MEMS switches reported to date have used electrostatic actuation [6]–[9]. Electrostatic actuation offers extremely low-power consumption and easy implementation. However, there are two main challenging issues to be addressed for the RF MEMS switches actuated by electrostatic force: high actuation voltage and low mechanical stability. The high actuation voltage degrades life time and often induces malfunction by the charge trapping problem. In order to lower the actuation voltage, meander spring-type [10] and push–pull concepts have been investigated [11]. The meander spring-type approach has a tradeoff between switching speed and spring constant, while the push–pull concept needs a still high actuation voltage compared to other actuation alternatives such as piezoelectric or Lorentz force actuation. Small electrostatic force still makes it difficult for the switches to be mechanically robust and to provide high isolation due to the restriction imposed on the maximum initial gap. By using electromagnetic force actuation, the above problems can be resolved. Large force exerted from electromagnetic actuation allows RF switches to have a mechanically robust structure because they can be implemented with a high spring-constant membrane. Electromagnetic actuation force is also independent of initial actuator positions and exerts constant force regardless of the amount of displacement. Therefore, the switches can achieve high isolation from a large initial gap. Furthermore, the device can be operated with lower actuation voltage and have a longer life time. However, electromagnetic actuation requires relatively large power because constant current has to be applied during a given switching period [12]. Previously reported electromagnetically actuated switch requires large area and is difficult to be used in an array because a coil is fabricated on the bottom layer [13]. In this paper, we have proposed a new low-voltage and lowpower RF MEMS switch actuated by the combination of electromagnetic and electrostatic forces with a latching mechanism [14], [15]. It is actuated by large electromagnetic force with low voltage and maintained its states by electrostatic force with low-power consumption. This combined actuation allows the proposed RF MEMS switch to be operated at low voltage, as well as low-power consumption. There are two types of RF MEMS switches: series type and shunt type. These two types of switches have their unique features and specific applications according to the frequency range. In this study, we have implemented both types using the proposed actuation mechanism.

0018-9480/$20.00 © 2005 IEEE

CHO et al.: LOW-VOLTAGE AND LOW-POWER RF MEMS SERIES AND SHUNT SWITCHES

2451

Fig. 2. Timing diagram of control signals for switching operation.

Fig. 1. Schematic diagram of the proposed RF MEMS switches. (a) Series-type switch. (b) Shunt-type switch.

II. STRUCTURES AND OPERATION The proposed RF MEMS switch structures are shown in Fig. 1. Fig. 1(a) represents the series-type RF MEMS switch and Fig. 1(b) represents the shunt-type RF MEMS switch, respectively. The structure of the series-type switch is similar to that of the shunt-type switch, except for signal lines and the associated contact metal configuration. The switching structure consists of the two parts: a cantilever membrane integrated with coils for electromagnetic actuation and a bottom electrode for electrostatic actuation. The bottom electrode is used for electrostatic holding of the membrane when the membrane is deflected to touch the bottom electrode. Signal paths are formed in coplanar waveguide (CPW) lines under the movable cantilever. The initial gap between the cantilever membrane and the bottom signal lines is determined from the process condition. The membrane structure is mechanically connected to the bottom plane via anchors, as well as for electrical connections. The actuation coils on the membrane are connected to the bottom metal layer to apply an actuation current. In the case of the series-type switch, there is a contact metal located at the end of the membrane and the CPW line on the bottom layer is cut off to isolate the signal. Initially, the switch is in the “off” state. When the membrane is actuated downward, the contact metal connects the broken CPW line and the switching state changes to the “on” state. In the case of the shunt-type switch, however, there is a top metal plane at the end of the membrane and the CPW line on the bottom layer is initially connected. The initial state of the shunt-type switch is “on” and it changes its state to “off” when the membrane is actuated downward. During the “off” state, the top metal layer forms capacitance coupling

between the signal and ground lines through the membrane as a dielectric layer. From this capacitive coupling, the input RF signal is bypassed to the ground instead of being transmitted to the output port. As a result, the switch is turned off. Fig. 2 shows the timing diagram of the proposed series-type switch according to its switching state and control signals. Initially, the contact metal is detached from the signal line by an initial gap. When an external current is applied to the coil counterclockwise, the membrane is actuated downward by Lorentz force and the contact metal makes an electrical connection to the underlying CPW signal line. After that, electrostatic force between the coils and the bottom electrode is activated to hold the membrane, maintaining its “on” state without static power consumption. For switching its state back to the “off” state, a current should be applied clockwise and then the contact metal is detached from the bottom signal line by Lorentz force, as well as mechanical restoring force. This large restoring force enables the contact metal to be easily detached from the bottom metal with less risk of stiction to the signal lines while the RF signal is being applied, i.e., so-called hot switching. This hot-switching stiction is known to be due to a microwelding effect and may make the switch permanently closed. This actually gives a significant impact on the power-handling capability of the switch. It has been observed that the microwelding occurs typically at signal power levels greater than 20 dBm [16], [17]. Therefore, the large restoring force of the proposed switches can allow improved power-handling capabilities. The timing diagram of the shunt-type switch is identical to that of the series type, except that switching states are reversed. As explained above, the proposed switch changes its states by large electromagnetic force and holds its state with electrostatic force. The electromagnetic actuation force is constant regardless of an initial height of the membrane from the bottom plane. This allows a large initial gap and high signal isolation. We can also achieve high power-handling capability from the large restoring force and high immunity to vibration due to the high spring constant structure. In addition, operation voltage can be maintained below 5 V because the electrostatic force is only applied during the holding state when an extremely small gap is already formed between the bottom electrode and coils. On

2452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 3. Mechanical resonant frequencies from FEM simulation results using ANSYS. (a) First mode. (b) Second mode. (c) Third mode.

the contrary, electromagnetic force is only required during the short period of time for switching its state. Therefore, the proposed switches can operate at low voltage, as well as low-power consumption.

III. DESIGN AND SIMULATIONS Design optimizations of the proposed switches are performed by finite-element method (FEM) simulation using ANSYS. The mechanical characteristics of series- and shunt-type switches are similar, and a simplified model has been used to simulate their mechanical characteristics. To optimize and determine the dimensions of the structure, some selected mechanical characteristics have been considered such as actuation voltage, spring constant, resonant frequency, switching time, and initial gap. With an external magnetic field of 0.22 T, electromagnetic force is calculated to be approximately 20 N with an effective coil length of 1.86 mm and an actuation current of 50 mA. With this electromagnetic force, mechanical displacement has been predicted by using ANSYS. Simulated displacement is 13.6 m, and this result shows that the exerted electromagnetic force is large enough to actuate the proposed switch more than 10 m,

which is the initial height for the initial prototype design. Resonant frequencies have also been simulated from dynamic simulation modes, as shown in Fig. 3. The first mode resonant frequency is 9.2 kHz, and the second and third modes are 35.6 and 43.5 kHz, respectively. The operational actuation mode is the first mode, and these results guarantee stable operation because the second and third mode resonant frequencies are far from the operation mode. The switching time inferred from the simulation is 275 s. From the switching time obtained from mechanical simulations, power consumption can be estimated. The proposed RF MEMS switch consumes power only during the time when the switch changes its states from “off” to “on” or “on” to “off.” The estimated power consumption is approximately 140 J per each switching cycle. Table I summarizes the dimensions of the proposed switch determined from simulations. IV. FABRICATION Fabrication processes of the proposed MEMS switches are shown in Fig. 4. The fabrication process of the series- and shunttype switches are compatible with each other. However, the fabrication process of the shunt-type switch can be simpler than

CHO et al.: LOW-VOLTAGE AND LOW-POWER RF MEMS SERIES AND SHUNT SWITCHES

2453

TABLE I DIMENSIONS OF THE PROPOSED MEMS SWITCH

Fig. 5. Scanning electron microscopy (SEM) photograph of the fabricated switches with CPW signal lines. (a) Series-type switch. (b) Shunt-type switch.

Fig. 4. Fabrication process flow. (a) Bottom metal layer deposition. (b) Polyimide sacrificial layer patterning and contact metal liftoff. (c) First nitride layer deposition and patterning. (d) Gold coil electroplating. (e) Second nitride layer deposition and patterning. (f) Sacrificial layer removal.

that of the series-type switch because the contact metal deposition process is not required for the shunt-type switch. In general, the two types of switches can be simultaneously fabricated on the single wafer. The process flow is as follows. A glass wafer is chosen as a starting material to reduce the substrate loss of RF signals. First, Cr/Au is deposited for CPW signal lines and bottom electrodes using a liftoff process, as shown in Fig. 4(a). A 10- m-thick polyimide is then spun and cured for a sacrificial layer. The thickness of this polyimide film is very important because it determines the initial height of the membrane, which influences the isolation of the series-type switch during the “off” state and the insertion loss of the shunt type switch during the “on” state. The cured polyimide is patterned twice using reactive ion etching (RIE) with aluminum as a mask. First, a shallow etch is performed to produce a recessed area for the contact metal to enhance contact characteristics. After that, a deep etch is performed for the formation of anchors, which connect torsion bars to the bottom metal electrically and mechanically.

Next, Au/Cr is deposited using a liftoff process for contact metal, as shown in Fig. 4(b). Chrome is used for an adhesion layer between the nitride and Au layers. The first plasma-enhanced chemical vapor deposition (PECVD) silicon–nitride layer is then deposited and patterned for the membrane, as shown in Fig. 4(c). The deposited film thickness is minimized to be approximately 200 nm to obtain a low holding voltage because this nitride film thickness determines the electrostatic force at the holding stage of the fabricated RF MEMS switch. After patterning the first nitride layer, Cr/Au is deposited for a seed metal for electroplating gold coils about 3 m using AZ9260 as a mold, as shown in Fig. 4(d). Next, the AZ9260 mold is removed and the second nitride layer of 600 nm is deposited and patterned [see Fig. 4(e)]. This second nitride layer plays an important role in releasing the residual stress of the membrane. The membrane is composed of three layers, i.e., the first nitride layer, an electroplated gold layer, and the second nitride layer. This sandwich film compensates for the stress on each other and a stress-free membrane can be obtained, as shown in Fig. 5. The total thickness of the membrane is approximately 3.8 m thick and this thick structure can enhance the mechanical stability. Finally, a sacrificial layer is removed using a barrel asher and the membrane is released, as shown in Fig. 4(f). The fabricated MEMS switches, both the series and shunt types, are shown in Fig. 5. This figure shows that the stress of the membrane has been compensated and the membranes

2454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Fig. 6. Enlarged view of the fabricated MEMS switches.

are almost stress free. There are two control signal lines for actuation of the fabricated switches: one for actuation and the other for holding. At the end of the membrane, contact metal is formed for the series-type switch, while the top metal layer is formed for the shunt-type switch. Actuation coils are connected to the bottom metal lines through vias. Fig. 6 shows the enlarged view of the fabricated switches. The etch holes on the membrane helps the polyimide removal in short time. The distance between the bottom electrode and membrane has been approximately 10.5 m in the series type and approximately 12.5 m in the shunt type, respectively. The slight difference in the height comes from upward bending in the membrane of the shunt-type switch due to the stress induced by the additional top electrode layer. This initial height is approximately four times larger than that of the previously reported ones [10], [11]. The gold coil area in the membrane has been maximized to reduce the holding voltage by enlarging the effective area for electrostatic force. V. MEASUREMENT RESULTS AND DISCUSSION A. Mechanical Characteristics The fabricated MEMS series- and shunt-type switches have been actuated by electromagnetic force induced on the coils from external permanent magnets. To apply an external magnetic field, the diced RF MEMS switch is fixed on a printed circuit board (PCB) and wire bonded and is inserted between the two permanent magnets. External magnetic intensity is 0.22 T (or 2200 G) at the actuation point. Mechanical characteristics of the fabricated switch have been measured using Polytec’s laser Doppler vibrometer (LDV). Fig. 7 shows the experimental setup for measuring mechanical characteristics. Displacement of the actuated series-type switch is measured as a function of applied current, as shown in Fig. 8. The shunt-type switch has a similar result. The displacement is quite linear, confirming the general property of electromagnetic actuation. One of the big advantages of magnetic actuation for RF switch applications is that its actuation force is constant and is not a function of the gap between the two electrodes. This constant force can reduce a possible mechanical damage to the

Fig. 7. Experimental setup for measuring mechanical characteristics of the fabricated RF MEMS switches.

Fig. 8. Displacement of the fabricated series-type switch as a function of applied actuation current.

contact metals at the moment of switching, which is a serious problem in the case of electrostatic actuation. In electrostatic actuation, the force increases inversely proportional to the square of the gap between two contact metals. Therefore, the contact metals experience an accelerated mechanical impulse shock at the moment of contact. With an applied current of 53 mA, we can successfully actuate the switch to the “on” state. The resistance of coil is 38 . The corresponding maximum actuation voltage is 2.0 V and the applied force is estimated as 25.2 N from the applied magnetic-field intensity. The maximum displacement of the series type switch is 10.5 m and a spring constant of the fabricated cantilever has been calculated to be 2.4 N/m. The holding voltage for maintaining its state has been measured below 3.7 V. Table II summarized the mechanical properties of the fabricated series- and shunt-type switches. The spring constant of the shunt type is slightly lower than that of the series type because the length of membrane is longer by approximately 50 m. The switching time and power consumption have been estimated from dynamic response of the fabricated switches, as shown in Fig. 9. Fig. 9(a) shows the frequency response and Fig. 9(b) shows the transient response of the fabricated seriestype switch. Form the frequency response, resonant frequency of the fabricated series-type switch can be directly obtained to be 4.5 kHz. The dynamic transient response of the switch

CHO et al.: LOW-VOLTAGE AND LOW-POWER RF MEMS SERIES AND SHUNT SWITCHES

SUMMARY

2455

TABLE II ELECTRICAL CHARACTERISTICS MEMS SWITCHES

OF MECHANICAL AND OF THE FABRICATED

Fig. 10. Measured RF characteristics of the fabricated series-type switch. (a) Isolation for the “off” state. (b) Insertion loss for the “on” state.

to “off” in a half period of the resonant frequency. This can be guaranteed as long as the distance between the membrane and the bottom layer is large enough to give high isolation during the rest of the transient time. When the switch changes its state from “off” to “on,” several periods are required to completely change its state due to the bounding from the bottom electrode after touching. From the dynamic response, the switching time can be estimated to be 110 s for the transition from the “on” to the “off” state and 380 s for the transition from the “off” to the “on” state, respectively. The resonant frequency of the shunt-type switch is lower by approximately 10% than that of the series type because the mass is larger and the spring constant is lower than that of the series type. Power consumption of the fabricated switch can be calculated from the switching time. The switch consumes power only during the time that it changes its states. From the switching time of 380 s, the required energy for switching is estimated as 40.3 J, which is lower than previous magnetic switch [13]. DC contact resistance has been measured using deembedding patterns. The measured contact resistance is 0.5 during the “on” state and the “off” state resistance between two ports is infinite, as expected. B. RF Characteristics Fig. 9. (a) Frequency response of the fabricated series-type switch. (b) Transient response of the fabricated series-type switch.

has been measured with a rectangular wave input signal. Resonant frequency can also be estimated from the transient response. When the switch changes its state from the “on” state (membrane down) to the “off” state (membrane up) in the series-type switch, the membrane oscillates with a resonant frequency. From Fig. 9(b), the switch changes its state from “on”

The RF characteristics of the fabricated series- and shunt-type RF MEMS switches have been measured using an HP network analyzer. External electrical control signals are applied on the fabricated switch for actuation and -parameters of the input and output ports are extracted using a network analyzer. Fig. 10 shows the measured RF characteristics of the series-type switch. The isolation represents the performance of the switch in the “off” state. The isolation value has been measured from parameters when the contact metal is detached from the bottom signal lines. The measured isolation is 61 dB at 2 GHz and

2456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

with the cantilever actuated downward. High isolation can be achieved by large coupling capacitance between the signal and ground lines through the top metal. This coupling capacitance change of the shunt-type switch is determined by the change of the overlap area and gap between the top metal and signal lines. The coupling capacitance ratio of the “off” state to the “on” state is approximately 62.5. The maximum isolation is measured as 20.7 dB at 19.5 GHz. At this frequency, a series resonance occurred due to the parasitic inductance incurred by the top metal layer. The resonance frequency can be adjusted by varying this parasitic inductance. However, the measured isolation value is smaller than expected. The main reason for this is considered that good physical contact has not been made between the bottom metal lines and the membrane due to some residues left over after removing the polyimide sacrificial layer. VI. CONCLUSIONS Fig. 11. Measured RF characteristics of the fabricated shunt-type switch. (a) Isolation for the “off” state. (b) Insertion loss for the “on” state.

34 dB at 20 GHz, respectively. This large isolation can be achieved thanks to the high initial gap between the signal lines and contact metal. During the “off” state, the main source of signal coupling from the input to output port is the parasitic capacitance between the cutoff signal lines and contact metal. In the fabricated RF MEMS switch, the coupling capacitance is less than 1.2 fF, which is small enough to obtain large isolation from the high initial gap of 10.5 m. Insertion loss has been characterized by using parameters for the “on” state. The insertion loss is measured as 0.28 dB at 2 GHz and 0.37 dB at 20 GHz, respectively. The signal loss in the long CPW lines is subtracted from the measured insertion loss in order to extract the signal loss only in the contact switch metal. Fig. 11 shows the measured RF characteristics of the shunttype switch. The operation frequency of the shunt-type switch is typically higher than that of the series type. Therefore, the RF characteristics of the fabricated shunt-type RF MEMS switch are measured for the extended frequency range up to 35 GHz. The shunt-type switch is initially in the “on” state. The insertion loss measured during the “on” state denotes parasitic signal loss from coupling to the ground and it increases as frequency goes up. The insertion loss of the switch is characterized by meaparameters through the input and output terminals suring in the “on” state with no electrical control signal. The measured insertion loss is 0.85 dB at 19.5 GHz and 1.32 dB at 35 GHz, respectively. The insertion loss is mainly determined by the signal coupling to the ground and the CPW line loss. In the fabricated switch, the coupling loss is very low due to low coupling capacitance in the “on” state thanks to a large initial height of 12.5 m. However, the CPW loss is relatively large compared to the previously reported ones [18], [19]. This is because the long ( 1 mm) and thin (500 nm) CPW lines are used. To reduce the insertion loss, the CPW line loss should be reduced. Isolation represents the performance of the “off” state and parameters along the signal lines has been measured with

In this paper, we have proposed new low-voltage and low-power RF MEMS switches actuated by the combination of electromagnetic and electrostatic forces. The proposed switch uses electromagnetic force only during switching transition periods and, for the rest of the holding period, it uses electrostatic force to maintain low-power consumption. We have successfully implemented both series- and shunt-type RF MEMS switches using the proposed actuation scheme. From the large electromagnetic force, which is independent of initial actuator positions, the proposed RF MEMS switches can allow a large gap between the actuator and signal lines. From this, we can achieve high isolation in the “off” state for the series-type switch and low insertion loss in the “on” state for the shunt-type switch. Also, large electromagnetic force can provide a mechanically robust structure to guarantee reliable operation. Furthermore, large restoring force can increase power-handling capability of the fabricated switches by using bidirectional magnetic force. The fabricated switches show the maximum actuation voltage below 3.7 V and the maximum power consumption less than 87.9 J per switching. The series-type switch gives isolation of 34 dB and insertion loss of 0.37 dB at 20 GHz, respectively. The shunt-type switch shows isolation of 20.7 dB and insertion loss of 0.85 dB at 19.5 GHz, respectively. It is expected that there is an ample room to improve performance through optimization of design and fabrication. The mechanically robust structure can guarantee stable operation and extend lifetime of the fabricated switches. In addition to that, small actuation voltage will make it easy to be integrated with other components and extends its possibility to CMOS integration as a viable SoC solution for future wireless communication products. REFERENCES [1] H. A. C. Tilmans, W. D. Raedt, and E. Beyne, “MEMS for wireless communications,” J. Micromech. Microeng., vol. 13, pp. 139–163, Jun. 2003. [2] L. W. Ke, Y. J. Chan, and Y. C. Chiang, “Monolithic microwave AlGaAs/InGaAs doped-channel FET switches,” Microwave Opt. Technol. Lett., vol. 13, no. 1, pp. 47–49, Sep. 1996.

CHO et al.: LOW-VOLTAGE AND LOW-POWER RF MEMS SERIES AND SHUNT SWITCHES

[3] K. Kawakyu, Y. Ikeda, M. Nagaoka, and N. Uchitomi, “A novel resonant-type GaAs SPDT switch IC with low distortion characteristics for 1.9 GHz personal handy-phone system,” in IEEE MTT-S Int. Microwave Symp. Dig., San Francisco, CA, Jun. 1996, pp. 647–650. [4] G. M. Rebeiz and J. B. Muldavin, “RF MEMS switches and switch circuits,” IEEE Micro, vol. 2, pp. 59–71, Dec. 2001. [5] E. R. Brown, “RF-MEMS switches for reconfigurable integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1868–1880, Nov. 1998. [6] S. P. Pacheco, L. P. B. Katehi, and C.-T. Nguyen, “Design of low actuation voltage RF MEMS switch,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 165–168. [7] S.-C. Shen and M. Reng, “Low actuation voltage RF MEMS switches with signal frequencies from 0.25 GHz to 40 GHz,” in IEEE Electron Devices Meeting, Washington, DC, Dec. 1999, pp. 689–692. [8] J. Y. Park, G. H. Kim, K. W. Chung, and J. U. Bu, “Electroplated RF MEMS capacitive switches,” in Proc. IEEE MEMS Conf., Miyazaki, Japan, Jan. 2000, pp. 639–644. [9] D. Peroulis, S. Pacheco, K. Sarabandi, and L. P. B. Katehi, “MEMS devices for high isolation switching and tunable filtering,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 1217–1220. [10] S. P. Pacheco, L. P. B. Katehi, and C.-T. Nguyen, “Design of low actuation voltage RF MEMS switch,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 165–168. [11] D. Hah, E. Yoon, and S. Hong, “A low voltage actuated micromachined microwave switch using torsion springs and leverage,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2000, pp. 157–160. [12] W. P. Taylor, O. Brand, and M. G. Allen, “Fully integrated magnetically actuated micromachined relays,” J. Microelectromech. Syst., vol. 7, pp. 181–191, Jun. 1998. [13] M. Ruan, J. Shen, and C. B. Wheeler, “Latching micromagnetic relays,” J. Microelectromech. Syst., vol. 10, no. 6, pp. 511–517, Dec. 2001. [14] I.-J. Cho, K.-S. Yun, H.-K. Lee, J.-B. Yoon, and E. Yoon, “A low voltage two axis electromagnetically actuated micromirror with bulk silicon mirror plates and torsion bars,” in Proc. IEEE MEMS Conf., Las Vegas, NV, Jan. 2002, pp. 540–543. [15] I.-J. Cho, T. Song, S.-H. Baek, and E. Yoon, “A low-voltage and lowpower RF MEMS switch actuated by combination of electromagnetic and electrostatic forces,” in Proc. 34th Eur. Microwave Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 1445–1448. [16] D. Hyman and M. Harada, “Contact physics of gold microcontacts for MEMS switches,” IEEE Trans. Compon. Packag. Technol., vol. 22, no. 3, pp. 357–364, Sep. 1999. [17] J. Oberhammer and G. Stemme, “Low-voltage high isolation DC-to-RF MEMS switch based on an s-shaped film actuator,” IEEE Trans. Electron Devices, vol. 51, no. 1, pp. 149–155, Jan. 2004. [18] J. B. Muldavin and G. M. Rebeiz, “High isolation CPW MEMS shunt switches—Part 1: Modeling,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1045–1052, Jun. 2000. , “Novel series and shunt MEMS switch geometries for -band [19] applications,” in Proc. 30th Eur. Microwave Conf, Paris, France, Oct. 2000, pp. 512–515.

X

Il-Joo Cho received the B.S, M.S., and Ph.D. degrees in electrical engineering from the Korean Advanced Institute of Science and Technology (KAIST), Deajeon, Korea, in 1998, 2000, and 2004, respectively. His doctoral research concerned MEMS micromirrors and RF MEMS switches. Since 2004, he has been with the LG Electronics Institute of Technology, Seoul, Korea, where he has been involved in the area of MEMS. His research interests include nanodata storage, RF MEMS switches, and bio-MEMS.

2457

Taeksang Song was born in Eumseong, Korea, in 1978. He received the B.S. and M.S. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Deajeon, Korea, in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree at KAIST. His research interests are RF integrated circuits including voltage-controlled oscillators (VCOs), power amplifiers, and front-ends for disposable wireless sensor networks.

Sang-Hyun Baek was born in Busan, Korea, in 1979. He received the B.S. and M.S. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Deajeon, Korea, in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree at KAIST. His research interests include the design and implementation of fully integrated low-power transmitters for wireless sensor networks.

Euisik Yoon (S’80–M’82) received the B.S. and M.S. degrees in electronics engineering from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1990. From 1990 to 1994, he was with the Fairchild Research Center, National Semiconductor Corporation, Santa Clara, CA, where he was engaged in research on deep submicrometer CMOS integration and advanced gate dielectrics. From 1994 to 1996, he was a Member of Technical Staff with Silicon Graphics Inc., Mountain View, CA, where he was involved with the design of the MIPS microprocessor R4300i and the RCP three-dimensional (3-D) graphic coprocessor. In 1996, he joined the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, where he is currently an Associate Professor. His current research interests are MEMS, integrated microsystems, and very large scale integration (VLSI) circuit design. Dr. Yoon has served on various Technical Program Committees, including the Microprocesses and Nanotechnology Conference, the International Sensor Conference, and the IEEE Asia–Pacific Conference on Application Specific Integrated Circuits (ASICs) Conference. He currently serves the IEEE International Solid-State Circuits Conference (ISSCC) Program Committee and Transducers Technical Program Committee. He was the corecipient of the Student Paper Award presented at the IEEE International Microwave Symposium in 1999 and 2000, respectively.

2458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

Analysis and Design of a Three-Section Transmission-Line Transformer Mitchai Chongcheawchamnan, Sumongkol Patisang, Sarayut Srisathit, Ravee Phromloungsri, and Sawat Bunnjaweht

Abstract—A three-section transmission-line transformer for matching three arbitrary frequencies and an arbitrary load is proposed. In this paper, the design parameters of the proposed transmission line are analyzed based on an ideal transmission-line circuit model. A design procedure and some simple design equations are derived. The validity of the results is proven by numerous results from analysis, simulation, and experiment. Index Terms—Arbitrary frequencies, ideal transmission line, impedance matching, transmission-line transformer (TLT).

I. INTRODUCTION

A

N IMPEDANCE transformer, or an impedance-matching network, is one of the most basic building blocks in most RF/microwave circuits and systems to meet a maximum power transfer requirement [1]. With an implementation issue, impedance transformers can be mainly categorized into two approaches, which are lump and transmission line. The lump approach is usually used in low-frequency applications due to the availability of lumped components [2]. The transmission-line approach, where its physical size is quantified in wavelength, is more widely used due to a lack of lumped components for high-frequency application. Consequently, transmission-line transformers (TLTs) are widely used and applied to several active and passive circuits for microwave and millimeter-wave applications [3], [4]. It is well known that matching impedance at a given band can be simply achieved using a single-section TLT. This single-section quarter-wave transformer is commonly used due to its simplicity, but bandwidth performance is inherently related to the impedance transforming ratio [5]. Wide-band impedance matching, in the sense of maintaining a reflection coefficient below a specified value at a certain frequency band, may need a multisection TLT to fulfill the task [6]. For wide-band matching designs, various approaches to synthesize such networks are available today [7]. Since low-cost, low-complexity, multifunctionality, and multiband communication systems are greatly needed to support modern communication systems [8], multiple single-band circuits operating in different bands is an unattractive solution because of its complexity and size. Alternately, for its smaller size and less complexity, a multiband operating component is more preferable in practice than its companion the multi-single-band component choice [9]. Manuscript received November 28, 2004; revised March 1, 2005. The authors are with the Research Center of Electromagnetic-Wave Applications, Mahanakorn University of Technology, Bangkok 10530, Thailand (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.850408

Fig. 1. Three-section TLT.

Since numerous RF/microwave components employ the TLT concept in their designs, developing multiband TLTs will be one of the key approaches to design several multiband RF/microwave components. For example, a two-section TLT can be applied to a dual-band matching network [10] and a dual-band Wilkinson divider [11]. Though many wide-band TLT design approaches were proposed, little effort has been performed for multiband TLTs [12]. In fact, the multiband TLT’s concept, differing from the concept of a wide-band TLT, must simultaneously match impedance at given operating frequencies. Recently, a dual-band TLT was first proposed by Chow and Wan on the condition that the second operating frequency must be a second harmonic of the fundamental frequency. This dual-band TLT is a two-section TLT of 1/3 wavelength in each section [10]. A further attempt of dualband TLT development was performed by Monzon [13], who proposed the two-section TLT for two arbitrarily operating frequencies. In addition, completed and closed-form design equations were provided [13]. In this paper, a three-section TLT, extended from a two-section TLT concept, is investigated and then applied for a tri-band application. Based on an ideal transmission-line model, design equations of the three-section TLT for three operating frequenand ) for any transforming ratios will be given in cies ( Section II. The validity of the derived equations are proven by numerous analytical and experimental examples in Section III. Finally, this paper presents conclusions in Section IV. II. ANALYSIS AND DESIGN Here, an analysis and design of the proposed three-section to at three arbitrary frequencies TLT for matching ( and ) are illustrated. These three frequencies can be and , where and are chosen so that . any positive real numbers and and . Fig. 1 shows a three-section TLT terminating with For our analysis, we assume that both and are purely resistive. The characteristic impedances of the transmission-line and . Their corresponding sections are denoted as and , respectively. For compact lengths are denoted as

0018-9480/$20.00 © 2005 IEEE

CHONGCHEAWCHAMNAN et al.: ANALYSIS AND DESIGN OF THREE-SECTION TRANSMISSION-LINE TRANSFORMER

2459

design, these lengths will be selected so that their related phase are less than , i.e., . angles at and ) of each secThe driving-point impedances ( tion in the three-section TLT (corresponding to Fig. 1) can be written as

Note that none of the tangent terms in (7) is zero since each for compact size. In transmission-line section is less than addition, the conditions defined in (9a) and (9b) lead to infer that (7) is valid if and only if both right- and left-hand-side terms are zero. Hence, from the right-hand-side term, one obtains

(1)

(11)

(2)

Substitute from (10) into (11), we can write and as follows:

in terms of

(3)

(12)

. Substituting (3) into (2) and For perfect matching, as follows: with further simplification, we obtain

The result of (12) leads us to conclude that the second term of the left-hand-side term is zero. Therefore, the left-hand-side term in (7) has only two terms remaining, i.e.,

(4)

(13) Substitute (11) and (12) into (13) and solve, we obtain

From (1), we can determine

in terms of

as follows:

(14) (5)

There are numerous answers satisfying (14), however, since a compact design is needed, we can conclude that

Equating the complex equations (4) and (5), we obtain

(15) Substituting (10), (11), (12), and (15) into (6), we obtain

As shown in (6) and (7), defined as

(6)

(16)

(7)

It is obvious in (16) that we have three unknown parameters remaining, which are and . In fact, (16) is sufficient to determine these parameters since it must be satisfied at three operating frequencies. Hence, we obtain three equations from and . For our convenience, let us define (16) to solve

is the transforming ratio, which is

(17a)

(8) This transforming ratio can be considered as two cases, which and , respectively. To obtain compact are size, the characteristic impedances of our design must satisfy the following conditions: For For

(9a) (9b)

(17b) At and , their corresponding propagation constants can be written in terms of , the propagation constant at . These are and for and , respectively. Substitute (17a) and at and , at , we (17b) into (16) and solve for obtain the following:

Note that all impedances denoted in (9a) and (9b) are positive as a geometry mean of and real numbers. Here, we select , i.e.,

(18) At

, we obtain the following:

(10) To simultaneously match three frequencies, (6) and (7) must be satisfied for three operating frequencies.

(19)

2460

At

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

, we obtain the following:

(20) and are integer numbers and . where The length of should be a minimum positive value, hence, all positive signs in front of the -multiple terms in (18)–(20) and should not be greater than 1 will be discarded. Also, to attain a compact size. By considering (18) with the conditions shown in (9a) and (9b), we can determine that the term in the inverse tangent function defined in (18) is always positive. Consequently, , shown in (18), can only be equal to zero, thus, (18) becomes Fig. 2. Design flowchart.

(21) From (19)–(21), one can eliminate the unknown parameter dividing (19) and (20) with (21). This leads to

by

(22a)

(22b) ( is embedded in variable The electrical length and and ) can be determined by solving these two nonlinear equations simultaneously via an optimization process. During the optimization process, and in (22a) and (22b) will be set to 1 if the inverse tangent term in the nominator of (22a) or (22b) is and from being negative. After obnegative to prevent and can be obtained simply from taining and (12), (16), and (22a) and (22b), respectively. Fig. 2 shows the design flow of the technique. Starting with and three matching frequencies, the matched impedance and will be calculated from (22a) and (22b) by an optimizaand , all unknown design tion algorithm. After obtaining and ) will be simply obtained from parameters ( (12)–(15) and (21). Finally, all physical parameters of the transmission lines are synthesized from the obtained design parameters. III. ANALYTICAL, SIMULATED, AND MEASURED RESULTS From the design procedure and equations shown in Section II, several analytical, simulated, and measured results of the threesection TLT for three simultaneously matched frequencies were performed. To prove the validity of the technique and to investigate the performance of the designed three-section TLT, the analytical

Fig. 3. k

Theoretical return losses of the three-section transformer for

= 0:1; 0:17; 0:25; 0:4; 0:5; and 0:6.

Fig. 4.

Theoretical results of the three-section transformer for

1:2; 1:6; 2; 2:4; and 2:8 and u = 3.

u

=

results obtained from the aforementioned design procedure with and are shown in Figs. 3–5. various values of Here, we consider the return-loss bandwidth in each individual band as the bandwidth of the three-section TLT. Fig. 3 shows the design results of the three-section TLT for and with various , where . The designed TLTs are well matched to the desired frequencies and, as shown

CHONGCHEAWCHAMNAN et al.: ANALYSIS AND DESIGN OF THREE-SECTION TRANSMISSION-LINE TRANSFORMER

LIST

Fig. 5. u

OF

2461

TABLE I DESIGN PARAMETERS FOR f = 0:9 GHz, f = 1:8 GHz, AND f = 2:4 GHz AT DIFFERENT k VALUES

Theoretical results of the three-section transformer for u = 1:2 and

= 1:6; 1:8; 2:2; 2:6; and 3.

in Fig. 3, the bandwidth of each band for is proporwas also studied and it is tional to . The bandwidth for inversely proportional to . As mentioned above, the bandwidth of the three-section TLT is related to . Also, the bandwidth of each individual band depends on its adjacent bands. In Fig. 4, the transformers were and various . designed to match 50 to 100 for With the same , the analytical results shown in Fig. 5 are of and various . the three-section TLT matching with From the results shown in Figs. 4 and 5, matching at three simultaneous frequencies is obtained from the technique. The results shown in Fig. 4 lead us to investigate the band, which implies that is width with various . For close to , the bandwidth at the center frequency of is imcaused by the proved by an increase in return loss around is increased, adjacent band at the center frequency of . If which, in turn, moves toward , the bandwidth at the center frequency of will be reduced, while the bandwidth at the center frequency of will be increased. From Fig. 4, the bandwidth at is affected by its adjacent bands, i.e., the band at and . The bandwidth at the center frequency of is smallest when and will gradually increase if comes closer to either or , i.e., its adjacent band. Fig. 5 shows the bandwidth performance of the designed . Similarly, changing results in a TLTs with various change of and, hence, the bandwidths at the center frequency . of and increase as both bands come close, i.e., To further prove our analysis and design, TLTs for 50matching at 0.9, 1.8, and 2.4 GHz of three different transforming ratios ( and ) were designed, simulated, and tested. A small MATLAB programming code1 was developed for the design flow discussed in Section II. The numerical results of the designed parameters obtained from the design flow in Fig. 2 are listed in Table I. Notice that the lengths of each transmission-line section are independent from , not with the matched frequency. With the designed parameters listed in Table I, three microstrip TLTs were realized. The circuits were of 1.6-mm thickness. designed on an FR4 substrate The tolerance of our in-house fabrication was 0.1 mm.

1[Online].

Available: http://www.mathworks.com

Fig. 6.

EM simulation results.

Fig. 7. Measured results.

Fig. 6 shows the electromagnetic simulation results obtained from Sonnet Lite software.2 Three frequencies simultaneous three-section TLTs are obtained. It matching of various should be noted that the increased insertion loss with operating frequency stems from the tangent loss in the FR4 substrate. Fig. 7 shows the measured results obtained from the HP8720C network analyzer. The measured results of the return loss are in good agreement with the electromagnetic simulations results in Fig. 5. The matched frequencies for our designs are at 0.9, 1.75, and 2.5 GHz, which are quite close to the design targets. The drift in matched frequencies possibly comes from the tolerance in our fabrication process. Note that the measured insertion losses resulted from material loss, not from the proposed technique. IV. CONCLUSION Based on an ideal transmission-line model, an analysis and design of a three-section TLT for arbitrarily transforming ra2[Online].

Available: http://www.sonnetusa.com

2462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 7, JULY 2005

tios and three simultaneously matched frequencies have been discussed. The obtained analysis equations has simplified the design process. From analysis results, each transmission line’s characteristic impedance depends only on the transforming ratios, where the electrical length depends on operating frequency. The simple design procedure of the three-section TLT is proven by simulation and experimental results. With its simplicity, the proposed transmission line and its derived design rule are highly suited for modern communication applications.

Sumongkol Patisang was born in Rayong, Thailand, on May 14, 1980. He received the B.Eng. degree in telecommunication engineering from the Mahanakorn University of Technology, Bangkok, Thailand, in 2002, and is currently working toward the Master degree in electrical engineering at the Mahankorn University of Technology. He is a member of the Research Center of Electromagnetic-Wave Applications (RCEW), Mahankorn University of Technology. His research interests include RF and microwave integrated circuits and devices.

ACKNOWLEDGMENT The authors would like to thank this TRANSACTIONS’ associate editor and anonymous reviewers for their constructive comments and suggestions to improve the quality of this paper. REFERENCES [1] G. L. Matthaei, L. Young, and E. M. T. Jone, Microwave Filter, Impedance Matching Networks, and Coupling Structure. New York: McGraw-Hill, 1964. [2] I. Bahl, Lumped Elements for RF and Microwave Circuits. Boston, MA: Artech House, 2003. [3] R. J. Wilkinson, “An -way hybrid power divider,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [4] D. M. Pozar, Microwave Engineering. New York: Wiley, 1990. [5] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: Wiley, 2001. [6] H. J. Riblet, “A general theorem on an optimum stepped impedance transformer,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 3, pp. 169–170, Mar. 1960. [7] D. K. Misra, Radio Frequency and Microwave Communication Circuits. New York: Wiley, 2001. [8] W. Webb, The Complete Wireless Communications Professional: A Guide for Engineers and Managers. Boston, MA: Artech House, 2003. [9] J. H. Sung et al., “Design method of a dual band balun and divider,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2002, pp. 1177–1180. [10] Y. L. Chow and K. L. Wan, “A transformer of one-third wavelength in two sections—For a frequency and its first harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 22–23, Jan. 2002. [11] S. Srisathit, M. Chongcheawchamnan, and A. Worapishet, “Design and realization of a dual-band 3-dB power divider based on a two-section transmission-line topology,” Electron Lett., vol. 39, pp. 723–724, May 2003. [12] D. A. McClure, “Broadband transmission-line transformer family matches a wide range of impedances,” RF Design, pp. 62–66, Feb. 1994. [13] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003.

N

Mitchai Chongcheawchamnan received the B.Eng. degree in telecommunication engineering from King’s Mongkut Institute of Technology Ladkrabang (KMITL), Bangkok, Thailand, in 1992, the M.Sc. degree in communication and signal processing from Imperial College, University of London, London, U.K., in 1995, and the Ph.D. degree in electrical engineering from the University of Surrey, Surrey, U.K., in 2001. He is currently a Director of the Research Center of Electromagnetic-Wave Applications and Assistant Professor with the Department of Telecommunication Engineering, Mahankorn University of Technology, Bangkok, Thailand. His research and teaching interests include RF and microwave passive and active circuits. He is listed in Marquis’ Who’s Who in the World.

Sarayut Srisathit was born in Khonkean, Thailand, on July 6, 1973. He received the B.Eng. and M.Eng. degrees from the Mahanakorn University of Technology, Bangkok, Thailand, in 1996 and 2002, respectively, both in electrical engineering. In March 1997, he was an Assistant Teacher with the Telecommunication Engineering Department, Mahanakorn University of Technology. From 1999 to 2003, he was a Researcher with the Mahanakorn Microelectronic Research Center (MMRC), Mahanakorn University of Technology, where he was involved with the design of cascaded single-stage distributed amplifiers and power amplifiers in CMOS technology. He is currently a member of the Research Center of Electromagnetic-Wave Applications (RCEW) and Lecturer with the Faculty of Engineering, Department of Telecommunication Engineering, Mahanakorn University of Technology. Since 2002, he has also been the head of the Microwave and Antenna Research Laboratory. His current research interests include RF-CMOS power amplifiers, power oscillators, microwave devices, and wireless communication systems.

Ravee Phromloungsri received the B.Sc. degree in applied physics from the King’s Mongkut Institute of Technology, Ladkrabang, Thailand , in 1992, the M.Eng. degree in electrical engineering from the Mahanakorn University of Technology, Bangkok, Thailand, in 2000, and is currently working toward the D.Eng. degree at the Mahanakorn University of Technology. Since 1992, he has been with the Mahanakorn University of Technology. His current research interests include passive/active components for wireless/microwave communication systems.

Sawat Bunnjaweht received the Ind.Tech. degree in telecommunication technology and M.Eng. in electrical engineering from the King’s Mongkut Institute of Technology, Ladkrabang, Thailand, in 1989 and 1996, respectively, and is currently working toward the Ph.D. degree at the University of Surrey, Surrey, U.K. Since 1992, he has been with the Mahanakorn University of Technology, Bangkok, Thailand. His main research interest is the generation of low phasenoise carriers for RF and microwave communication systems.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.850502

Digital Object Identifier 10.1109/TMTT.2005.853653

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, K. ITOH, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, V. RIZZOLI, D. WILLIAMS REVIEWERS M. Abdul-Gaffoor M. Abe R. Abou-Jaoude M. Abouzahra A. Abramowicz L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agarwal D. Ahn H.-R Ahn M. Aikawa C. Aitchison M. Akaike C. Akyel A. Akyurtlu B. Albinsson F. Alessandri A. Alexanian C. Algani W. Ali-Ahmad F. Alimenti B. Allen D. Allsopp D. Allstot R. Alm B. Alpert A. Alphones A. Altintas A. Alvarez-Melcom M. Alzona S. Amari L. Andersen B. Anderson Y. Ando O. Anegawa K.-S. Ang I. Angelov R. Anholt Y. Antar G. Antonini D. Antsos K. Anwar I. Aoki R. Aparicio K. Araki J. Archer P. Arcioni F. Arndt R. Arora U. Arz M. Asai P. Asbeck K. Ashby H. Ashok J. Atherton A. Atia I. Awai K. Aygun S. Ayuz Y. Baeyens T. Bagwell Z. Baharav I. Bahl D. Baillargeat S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Bandurkin R. Bansal D. Barataud I. Barba F. Bardati I. Bardi S. Barker D. Barlage J. Barr D. Batchelor B. Bates H. Baudrand S. Beaussart R. Beck D. Becker K. Beilenhoff B. Beker V. Belitsky D. Belot H. Bell T. Benson M. Berroth G. Bertin S. Best W. Beyenne A. Beyer S. Bharj K. Bhasin P. Bhattacharya Q. Bi M. Bialkowski E. Biebl P. Bienstman R. Bierig R. Biernacki S. Bila L. Billonnet T. Bird B. Bishop G. Bit-Babik D. Blackham B. Blalock M. Blank P. Blondy P. Blount D. Boccoli B. Boeck F. Bögelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria V. Borich O. Boric-Lubecke E. Borie J. Bornemann R. Bosisio H. Boss S. Bousnina P. Bouysse M. Bozzi E. Bracken P. Bradley R. Bradley T. Brazil G. Brehm K. Breuer B. Bridges L. Briones T. Brookes S. Broschat E. Brown G. Brown R. Brown S. Brozovich S. Bruce

S. Bryan H. Bu D. Budimir T. Budka M. Bujatti C. Buntschuh J. Burghartz P. Burghignoli O. Buric-Lubecke D. Butler Q. Cai M. Calcatera C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa S. Cammer C. Campbell R. Campbell M. Campovecchio F. Canavero A. Cangellaris F. Capolino A. Cappy J.-L. Carbonero G. Carchon J. Carlin G. Carrer R. Carter F. Casas A. Cassinese J. Catala R. Caverly M. Celik M. Celuch-Marcysiak Z. Cendes B. Cetiner J. Cha N. Chaing H. Chaloupka M. Chamberlain C.-H. Chan C.-Y. Chang C. Chang F. Chang H.-C. Chang K. Chang H. Chapell W. Chappell W. Charczenko K. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen D. Chen H.-S. Chen J. Chen J.-I. Chen J. Chen K. Chen S. Chen W.-K. Chen Y.-J. Chen Y.-K. Chen Z. Chen K.-K. Cheng S. Cherepko W. Chew W.-C. Chew C.-Y. Chi Y.-C. Chiang T. Cho D. Choi J. Choi C.-K. Chou D. Choudhury Y. Chow C. Christopoulos S. Chung R. Cicchetti A. Cidronali T. Cisco J. Citerne D. Citrin R. Clarke J. Cloete E. Cohen L. Cohen A. Coleman R. Collin F. Colomb B. Colpitts G. Conciauro A. Connelly D. Consonni H. Contopanagos F. Cooray I. Corbella J. Costa E. Costamagna A. Costanzo C. Courtney J. Cowles I. Craddock G. Creech J. Crescenzi S. Cripps D. Cros T. Crowe M. Crya R. Culbertson C. Curry W. Curtice Z. Czyz S. D’Agostino C. Dalle G. Dambrine K. Dandekar A. Daryoush B. Das N. Das M. Davidovich M. Davidovitz B. Davis I. Davis L. Davis G. Dawe H. Dayal F. De Flaviis H. De Los Santos P. De Maagt D. De Zutter B. Deal A. Dec J. Deen J. Dees J. DeFalco D. Degroot C. Deibele J. Del Alamo A. Deleniv M. DeLisio S. Demir J. DeNatale E. Denlinger N. Deo

A. Deutsch Y. Deval T. Dhaene A. Diaz-Morcillo G. D’Inzeo C. Diskus B. Dixon T. Djordjevic M. A. Do J. Doane J. Dobrowolski W. Domino S. Dow C. Dozier P. Draxler R. Drayton A. Dreher F. Drewniak S. Dudorov S. Duffy L. Dunleavy V. Dunn J. Dunsmore A. Dutta D. Duvanaud A. Duzdar S. Dvorak L. Dworsky M. Dydyk L. Eastman J. Ebel R. Egri R. Ehlers T. Eibert H. Eisele B. Eisenstadt G. Eisenstein G. Eleftheriades I. Elfadel S. El-Ghazaly F. Ellinger T. Ellis B. Elsharawy R. Emrick N. Engheta B. Engst Y. Eo H. Eom N. Erickson J. Eriksson C. Ernst M. Eron L. Escotte M. Essaaidi J. Everard G. Ewell A. Ezzeddine M. Faber C. Fager D.-G. Fang N. Farhat M. Farina W. Fathelbab A. Fathy A. Fazal E. Fear R. Feinaugle M. Feldman P. Feldman A. Ferendeci C. Fernandes A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko I. Filanovsky P. Filicori D. Filipovic A. Fliflet P. Focardi B. Fornberg K. Foster P. Foster G. Franceschetti A. Franchois M. Freire R. Freund A. Freundorfer F. Frezza R. Fujimoto V. Fusco G. Gabriel T. Gaier Z. Galani I. Galin D. Gamble B.-Q. Gao M. Garcia K. Gard R. Garver G. Gauthier B. Geller V. Gelnovatch P. Genderen G. Gentili N. Georgieva W. Geppert J. Gerber F. Gerecht F. German S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini A. Gibson S. Gierkink J. Gilb B. Gilbert B.Gimeno E.Glass A. Glisson M. Goano E. Godshalk J. Goel M. Goldfarb C. Goldsmith P. Goldsmith M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon P. Gould K. Goverdhanam J. Graffeuil L. Gragnani B. Grant G. Grau A. Grebennikov B. Green T. Gregorzyk I. Gresham E. Griffin

J. Griffith A. Griol G. Groskopf C. Grossman T. Grzegorczyk M. Guglielmi P. Guillon K.-H. Gundlach A. Gupta K. Gupta R. Gupta F. Gustrau R. Gutmann W. Gwarek R. Haas J. Hacker G. Haddad S. Hadjiloucas C. Hafner M. Hagmann S. Hagness H.-K. Hahn A. Hajimiri D. Halchin A. Hallac B. Hallford K. Halonen R. Ham K. Hamaguchi M. Hamid J.-H. Han A. Hanke V. Hanna V. Hansen G. Hanson Y. Hao L. Harle M. Harris L. Hartin H. Hartnagel J. Harvey H. Hasegawa K.-Y. Hashimoto K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi J. Hayashi L. Hayden B. Haydl S. He T. Heath J. Heaton I. Hecht G. Hegazi P. Heide E. Heilweil W. Heinrich G. Heiter M. Helier R. Henderson R. Henning D. Heo J. Herren K. Herrick N. Herscovici J. Hesler J. Heston M. Heutmaker C. Hicks R. Hicks A. Higgins M. Hikita D. Hill G. Hiller W. Hioe J. Hirokawa T. Hirvonen V. Ho W. Hoefer R. Hoffmann M. Hoft J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii D. Hornbuckle J. Horng J. Horton K. Hosoya R. Howald H. Howe J.-P. Hsu Q. Hu C.-C. Huang C. Huang F. Huang H.-C. Huang J. Huang P. Huang T.-W. Huang A. Huber D. Huebner H.-T. Hui A. Hung C. Hung H. Hung I. Hunter J. Hurrell M. Hussein B. Huyart I. Huynen H.-Y. Hwang J. Hwang K.-P. Hwang J. Hwu C. Icheln T. Idehara S. Iezekiel P. Ikonen K. Ikossi K. Inagaki A. Ishimaru T. Ishizaki Y. Ismail K. Itoh T. Itoh F. Ivanek A. Ivanov T. Ivanov C. Iversen D. Iverson D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob M. Jacob H. Jacobsson D. Jaeger N. Jaeger N. Jain R. Jakoby G. James R. Janaswamy

Digital Object Identifier 10.1109/TMTT.2005.853652

V. Jandhyala W. Jang R. Jansen J. Jargon B. Jarry P. Jarry A. Jelenski W. Jemison S.-K. Jeng M. Jensen E. Jerby G. Jerinic T. Jerse P. Jia D. Jiao J.-M. Jin J. Johansson R. Johnk W. Joines K. Jokela S. Jones U. Jordan L. Josefsson K. Joshin J. Joubert R. Kagiwada T. Kaho M. Kahrs D. Kajfez S. Kalenitchenko B. Kalinikos H. Kamitsuna R. Kamuoa M. Kanda S.-H. Kang P. Kangaslahtii B. Kapilevich K. Karkkainen M. Kärkkäinen A. Karpov R. Karumudi A. Kashif T. Kashiwa L. Katehi A. Katz R. Kaul S. Kawakami S. Kawasaki M. Kazimierczuk R. Keam S. Kee S. Kenney A. Kerr O. Kesler L. Kettunen M.-A. Khan J. Kiang O. Kilic H. Kim I. Kim J.-P. Kim W. Kim C. King R. King A. Kirilenko V. Kisel A. Kishk T. Kitamura T. Kitazawa M.-J. Kitlinski K. Kiziloglu R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kobayashi G. Kobidze P. Koert T. Kolding N. Kolias B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa B. Kopp B. Kormanyos K. Kornegay M. Koshiba T. Kosmanis J. Kot A. Kraszewski T. Krems J. Kretzschmar K. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa H. Kubo C. Kudsia S. Kudszus E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar J. Kuno J.-T. Kuo P.-W. Kuo H. Kurebayashi T. Kuri F. KurokI L. Kushner N. Kuster M. Kuzuhara Y.-W. Kwon I. Lager R. Lai J. Lamb P. Lampariello M. Lanagan M. Lancaster U. Langmann G. Lapin T. Larsen J. Larson L. Larson J. Laskar M. Laso A. Lauer J.-J. Laurin G. Lazzi F. Le Pennec J.-F. Lee J.-J. Lee J.-S. Lee K. Lee S.-G. Lee T. Lee K. Leong T.-E. Leong Y.-C. Leong R. Leoni M. Lerouge K.-W. Leung Y. Leviatan R. Levy L.-W. Li

Y.-M. Li L. Ligthart C.-L. Lin J. Lin G. Linde S. Lindenmeier A. Lindner C. Ling H. Ling D. Linkhart P. Linnér D. Lippens F. Little A. Litwin L. Liu Q.-H. Liu S.-I. Liu Y.-W. Liu O. Llopis S. Lloyd C. Lohmann J. Long U. Lott D. Lovelace K. Lu L.-H. Lu S. Lu W.-T. Lu V. Lubecke S. Lucyszyn R. Luebbers L. Lunardi S. Luo J. Luy C. Lyons G. Lyons Z. Ma S. Maas G. Macchiarella S. Maci T. Mader M. Madihian A. Madjar M. Magana T. Magath C. Mahle S. Mahmoud I. Maio M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu D. Malocha L. Maloratsky V. Manasson C. Mann H. Manohara R. Mansour S. March V. Mark F. Marliani R. Marques G. Marrocco S. Marsh J. Martens L. Martens J. Marti A. Martin E. Martinez A. Massa D. Masse K. Masterson A. Materka K. Matsunaga A. Matsushima R. Mattauch M. Mattes G. Matthaei P. Mayer W. Mayer J. Mazierska J. Mazur G. Mazzarella K. McCarthy P. McClay T. McKay J. McKinney R. McMillan R. McMorrow D. McPherson D. McQuiddy E. McShane F. Medina D. Meharry C. Meng H.-K. Meng W. Menzel F. Mesa R. Metaxas P. Mezzanotte K. Michalski E. Michielssen A. Mickelson V. Mikhnev R. Miles E. Miller M. Miller P. Miller R. Minasian J. Mink S. Mirabbasi J. Miranda D. Mirshekar T. Miura S. Miyahara H. Miyashita M. Miyazaki K. Mizuno S. Mizushina C. Mobbs M. Mohamed A. Mohammadian A. Mohan A. Mondal T. Monediere R. Mongia M. Mongiardo C. Monzon C. Moore J. Morente M. Morgan A. Morini J. Morsey A. Mortazawi H. Mosallaei J. Mosig A. Moulthrop G. Mourou A. Moussessian M. Mrozowski J.-E. Mueller T. Mueller J. Muldavin M. Muraguchi V. Nair K. Naishadham T. Nakagawa M. Nakatsugawa

M. Nakhla C. Naldi J. Nallatamby S. Nam T. Namiki G. Narayanan T. Narhi M. Nasir A. Natarajan J. Nath B. Nauwelaers J. Navarro J. Nebus D. Neikirk B. Nelson A. Neto E. Newman H. Newman M. Ney E. Ngoya C. Nguyen T. Nichols K. Niclas E. Niehenke S. Nightingale Y. Nikawa P. Nikitin A. Niknejad N. Nikolova K. Nikoskinen M. Nisenoff T. Nishikawa G. Niu S. Nogi T. Nojima T. Nomoto A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon J. O’Callahan M. Odyneic H. Ogawa K.-I. Ohata T. Ohira H. Okazaki V. Okhmatovski A. Oki M. Okoniewski G. Olbrich A. Oliner S. Oliver J. Olsson F. Olyslager A. Omar B.-L. Ooi A. Orlandi R. Orta S. Ortiz J. Osepchuk J. Ou W. Ou T. Oxley R. Paglione T. Palenius W. Palmer D.-S. Pan S.-K. Pan C. Panasik R. Panock C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park H. Park D. Parker T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino S. Patel P. Pathak A. Pavio J. Pavio T. Pavio J. Pearce W. Pearson J. Pedro B. Pejcinovic S.-T. Peng R. Pengelly J. Pereda L. Perregrini M. Petelin A. Peterson D. Peterson O. Peverini U. Pfeiffer A.-V. Pham J. Phillips L. Pierantoni B. Piernas J. Pierro P. Pieters B. Pillans M. Pirola W. Platte A. Platzker C. Pobanz A. Podell R. Pogorzelski P. Poire R. Pollard G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prather R. Pregla D. Prescott M. Prigent S. Pritchett Y. Prokopenko S. Prosvirnin J. Pulliainen L. Puranen D. Purdy J. Putz Y. Qian T. Quach D. Quak P. Queffelec R. Quere F. Raab V. Radisic L. Raffaelli M. Raffetto C. Railton O. Ramahi S. Raman

J. Randa R. Ranson T. Rappaport J.-P. Raskin P. Ratanadecho J. Rathmell C. Rauscher J. Rautio J. Rayas-Sánchez H. Reader G. Rebeiz B. Redman-White E. Reese R. Reid H.-M. Rein J. Reinert I. Rekanos R. Remis K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle E. Rius J. Rius B. Rizzi V. Rizzoli I. Robertson P. Roblin S. Rockwell A. Roden A. Rodriguez M. Rodwell H. Rogier A. Rong Y. Rong J. Roos D. Root N. Rorsman L. Roselli A. Rosen J. Rosenberg U. Rosenberg F. Rotella E. Rothwell L. Roy J. Roychowdury T. Rozzi J. Rubio R. Ruby A. Rudiakova M. Rudolph A. Ruehli P. Russer D. Rutledge A. Rydberg D. Rytting T. Saad C. Saavedra K. Sabet M. Sachidananda G. Sadowniczak A. Safavi-Naeini A. Safwat M. Sagawa M. Salazar M. Salazar-Palma A. Sanada M. Sanagi A. Sangster W. Sansen K. Sarabandi T. Sarkar C. Sarris P. Saunier S. Savov D. Schaubert I. Scherbatko G. Schettini F. Schettino M. Schetzen B. Schiek M. Schindler M. Schlechtweg E. Schmidhammer L. Schmidt D. Schmitt F. Schmückle F. Schnieder J. Schoukens D. Schreurs G. Schreyer W. Schroeder H. Schumacher J. Schutt-Aine F. Schwering W. Scott F. Sechi A. Seeds J. Sercu R. Settaluri J. Sevic O. Sevimli D. Shaeffer L. Shafai O. Shanaa Z. Shao M. Shapiro A. Sharma V. Shastin P. Shastry R. Shavit T. Shen T. Shibata A. Shibib H. Shigesawa Y.-C. Shih T. Shiozawa M. Shirokov W. Shiroma Y. Shoji N. Shuley M. Shur P. Siegel D. Sievenpiper B. Sigmon A. Sihvola C. Silva M. Silveira M. Silveirinha K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler J. Sitch N. Skou Z. Skvor R. Sloan D. Smith G. Smith P. Smith C. Snowden R. Snyder R. So H. Sobol E. Sobolewski A. Sochava N. Sokal V. Sokolov

M. Solano K. Solbach M. Solomon B.-S. Song M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers T. Sowlati R. Sparks S. Spiegel P. Staecker D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson A. Stelzer J. Stenarson K. Stephan M. Stern C. Stevens S. Stitzer M. Stone B. Strassner P. Stuart M. Stubbs M. Stuchly R. Sturdivant A. Suarez N. Suematsu T. Suetsugu Y. Suh F. Sullivan C. Sun L. Sundstrom S. Sussman-Fort K. Suyama J. Svacina D. Swanson B. Szendrenyi A. Szu W. Tabbara A. Taflove G. Tait Y. Tajima Y. Takayama M. Taki Y. Takimoto S. Talisa K. Tan W.-C. Tang E. Taniguchi R. Tascone J. Taub J. Tauritz D. Teeter F. Teixeira M. Tentzeris S.-A. Teo K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson G. Thoren M. Thumm N. Tilston W. Tinga I. Tittonen G. Tkachenko M.-R. Tofighi T. Tokumitsu K. Tomiyasu P. Tommasino A. Toropainen M. Toupikov I. Toyoda C. Trask S. Tretyakov R. Trew A. Trifiletti C. Trueman P. Truffer A. Truitt C.-M. Tsai R. Tsai J. Tsalamengas L. Tsang H.-Q. Tserng J. Tsui M. Tsuji T. Tsujiguchi R. Tucker J. Tuovinen C.-K. Tzuang T. Ueda K. Uehara S. Ueno J. Uher A. Uhlir T. Ulrich Y. Umeda T. Uwano N. Uzunoglu R. Vahldieck M. Vaidyanathan P. Vainikainen M. Valtonen N. Van der Meijs D. Van der Weide P. Van Genderen E. Van Lil C. Van Niekerk M. Vanden Bossche G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie K. Varian L. Vegni G. Venanzoni I. Vendik S. Verdeyme V. Veremey R. Vernon J. Verspecht L. Verweyen H. Vickes A. Victor L. Vietzorreck A. Viitanen F. Villegas D. Vinayak C. Vittoria S. Vitusevich D. Viveiros E. Viveiros J. Volakis V. Volman J. Vuolevi K. Wagner K. Wakino P. Waldow A.-A. Walid T. Walid

D. Walker V. Walker P. Wallace J. Walsh C. Wan A. Wang B.-Z. Wang C. Wang E. Wang H. Wang J. Wang K.-C. Wang L. Wang T.-H. Wang W. Wang Y. Wang Z. Wang K. Warnick K. Washio T. Watanabe R. Waterhouse R. Waugh D. Webb J. Webb K. Webb R. Webster S. Wedge C.-J. Wei R. Weigel T. Weiland A. Weily S. Weinreb J. Weiss S. Weiss A. Weisshaar C. Weitzel K. Weller T. Weller C.-P. Wen W. Weng M. Wengler S. Wentworth C. Westgate C. Whelan J. Whelehan L. Whicker J. Whitaker P. White S. Whiteley K. Whites W. Wiesbeck G. Wilkins A. Wilkinson D. Williams B. Wilson J. Wiltse P. Winson K. Wong K.-L. Wong T. Wong J. Woo J. Wood G. Woods G. Wrixon B.-L. Wu H. Wu K.-L. Wu R.-B. Wu T. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin H.-Z. Xu S.-J. Xu Y. Xu Q. Xue A. Yakovlev S. Yamamoto C.-H. Yang F. Yang H.-Y. Yang Y. Yang H. Yano H. Yao K. Yashiro S. Ye J. Yeo K. Yeo S.-P. Yeo S.-J. Yi W.-Y. Yin H. Ymeri S. Yngvesson T. Yoneyama C.-K. Yong H.-J. Yoo J.-G. Yook R. York N. Yoshida S. Yoshikado A. Young L. Young G. Yu M. Yu A. Zaghoul K. Zaki J. Zamanillo P. Zampardi J. Zapata J. Zehentner Q.-J. Zhang R. Zhang A. Zhao L. Zhao L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin R. Ziolkowski H. Zirath A. Zolfaghari T. Zwick