IEEE MTT-V053-I06A (2005-06) [53, 06A ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - [email protected] 1
020 - [email protected] 2
II. A NTENNA D ESIGN AND C HARACTERISTICS......Page 3
B. Dipole Design......Page 4
III. C HANNEL M ODEL......Page 5
V. R ESULTS......Page 6
Fig.€12. Comparison between experimentally and simulated EMI for......Page 7
Fig.€13. Scattering parameters measured in the three-element vec......Page 8
A. Taflove, Computational Electodynamics: The Finite-Difference......Page 9
I. I NTRODUCTION......Page 11
B. Nondestructive In Situ Package Characterization......Page 12
C. Recursive Implementation of the Algorithm......Page 13
Fig.€3. Simplified schematic of a programmable termination cell.......Page 14
Fig.€7. Smith chart of $S$ -parameters up to 50 GHz of three of......Page 15
A. Uncorrelated Errors......Page 16
V. C OMPARISON W ITH EM S IMULATIONS AND C ONVENTIONAL M EASUREM......Page 17
TABLE II H IGH -F REQUENCY EM S IMULATION P ARAMETERS (F INITE -......Page 18
VI. D ISCUSSION AND O UTLOOK......Page 19
C. Schuster, G. Leonhardt, and W. Fichtner, Electromagnetic simu......Page 20
I. I NTRODUCTION......Page 22
TABLE I U SABLE M ATERIALS OF E ACH L AYER OF THE P OLARIZER......Page 23
Fig.€2. TLMIE method applied to the modeling of the unit section......Page 24
Fig.€4. Polarizer (ARROW) TM power loss. Comparison between expe......Page 25
IV. C ONCLUSIONS......Page 26
A. Massaro, L. Pierantoni, and T. Rozzi, Accurate analysis of wa......Page 27
II. C OMPONENT D ESIGN......Page 29
B. Directional Coupler......Page 30
Fig. 4. Scattered radiation patterns $(P_s /P_o \times 10^{3})$......Page 31
Fig.€7. Cold-test transmission measurements of the 22-mm-diamete......Page 32
TABLE II C OLD -T EST I NSERTION -L OSS M EASUREMENT R ESULTS W......Page 33
V. C ONCLUSIONS......Page 34
F. B. Foote, D. T. Hodges, and H. B. Dyson, Calibration of power......Page 35
II. P ERTURBATION F ORMULA......Page 36
Fig.€4. Vertical cut through the cavity showing the field distri......Page 37
IV. H IGHER O RDER M ODES IN $Z$ - DIRECTION......Page 38
Fig.€5. Feed positioning fixture.......Page 39
H. E. Bussey and A. J. Estin, Errors in dielectric measurements......Page 40
II. D ESIGN OF THE S TROBE P ULSE G ENERATOR......Page 41
Fig.€2. Measured output pulse waveforms of the delay-line and sh......Page 42
Fig.€4. Equivalent-circuit model of the two-diode-bridge sampler......Page 43
Fig.€5. Layout of the designed sampling circuit with main RF com......Page 44
IV. F ABRICATION AND P ERFORMANCE OF THE CSH S AMPLER......Page 45
Fig.€10. Measured and calculated normalized conversion losses of......Page 46
S. Azevedo and T. E. McEwan, Micropower impulse radar, Sci. Tech......Page 47
Advanced Design System 2003A, Agilent EEsof EDA, Westlake Villag......Page 48
I. I NTRODUCTION......Page 49
III. V ERTICAL S OLENOID I NDUCTOR......Page 50
Fig.€5. Single-turn stacked inductor can be represented by the d......Page 51
Fig.€6. Contour plot of: (a) the impedance and (b) the QF of the......Page 52
Fig.€9. Measured QFs of solenoid inductors and spiral inductors.......Page 53
Fig. 13. Magnitude of $S_{21}$ without pad deembedding for diffe......Page 54
S.-M. Yim, T. Chen, and K. O, The effects of a ground shield on......Page 55
I. I NTRODUCTION......Page 57
Fig.€2. Schematic of the proposed $V$ -band divide-by-four frequ......Page 58
III. M EASUREMENT R ESULTS OF D IVIDE - BY -F OUR MMIC......Page 59
Fig.€7. Measured phase-noise performance of the input and output......Page 60
IV. E XTENSION TO D IVIDE - BY -F IVE MMIC......Page 61
Fig.€14. Measured bandwidth of the divide-by-five MMIC as a func......Page 62
K. Kurokawa, Injection locking of microwave solid-state oscillat......Page 63
II. F ORMULATION OF THE M ODEL......Page 65
III. N UMERICAL R ESULTS AND C OMPARISONS......Page 66
Fig.€3. Magnitude of the input return-loss parameter for the mic......Page 67
S. D. Gedney, An anisotropic perfectly matched layer layer-absor......Page 68
II. S TRUCTURE D ESCRIPTION AND F ORMULATION OF THE P ROBLEM......Page 70
A. Orthogonality......Page 71
B. Defining the Structure of the Matrix $[{P}]$......Page 72
C. Concept of Partial Powers......Page 73
Fig.€5. Cross-sectional view of the coupled strip slot lines ( $......Page 74
Fig. 9. (a) Slot-line hairpin resonator ( ${s} = {w} = {200}~ \m......Page 75
TABLE I F REQUENCY D EPENDENCE OF THE ${\rm {\rm Err}}$ FOR THE......Page 76
T. Itoh, Spectral domain immitance approach for dispersion chara......Page 77
A. Deleniv, M. S. Gashinova, and I. Vendik, SDA full-wave analys......Page 78
II. P RE -I TERATIVE ADI FDTD M ETHOD......Page 79
III. L OSSY M EDIUM F ORMULATIONS......Page 80
IV. N UMERICAL E XAMPLES......Page 81
Fig. 6. $E_{x}$ -field distribution calculated by the proposed m......Page 82
Fig. 9. $S_{11}$ calculated by the proposed method with $\chi =3......Page 83
S. Wang and F. L. Teixeira, A three-dimensional angle-optimized......Page 84
II. T HEORY......Page 85
B. Detailed Development......Page 86
Fig.€3. TLM model for the tetrahedral node.......Page 88
Fig.€4. Definition of the stub reflection coefficients.......Page 89
Fig.€6. Merging two equivalent circuits.......Page 90
IV. R ESULTS......Page 91
V. D ISCUSSION OF F UTURE W ORK......Page 92
P. Sewell, J. G. Wykes, T. M. Benson, D. W. P. Thomas, A. Vukovi......Page 93
M. Bern and D. Eppstein, Mesh generation and optimal triangulati......Page 94
Website......Page 95
160 - 01440699......Page 96
170 - 01440700......Page 97
180 - 01440701......Page 98
190 - [email protected] 99
200 - [email protected] 100

Citation preview

JUNE 2005

VOLUME 53

NUMBER 6

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Increasing Wireless Channel Capacity Through MIMO Systems Employing Co-Located Antennas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. S. Konanur, K. Gosalia, S. H. Krishnamurthy, B. Hughes, and G. Lazzi A Recursive Un-Termination Method for Nondestructive In Situ -Parameter Measurement of Hermetically Encapsulated Packages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . U. R. Pfeiffer and C. Schuster Accurate Modeling of TE/TM Propagation and Losses of Integrated Optical Polarizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. Pierantoni, A. Massaro, and T. Rozzi Corrugated Waveguide and Directional Coupler for CW 250-GHz Gyrotron DNP Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. P. Woskov, V. S. Bajaj, M. K. Hornstein, R. J. Temkin, and R. G. Griffin Complex Permittivity Measurements Using Modes in Circular Cylindrical Cavities . . . . . . S. Zinal and G. Boeck Coupled-Slotline-Hybrid Sampling Mixer Integrated With Step-Recovery-Diode Pulse Generator for UWB Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Han and C. Nguyen An On-Chip Vertical Solenoid Inductor Design for Multigigahertz CMOS RFIC . . . . . . . . . . . . . .H.-Y. Tsui and J. Lau -Band High-Order Harmonic Injection-Locked Frequency-Divider MMICs With Wide Bandwidth and Low-Power Dissipation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Jeong and Y. Kwon Efficient Excitation of Microstrip Lines by a Virtual Transmission Line in FDTD . . . . . . . . . . . . . . . . M. K. Kärkkäinen Full-Wave Analysis of Coupled Strip-Slot Guiding Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. N. Deleniv Pre-Iterative ADI–FDTD Method for Conductive Medium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Wang and J. Chen Transmission-Line Modeling (TLM) Based Upon Unstructured Tetrahedral Meshes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. Sewell, T. M. Benson, C. Christopoulos, D. W. P. Thomas, A. Vukovic, and J. G. Wykes Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1837 1845 1856 1863 1870 1875 1883 1891 1899 1904 1913 1919 1929

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. C. GUPTA, President M. P. DE LISO S. M. EL-GHAZALY M. HARRIS T. ITOH

K. VARIAN, Vice President D. HARVEY J. HAUSNER L. KATEHI

A. MORTAZAWI, Secretary T. LEE D. LOVELACE J. MODELSKI

S. KAWASAKI J. S. KENNEY N. KOLIAS

Honorary Life Members A. A. OLINER K. TOMIYASU T. S. SAAD L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH W. HOEFER

M. HARRIS, Treasurer

V. J. NAIR B. PERLMAN D. RUTLEDGE Distinguished Lecturers T. ITOH B. KIM J. LASKAR J. C. RAUTIO

K. VARIAN R. WEIGEL S. WETENKAMP

W. SHIROMA R. SNYDER R. SORRENTINO D. RYTTING M. SHUR P. SIEGEL R. J. TREW

Past Presidents R. J. TREW (2004) F. SCHINDLER (2003) J. T. BARR IV (2002)

MTT-S Chapter Chairs Albuquerque: G. WOOD Atlanta: J. PAPAPOLYMEROU Austria: R. WEIGEL Baltimore: B. MCCARTHY Beijing: Y.-R. ZHONG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Buenaventura: L. HAYS Buffalo: M. R. GILLETTE Bulgaria: F. FILIPOV Cedar Rapids/Central Iowa: D. JOHNSON Central New England/Boston: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: J.-F. LEE Croatia: J. BARTOLIC Czech/Slovakia: P. HAZDRA Dallas: P. WINSON Dayton: A. TERZOULI, JR. Denver: K. BOIS East Ukraine: A. KIRILENKO Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’BRIEN

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: M. A. BARNES India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: H. JUI-PANG Kitchener-Waterloo: R. R. MANSOUR Long Island/New York: M. HANCZOR Los Angeles Council: T. LEE Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: G. N. S. PRASANNA New South Wales: G. TOWN North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: M. OLAVSBRATEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU

Editor-In-Chief MICHAEL STEER WOLFGANG MENZEL North Carolina State Univ. Univ. of Ulm Raleigh, NC Germany 27695-7911 USA email: Phone: +1 919 515 5191 [email protected] Fax: +1 919 513 1979 ANDREAS CANGELLARIS email: Univ. of Illinois, Urbana Champaign [email protected] USA email: [email protected] ANTTI RÄISÄNEN Helsinki Univ. of Technol. Finland email: [email protected] M. GUPTA, Editor, IEEE Microwave Magazine

Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Poland, Lithuania: I. NAIDIONOVA Portugal: V. FERENDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: F. POLO Romania: I. SIMA Russia, Jt. Nizhny: Y. BELOV Russia, Jt. Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: U. S. DHALIWAL San Fernando Valley: J. C. WEILER, JR Santa Clara Valley/San Francisco: M. SHAKOURI Seattle: T. RASCHKO Seoul Council: H.-Y. LEE Siberia, Jt. Novosibirsk: V. SHUVALOV Siberia, Tomsk: E. GOLOVIN Singapore: O. B. LEONG South Africa: J. JOUBERT South Australia: B. BATES Associate Editors

South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: J. F. JOHANSSON Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: V. VIVEK Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine West: M. I. ANDRIYCHUK Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: A. N. BIANCHI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Eastern Northern Virginia: E. ADLER Winnipeg: S. NOGHANIAN Yugoslavia: A. MARINCIC

BUMMAN KIM KENJI ITOH ZOYA POPOVIC Pohang Univ. Sci. Technol. Univ. of Colorado at Boulder Mitsubishi Electric Corp. Korea USA Japan email: email: email: [email protected] [email protected] [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS RUEY-BEEI WU Univ. of Michigan at Ann Arbor NIST National Taiwan Univ. USA Taiwan, R.O.C. USA email: email: email: [email protected] [email protected] [email protected] YOSHIO NIKAWA ALESSANDRO CIDRONALI PETER RUSSER Technische Univ. Muenchen Univ. of Florence Kokushikan Univ. Germany Italy Japan email: email: email: [email protected] [email protected] [email protected] R. VAHLDIECK, Editor, IEEE Microwave and Wireless Component Letters T. LEE,

STEVEN MARSH Midas Consulting U.K. email: [email protected] MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] Web Master

IEEE Offficers LEAH H. JAMIESON, Vice President, Publication Services and Products W. CLEON ANDERSON, President and CEO MARC T. APTER, Vice President, Regional Activities MICHAEL R. LIGHTNER, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association MOHAMED EL-HAWARY, Secretary JOHN R. VIG, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer GERARD A. ALPHONSE, President, IEEE-USA ARTHUR W. WINSTON, Past President MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

Executive Staff

DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $69.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2005 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2005.851607

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1837

Increasing Wireless Channel Capacity Through MIMO Systems Employing Co-Located Antennas Anand S. Konanur, Student Member, IEEE, Keyoor Gosalia, Member, IEEE, Sandeep H. Krishnamurthy, Student Member, IEEE, Brian Hughes, Member, IEEE, and Gianluca Lazzi, Senior Member, IEEE

Abstract—Wireless networks consisting of compact antennas find applications in diverse areas such as communication systems, direction of arrival estimation, sensor networks, and imaging. The effectiveness of many of these systems depend on maximizing the reception of RF power and extracting maximum information from the incident electromagnetic (EM) wave. Traditionally, this has been achieved through multiple-input multiple-output (MIMO) systems employing a spatial array of antennas that enhance the channel capacity. In this paper, we report similar increases in channel capacity obtained through the use of vector antennas consisting of co-located loops and dipoles, which can respond to more than one component of the EM field. It is shown that systems with three- and four-element vector antennas at both the transmitter and receiver operating around the frequency of 2.25 GHz support three and four times more information, respectively, as compared to conventional systems consisting of sensors with single antennas. Comparison with a simplified theoretical model of a MIMO system with co-located antennas in a rich multipath environment shows good agreement. Index Terms—Loop antenna, multiple-input multiple-output (MIMO) antenna, vector antenna.

I. INTRODUCTION

W

IRELESS systems increasingly find applications in diverse areas ranging from high-rate communication to medical imaging systems. The performance of all these systems is governed by their ability to efficiently sample the electromagnetic (EM) energy incident on them. In traditional multipleinput multiple-output (MIMO) systems, this is achieved through spatially well separated antennas that help collect the available information [1]. As an alternative, sensors consisting of multiple co-located elements responding to different components of the incident EM field (also referred to as vector antennas) can be employed, achieving the same effect as traditional MIMO systems. The increased information-theoretic capacity supported by such antennas would enable increased throughput when used for communication, increased power efficiency, better direction of arrival estimation [2], and more responsive sensors.

Manuscript received April 1, 2004; revised December 14, 2004 and February 14, 2005. This work was supported by the National Science Foundation under Grant CCF-0312696 and Grant ECS-0121389. A. S. Konanur, S. H. Krishnamurthy, B. Hughes, and G. Lazzi are with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27606 USA. K. Gosalia was with the Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC 27606 USA. He is now with General Dynamics C4 Systems (Satcom Technologies), Kilgore, TX 75662 USA. Digital Object Identifier 10.1109/TMTT.2005.848105

Systems consisting of sensors in the form of vector antennas with co-located elements, which respond to more than one polarization, have been proposed as a means of increasing channel capacity in a rich scattering environment [3]. Research has been undertaken to theoretically account for this increase in capacity [4]–[6]. MIMO systems consisting of infinitesimal loops and dipoles [3], as well as configurations with dipoles along the sides of a cube [7] have been proposed, but there have been few instances of practical wireless systems with vector antennas built to verify the increase in information-theoretic capacity. Systems employing co-located elements consisting of three dipoles [3] and a loop and dipole [8], as well as those consisting of a loop and two dipoles in the plane of the loop [9] have been proposed. In this paper, the properties of two such MIMO systems are investigated. The first employs a vector antenna consisting of three elements, namely, a loop and two coplanar dipoles, and operates at 2.22 GHz. The second system consists of a four-element vector antenna including a loop and three orthogonal dipoles and operates at 2.27 GHz. The properties of a MIMO communication link employing these two vector antennas are investigated experimentally and the increase in expected mutual information (EMI) is observed in a realistic scattering environment. The experimental results obtained are compared with a model [10] that is being developed to predict the effect of antenna characteristics and channel multipath environment on the mutual information and are shown to be in good agreement. The remainder of this paper is organized in the following manner. The design and impedance characteristics of the antennas present in the system are presented in Section II. Section III provides an overview of the channel model used in simulations, and Section IV describes the experimental setup used to realize the MIMO system and obtain the channel matrices that are used in Section V to compute the mutual information and compare it with that obtained by systems employing the same number of elements in a traditional MIMO spatial array. We also compare the mutual information predicted by the model to that obtained from experimental data. Conclusions are presented in Section VI. II. ANTENNA DESIGN AND CHARACTERISTICS Two MIMO systems were constructed, one with four elements and the other, coplanar, with three elements. The system with four element antennas consisted of a loop and three orthogonal dipoles. In order to separate the feeds of the four elements, the dipoles were slightly off-center fed. The three element antenna system consisted of a loop and two coplanar

0018-9480/$20.00 © 2005 IEEE

1838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. Four-element vector antenna system composed of three orthogonal dipoles and a loop.

Fig. 2. Three-element vector antenna system composed of two orthogonal dipoles and a loop in a coplanar arrangement.

dipoles placed in a planar arrangement with a similar offset feeding employed for the dipoles. Despite some preliminary research indicating that mutual coupling could help in decorrelating the multipaths [11], both antennas systems were designed with the goal of achieving low coupling amongst its elements in order to obtain high radiation efficiency. The four- and three-element prototype antenna systems are designed to operate at the frequencies of 2.27 and 2.22 GHz, less than 10 dB) is 10 respectively. The bandwidth (i.e., and 45 MHz, respectively. The antenna systems have both been and a thickness fabricated on a 9 9 cm substrate with of 1.5 mm. Figs. 1 and 2 show the constructed antenna systems with four and three elements, respectively. A. Loop Design It is well understood [12] that only an electrically small loop or loop with a constant current distribution retains the radiation

Fig. 3. Impedance of the multisector loop antenna with a ground plane: FDTD and experimental results.

characteristics of a magnetic dipole. In this study, the loop was 2-mm thick with a 7.5-cm diameter, and a relatively constant current distribution was achieved by realizing the loop by means of four pie-shaped sectors fed in phase at their corners [13]. This arrangement ensured that the currents were directed in opposite directions along adjacent feed lines, thus effectively nullifying any spurious radiation [13]. Impedance matching was achieved by feed lines of a thickness of 6 mm and a 22 22 mm square ground plane on the bottom surface of the substrate used to fabricate the loop. The original loop design and its optimization was carried out by using an in-house finite difference time domain (FDTD) code [14] and good agreement between simulated and experimental results was found for the input impedance (Fig. 3). The radiation pattern of this multisector loop with a ground plane was simulated for comparison with that of a constant current loop, and component pattern agreed well with it was verified that the that of the ideal loop with a constant current. Also, the magniwas verified to be negligible. tude of the cross component B. Dipole Design For the MIMO system with three-element antennas, the two dipoles were printed on separate substrate layers and stacked mutually orthogonally on the loop antenna. Since the loop was fed in the center, the dipoles were fed slightly off center using coaxial probes. The two dipoles were 2-mm thick and 6.5-cm between the feed point long with an offset of 12 mm and the center of the antenna system. The bottom dipole will be referred to as dipole 1, with the one on the top being dipole 2. Two notches were cut in the ground plane of the loop to isolate the dipole feeds from those of the loop and minimize coupling. For the MIMO system with four-element antennas, the third half-wavelength dipole was made of copper wire and placed orthogonal to the other three elements through a small hole that was drilled through the substrate with an offset of 1 cm with respect to the center. It will be referred to as dipole 3 with the coplanar dipoles being dipoles 1 and 2.

KONANUR et al.: INCREASING WIRELESS CHANNEL CAPACITY THROUGH MIMO SYSTEMS

1839

have 10-dB bandwidth between 2.186–2.269 GHz with the mutual coupling being lower than 22 dB. The maximum mutual coupling among all the antenna pairs is 19 dB. This antenna was used as a transmitting antenna with a similar antenna being used at the receiver. The complete impedance characteristics for the three-element vector antenna are presented in Appendix I. III. CHANNEL MODEL

Fig. 4. Scattering parameters measured for the loop (loop1) and bottom dipole (dip1) in the four-element vector antenna case.

Fig. 5. Scattering parameters measured for the loop (loop1) and bottom dipole (dip1) in the three-element vector antenna case.

C. Impedance Characteristics The placement of the loop, as well as the dipoles in close proximity necessitated the investigation of not only the matching of each antenna, but also of the mutual coupling between them. The impedance characteristics were obtained by connecting two feeds of the vector antenna to the ports of an Agilent ENA 5071B network analyzer, while terminating the remaining feeds with 50- loads. As an example of the results, joint characteristics of the loop and one of the dipoles for the four-element antenna case is shown in Fig. 4. We note that both the antennas are well matched at the frequency of 2.27 GHz, with return loss being less than 10 dB and coupling lower than 26 dB over the frequency range of 2.265–2.362 GHz. Similar results are obtained for all possible pairs of these antennas with the maximum coupling being 12 dB. The three-antenna system was designed to operate at 2.22 GHz and representative impedance and isolation characteristics of the antenna system are shown in Fig. 5. The antennas

The experimental MIMO system with vector antennas was fabricated to verify the capacity increase that was predicted by a simplified theoretical model for such antennas in a multipath environment [10]. The channel has been described in detail in [10] and here we will briefly summarize it. The model describes the joint multipath propagation of electric and magnetic fields. It captures many of the salient features of the environment of the MIMO system and yet remains tractable enough to allow for simple analysis of different vector antenna configurations, propagation conditions, and communication algorithms. The experimental observations presented in Section IV were compared with the predictions obtained from this model and, as it will be shown in Section V, found to be in good agreement. The channel is assumed to consist of dominant paths between the transmitter and receiver with each path resulting from scattering and reflections from physical objects placed in the far field of both the transmit and receive antennas. Thus, the waves from the source incident on the scatterer and waves from the scatterer incident on the receiver can be considered to be plane waves. We first consider a single four-element vector antenna at the receiver. We assume that it can respond to three components ) of the electric field (the three dipoles respond to and one component of the magnetic field (e.g., the loop re). We therefore consider a 4 1 column received sponds to where the elevector ments correspond to the complex baseband equivalents of the narrow-band signals received, is the intrinsic impedance of the medium, and indicates transpose operation. This received vector is the response of the antenna system to incoming plane waves, whose baseband equivalent is modeled by a 2 1 mato account for both the horizontal and vertical compotrix nents. It is assumed that the multipath component arrives at the , where receiver from the direction

(1)

and being, respectively, the azimuth with and elevation of the multipath signal in receiver coordinates. For a narrow-band plane wave propagating in a nonconductive, homogeneous, and isotropic medium, the received signal can be modeled by [2]

(2)

1840

where

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

represents the thermal noise and

(3)

The four-element vector antenna at the transmitter is assumed for simplicity to be a point source. The complex baseband equivalent of the transmitted signal is modeled similarly by a 4 1 . Ignoring path matrix, losses and delays, this transmitted signal is transformed at a scat, where terer in the far field to (4) with and being the scatterer azimuth and elevation, respecbeing the transtively, in the transmitter coordinates and pose of . At the scatterer, the plane waves undergo another transformation consisting of a change in polarization, as well as loss of amplitude. This is accounted for by a 2 2 matrix so . The location and properties of the scatthat terer determine . The path loss and phase shift encountered by the scattered signal before reaching the receiver may also be included in . In a multipath scenario consisting of dominant scatterers, with the th path departing the transmitter in the direction , , undergoing scattering, and arriving at the receiver from direction , , the combined signal at the receiver, assuming all the paths have approximately the same delay [10], can be described by (5) is a discrete-time noise process with independent where components, is identically distributed (i.i.d.) constrained to unit power, is the average signal-to-noise ratio (SNR) per receive antenna, for the four element antenna, and (6) where is the channel matrix. Here, is the root-mean-square value of the fading path gains and ensures that the average power per receive antenna is , when the inputs have unit power, (7) where is the conjugate transpose of . The modeling of the MIMO system with three-element vector antennas parallels that of the system with four-element antennas, with the main difference being in the dimensions of the received and transmitted vectors (3 1 column vectors). In this case, the received vector would be and (8)

Fig. 6. Schematic representation of the experimental setup for the measurement of channel matrices .

H

It is to be noted that, in the experimental setup, the scatterers were predominantly in the plane of both the transmitter and receiver. The scattering was also assumed to introduce cross polarwas assumed to have independent identically ization. Hence, components. distributed IV. EXPERIMENTAL SETUP In order to experimentally estimate the information capacity of MIMO vector antenna systems, the fabricated antennas were employed at the transmitter and receiver in a rich scattering environment in a laboratory setting (Fig. 6). A controlled number of dominant perfect scatterers of varying sizes (up to 17 in number) , were placed in the far field of the antenna system ( where is the largest dimension in the structure i.e., 7.5 cm was determined for the loop). The 4 4 channel matrix between each pair by measuring the transfer parameters of elements of the transmit and receive antennas, with the remaining elements being terminated in 50- loads. All the possible pairs of such ports were connected in turn to evaluate the full matrix. The process of measuring this matrix required approximately half an hour. During this process, the environment was kept constant with personnel movement kept to a minimum. However, in order to quantify the change in the channel parameters over time, the matrices were reevaluated so as to obtain four successive readings for the same fixed scattering environment, spanning a 2-h time frame, and it was verified that over the frequency range corresponding to the bandwidth of the antenna, the variation was less than 2%. Forty five different channel realizations were measured. Each different channel realization included variations in the number of scatterers, their distribution in space, as well in the presence or absence of the line-of-sight. The same procedure was repeated for the three-element antenna and a set of 30 3 3 channel matrices was obtained. V. RESULTS The channel matrices so obtained were all normalized by an for the ensemble average calculated using (7) where for the three-element antenna. four-element antenna and thus ensures that the average power per receive antenna is , when the inputs have unit power [10] and the SNR is . The

KONANUR et al.: INCREASING WIRELESS CHANNEL CAPACITY THROUGH MIMO SYSTEMS

Fig. 7. Variation in mutual information over four successive sets of measurements for a fixed scattering environment with four-element antennas at both the transmitter and receiver.

Fig. 8. Measured mutual information for MIMO system with four-element vector antennas. Line with markers is the EMI.

1841

Fig. 10. EMI and 10% outage capacity of systems with four- and three-element vector antennas compared to SAC of an array with the same number of elements.

Fig. 11. Comparison between experimentally and simulated EMI for MIMO system with a four-element vector antenna with L = 17 scatterers.

Fig. 9. Measured mutual information for MIMO system with three-element vector antennas. Line with markers is the EMI.

mutual information be

is defined for a certain SNR

bps/Hz where

denotes the determinant operation.

to

(9)

Fig. 12. Comparison between experimentally and simulated EMI for MIMO system with a three-element vector antenna with L = 11 scatterers.

As a further confirmation of the negligible effect of the variation of the scattering parameters’ measurement over the mutual information calculation, we evaluated the mutual information using a set of four-channel matrices obtained for the same

1842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 13. Scattering parameters measured in the three-element vector antenna case. (a) Loop (loop1) and bottom dipole (dip1). (b) Loop (loop1) and top dipole (dip2). (c) Bottom dipole (dip1) and top dipole (dip2).

channel realization over a 2-h window, as mentioned in Section IV (Fig. 7). This allows us to obtain the matrix by measuring one element at a time. Figs. 8 and 9 show the mutual information values obtained for the systems employing the four- and three-element vector antennas, respectively. For the four-element antenna system, at 20-dB SNR, the mutual information varies from 15 to 25 bits per second/hertz (bps/Hz). In the three-element case, the mutual information at 20-dB SNR varies from 5 to 15 bps/Hz. It is interesting to note the wide variation in the mutual information, which strongly depends on the channel environment. The EMI is obtained by averaging the mutual information over all channel realizations. There has been no optimization carried out with respect to the unit power input distributions and the power to each port was kept constant. For simplicity i.i.d. zero mean unit variance Gaussian variables were considered for the input distribution. Therefore, the EMI provides a lower bound on the ergodic capacity where such an optimization over the input distributions is considered. This corresponds to the case where the transmitter has no knowledge of the channel and the receiver has complete knowledge of the same.

The capacity can be further enhanced by the use of the waterfilling algorithm if the transmitter is fed back with the current channel state information [1]. The third quantity of interest is the 10% outage capacity, which guarantees that the throughput will remain above this level 90% of the time. It is thus the fourth lowest mutual information for the 45-channel realizations considered for the four-element vector antenna system and the third lowest mutual information for the 30 channel realizations of the three-element vector antenna system. The EMI and the 10% outage capacity are shown in Fig. 10 for both the antenna systems under consideration. Here, the throughput in bits per second/hertz (bps/Hz) is plotted against the SNR. These are compared with the spatial array capacity (SAC) [1], which corresponds to the capacity obtained through the use of a spatially separated set of antennas at the transmitter and receiver. In the spatial array case, the elements of the channel matrix are modeled as i.i.d. complex Gaussian variables with zero mean and unit variance. The EMI curves are close to the SAC and the slopes at the high SNR are identical. Since the slope at the high SNR is proportional to the rank of , the existence of 4 (3 for the three-element case) parallel spatial chan-

KONANUR et al.: INCREASING WIRELESS CHANNEL CAPACITY THROUGH MIMO SYSTEMS

nels is demonstrated. Also, the use of the four-element antenna system leads to an improvement of close to 7 bps/Hz at 20-dB SNR over the three-element system from 13 to 20 bps/Hz. matrices were used to determine the EMI The simulated and compared with the experimentally determined EMI. The experimental setup for the four-element antenna case consisted of 17 principal scatterers placed predominantly on a plane perand , pendicular to that of the antennas ( ). We note from Fig. 11 that, for the four-element vector antenna, the simulated and experimental EMI agree closely with the values differing by 0.5 dB at 20-dB SNR. In the three-element vector antenna case, the arrangement of the scatterers was and , similar, but 11 scatterers were present ( ). From Fig. 12, we see that the experimentally determined EMI is lower than that predicted by the simulation by around 2 dB at 20-dB SNR. The slopes at these SNR, however, are identical confirming the scaling in capacity observed over the single-antenna case. The difference can be attributed to the simplification implicit in the model, including absence of coupling and ideal radiation patterns (of elemental loops and dipoles) considered for the elements of the antenna. This simple model, however, does provide a computationally tractable method of studying the behavior of such vector antennas in a multipath environment. VI. CONCLUSION In this paper, we have experimentally demonstrated that MIMO systems with three- and four-element vector antennas can lead to a threefold or fourfold increase, respectively, in the capacity of a communication system as compared to a conventional single-element antenna. The system with four-element vector antennas yielded an EMI of 20 bps/Hz at 20-dB SNR, while the MIMO system with three-element vector antennas is characterized by an EMI of 13 bps/Hz at the same SNR. Since these co-located vector antennas compare favorably with the SAC of a system with an identical number of transmit and receive antennas, they can be employed in lieu of ordinary MIMO systems. The favorable characteristics of these systems in being as effective as traditional spatial antenna arrays within the physical space of a single antenna could lead to their use in a number of applications, including high data-rate communication links, angle of arrival estimation, and sensors, to name a few. APPENDIX IMPEDANCE CHARACTERISTICS THREE-ELEMENT ANTENNA Fig. 13 shows the complete return loss and coupling characteristics of the three-element vector antenna. REFERENCES [1] E. Telatar, “Capacity of multiantenna Gaussian channels,” Eur. Trans. Telecomm., vol. 10, no. 6, pp. 585–595, Jul. 1999. [2] A. Nehorai and E. Paldi, “Vector-sensor array processing for electromagnetic source localization,” IEEE Trans. Signal Process., vol. 42, no. 2, pp. 376–398, Feb. 1994.

1843

[3] M. R. Andrews, P. P. Mitra, and R. de Carvalho, “Tripling the capacity of wireless communications using electromagnetic polarization,” Nature, vol. 409, pp. 316–318, Jan. 2001. [4] A. S. Y. Poon, R. W. Brodersen, and D. N. C. Tse, “Degrees of freedom in multiple antenna channels: A signal space approach,” IEEE Trans. Inf. Theory, vol. 51, no. 2, pp. 523–536, Feb. 2005. [5] T. Svantesson, M. A. Jensen, and J. W. Wallace, “Analysis of electromagnetic field polarizations in multiantenna systems,” IEEE Trans. Wireless Commun., vol. 3, no. 2, pp. 641–646, Mar. 2004. [6] S. Krishnamurthy, A. Konanur, G. Lazzi, and B. Hughes, “On the capacity of vector antenna MIMO systems,” in Proc. IEEE Information Theory Int. Symp., Jul. 2004, pp. 240–240. [7] J. Andersen and B. Getu, “The MIMO cube—A compact MIMO antenna,” in 5th Wireless Personal Multimedia Communications Int. Symp., vol. 1, Oct. 2002, pp. 112–114. [8] D. D. Stancil, A. Berson, J. P. V. Hof, R. Negi, S. Sheth, and P. Patel, “Doubling wireless capacity using co-polarized, colocated electric and magnetic dipoles,” Electron. Lett., vol. 38, no. 14, pp. 746–747, Jul. 2002. [9] A. Konanur, K. Gosalia, S. Krishnamurthy, B. Hughes, and G. Lazzi, “Investigation of the performance of co-polarized, co-located electric and magnetic dipoles for increasing channel capacity,” in IEEE AP-S Int. Conf., vol. 2, Jun. 2003, pp. 531–534. [10] S. Krishnamurthy, A. Konanur, K. Gosalia, G. Lazzi, and B. Hughes, “Polarimetric multiple-input multiple-output antennas for wireless communications,” presented at the Information Sciences and Systems Conf., Baltimore, MD, Mar. 2003. [11] T. Svantesson and A. Ranheim, “Mutual coupling effects on the capacity of multielement antenna systems,” in IEEE Int. Acoustics, Speech, and Signal Processing Conf., vol. 4, 2001, pp. 2485–2488. [12] C. A. Balanis, Antenna Theory: Analysis and Design. New York: Wiley, 1997. [13] A. G. Kandonian, “Three new antenna types and their applications,” Waves and Electrons, Feb. 1946. [14] A. Taflove, Computational Electodynamics: The Finite-Difference TimeDomain Method. Norwood, MA: Artech House, 1995.

Anand S. Konanur (S’03) received the B.Tech. degree in electrical engineering from the Indian Institute of Technology, Madras, India, in 2001, and is currently working toward the Ph.D. degree in electrical and computer engineering at North Carolina State University, Raleigh. Since January, 2002 he has been a Graduate Research Assistant with the Department of Electrical and Computer Engineering, North Carolina State University. His research interests include design of novel antennas for wireless communications, experimental channel characterization, and microwave imaging.

Keyoor Gosalia (S’01–M’05) received the B.E. degree in electronics from Sardar Patel University, Gujarat, India, in 1999, and the M.S. and Ph.D. degrees in electrical engineering from North Carolina State University, Raleigh, in 2001 and 2004, respectively. From January 2001 to August 2004, he was a Graduate Research Assistant with the Department of Electrical and Computer Engineering, North Carolina State University. Since October 2004, he has been an RF Engineer with General Dynamics C4 Systems (Satcom Technologies), Kilgore, TX. His research interests include numerical electromagnetics, bioelectromagnetics, novel design techniques for small antennas and human body implantable antennas, planar ultra-wideband antenna systems, improving MIMO channel capacity, earth station antenna systems, microwave feed systems, and waveguide components.

1844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Sandeep H. Krishnamurthy (S’03) was born in Shimoga, India, on November 27, 1979. He received the B.Tech. degree in electrical engineering from the Indian Institute of Technology, Madras, India, in 2001, and is currently working toward the Ph.D. degree in electrical engineering at North Carolina State University. During Fall 2001, he was with the Center for Automation Research, University of Maryland at College Park. Since January 2002, he has been a Graduate Research Assistant with the Center for Advanced Computing and Communications, North Carolina State University. His research interests include information theory and signal processing for wireless communications. Mr. Krishnamurthy is a member of Eta Kappa Nu.

Brian Hughes (S’84–M’85) was born in Baltimore, MD, on July 16, 1958. He received the B.A. degree in mathematics from the University of Maryland, Baltimore County, in 1980, and the M.A. degree in applied mathematics and Ph.D. degree in electrical engineering from the University of Maryland at College Park, in 1983 and 1985, respectively. From 1980 to 1983, he was a Mathematician with the National Aeuronatics and Space Administration (NASA) Goddard Space Flight Center, Greenbelt, MD. From 1983 to 1985, he was a Fellow with the Information Technology Division, Naval Research Laboratory, Washington, DC. From 1985 to 1997, he was a faculty member with the Department of Electrical and Computer Engineering, The Johns Hopkins University, Baltimore, MD. In 1997, he joined the faculty of North Carolina State University, Raleigh, where he is currently Professor of electrical and computer engineering. His research interests include communication theory, information theory, and communication networks. Dr. Hughes has served as associate editor for detection of the IEEE TRANSACTIONS ON INFORMATION THEORY, editor for theory and systems of the IEEE TRANSACTIONS ON COMMUNICATIONS, and guest editor for two special issues of the IEEE TRANSACTIONS ON SIGNAL PROCESSING. He co-chaired the 2004 Globecom Communication Theory Symposium, as well as the 1987 and 1995 Conferences on Information Sciences and Systems. He has also served on the program committees of numerous international conferences including the IEEE Global Communications Conference, the IEEE International Communications Conference, the IEEE International Symposium on Information Theory, and the IEEE Wireless Communications and Networking Conference.

Gianluca Lazzi (S’94–M’95–SM’99) was born in Rome, Italy, on April 25, 1970. He received the Dr.Eng. degree in electronics from the University of Rome “La Sapienza,” Rome, Italy, in 1994, and the Ph.D. degree in electrical engineering from the University of Utah, Salt Lake City, in 1998. He has been a consultant for several companies (1988–1994), a Visiting Researcher with the Italian National Board for New Technologies, Energy, and Environment (ENEA) (1994), a Visiting Researcher with the University of Rome “La Sapienza” (1994–1995), and a Research Associate (1995–1998) and Research Assistant Professor (1998–1999) with the University of Utah. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, North Carolina State University (NCSU), Raleigh, where, from 1999 to 2003, he was an Assistant Professor. He has authored or coauthored over 80 international journal papers or conference presentations on FDTD modeling, dosimetry, and bioelectromagnetics. He is listed in Who’s Who in the World, Who’s Who in America, Who’s Who in Science and Engineering, the Dictionary of International Biographies, and the 2000 Outstanding Scientists of the 20th Century. Dr. Lazzi is an associate editor for the IEEE Antennas and Wireless Propagation Letters. He is the vice chair of Commission K (Electromagnetics in Biology and Medicine), U.S. National Committee of the International Union of Radio Science (URSI). He was the recipient of the 2003 ALCOA Foundation Engineering Research Achievement Award, a 2003 NCSU Outstanding Teacher Award, the 2003 NCSU Alumni Outstanding Teacher Award, a 2001 National Science Foundation (NSF) CAREER Award, a 2001 Whitaker Foundation Biomedical Engineering Grant for Young Investigators, a 1996 International Union of Radio Science (URSI) Young Scientist Award, and the 1996 Curtis Carl Johnson Memorial Award for the best student paper presented at the 18th Annual Technical Meeting of the IEEE Bioelectromagnetics Society (IEEE BEMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1845

A Recursive Un-Termination Method for Nondestructive In Situ S -Parameter Measurement of Hermetically Encapsulated Packages Ullrich R. Pfeiffer, Member, IEEE, and Christian Schuster, Member, IEEE

Abstract—In this paper, we analyze the performance of a newly introduced recursive un-termination method for nondestructive in situ -parameter measurements of multiport packages. The fundamental limitations of the method are statistically analyzed and compared to conventional two-port probing techniques. The methodology is based on programmable terminations fully integrated in a standard silicon process technology (integrated-circuit chip) and a recursive un-terminating method implemented in software. To demonstrate the validity of the technique, results for a chip-on-board packaging technology have been compared to high-frequency electromagnetic simulations and direct two-port probing techniques. Index Terms—Electromagnetic (EM) simulation, flip-chip interconnects, in-situ, nondestructive, package characterization, port reduction method, recursive un-termination, -parameter measurements, wire bonding.

I. INTRODUCTION

T

HE CHIP package is an essential and integral part of any semiconductor product. It is a critical competitive factor as it affects operating frequency, power, complexity, reliability, and cost. Ideally, package and integrated-circuit chips (ICs) should be co-designed from the start in order to avoid lengthy and costly redesign cycles. Therefore, accurate knowledge of the package in the form of parasitic models are a necessity for high-performance digital and analog integrated-circuit design. Typically one of the following two approaches is being taken. First, electromagnetic (EM) field solvers are frequently applied to extract models usually in the form of resistance, inductance, conductance, and capacitance (RLCG) matrices or -parameters. However, EM simulations require detailed information of the three-dimensional (3-D) package and bonding structure, e.g., accurate dimensions, material parameters, and knowledge of the power and ground distribution; information that might be too complex, proprietary to the package vendor, specific for a single layout only, or otherwise not accessible or not pertinent. Secondly, measurements in the time or frequency domains are used directly as a package modeling approach. Unlike EM simulations, measurements often require mechanical

Manuscript received April 18, 2004; revised August 11, 2004. This work was supported in part by the National Aeronautics and Space Administration under Grant NAS3-03070. The authors are with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848103

fixtures and deembedding techniques to uncover the parts of interest. Moreover, encapsulated internal package ports cannot easily be probed from the outside without partially destroying the sealing [1], [2]. As a result, package measurement setups are often not able to fully take into account the real IC package configuration. Several other studies report alternative measurement and calibration techniques, most of which are based on a single bias-dependent active standard, embedded within a package, to accurately characterize the package from external reflection-coefficient measurements. Early work by Bauer and Penfield describe the process of un-terminating known impedance standards from a two-port network [3]. They show first in situ characterization of a diode package by means of three different impedances of the diode itself (active, avalanche and drift region). Similar research was done by Phillips and Williams for monolithic-microwave integrated-circuit (MMIC) microwave package characterization [4]. However, the use of diodes in characterization of multiport packages requires gluing/soldering of the standards into the package, which makes it impossible to measure high-density multiport integrated-circuit packages. Moreover, setting the correct dc-bias current for many diodes remains problematic for multiport packages. A mathematical extension for multiport networks has recently been introduced by Lu and Chu in [5] and [6]. They propose different port reduction methods to solve multiport networks with a minimum number of reflection coefficients recursively. However, for in situ package characterization, integrating more than the required termination states is desirable since the frequency range can be divided into bands with optimum performance. In recent years, much advancement has also been made in the field of electronic calibrations. Recently, programmable terminations have been used to simplify the calibration of fixtures and vector network analyzers. For example, Agilent’s series of electronic calibration modules (ECal) uses known reflective impedance standards for automated one-port error correction [7]–[9]. These standards are used for calibration purposes only and are too large to be used for IC package characterization. In this paper, we present the performance of a recursive un-termination method (RUM) for nondestructive in situ -parameter measurements for chip packages. The method requires only frequency-domain -parameter measurements at the external pins of the chip package. Unlike conventional destructive

0018-9480/$20.00 © 2005 IEEE

1846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

This requires reconnecting the VNA ports since several partial measurements are needed. In the following, we assume that scattering parameter measurements are represented by a matrix of size , where is the VNA port number (e.g., for a two-port VNA). In each of these partial measurements, the unused ports of the network should ideally be terminated with perfectly matched loads with values equal to the characteristic impedance . This way, each VNA measurement will . contain some of the -port -parameter elements For an -port network, the minimum required number of multiport scattering matrix measurements can be expressed by the use Fig. 1. Standard chip package characterization is shown in (a) and in situ nondestructive characterization is shown in (b), where additional terminations 0 are integrated on chip.

package characterization techniques, the package remains virtually unaffected, as shown symbolically in Fig. 1(a) and (b). Neither is there a package opening required, nor a signal rerouting to make internal signals available from the outside. Instead, knowledge of at least three on-chip programmable ) are terminations with reflection coefficients ( , needed at each internal port. In combination with the RUM, the terminations avoid internal probing. We have integrated the terminations in a separate integrated-circuit test chip with a chip size and layout typical for most wire-bonding applications. This way, the measurement setup does not alter the chip package and the package can be characterized in situ. The terminations can also be part of a product design with only minor modifications, e.g., in high-speed serial link applications, programmable active terminations are already part of the I/O circuits. Previously published papers have shown measured results of this approach for wire-bond [10], [11] and flip-chip packaging [12] technologies, respectively. In this paper, the detailed recursive implementation is presented in Sections II and III. The performance of the method based on the existing test chip in presented in Section IV for the first time. Finally, to demonstrate the validity of the technique, measured results of a chip-on-board packaging technology are compared to high-frequency EM simulations and direct two-port probing techniques in Section V. II. FORMULATION OF THE ALGORITHM For nondestructive in situ package characterization, we are interested in measuring the scattering matrix for an -port net(e.g., 50 ) if work normalized to a characteristic impedance only an -port vector network analyzer (VNA) is available with . For comparative purposes, we first describe a conventional probing technique for multiport package characterization (see also [13]) and then we derive the formulation used for nondestructive in situ characterization. The mathematical nomenclature we use in the following is summarized for reference in the Appendix. A. Conventional Multiport Characterization To recall, the simplest way to measure the matrix elements with is using a conventional VNA directly.

of the binomial notation

, i.e., a two-port VNA requires

matrix measurements. This direct method, however, has a few drawbacks. First, some ports might be inaccessible during measurement and cannot be probed directly. Secondly, terminations are unlikely to be perfect and cannot be easily connected and removed in small integrated circuit packages. Considerations on how to eliminate the error induced due to the imperfection of the terminations exist and are described in [14] and [15]. B. Nondestructive In Situ Package Characterization A typical integrated-circuit package will usually protect half of its ports from the environment at the internal chip-to-package transition. It is, therefore, desirable to have on-chip terminations. For in situ package characterization, these terminations are most likely imperfect due to manufacturing tolerances associated with a typical silicon process technology. In general, if a load at a port is imperfect and its value differs from the required termination impedance , a reflection amounts to an at port incident wave defined by the reflection coefficient . In such a case, the elements of the scattering matrix will be transformed to the new elements as given by [16] (1) with If three different, but known terminations are available at port , one can obtain three equations like (1) for combination. All the unknowns , and the each product can be derived from these equations. Knowing these values, one has removed the influence from the miss-terminated port . Based on induction, one can now go to the next and it can be easily shown that miss-terminated port (2) -port are superis true since reflections from the th and imposed on each other. If all ports not connected to a VNA have three terminations available, one can recursively apply this algorithm until the full -port matrix has been reached. Note that this is true except for a sign ambiguity in the product. We to be for reciprocal networks have chosen the where the factor is 1. A sign ambiguity will elements in the complex cause a 180 phase change for the

PFEIFFER AND SCHUSTER: RUM FOR NONDESTRUCTIVE IN SITU

-PARAMETER MEASUREMENT

-parameter plane. However, if frequency-dependent -parameters are measured starting near dc upwards, an initial boundary condition for can be set to solve the sign ambiguity. For example, in a simple two-port network case, the insertion loss will start with a perfect through going capacitive in the Smith chart. Therefore, the insertion loss has a negative phase near dc, which can be tracked along consecutive frequency points. This way, a sudden phase change can easily be discovered up to four ports. For an electrically small package, the phase changes slowly along the frequency and a 180 phase change due to a sign ambiguity might not even occur up to the , one could maximum frequency of interest. In the case of of a previous calalso use data from a terminated ports culated step to resolve the sign ambiguity and to generalize the formulation for nonreciprocal networks, similar to what Lu and Chu propose in [5] and [6]. However, chip packages are usually reciprocal networks (passive and contain only isotropic materials). The initial boundary condition we used allows us to use a simple matrix implementation of the algorithm in the form of (3) where the three matrices and the three reflections coefficients with are used to calculate the inter. This is done for all the possible commediate matrix with higher port orders binations of the termination states and . The intermediate matrices are input to the algorithm in the next iterative step. C. Recursive Implementation of the Algorithm In the following, we describe the implementation of the recursive un-termination algorithm in more detail. First, the -port VNA has to be connected to arbitrary, but fixed ports of the -port network. At these fixed port locations, one has to meawith for all possure the -parameters sible combinations with and . initial measurements to start with. In case of a These are one-port VNA and a four-port network , these measurements at port 1. Note are, for example, that all ports of the VNA are fixed during these steps and do not need to be reconnected to other network ports. In the first step, the recursive un-termination algorithm will be applied to for all possible combinations of the termination the port . In each of the folstates with higher port orders lowing steps, the RUM will be recursively applied with (4) where

In the final step, where matrix of the -port network

, the result is the scattering

1847

The RUM function in (4) solves a linear system of equations of , where the matrix and the vectors and the form are as follows:

Note that the matrix is the identity with size and the indicates a -by-1 matrix matrix subscript notation whose elements are taken column-wise from the square matrix in brackets. Reordering the matrices and vectors simplifies the given linear equation system for implementation purposes. In each step , the number of equations obtained by . For , the system will be overdeterthis will be mined and a singular value decomposition (SVD) was used to solve an equivalent linear equation system of the form , where is the SVD of matrix . It solves the linear system of equations in a least square sense and has shown better numerical stability [17]. This is one important difference compared to the work done by Lu and Chu in [5] and [6], where the multiport network equations are solved with a minimum number of reflection coefficients. Finally, with the definition of the vector

(5) follows the matrix (6) where

is the array transpose of and the matrix is the square sub matrix of including the elements already solved by the SVD method. In (5), there where we have used are three possibilities to choose the . As mentioned before, the sign of has been set by the dc boundary conditions and the phase has been tracked along consecutive frequency points in the Smith chart to avoid a sign ambiguity. As an example, Fig. 2 shows how the RUM can be applied to a four-port network based on measurements acquired only at , ), the algorithm the first port (P1). In this case ( requires initial -measurements listed as in the top first line of Fig. 2. Although the measurements are shown only in repeated groups of , their values

1848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

x

Fig. 2. Visualization of the algorithm for a four-port network and one-port VNA ( = 1) used for measurements. The boxed elements represent the input to the algorithm. Note that “rum” stands for the RUM algorithm, which has to run for all possible termination states in each step.

differ since other terminations have been used at ports P3 and P4, while the measurement was performed.1 Remember, none of these measurements will show up in the final -matrix directly. To solve for the -matrix, the algorithm has to generate intermediate matrices with a growing dimension until it reaches the full -matrix in step 4. The boxed elements in this figure represent the input to the algorithm going from one step to another and “rum” stands for the used RUM algorithm. For each call to the RUM function, the linear system of equations is solved as described earlier in the text. III. PROGRAMMABLE TERMINATION IC There are many ways to implement programmable terminations in a silicon process technology. However, tradeoffs have to be made in terms of the quality of each of the states. The three reflection coefficients should ideally be maximally spaced apart, as we shall see in Section IV. Of course, this stringent condition cannot easily be met from dc up to millimeter-wave frequencies, and deviations from that ideal behavior will affect the performance of the algorithm. Nevertheless, integrating more than the required termination states is desirable since the frequency range can be divided into bands where at least three distinguishable terminations can be found. Here, we describe one possible set of terminations, as they are required by the recursive implementation of the RUM (see Section II-C). We used a 14-port package open short termination test chip (post-chip) with four programmable termination states located at each bond pad. The termination cell is shown in a simplified schematic in Fig. 3. Note that an initial measurement

M

1To uniquely identify all initial measurements, as well as the generated intermediate matrices with 1, the index could be expanded in this example to include all the permutations of the termination states of the whole network at ports P2–P4 (e.g., = [123] for 0 used at port P2, 0 used at used at port P4). Due to the limited space available in this port P3, and 0 figure, the index is only shown for terminations that change (e.g., = 1 in the first line corresponds to the use of 0 at port P2). Those who remain fixed for each intermediate matrix calculation are omitted for the index.

t

k>

t

t

t

Fig. 3. Simplified schematic of a programmable termination cell. Four different terminations can be set by the signals 1 and 2.

S

S

of each termination state is required to account for minor differences from chip-to-chip. Hence, an additional on-chip coplanar ground–signal–ground (GSG) probe structure is used for measurement purpose, as indicated in Fig. 3. The basic cell consists of two field-effect transistors (FETs) (T1 and T2) with and a series 50- N implant resistor R1. This circuit is located between the bonding pad and the on-chip coplanar and are GSG probe pattern. The transistor base contacts connected to a 28-bit register, which holds the termination state for each of the 14 pads (see Fig. 4 for a chip block diagram). Four different types of terminations can be programmed, , ); 2) short ( , which are: 1) open ( ); 3) load ( , ); and 4) short term ( , ). The content of the register can be downloaded via a 6-bit wide serial interface. A chip micrograph can be seen in Fig. 5. The chip was designed in an IBM SiGe 6HP process. It has a 300- m bonding pitch for most of the pads on the outer ring, while the inner-pad ring uses a reduced 150- m pitch. All ground pads are connected together with a wide top-metal ground ring to achieve a low ground impedance

PFEIFFER AND SCHUSTER: RUM FOR NONDESTRUCTIVE IN SITU

-PARAMETER MEASUREMENT

Fig. 4. Block diagram of the test chip. Each of the 14 termination cells can be programmed individually via a serial interface.

1849

Fig. 6. Magnitude of S -parameters up to 50 GHz of the three on-chip term differs only slightly terminations: open, short, and load state (short from the short). Note, the crossover at 10 and 20 GHz between open and short does not show up in the complex plane and does not limit the applicability of the algorithm.

+

Fig. 7. Smith chart of S -parameters up to 50 GHz of three of the four on-chip terminations: open, short, and load state (short term differs only slightly from the short). The wider the S -parameters are separated from each other, the better the quality of the extracted S -parameters. The theoretical upper frequency limit is reached at approximately 50 GHz, where the open and load terminations approach each other.

+

Fig. 5. Chip micrograph indicates one termination cell with its bond pad and GSG probe structure is indicated. The inner pad ring consists of a GSG probe pattern only, which share adjacent grounds. The serial interface and scan chain signals are located between the bottom pads on the outer pad ring. TABLE I POST-CHIP LAYOUT DETAILS

on-chip. The chip has a size of 1350 1950 m and a height of 300 m. See Table I for a circuit design summary. Inductive and capacitive parasitic effects are one of the main reasons for a limited spread of terminations impedances at higher frequencies. Fig. 6 shows the measured magnitude of the reflection coefficients versus frequency for the open, short, and load termination for one port. Fig. 7 shows them on a Smith chart. Data was measured up to 50-GHz on-wafer prior to packaging. The termination quality is clearly not that of an ideal

open, short, or load. With all the switches open, the termination just looks like an open-circuit transistor with the pad parasitics in parallel. It, therefore, moves around the periphery of the switched Smith chart and accumulates phase shift. With on, the termination looks like a short-circuited transistor with an impedance defined by the transistors channel resistance. In , only the load resistance is switched in, where case of the reflection is defined by the transistor channel resistance (approximately 55 ). The selected in series with resistor ratio defines a balance between a good short, on the one hand, and a reasonable good open, on the other hand. Wider transistors provide a better short, but their drain–source parasitic capacitances predominate the open characteristic. The switching of the terminations was fully automated and controllable via software. The silicon process exhibits excellent thermal stability and no temperature cycling was required before measurement. The reflection coefficient of the terminations did not change as the power of the incident waves for the VNA changed. The power was changed within the range of the VNA from 7 to 30 dBm with no noticeable change of the reflection coefficients, which demonstrates a high linearity of

1850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the terminations. Additionally, no errors due to incident light were observed since the termination transistors are covered with a top-metal shield for protection. In Section IV, the effect of the impedance convergence at higher frequencies is analyzed by means of statistical methods including the effect of lossy device-under-test (DUT) networks. IV. PERFORMANCE STUDY OF THE ALGORITHM There are systematic and random errors that can affect the quality of the RUM, some of which are unavoidable and are caused by the accuracy of the measurement equipment (e.g., VNA calibration accuracy, VNA noise floor, probe contact resistance, environmental temperature drifts). Other error sources are related to the implementation of algorithm and the fact that the termination states inherently have some degree of uncertainty, e.g., repeatable termination bias and switching needs to be addressed. Moreover, the insertion loss of the network and the termination impedance separation with its frequency dependence will affect the computational accuracy of the algorithm. Here, the performance analysis will focus on experimental error propagation in one step of the RUM. It is based on Monte Carlo simulations and takes the actual termination impedances into account as they are shown in Figs. 6 and 7. In addition, networks (DUTs) have been randomly selected to identify potentially unfavored lossy network topologies. Either random or systematic error terms have been introduced for all measureand the ments, e.g., the termination reflection coefficients initial measurements performed at the DUT. The resulting errors of the reconstructed -parameters are analyzed. To simplify the analysis, one-port measurements of a twoare considered. All measurements are port network only known up to the sensitivity of the measurement equipment used. The sensitivity level is defined by the dynamic range of a typical VNA at the calibrated measurement plane located at the probe tips. To start with a rather conservative approach, we consider a typical calibrated VNA with a frequency-indepenof 73 dB. For the complex reflection dent dynamic range error terms , we consider a white noise distribution with a noise power equivalent to the VNA sensitivity level . Based on these error terms, the modified input to the algorithm can be computed as follows: (7) (8) in (7) are taken from measured data similar to those shown in Section III. in (8) are generated from randomly selected two-port networks . To comply with the algorithm implementation, these networks needed to be reciprocal and were sorted to be passive. In addition, lossy networks with between 0 and 20 dB have been investigated. For simplicity, only matrices that cause no sign ambiguity have been selected. The effect on the algorithm can finally be seen from looking at the reconstructed -parameters with (9)

Fig. 8. Uncorrelated experimental errors. Each reflection-coefficient state was perturbed by adding an independent white noise error term. The sensitivity  is shown versus frequency for random networks with an insertion loss of 6 dB.

0

h i

Using the -parameters from (9), the equivalent noise power can be calculated from the squared magnitude deviation (10) The sensitivity level after the RUM is then given by the mean expressed in decibels. In the following, we show value how the impedance separation of the on-chip terminations and the insertion loss of the network degrade the sensitivity of the originally used VNA. We first consider uncorrelated and then correlated errors. A. Uncorrelated Errors To simulate uncorrelated experimental errors, each reflection-coefficient state was perturbed by adding uncorrelated white noise in (7). At the same time, this has been done for the three measurements at the DUT in (8). The degraded sensitivity , after the RUM has been applied, is shown in Fig. 8 versus frequency from dc up to 50 GHz. At each data point, the mean value was taken from 500 simulations. It has been found that the sensitivity depends on the insertion loss of the DUT. Therefore, the data shown in Fig. 8 is plotted for random networks with of 6 dB. An empirical expression an insertion loss can be given for the exponential frequency behavior in the form of (11) where the frequency is given in gigahertz and the define the offset at dc. The offset depends on the insertion loss of the DUT network. For dB, the is 73 dB for it 67 dB and for it is 55 dB. Note that 73 dB correspond to the dynamic range of the measurement equipment, which we have originally assumed for our measurements. Therefore, this result shows, that at near dc, the is of the same order as a disensitivity of the return loss rect measurement. Surprisingly, the offset of the insertion loss is only slightly higher at 67 dB, whereas the accuracy

PFEIFFER AND SCHUSTER: RUM FOR NONDESTRUCTIVE IN SITU

-PARAMETER MEASUREMENT

Fig. 9. Uncorrelated experimental errors for lossy networks. (a) A higher insertion loss will spread the dc sensitivity offsets  sensitivity is plotted versus the spacing of the open (0 ) and load (0 ) reflection coefficients.

1851

further apart. In (b), the

of the return-loss magnitude of the hidden port is degraded as if a VNA with a reduced dynamic range of 55 dB had been used. Random networks with a higher insertion loss than 6 dB will move the offsets and further away from the fixed . Less insertion loss will bring them closer together. This can be seen in Fig. 9(a). The degradation over frequency is due to the convergence of the open and load reflection coefficients. This can be seen in Fig. 9(b), where the sensitivity is plotted versus the spacing of the reflection coefficients. This plot gives recommendations for future termination designs, where a separation of at least 0.2 has to be achieved in order to stay below a 42-dB measurements. sensitivity for the reconstructed B. Correlated Errors To simulate correlated experimental errors, all three input reflection coefficients from one chip port have been perturbed by adding the same white noise error term. Similarly, the three measurements at the DUT in (8) have been perturbed, but independent from the reflection coefficients. Fig. 10 show the sensitivity of the reconstructed -parameters versus frequency. Interestingly, in case of correlated errors, e.g., in case of a contact resistance during the measurements, the reconstructed data from the algorithm is not affected by the separation of the termination impedance. There is no frequency dependence. Furtherand more, the sensitivity of the reconstructed ports, e.g., , have actually been improved since an additive constant is falling out of the algorithm. This can be seen in Section II-C, where the linear system of equations, solved by the RUM, only contains the difference between terminations. Again, the level is limited by the dynamic range (73 dB) of the measurement equipment. Fig. 11(a) shows the dc offset of the sensitivity versus the insertion loss of the DUT network. Fig. 11(b) shows and the sensitivity plotted versus the spacing of the open load reflection coefficients. Both plots show that the deviation is below the assumed sensitivity of a typical VNA and demonstrate the insensitivity of the algorithm against correlated measurement errors.

Fig. 10. Correlated experimental errors. All three input reflection coefficients from one chip port have been perturbed by adding the same white noise error term. Similarly, the three measurements at the DUT have been perturbed. The sensitivity  is shown versus frequency for random networks with an insertion loss of 6 dB.

h i 0

V. COMPARISON WITH EM SIMULATIONS AND CONVENTIONAL MEASUREMENT TECHNIQUES Nondestructive -parameter measurements based on the formulation described in Section II have been published up to four ports for an encapsulated quad flat nonleaded (QFN) package in [10] and [11]. Similarly, two-port data has been published in [12] for flip-chip interconnects. These packaging technologies are particularly suitable to show the applicability of our method since all internal ports were encapsulated and inherently inaccessible. Unfortunately, the encapsulation makes a comparison with a direct measurement impossible and a comparison is merely a matter of EM simulations. Therefore, an uncovered chip-on-board technology was used for comparative purposes, where the mounted chip has not been covered with any encapsulant. A GSG probe can be placed on chip at a dedicated probe structure. In the following, we will compare the RUM with a generic two-port direct measurement and an HFSS EM simulation. Fig. 12 shows a picture of the chip-on-board package and Fig. 13 shows the equivalent 3-D model used for EM simulation

1852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 11. DC offset of the sensitivity  is plotted in (a) versus the insertion loss of the DUT network. In (b), the sensitivity is plotted versus the spacing of the open (0 ) and load (0 ) reflection coefficients. In both cases, the deviation is below the assumed sensitivity of a typical VNA. TABLE II HIGH-FREQUENCY EM SIMULATION PARAMETERS (FINITE-ELEMENT METHOD IN FREQUENCY DOMAIN)

Fig. 12. Mounted test chip using a chip-on-board technology. The photograph was taken after wire bonding of the center signal lead.

Fig. 13.

3-D model for EM simulation of the chip-on-board mounted test chip.

(see also Table II for simulation parameters). Only one center wire and two grounds were initially bonded as indicated. Unlike direct measurements, the un-termination algorithm required only one port of the VNA, which was placed on a 200- m GSG

probe structure on the board side. As a result, the on-chip port P2 had been reconstructed. In case of the direct two-port measurement, however, the second probe needed to be placed on chip. Additionally, the on-chip open termination needed to be deembedded from the measurement. Fig. 14(a) shows the return loss at port P1 and Fig. 14(d) shows the insertion loss of the interconnect from chip to the board. Fig. 14(c) shows the insertion loss of the interconnect from board to the chip and Fig. 14(d) shows the return loss at the on-chip port P2. Note that the -parameters of the direct measurement are shown after deembedding of the unavoidable open termination of the test chip at port P2. Therefore, similar curve ripples present in the direct measurement and likewise in the extracted data are due to the same open termination data used for deembedding and, respectively, for the reconstruction algorithm. All -parameters show very good agreement up to approximately 4 GHz. The extracted results are very close to the direct measurement even up to 10 GHz. Beyond 4 GHz, the EM simulations start to deviate from the direct measurement and the extracted results. There are several reasons possible for this, among them, inaccuracies in the material parameters (EM simulations had to rely on reported material parameter values), inaccuracies in the 3-D computer-aided design (CAD) model of the bonding wires and on-chip ground structure (the bonding wires were modeled after close-up photographs have been taken), and influence of the so-called “lumped gap ports” (both the external port on the

PFEIFFER AND SCHUSTER: RUM FOR NONDESTRUCTIVE IN SITU

-PARAMETER MEASUREMENT

1853

Fig. 14. Comparison between a direct two-port measurement, an HFSS EM simulation, and the reconstructed two-port data for a chip-on-board package with one interconnect wire bond and two ground down bonds. (a) Reflection seen on the board side at port P1. (b) Insertion loss from chip to the board. (c) Insertion loss from board to the chip. (d) Return loss at the on-chip port P2. See the text for a discussion of model to hardware correlation.

board, as well as the internal port on the chip were implemented using ideal two-dimensional (2-D) impedance boundaries with a total impedance of 50 , while GSG microprobes were used for measurements). Several sensitivity studies were performed, and it was finally concluded that inaccuracies in the 3-D CAD model can explain most of the deviations found. Specifically, data for ground wires having different lengths and a ground structure having a multitude of vias from the chip ground to the board ground (thus representing a “perfect” grounding) showed that the measured data can be bounded. Hence, it can be stated that accurate detailed 3-D CAD models are needed beyond 4 GHz for good model-to-hardware correlation for this specific application. A technique to extract such accurate models from scanning electron microscope pictures has been presented in [19], but is very time consuming and beyond the scope of this paper. This shows again the value of the proposed methodology. Overall, the measurements showed excellent agreement. The minor degradation of the sensitivity, as reported in Section IV (Fig. 8) did not have a noticeable effect on the accuracy of the reconstructed on-chip port. For the measured 2-dB insertion loss, of better the Monte Carlo analysis showed a sensitivity on than 45 dB up to 10 GHz, which is well below the measured 9 dB for this package. The fact that the algorithm is insensitive to correlated errors greatly relaxes any contact resistance related issues as compared to the direct measurement approach. Since

the on-chip terminations are programmable, it can be ensured that a contact resistance remains the same and, hence, correlated during measurement. VI. DISCUSSION AND OUTLOOK The RUM has been applied to a chip-on-board technology (Section V). The chip package has not been encapsulated in order to allow a direct measurement of a wire bond. The formulation described in Section II was used to reconstruct the -parameters of a two-port network, where one port had been reconstructed. While the algorithm can be easily applied to higher port numbers, it is the termination of the external ports (internal ports are terminated by the on-chip terminations) that need more attention. Ideally, broad-band 50- loads would be needed or the imperfection of the terminations had to be considered, as described in [14] and [15]. The sign ambiguity problem can be resolved due to the known boundary condition at dc. In principle, these sign ambiguities could be resolved if termination data is shared between two consecutive iterative steps of the algorithm, as described in [5] and [6]. Also, one might use additional external probe configurations on the board side to resolve the ambiguity. This would, of course, increase the number of external probe configurations needed. The recursive un-termination algorithm and the software, which was used for post processing

1854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the measured data, will have to be extended to accommodate for that. Limitations exist for the maximum frequency up to which the test chip can be successfully used. The method does not require ideal calibration standards, but it will not be applicable at crossovers where the different port termination reflection coefficients will be equal since the sensitivity will degraded (see Section IV). The wider the -parameters of the terminations are separated from each other, the better the quality and the higher the upper frequency limit of the method. In principle, it should be possible to measure electrically small packages with low insertion loss up to approximately 50 GHz with the current implementation. Higher frequencies will have to be addressed by a new chip design, where more than three terminations are available to ensure that at least three widely separated terminations can be selected. Note that the current test-chip design is only one possible implementation of a termination network. Other versions are easily fabricated to accommodate different package geometries and pin layouts. VII. CONCLUSIONS A novel methodology was presented for nondestructive -parameter measurements of hermetically encapsulated packages. A programmable termination network was implemented on a chip. The chip replaces the real chip inside of a package and enables in situ characterization by means of a RUM. The detailed implementation of the algorithm was presented, including a statistical analysis of its performance limitations. The methodology was verified with a direct two-port measurement of a chip-on-board package including a comparison with EM simulations. Good agreement with a high-frequency simulation tool and direct measurement has been achieved up to 4 GHz. Due to the nondestructive feature of the presented methodology, a variety of different package technologies can be measured directly in the frequency domain using this methodology. APPENDIX MATHEMATICAL NOMENCLATURE Here, we briefly address the mathematical nomenclature used throughout this paper. • Matrix: the matrix notation we use is capital bold letters, e.g., for the scattering parameters of an -port netfor measured or intermediate matrices with work, or . • Vectors: the vector notation is small bold letters, e.g., or in matrix equations like . • Components: the component notation is capital cursive with . Component indices letters, e.g., are small subscript cursive letters. • Terminated Ports: the notation we use to indicate the port location of terminations is with a parenthesize superscript are the elements of an matrix terminated index, e.g., at port . at port with a reflection coefficient • Reshaped Matrices: the reshape notation we use is squared bracket matrix with subscript size indices, e.g.,

is an -by-1 matrix whose elethe matrix ments are taken column-wise from the square matrix of dimension . ACKNOWLEDGMENT The authors would like to thank B. Gaucher, Dr. M. Soyuer and Dr. M. Oprysko, all of the Communications Department, IBM T. J. Watson Research Center, Yorktown Heights, NY, for their friendly support, and all who contributed to the fabrication and mounting of the test chip, especially the IBM SiGe technology group for chip fabrication, R. John and C. Baks for mounting support, Dr. T. Zwick for fruitful discussions, the Central Scientific Service (CSS) team, and especially D. DiMilia for dicing. REFERENCES [1] C. Tsai, “Package inductance characterization at high frequencies,” IEEE Trans. Compon., Packag., Manufact. Technol. B, vol. 17, no. 2, pp. 175–181, May 1994. [2] C. Tsai and W. Yip, “An experimental technique for full package inductance matrix characterization,” IEEE Trans. Compon., Packag., Manufact. Technol. B, vol. 19, no. 2, pp. 338–343, May 1996. [3] R. F. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 5, pp. 282–288, Mar. 1974. [4] K. Phillips and D. Williams, “MMIC package characterization with active loads,” in 36th ARFTG Conf. Dig., Nov. 1990, pp. 64–72. [5] H. Lu and T. Chu, “Port reduction method for scattering matrix measurement of an n-port network,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 959–968, Jun. 2000. [6] , “Multiport scattering matrix measurement using a reduced-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1525–1533, May 2003. [7] “Agilent user characterization: New electronic calibration feature allows users to customize to specific needs,” Agilent Technol., Pittsburgh, PA, White Paper, 2003. [8] V. Adamian, “A novel procedure for network analyzer calibration and verification,” in 41st ARFTG Conf. Dig., 1993, pp. 8–17. [9] , “Electronic calibration of a vector network analyzer for noninsertable devices,” in 43rd ARFTG Conf. Dig., 1994, pp. 1–10. [10] U. R. Pfeiffer and C. Schuster, “Non-destructive S -parameter measurement of a hermetically encapsulated package with comparison to highfrequency simulation,” in Proc. 11th IEEE Electrical Performance of Electronic Packaging Topical Meeting, Oct. 2002, pp. 323–326. [11] U. R. Pfeiffer and A. Chandrasekhar, “Statistical analysis and modeling of low-cost leadless packages for wireless applications based on nondestructive measurements,” in Proc. 12th IEEE Electrical Performance of Electronic Packaging Topical Meeting, Oct. 2003, pp. 79–82. [12] U. R. Pfeiffer, A. Chandrasekhar, and T. Zwick, “A new in situ approach to flip-chip interconnect characterization up to millimeter wave frequencies,” in Proc. 8th IEEE Signal Propagation on Interconnects Workshop, May 2004, pp. 59–62. [13] L. Martens, High-Frequency Characterization of Electronic Packaging, 2nd ed. Norwell, MA: Kluwer, 1998. [14] E. V. Lil, “A rigorous technique for measuring the scattering matrix of a multiport device with a two-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 3, pp. 286–287, Mar. 1985. [15] J. C. Tippet and R. A. Speciale, “A rigorous technique for measuring the scattering matrix of a multiport device with a 2-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-82, no. 5, pp. 661–666, May 1982. [16] W. Lin and C. Ruan, “Measurement and calibration of a universal sixport network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 4, pp. 734–742, Apr. 1989. [17] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in C, 2nd ed. New York, 1996. [18] High Frequency Structure Simulator HFSS, Version 8. Pittsburgh, PA: Agilent Technol., 2002. [19] C. Schuster, G. Leonhardt, and W. Fichtner, “Electromagnetic simulation of bonding wires and comparison with wide band measurements,” IEEE Trans. Adv. Packag., vol. 23, no. 1, pp. 69–79, Feb. 2000.

PFEIFFER AND SCHUSTER: RUM FOR NONDESTRUCTIVE IN SITU

-PARAMETER MEASUREMENT

Ullrich R. Pfeiffer (M’02) received the Diploma degree in physics and Ph.D. degree in physics from the University of Heidelberg, Heidelberg, Germany, in 1996 and 1999, respectively. In 1997, he was a Research Fellow with the Rutherford Appleton Laboratory, Oxfordshire, U.K., where he developed high-speed multichip modules. In 2000, his research was based on high-integrated real-time electronics for a particle physics experiment with the European Organization for Nuclear Research (CERN), Geneva, Switzerland. In 2001, he joined IBM and is currently a Research Staff Member with the IBM T. J. Watson Research Center, Yorktown Heights, NY. His current research involves RF circuit design, power-amplifier design at 60 and 77 GHz, high-frequency modeling, and packaging for millimeter-wave communication systems. Dr. Pfeiffer is a member of the German Physical Society (DPG). He was the recipient of the 2005 Lewis Winner Award for Outstanding Paper presented at the IEEE International Solid-State Circuit Conference.

1855

Christian Schuster (S’98–M’00) received the Diploma degree in physics from the University of Konstanz, Konstanz, Germany, in 1996, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, in 2000. From 2000 to 2001, he was with ISE Integrated Systems Engineering AG, Zürich, Switzerland, where he was responsible for development and support of an EM field solver software. He is currently a Research Staff Member with the IBM T. J. Watson Research Center, Yorktown Heights, NY, where he is involved in high-speed opto-electronic package and backplane interconnect modeling, design, and optimization. Dr. Schuster is member of the German Physical Society (DPG). He was the recipient of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY 2001 Best Paper Award and a 2003 IBM Research Division Award.

1856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Accurate Modeling of TE/TM Propagation and Losses of Integrated Optical Polarizer Luca Pierantoni, Member, IEEE, Alessandro Massaro, and Tullio Rozzi, Fellow, IEEE

Abstract—Photonic devices based on stacked multilayer waveguides are widely used in optical integrated architectures like polarizers, filters, photodetectors, laser, transducers for sensing applications, and microelectromechanical systems. In this paper, we present an accurate modeling of a waveguide polarizer based on an antiresonant reflecting optical waveguide (ARROW) structure utilizing birefringence form. The ARROW polarizer is the case of a structure with severe “aspect-ratio” that most of numerical technique cannot handle. The electromagnetic analysis is performed by means of a transmission-line matrix integral-equation (TLMIE) method-based solver. TLMIE is a three-dimensional full-wave hybrid technique that combines the advantages of the numerical transmission-line matrix method in dense finite regions and those of the integral-equation method in homogeneous regions where analytical and/or numerical Green’s functions are available. An accurate investigation of propagation/radiation properties of TE/TM modes is performed. Theoretical results of TE/TM losses are compared to measured data showing very good agreement. Starting from this validation, it seems possible to provide design criteria for the optimization of the polarizer. Index Terms—Multilayer waveguide, polarization switch, polarizer, terahertz technology, transmission-line matrix (TLM).

I. INTRODUCTION

P

HOTONIC circuits based on multilayer antiresonant reflecting optical waveguides (ARROWs) are suitable for several integrated optical architectures such as polarizers [1], directional couplers, filters, switches, optical interconnections in RF systems, photodetectors [2]–[4], single-mode waveguide [5], lasers [6], [7], sensors [8], microelectromechanical systems (MEMS) [9]. An ARROW structure is formed by a low refractive index core layer placed on top of the antiresonant reflective structure, which is composed by two or more layers with refractive index differences that hold the quarter-wave condition for a given wavelength. Light is then guided in the core-substrate cladding interface by means of antiresonant reflections. Their stacked configuration on a semiconductor substrate permits dense integration of photonic circuits. Moreover, the property of radiation of a multilayer cladding waveguide is the basic mechanism for integrated optic wavelength demultiplexers and/or filters with narrow bandpass properties in dense wavelength division multiplexing (DWDM) systems [4]. In the past, many types of multiplexers/demultiplexers including guided-wave

Manuscript received April 20, 2004; revised September 9, 2004 and January 3, 2005. The authors are with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, I-60100 Ancona, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848100

devices based on diffractional gratings, arrayed-waveguide gratings, Mach–Zehnder interferometers, and directional couplers [4] have been realized. Recently, novel practical integrated architectures based on the ARROW concept have been proposed; these are: 1) single-mode waveguide based on cross-sectional antiresonant confinement, where the confinement in the vertical direction is obtained by placing a Fabry-Pérot tuned at its antiresonant condition underneath the core [5]; 2) InGaAsP–InP 1.55- m lasers with integrated spot-size converters, in which the mode expanders consist of a tapered active region on the top of a fiber-matched passive vertical ARROW waveguide [6]; 3) vertical-cavity surface-emitting lasers (VCSELs) [7]; 4) silicon-based high sensitive optical transducer for sensing applications [8]; and 5) MEMS [9]. In the following, we investigate the ARROW reported in [2], as it represents a basic configuration for many fabricated devices. This kind of ARROW [2] is also defined as a “polarizer,” as it exhibits mode filtering through loss discrimination: the mode can be guided with low loss, while the mode is radiated to the substrate. However, when the core thickness is as mode loss is not large thick as that of optical fibers, the enough for a compact waveguide polarizer. This is because the and modes in decibels ratio of radiation losses of the is almost constant for any combination of materials, i.e., the core and first cladding materials. On the other hand, when the core thickness decreases, the isolation is high enough for a compact device. When the ARROW utilizes the so-called form birefringence [1]–[8], it achieves a high isolation and low-insertion loss for any core thickness. Moreover, an ARROW-polarizer structure exhibits a large “aspect ratio” (the relative ratio among the maxima and minima geometrical dimensions of optical devices) that most of numerical technique cannot handle. The goal of this paper is to introduce a full-wave three-dimensional(3-D)solverbasedonthetransmission-linematrixintegralequation (TLMIE) method for the accurate electromagnetic (EM) analysis of the ARROW-type polarizer reported in [2]. In order to show the effectiveness of this technique, we calculate TE/TM loss properties and compare them with experimental results. The kernel of the TLMIE method is constituted by the symmetrical condensed node (SCN)–transmission-line method (TLM) [10], [11]. The TLM is a time-domain space-discretizing method in which the dynamics of the EM field is described by applying Huygens’ principle. This is a powerful method that allows the numerical full-wave modeling of 3-D structures with nearly arbitrary geometry [10], [11]. Its disadvantage appears in dealing with wide homogeneous regions (i.e., bulk, free space) where the 3-D spatial domain of computation is

0018-9480/$20.00 © 2005 IEEE

PIERANTONI et al.: ACCURATE MODELING OF TE/TM PROPAGATION AND LOSSES OF INTEGRATED OPTICAL POLARIZER

1857

Fig. 1. Fundamental structure of an ARROW-type waveguide.

large, thus increasing the number and size of the elementary cells. This problem is overcome by using the hybrid TLMIE method combining the advantages of the TLM technique with the advantages of the IE method, where analytical or numerical frequency-domain Green’s functions are used for describing homogeneous regions [12]–[14]. It is noteworthy that the aspect ratio constitutes an absolute limit for “pure” numerical methods, like finite differences (FDs) and the same TLM, as explained above. In the particular case of the polarizer under investigation, this ratio is around 10 –10 . In order to model the polarizer, we proceed by the following steps. Step 1) We consider the very long polarizer as a periodic cascade connection of -elementary short sections, called “unit sections.” Step 2) We model the elementary unit section by the TLMIE method. Step 3) We construct a transfer function between the output and input power calculated at the output/input boundary surfaces of the same “unit section.” Step 4) We then reconstruct the power transfer function of the whole structure composed by the cascade of -unit sections, by performing multiplications of the elementary transfer functions. Step 5) We finally calculate the TE/TM power loss. The TLMIE-based solver is equipped with pre- and post-processing tools that enable us: 1) to easily draw the structure and 2) to derive and store a large amount of information concerning fields, power, and energy distributions. Computed results are compared to measured data, in the case of first cladding with one layer, showing very good agreement with reduced computational CPU time and memory requirement even for very large aspect ratios. On the basis of this validation, we are able to vary the geometrical parameters (like the thickness of the polycrystalline silicon layer) in order to optimize the integrated optical polarizer. II. THEORY A. Polarizer Characteristics The basic structure of the analyzed ARROW is reported in [1] and shown in Fig. 1. The polarizer consists of: 1) a core

TABLE I USABLE MATERIALS OF EACH LAYER OF THE POLARIZER

with refractive index and thickness ; 2) a multilayered or single-layer first cladding, which consists of two types of and thin dielectric film, with high and low refractive indexes respectively, which alternate periodically; and 3) a second cladding with refractive index and thickness , where is the equivalent thickness of the core (that includes the effect of field penetration outside the core). Examples of materials usable for each layer of ARROW are reported is given by [2] in Table I. The approximate expression of (1) where the field penetration from the core into the first cladding is assumed to be negligibly small, and is the polarization factor. The polarizer utilizes form birefringence [2], which gives two indexes for the first cladding as (2) where is the ordinary index and is the extraordinary index [15]. The propagation constant is expressed by the equivalent . Since the ARROW has a peculiar leaky index

1858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

waveguide structure, it supports the following three types of modes (see also Table I): First cladding modes: ARROW modes: Radiation modes:

(3)

The radiation losses of the and modes strongly depend on the thickness and on the index of the first cladding. B. TLMIE Method In the TLM method, the evolution of the discretized EM field is modeled by wave pulses propagating on a mesh of transmission lines and scattered at the mesh nodes [10], [11]. In the TLMIE method, 3-D space is segmented in different subregions containing the physical objects (structures, devices, circuits). Inside the subregions, the EM field is modeled by the TLM method, whereas outside the subregions, it is represented by equivalent sources on the interfaces coupled via the dyadic Green’s function (be it analytical and/or numerical) of the homogeneous region. At the boundary between the discretized TLM regions and the continuous region, the two representations are interfaced by applying the continuity of the EM tangential field, thus providing an appropriate set of electric-field integral equations (EFIEs) and magnetic-field integral equations (MFIEs). The latter equations are solved explicitly at each time step for the tangential field, which, in turns, represents the exact boundary condition for the TLM subregions [12], [13]. We apply the above method to our case. In the analyzed polarizer, the thickness of the first cladding layer is of the order of fractions of micrometers (0.046), while we consider a longitudinal length of order of a few centimeters, as shown in Fig. 2. This implies an outstanding aspect ratio. In order to overcome this limit efficiently, we segment the polarizer in a long cascade of “unit structures” or “unit sections,” as in the periodic strucm as the length of tures of infinite extent. We chose the unit structure (see Fig. 2). We now proceed to model the unit structures through the following steps. Step 1) This unit section is enclosed in an imaginary (rectangular) “box” surrounding the physical object. of the box cuts The lower boundary surface the substrate (bulk) region, thus permitting to drastically reduce the 3-D space to be discretized. is placed only The upper boundary surface one cell above the top surface of the device. The surfaces of this box are the boundaries for the TLM region (inside) and the homogeneous regions (free-space/bulk). We also define the surfaces and as the input/output sections, respectively, of the unit structure, as shown in Fig. 2. The surand connect the unit section to the faces rest of the polarizer. Step 2) Inside the rectangular box, the EM field is discretized by the TLM. Outside, the EM field is represented via the analytical (free space) and numerical (substrate region, input and output sections) Green’s function.

Fig. 2. TLMIE method applied to the modeling of the unit section of the ARROW polarizer. The EM field is modeled: 1) inside by the TLM method and 2) outside by the dyadic analytical/numerical Green’s function.

Step 3) In particular, the numerical Green’s function (or Johns’ matrix) is calculated by exciting all the boundaries subdomains with unit pulses and storing all the impulse responses, as in the diakoptics procedure [14] (see Fig. 2). In our case, the impulse has response on the input/output surface to be the ones of an infinite (matched load) waveguide. Now the Green’s function can be inserted in the integral equation formed by imposing the continuity of the tangential components of the EM field at the boundary surfaces of the box [12], [13]. Step 4) For the first unit section, placed just at the beginning of the whole structure, we calculate the ratio between the power flowing across the output surover that injected at the beginning section face (where the excitation is placed). This ratio can be defined as power transfer function of the elementary unit section

where (4) and where the fast Fourier transform (FFT) of the time-domain results are represented. In (4), is the total power flowing in the transverse plane at the -coordinate; these are derived by performing the in the transintegration of the Poynting’s vector verse plane along the -directions.

PIERANTONI et al.: ACCURATE MODELING OF TE/TM PROPAGATION AND LOSSES OF INTEGRATED OPTICAL POLARIZER

At and , we calculate the power at the input/output sections, respectively. coming from the surface of The output power the first unit section is the input one for the second unit section directly connected in cascade. This, in turns, is transferred to the end transfer of the second unit section by means of the same function. In other words, all the unit sections can be connected in cascade by considering the EM field at the output surface of each -unit section as the exciting field at the input of each -unit section until we reach the desired total length. In fact, just a single TLMIE run is necessary in order to evaluate the transfer function . All the other connections of the elementary unit section are taken into account by an -iteration process

1859

Fig. 3. Polarizer (ARROW) TE power loss. Comparison between experimental and theoretical data at f = 230 THz ( = 1:304 m).

(5) At the end of the structure, TE/TM fields and powers are available for the final calculation of the losses. III. RESULTS We first analyze the polarizer reported in [2], in which the first cladding is composed by a layer of different thickness, in order to validate our technique by means of experimental results. It will then be straightforward to consider any other combination of the first cladding layer, as well as any combination of bulk/cladding/core thicknesses. m with In the present case, we have core: ; second cladding: m with ; and bulk (substrate): m with , as shown in Fig. 2. We now model a unit structure (section) having a length m. of In the TLM region, we use an inhomogeneous mesh with minm in order to discretize the thick first imum m cladding polycrystalline layer in the worst case ( ); the grid is 30 160 80 (in the -direcwith tions), while the elementary time step is ps . m THz . The working wavelength is The optical waveguide is excited by an electric-field Gaussian plane polarized in the -direction (transverse pulse at the plane) at the beginning of the structure present only in the core region. The pulse is modulated by a sinusoidal wave, providing m THz a wavelength range of . In the above frequency range, the monomode condition is still satisfied. is approximately 50, thus ensuring staThe ratio bility of the TLM algorithm [10], [11]. In order to verify the TE mode-pass property in the core and the absorption of the TM mode in the bulk (substrate), we must consider the distribution of the power density related to the power in the bulk and core regions, respectively. These distributions are derived by performing the integration of in the -direction, through the Poynting’s vector the substrate and core regions, respectively,

(6)

Fig. 4. Polarizer (ARROW) TM power loss. Comparison between experimental and theoretical data at f = 230 THz ( = 1:304 m).

In order to demonstrate that the TM mode is radiated while the TE mode propagates along the structures, we recall that TE , while TM components components are characterized by are characterized by . By integrating the -component of the Poynting’s vector along the propagation axis , we get

(7) is exclusive to the TM case and is exclusive to the TE as one. Taking into account (6) and (7), we select the TE and TM powers at the end of a structure of 1-cm length, corresponding to a periodic structure that is long 1000 the unit section (10 m). In Figs. 3 and 4, we report the TE and TM losses in the core, THz, as a function of the (decibels per centimeter), at first cladding layer thickness , calculated by the TLMIE and compared to experimental data [2]: a very good agreement is noted. We observe that while the TE loss is low, the TM loss is very high since TM reflections are always lower because of the same mechanism, which gives rise to the Brewster angle. It is interesting to note the presence of this phenomena just in the first unit section (10 m), as shown in Fig. 5, where we report the TE/TM time distribution of the power in the core cross , the first cladding layer thickness section at the final plane is being set equal to 0.046 m. The power distribution

1860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1

1 = 23

Fig. 5. Time-domain TE/TM power distribution (W= S with S : 1 m ) in the core region at the final plane S of the first unit section (10 m).

10

(

)

Fig. 7. Time-domain evolution of the E -field component at the center of the (up) and t (below) time steps, respectively, in core region after t the first unit section : m .

= 500 = 900 (ts = 0 046 )

TABLE II COMPARISON OF COMPUTATIONAL DATA FOR THE ARROW POLARIZER

Fig. 6. TE versus TM power (decibels) in the core/bulk cross section as function of the frequency/terahertz (see lower axes) or wavelength/micrometer of the first unit section (10 m). (see upper axes) at the final plane S

(

)

normalized with respect to the minimum elementary area being m. This physical behavior is even more evident in Fig. 6, where we report the ratio (in decibels) of the TE versus TM power over a large frequency band (we use an FFT from the time-domain TLMIE data) both in the core and bulk regions, respectively. The power ratios are reported as a function of the frequency/terahertz (see lower axes) or wavelength/micrometer (see upper axes). This ratios are calculated at the end of the first unit section with m. We observe that at THz (working frequency), the guide is TE pass in the core, as expected; this trend increases by increasing the frequency. The TM mode tends to be localized in the bulk region, as shown in this same figure, where its energy tends to be larger than that of the TE mode. In Fig. 7, we show the time-domain evolution of the -field and component at the center of the core region after time steps, respectively, in the first unit section m . It is interesting to observe that the -field distribution is beginning to assume the characteristic TE-mode (even) distribution. The above TLMIE full-wave analysis, validated by

experimental results, constitutes the basis for a complete EM investigation in which geometrical and/or waveguide parameters are changed. This is in order to derive 3-D design criteria for the appropriate length of the device, which yields the expected power ratio in the core/bulk region. In Table II, we report CPU time and memory resources (referred to a 1.2-GHz 512-MRAM PC) required for the TLMIE simulation described above, compared to the ones required by using a “pure” TLM simulation. It is remarkable to observe that the TLMIE method requires: 1) half of the CPU-time and 2) 60% of the MRAM respect to the TLM method. IV. CONCLUSIONS We presented an accurate analysis of an ARROW polarizer structure, widely used in technology, in which the first cladding consists of a multilayer. The EM investigation is performed by the TLMIE method, which is highly efficient and accurate in the modeling of 3-D complex integrated optical structures. The TLMIE simulations shows strong selective radiation of the TM modes, as required for a TE-mode transmission polarizer. The validity and efficiency of the method is demonstrated by comparing the calculated and measured TE/TM propagation losses in the bulk and core regions; the comparison shows very good agreement with reasonable CPU time/RAM requirements even for very large aspect ratios. By using the TLMIE-based solver, it seems possible to provide design criteria in order to obtain

PIERANTONI et al.: ACCURATE MODELING OF TE/TM PROPAGATION AND LOSSES OF INTEGRATED OPTICAL POLARIZER

1861

Using the input impedance of an arbitrary transmission line, the dispersion equation for TE modes in the ARROW waveguide will be (A.5) where (A.6) The field distribution of the ARROW TE mode will be (upper cladding) (core) (first cladding) (second cladding) (substrate)

Fig. 8. Arrow waveguide and its equivalent transmission-line circuit.

miniaturization, high stability, a variety of functions, mass production, and cost reduction of the light polarizer circuit as part of more complex integrated optical architectures. APPENDIX The structure of an ARROW waveguide, where the refractive index of the core is lower than that of the underlying cladding layer, is distinctly different from that of a conventional waveguide. In order to find the propagation constant of the ARROW waveguide, the equivalent transmission-line and transverse resonance method are used, as adopting this equivalent-circuit approach provides physical insight. The structure of the simulated ARROW waveguide is shown in Fig. 8: the core in our case is made of SiO of thickness , the first cladding layer consist of a high index layer (Si of thickness ), the second cladding of SiO (with thickness ), the substrate of Si. The following analysis will mainly concentrate on TE modes. From EM theory, the characteristic impedance of the equivalent transmission line for the th layer of a dielectric waveguide is toward toward

(A.1)

and the relationship between the transverse and longitudinal propagation constant is (A.2) with the complex propagation constant (A.3) where is the complex effective index, is the real part of , and cm represents propagation loss along -direction. The transverse resonance condition of this circuit is (A.4)

(A.7)

where the phase shift and constant are evaluated considering the continuity condition of the tangent fields. REFERENCES [1] Y. Kokubun and S. Asakawa, “ARROW-type polarizer utilizing form birefringence in multilayer first cladding,” IEEE Photon. Technol. Lett., vol. 5, no. 12, pp. 1418–1420, Dec. 1993. [2] M. A. Dugay, Y. Kokubun, and T. L. Koch, “Antiresonant reflecting optical waveguide in SiO –Si multilayer structures,” App. Phys. Lett., vol. 49, no. 1, pp. 13–15, Jul. 1986. [3] T. Baba and Y. Kokubun, “Dispersion and radiation loss characteristics of antiresonant reflecting optical waveguides—Numerical results and analytical expressions,” IEEE J. Quantum Electron., vol. 28, no. 7, pp. 1689–1700, Jul. 1992. [4] S. Asakawa and Y. Kokubun, “A versatile design of selective radiation wavelength filter using multilayer cladding waveguide,” IEEE Photon. Technol. Lett., vol. 7, no. 7, pp. 792–794, Jul. 1995. [5] A. Llobera et al., “Large-core single-mode waveguides with cross-sectional antiresonant confinement,” IEEE J. Lightw. Technol., vol. 22, no. 6, pp. 1560–1565, Jun. 2004. [6] M. Galarza et al., “Mode-expanded 1.55 m InP–InGaAsP Fabry–Pérot lasers using ARROW waveguides for efficient fiber coupling,” IEEE J. Sel. Topics Quantum Electron., vol. 8, no. 6, pp. 1389–1398, Nov./Dec. 2002. [7] S. F. Yu, “Polarization selection in birefringent antiresonant reflecting optical waveguide-type vertical-cavity surface-emitting lasers,” IEEE J. Quantum Electron., vol. 39, no. 11, pp. 1362–1371, Nov. 2003. [8] F. Prieto et al., “Design and analysis of silicon antiresonant reflecting optical waveguides for evanescent field sensor,” IEEE J. Lightw. Technol., vol. 18, no. 7, pp. 966–972, Jul. 2000. [9] W. Noel et al., “Applications of SOI-based optical MEMS,” IEEE J. Sel. Topics Quantum Electron., vol. 8, no. 1, pp. 148–154, Jan./Feb. 2002. [10] P. B. Johns, “A symmetrical condensed node for the TLM-method,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 370–377, Apr. 1987. [11] M. Krumpholz and P. Russer, “A field theoretical derivation of TLM,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1660–1668, Sep. 1994. [12] L. Pierantoni, S. Lindenmeier, and P. Russer, “A combination of integral equation method and FD/TLM method for efficient solution of EMC problems,” in 27th Eur. Microwave Conf., Jerusalem, Israel, Sep. 8–12, 1997, pp. 937–942. [13] S. Lindenmeier, L. Pierantoni, and P. Russer, “Hybrid space discretizingintegral equation methods for numerical modeling of transient interference,” IEEE Trans. Electromagn. Compat., vol. 41, no. 4, pp. 425–430, Nov. 1999. [14] M. Righi, W. J. R. Hoefer, M. Mongiardo, and R. Sorrentino, “Efficient TLM diakoptics for separable structures,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 854–859, Apr. 1995. [15] A. Massaro, L. Pierantoni, and T. Rozzi, “Accurate analysis of wave propagation in negative uniaxial crystal,” IEEE J. Quantum Electron., vol. 40, no. 7, pp. 821–829, Jul. 2004.

1862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Luca Pierantoni (M’94) was born in Maiolati Spontini, Italy, in 1962. He received the Laurea (summa cum laude) degree in electronics engineering and Ph.D. degree from the University of Ancona, Ancona, Italy, in 1988 and 1993, respectively. From 1989 to 1995, he was with the Department of Electronics and Automatics, University of Ancona, as a Research Fellow. From 1996 to 1998, he was with the Technical University of Munich, Munich, Germany, with the Institute of High-Frequency Engineering as a Senior Research Scientist. In 1999, he rejoined the Department of Electronics and Automatics, University of Ancona, as an Assistant Professor. He is currently with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy. His current research interests are in the development of analytical/numerical methods for the analysis of microwave monolithic integrated circuits and integrated optical circuits. Dr. Pierantoni is a member of the Italian National Institute for the Physics of Matter (INFM).

Alessandro Massaro received the Laurea degree (summa cum laude) in electronics engineering and Ph.D. degree from the Università Politecnica delle Marche, Ancona, Italy, in 2001 and 2004, respectively. He is currently with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche. His research interests are in the analysis of multilayer integrated circuits and in the development of computer-aided design (CAD) tools in the area of integrated optics.

Tullio Rozzi (M’66–SM’74–F’90) received the Dottore degree in physics from the University of Pisa, Pisa, Italy, in 1965, the Ph.D. degree in electronic engineering from The University of Leeds, Leeds, U.K., in 1968, and the D.Sc. degree from the University of Bath, Bath, U.K., in 1987. From 1968 to 1978, he was a Research Scientist with Philips Research Laboratories, Eindhoven, The Netherlands. In 1975, he spent one year with the Antenna Laboratory, University of Illinois at Urbana–Champaign. In 1978, he became the Chair of Electrical Engineering with the University of Liverpool. In 1981, he became the Chair of Electronics and Head of the Electronics Group, University of Bath, where he was also the Head of the School of Electrical Engineering on an alternate three-year basis. Since 1988, he has been a Professor with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy, where he is also Head of the department. Dr. Rozzi was the recipient of the 1975 Microwave Prize presented by the IEEE Microwave Theory and Technique Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1863

Corrugated Waveguide and Directional Coupler for CW 250-GHz Gyrotron DNP Experiments Paul P. Woskov, Senior Member, IEEE, Vikram S. Bajaj, Melissa K. Hornstein, Student Member, IEEE, Richard J. Temkin, Fellow, IEEE, and Robert G. Griffin

Abstract—A 250-GHz corrugated transmission line with a directional coupler for forward and backward power monitoring has been constructed and tested for use with a 25-W continuous-wave gyrotron for dynamic nuclear polarization (DNP) experiments. The main corrugated line (22-mm internal diameter, 2.4-m long) connects the gyrotron output to the DNP probe input. The directional coupler, inserted approximately midway, is a four-port crossed waveguide beamsplitter design. Two beamsplitters, a quartz plate and ten-wire array, were tested with output coupling of 2.5% ( 16 dB) at 250.6 GHz and 1.6% ( 18 dB), respectively. A pair of mirrors in the DNP probe transferred the gyrotron beam from the 22-mm waveguide to an 8-mm helically corrugated waveguide for transmission through the final 0.58-m distance inside the NMR magnet to the sample. The transmission-line components were all cold tested with a 248 4-GHz radiometer. A total insertion loss of 0.8 dB was achieved for HE11 -mode propagation from the gyrotron to the sample with only 1% insertion loss for the 22-mm-diameter waveguide. A clean Gaussian gyrotron beam at the waveguide output and reliable forward power monitoring were achieved for many hours of continuous operation. Index Terms—Corrugated waveguides, millimeter-wave directional couplers, millimeter-wave waveguides, transmission-line measurements.

I. INTRODUCTION

T

HE RECENT availability of multiwatt continuous wave (CW) power at 250 GHz for dynamic nuclear polarization (DNP) [1] and other diagnostic applications has created a need for efficient moderate power transmission-line and directional-coupler components. Fundamental mode WR-03 waveguide components (0.86 0.43 mm inside dimensions) 8 dB/m. are not practical due to high insertion losses of High transmission efficiencies at 250 GHz can be achieved by using overmoded waveguide (cross-sectional dimensions greater than a wavelength) or optical components. The most mode in a efficient overmoded waveguide mode is the corrugated waveguide [2]. This mode also ideally couples to a free-space Gaussian beam, which is optimum for achieving the

Manuscript received May 21, 2004; revised October 14, 2004. This work was supported by the National Institutes of Health under Grant EB-002061, Grant EB002804, and Grant EB002026. The work of V. S. Bajaj was supported by the Natural Sciences and Engineering Research Council of Canada under a Postgraduate Fellowship. P. P. Woskov, M. K. Hornstein, and R. J. Temkin are with the Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail: [email protected]; [email protected]; [email protected]). V. S. Bajaj and R. G. Griffin are with the Francis Bitter Magnet Laboratory, Massachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848097

Fig. 1. 250-GHz transmission-line layout for DNP experiments.

smallest possible diffraction-limited spot sizes for maximizing power concentration or spatial resolution in an experiment. Corrugated waveguide transmission lines are a well-established technology widely used with gyrotrons at lower frequencies. Some examples are the transmission lines at 110 GHz on the DIII-D tokamak [3], at 140 GHz on the ADSEX-upgrade tokamak [4], and at 84 and 168 GHz on the large helical device stellarator [5]. In this paper, we extend this technology to 250 GHz. In addition to efficient transmission, a directional coupler is required in most experiments to monitor forward and reflected power. In high-power gyrotron transmission lines at lower frequencies, this is typically accomplished with small coupling holes in the mirror of a miter bend. Practical considerations due to the high-power levels and requirements for heat dissipation limit the coupling holes to linear arrays as used in the transmission lines at 110 GHz on DIII-D [6] and at 140 GHz on the Frascati tokamak upgrade [7]. To overcome the power coupling variations of a linear array when multiple modes are present in the transmission line, experiments with a two-dimensional array of holes in a copper film on a diamond substrate for heat dissipation have also been carried out [8]. In the research presented here, a quartz optical beam splitter, which is practical at moderate power levels, was implemented inside a straight section of corrugated transmission line to provide full beam cross-sectional coupling of both forward and reflected power. Thin wires stretched across the waveguide aperture in place of the quartz were also tested as an alternative beamsplitter. II. COMPONENT DESIGN The layout and principal components of the 250-GHz transmission line for DNP experiments are illustrated in Fig. 1. From the gyrotron, the transmission line starts with a 22-mm-diameter 2.44-m-long corrugated waveguide with a beamsplitter directional coupler near the middle. At the output of this waveguide,

0018-9480/$20.00 © 2005 IEEE

1864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 3. Design of the directional coupler fabricated from two corrugated waveguide corners that mate along the diagonal to hold the beamsplitter. One corner with a flat mirror along the diagonal would make a 90 waveguide miter bend.

Fig. 2. Calculated coupling efficiency of an elliptical Gaussian beam of 10.04 13.76 mm waste cross section to a circular waveguide HE mode.

2

a two-mirror optics unit focuses and directs the gyrotron beam into a smaller 8-mm-diameter 0.58-m-long helically tapped corrugated waveguide. The two mirrors consist of a spherical 50-mm-diameter 50-mm focal-length focusing mirror, and a 25-mm-square flat steering mirror. At the sample end of the 8-mm waveguide, a flat mirror 54.7 mitered waveguide bend directs the beam to the cryogenically cooled sample. A PTFE (Teflon) window is located in the 8-mm straight waveguide just before the miter bend. The 8-mm waveguide and sample are inside the bore of the magnet (not shown) for the DNP experiments. The 8-mm waveguide also serves the dual purpose of the central conductor of the coaxial line for the 30–300-MHz RF. A. Waveguide The choice of the main waveguide diameter was based on an analysis of the gyrotron output. An internal Vlasov converter inmode to a near Gaussian side the gyrotron transforms the beam, launching it through a quartz window. Ray-tracing analysis of the Vlasov coupler predicts a slightly elliptical beam waist at the window with minimum and maximum diameters of 10.04 and 13.72 mm. A calculation of coupling such an elmode liptical beam to a circular corrugated waveguide as a function of waveguide diameter is shown in Fig. 2. The coupling efficiency is optimal with a waveguide diameter of approximately 18 mm. A somewhat larger waveguide diameter of 22.2 mm (7/8 in) was finally chosen after initial gyrotron output power measurements immediately outside the magnet Dewar side bore showed greater power output coupling using a larger diameter waveguide due to the presence of higher order modes. mode The compromise for the calculated coupling to the at this larger waveguide diameter is not significant, dropping only from 95% to 91%. The 22-mm-diameter corrugated waveguide was fabricated from many short aluminum tube sections with a wall thickness of 3.2 mm (1/8 in). The circumferential wall corrugations deep and wide with a period of 0.4 mm were 0.3-mm . Two 0.254-m-long and 15 0.124-m-long waveguide sections and one 0.064-m-long directional coupler block were assembled with outer diameter clamps to achieve the desired waveguide length.

The 8-mm waveguide was fabricated from copper tubing with a short section of stainless-steel tubing welded in the middle to act as a cryogenic thermal break The internal corrugations were machined with a rifling tap having a pitch of 2.5 grooves per mm (3 per ). The triangular groove depth was estimated to be between and . The total polarization rotation for propagating a 250-GHz beam through this waveguide due to the helical groove was estimated to be 3 using [9, eq. (2)]. After machining, internal and external surfaces of this waveguide were flash coated with silver and then gold to provide good electrical conductivity to the RF and protection from corrosion. B. Directional Coupler The directional-coupler design, illustrated in Fig. 3, uses crossed corrugated waveguides that are split along a diagonal of the crossed waveguide intersection to accommodate a beamsplitter. The beamsplitter thickness and index of refraction determine the degree of reflective coupling from the main waveguide direction to the side waveguide ports. The reflectivity of a beamsplitter, assuming no absorption, is given by the standard formula [10]

(1)

where is the surface reflection given by the Fresnel equations and is the phase difference between the beamsplitter front and back surfaces given by (2) For the two orthogonal -field polarizations parallel and perpendicular to the plane of incidence (the plane of Fig. 3), (3) (4) where, in the above equations, and are the angle of incidence and transmission, respectively, at the beamsplitter as re, is the lated by Snell’s law of refraction is the beamsplitter index of refraction, is its thickness, and gyrotron beam wavelength in vacuum.

WOSKOV et al.: CORRUGATED WAVEGUIDE AND DIRECTIONAL COUPLER FOR CW 250-GHz GYROTRON DNP EXPERIMENTS

1865

A low coupling factor is achieved by a beamsplitter minimum in reflectivity. At 250 GHz, fused quartz has an index of refraction of 1.955 [11] and for an incidence angle of 45 has a reflection minimum for a thickness of approximately 1 mm. Common microscope slides with this thickness and sufficient area (25 50 mm) to cover a 22-mm aperture at 45 are readily available and were used in the current experiments. Another advantage of this beamsplitter is that a visible laser beam can be introduced through a side port and its reflection off the beamsplitter can be aligned with the waveguide axis, facilitating downstream alignment of the transmission line and microwave optics. A disadvantage of using a dielectric beamsplitter for signal coupling is that it is narrow-band. Narrow-band operation is not a limiting factor in this 250-GHz DNP experiment since only the narrow gyrotron frequency is transmitted, but stability is important for monitoring power. Small changes to the beamsplitter parameters, for example, due to thermal changes, could cause the coupling factor to drift. To overcome this potential limitation, experiments were carried out with thin wires stretched across the waveguide aperture as an alternative broad-band beamsplitter approach. C. Coupler With Wires The power scattered by a wire can be expressed as the product of its scattering cross section and the incident power density as (5) has units of power per unit where has units of area and area. In the following analysis, we will only consider the electric-field polarization normal to the wire axis because the scattering cross section is smaller for this orientation and we desire a small coupling factor. For an infinitely long small-radius wire such that the condition is true, the scattering cross section is given by [12]

(6) where is the angle between the incident beam and the normal to the wire axis and is the angle between the direction of the scattered signal and the plane containing the incident beam and wire. In our current coupler design for a wire stretched across the waveguide aperture perpendicular to the plane in Fig. 3, and . A 36-gauge wire with m has a value mm at 250 GHz mm . mode inside a circular waveThe power density of the guide is best expressed in terms of the electric field density as

2

Fig. 4. Scattered radiation patterns (P =P 10 ) at 250 GHz by one wire (36 gauge) and by a ten-wire array. The wires are arrayed with a spacing of 1=4 along the vertical axis of this figure with the wire axis normal to the figure plane. The incident beam is 45 from normal to the wire array plane with a HE beam profile corresponding to corrugated waveguide with ka = 58.

where is the power of the gyrotron beam, is the waveguide radius, is the radius coordinate inside the waveguide, and and are Bessel functions. According to (6), one wire will primarily backscatter the incident radiation (see the top of Fig. 4). An array of wires is needed to impart directionality to the scattered signal away from the backward direction. The sum of the scattered electric field for an array of wire scatters can be calculated with the aid of the grating equation [13] (9) where

is given by the grating equation as (10)

(7) where is the impedance of free space and the electric-field density is given by [2]

(8)

and

is the spacing of the wires and for

odd or zero

(11)

for

(12)

even

The wire spacing needs to be less than the wavelength to minimize the number of sidelobes in the radiation pattern. The ra-

1866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. View of ten-wire 36-gauge beamsplitter stretched across the diagonal face of the corrugated four-port directional-coupler block.

diation pattern for ten wires with a spacing of 0.25 is shown in the lower part of Fig. 4. The strongest radiation lobe is at approximately 83 to the incident beam with a scattering frac21.4 dB . The other strong radiation lobe is tion of 0.0072 in the forward direction and does not contribute to the output coupling into the side port. The ten-wire side port coupling is not as optimal as with the quartz beamsplitter due to the slight angular offset, but it would be broad-band. Fig. 5 shows the ten-wire beamsplitter implemented on the diagonal face of the split four-port corrugated block for measurements described below.

Fig. 6.

248-GHz heterodyne receiver used for cold-test measurements.

Fig. 7. Cold-test transmission measurements of the 22-mm-diameter corrugated waveguide without and with two versions of the directional coupler.

III. COLD TESTS A 248-GHz heterodyne radiometer was used to test the transmission efficiency of the waveguide components with broad-band thermal radiation. The radiometer obtained from Millitech used a tripled 88.67-GHz Gunn local oscillator (LO) that was frequency stabilized to a 100-MHz crystal quartz reference. The IF amplifiers covered the 2–4-GHz range. A corrugated horn with an internal semiangle of 2.5 and an output aperture of 18 mm provided an mode field-of-view that was coupled to a 6.35-cm-long 22-mm-diameter corrugated waveguide section by a hollow acrylic plastic conical transition with an internal semiangle of 4 . A second 12.4-cm-long 22-mm-diameter waveguide section was fixed relative to the first with a gap of approximately 1 cm for insertion of a chopper. A photograph of this setup is shown in Fig. 6. The chopper permitted operation as a Dicke receiver [14] with lock-in amplifier phase-sensitive detection. The double-sideband (DSB) noise temperature was measured with a liquid-nitrogen-cooled thick (30 mm) pyramidal surfaced eccosorb1 black body to be K past the chopper at the end approximately of the 22-mm-diameter waveguide. Though the theoretical , measurement precision with this receiver, as given by for 1-s integration time s and the full [15] is 0.2 GHz , in practice, it was at least several receiver DSB degrees due to electronics drift. The transmission efficiency of the 250-GHz corrugated waveguide components was determined by measuring the increase in 1Manufactured by Emerson and Cuming, Billerica, MA. [Online]. Available: http://www.emersoncuming.com

receiver noise temperature as the components were added to the end of the receiver-chopper assembly. The results for the 22-mm waveguide and the two versions of the directional coupler are shown in Fig. 7. The top plot (open circles) shows the transmission efficiency of the straight waveguide sections as they were built up to the full 2.4-m length without any directional coupler. A small linearly increasing insertion loss was observed that totaled 1% for the complete waveguide. This is probably an upper -mode transmission loss since it is likely that the limit for receiver beam may have had some higher order mode content due to the acrylic transition and chopper waveguide gap. In the next lower trace (solid circles) of Fig. 7, a directional coupler using a ten-wire beamsplitter, as described above, was inserted into the 22-mm waveguide 1.37 m from the receiver assembly. The measured loss of this coupler at the insertion location was 2.6%. In the lower trace (open squares), a directional coupler with a 1-mm-thick quartz beamsplitter was inserted in the same position and measured at a 6.8% insertion loss. Measurements of the noise temperature to the side port of the coupler for forward power coupling corresponded to a 1.6% and 6.3% coupling fraction for the wire and quartz beamsplitters, respectively. Therefore, some of the observed insertion loss is not coupled out to the monitoring port. A further measurement was made of the four-port corrugated waveguide block without a beamsplitter and was found to have an insertion loss of approximately 0.5%. Consequently, the difference between the observed insertion loss and side coupling can be accounted for by the discontinuity of the crossed waveguide in the four-port block.

WOSKOV et al.: CORRUGATED WAVEGUIDE AND DIRECTIONAL COUPLER FOR CW 250-GHz GYROTRON DNP EXPERIMENTS

1867

TABLE I 250-GHz GYROTRON BEAM MEASUREMENTS

Fig. 8. Calculated quartz (n = 1:955) beamsplitter reflectivity for a beam incidence at 45 for the two orthogonal polarization cases and two thicknesses.

The measured coupling fraction of 1.6% 18 dB with the ten-wire beamsplitter is 3 dB larger than the calculation above. This difference may be due to the approximate nature of the where, for the current case, . calculation for The results for the coupler with the quartz beamsplitter can be understood with the aid of the calculations shown in Fig. 8. The quartz reflectivity is a sensitive function of frequency and thickness. The beamsplitter thickness was measured to be 0.94 0.02 mm, one of the cases plotted in Fig. 8. Integrating the beamsplitter reflectivity over the detection bands of the receiver results in a reflectivity of 3.8 2 for parallel polarization, the upper limit of which is close to the observed value. Rotating the quartz beamsplitter 90 on the waveguides axis to couple with the perpendicular polarization increased the measured coupling to 22%, which is also in agreement with the calculation of 22.2 3% for a 0.94-mm-thick beamsplitter. For comparison, the case for a beamsplitter with a thickness of exactly 1 mm is also shown, which has a calculated parallel and perpendicular coupling of 0.24% and 1.7%, respectively. Polishing the quartz beamsplitter to a precise thickness can be used to achieve almost any desired coupling factor less than 3 dB at a specific frequency. The insertion loss of the two mirrors that transfer the millimeter-wave beam from the 22-mm waveguide to the 8-mm waveguide and the 8-mm waveguide was also measured and found to be 15 3%. It is likely that most of this loss can be accounted for by nonoptimal threaded groove parameters and an elliptical distortion of the millimeter-wave beam caused by the spherical focusing mirror that is used at approximately 30 off-axis. Table I summarizes the cold-test insertion-loss measurements. IV. MEASUREMENTS WITH GYROTRON The 22-mm-diameter corrugated waveguide with the quartz four-port directional coupler was tested with the CW gyrotron beam. Power was measured with a Model 362 Scientech calorimeter and mode patterns were obtained with thermal burn paper backed by a flat sheet of eccosorb to enhance absorption. The power measurements were not corrected for the actual millimeter-wave absorption by the calorimeter detector element [16]. Table II summarizes the results. The gyrotron power output was set to approximately 5 W for these measurements, which is adequate for the DNP experiments and allows very

TABLE II COLD-TEST INSERTION-LOSS MEASUREMENT RESULTS WITH 248 4-GHz RADIOMETER

6

stable operation for periods of up to 100 h. Operation with output power up to 25 W is possible when long-term drift is not important. The top burn pattern was taken without any waveguide immediately outside the gyrotron magnet side port, approximately 30 cm from the gyrotron window. The irregular appearance of the beam indicates the presence of higher order modes. However, the nonlinear absorption properties of the thermal paper may exaggerate the content of higher order modes. In the next entry, a 38-cm-long section of the 22-mm waveguide was brought into near contact with the gyrotron window and aligned to maximize the power output. At this point, the beam is significantly distorted and elongated in the horizontal direction. The next measurement was made after 1 m of waveguide was added to the output of the gyrotron window. The beam now has evolved to two vertically separated hot spots. In the next entry, with 132 cm of waveguide, including the directional coupler, the beam has become a smaller elliptically elongated spot. Finally, at the output of the full waveguide, we have a

1868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

tion electronics can explain the observed deviation of 0.8% in the coupling factor. This directional coupler design will require careful matching of the detector diodes and/or isolation when both forward and backward detectors are simultaneously implemented because they view each other cross the beamsplitter. V. CONCLUSIONS

Fig. 9. 3-h CW test of the quartz directional coupler stability. (a) Normalized ratio of forward coupled signal. (b) Gyrotron power.

single circular spot. Here, two burn paper exposures are shown. The short exposure shows a small circular spot. In the longer exposure, the small circular spot has been burned from black to a lighter shade of gray, making the outer regions of the beam visible, showing that the beam is circular over a large dynamic range. This suggests that the higher order gyrotron modes have been filtered from the beam by the 2.4-m-long transmission through the corrugated waveguide. A power measurement of 4.1 W was made at the waveguide output. This corresponds to an 11% loss relative to the first measurement of 4.5 W near the gyrotron output. The forward output coupling of the quartz directional coupler was also tested with the calorimeter. A coupled fraction of 2.5% was measured. This is lower than the cold-test result because the quartz beamsplitter has a smaller reflectivity at the 250.55-GHz gyrotron frequency versus the two IF bands of the cold-test receiver (see Fig. 8). The gyrotron frequency was accurately established by harmonically mixing with a frequency counted and phase-locked loop (PLL)-regulated Gunn oscillator and performing a Fourier transform measurement of the IF frequency on a digital oscilloscope. A 3-h test of the directional coupler was also carried out to determine thermal stability with the gyrotron beam. A detector diode in WR-3 waveguide was matched to the forward power monitoring port with a 2.5 corrugated horn from Millitech, a 4 hollow acrylic taper, and a short section of 22-mm dielectric waveguide similar to the setup of the 248-GHz radiometer described above. A thick pyramidal surfaced eccosorb dump blocked the reflection monitoring port opposite the forward port for this test. The harmonic frequency measurement receiver was used to simultaneously monitor the gyrotron power in the main beam after the directional coupler by intercepting a small part of the beam at a distance. Fig. 9 shows the results. The measured power levels are shown in Fig. 9(b) and the normalized ratio of these signals is plotted in Fig. 9(a). The coupling factor remains relatively stable over the 3-h period. Drifts in the detec-

A corrugated waveguide with a full cross-sectional directional coupler for use with a moderate power CW 250-GHz gyrotron for DNP experiments has been fabricated and successfully tested. Precise measurements of small insertion losses and coupling factors were made possible with the use of a wide-bandwidth radiometer, i.e., 248 4 GHz, for cold testing rather than a coherent source that would have had standing-wave mode inaccuracies. The total transmission loss for an from the gyrotron to the sample was found to be approximately 0.8 dB over a total distance of 3 m with a directional coupler, an optical change in waveguide diameter, a Teflon window, and a miter bend. The actual loss was approximately 1.1 dB due to the presence of higher order modes in the gyrotron beam. However, with 5-W output at the gyrotron, 4 W can be readily coupled to the sample, which is more than adequate for the DNP experimental requirements. Most of the insertion loss of approximately 0.7 dB occurs in the 0.58-m-long 8-mm-diameter waveguide inside the DNP magnet probe and the associated two mirrors that transfer the gyrotron beam from the 22-mm-diameter waveguide. Future improvements in the performance of this section of the transmission-line system are possible by replacing the spherical mirror with an off-axis parabolic mirror and improved corrugation parameters. The main 22-mm-diameter 2.44-m-long waveguide with optimum mode corrugations was found to have an upper limit for transmission losses of only 1%. The problem of monitoring forward power was solved with a four-port crossed corrugated waveguide with a beamsplitter. Two types of beam splitters were tested, i.e., a narrow-band thin quartz plate and a broad-band ten-wire scattering array. The quartz plate has the advantage that a visible laser beam can be superimposed on the millimeter-wave beam for alignment, but it has a disadvantage that it may be susceptible to frequency drift of the coupling factor under thermal loading by the gyrotron beam. The ten-wire scattering array is broad-band and the wires are good thermal conductors, potentially making the ten-wire array coupling factor more stable at higher power. Both beamsplitters cold tested generally as predicted and, in the current 5-W CW gyrotron tests, the quartz beamsplitter did not reveal any problem with thermal drift. This directional coupler design along with the corrugated waveguide demonstrated here provide an efficient solution to the problem of transmitting and monitoring millimeter-wave beams at a frequency of 250 GHz. ACKNOWLEDGMENT The authors gratefully acknowledge many useful discussions with M. Shapiro, Massachusetts Institute of Technology (MIT), Cambridge.

WOSKOV et al.: CORRUGATED WAVEGUIDE AND DIRECTIONAL COUPLER FOR CW 250-GHz GYROTRON DNP EXPERIMENTS

REFERENCES [1] V. S. Bajaj, C. T. Farrar, M. K. Hornstein, I. Mastovsky, J. Vieregg, J. Bryant, B. Elena, K. E. Kreischer, R. J. Temkin, and R. G. Griffin, “Dynamic nuclear polarization at 9 Tesla using a novel 250 GHz gyrotron microwave source,” J. Magn. Reson., vol. 160, pp. 85–90, 2003. [2] J. L. Doane, “Propagation and mode coupling in corrugated and smoothwalled circular waveguides,” in Infrared and Millimeter Waves, K. J. Button, Ed. New York: Acadamic, 1985, vol. 13, ch. 5. [3] R. W. Callis et al., “The 6 MW, 110 GHz system for the DIII-D tokamak,” in IEEE Int. Plasma Science Conf. Rec., 2002, Abstract 02CH37340, pp. 294–294. [4] F. Leuterer et al., “Experience with the ECRH system of ASDEX-upgrade,” Fusion Eng. Des., vol. 53, pp. 485–489, 2001. [5] H. Idei et al., “Electron cyclotron heating scenario and experimental results on LHD,” Fusion Eng. Des., vol. 53, pp. 329–336, 2001. [6] J. Doane et al., “Quasi-Optic components in oversized corrugated waveguide for millimeter-wave transmission systems,” presented at the 23rd Int. Infrared Millimeter-Waves Conf., T. J. Parker and S. R. P. Smith, Eds., Colchester, U.K., 1998. [7] A. Simonetto et al., “Directional couplers-polarimeters for high-power corrugated waveguide transmission lines,” Fusion Sci. Technol., vol. 40, pp. 247–251, 2001. [8] C. P. Moeller, J. Lohr, and J. L. Doane, “The measured performance of a millimeter wave beam splitter,” in 23rd Int. Infrared MillimeterWaves Conf. Dig., R. J. Temkin, Ed., San Diego, CA, 2002, IEEE Catalog 02EX561, pp. 307–308. [9] P. P. Woskov and C. H. Titus, “Graphite millimeter-wave waveguide and mirror for high temperature environments,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2684–2688, Dec. 1995. [10] M. Born and E. Wolf, Principles of Optics, 5th ed. New York: Pergamon, 1975, sec. 7.6. [11] J. W. Lamb, “Miscellaneous data on materials for millimeter and submillimeter optics,” Int. J. Infrared Millim. Waves, vol. 17, pp. 1997–2034, 1996. [12] G. T. Ruck, D. E. Barrick, W. D. Stuart, and C. K. Krichbaum, Radar Cross Section Handbook. New York: Plenum, 1970, vol. 1, ch. 4. [13] M. Young, Optics and Lasers: Including Fibers and Optical Waveguides, 5th ed. New York: Springer, 2000, ch. 6. [14] R. H. Dicke, “The measurement of thermal radiation at microwave frequencies,” Rev. Sci. Instrum., vol. 17, no. 7, pp. 268–275, 1946. [15] M. E. Tiuri, Radio Astronomy, J. D. Krauss, Ed. New York: McGrawHill, 1970, ch. 7. [16] F. B. Foote, D. T. Hodges, and H. B. Dyson, “Calibration of power and energy meters for the far infrared/ near millimeter wave spectral region,” Int. J. Infrared Millim. Waves, vol. 2, pp. 773–782, 1981.

Paul P. Woskov (S’74–M’76–SM’99) received the Ph.D. degree in electrical engineering from the Rensselaer Polytechnic Institute, Troy, NY, in 1976. In 1976, he joined the Francis Bitter National Magnet Laboratory, Massachusetts Institute of Technology (MIT), Cambridge, where, since 1980 he has been with the MIT Plasma Science and Fusion Center. He is currently a Principal Research Engineer and Associate Division Head of the Plasma Technology Division. His principal interests include plasma diagnostics, fusion energy, millimeter-wave technologies, and environmental applications of plasmas and millimeter waves. Dr. Woskov is a member of the American Physical Society, the American Chemical Society, and the American Association for the Advancement of Science.

1869

Vikram S. Bajaj concurrently received the B.A. degree in biochemistry and M.S. degree in chemistry from the University of Pennsylvania, Philadelphia, in 2000, and is currently working toward the Ph.D. degree in physical chemistry at the Massachusetts Institute of Technology (MIT), Cambridge. Since 2000, he has been a Research Fellow with the Francis Bitter Magnet Laboratory, MIT, where his research involves structure determination of biomolecules through solid-state nuclear magnetic resonance (NMR) and the development of DNP for sensitivity enhancement in NMR spectroscopy.

Melissa K. Hornstein (S’97) received the B.S. degree in electrical and computer engineering from Rutgers University, New Brunswick, NJ, in 1999, the M.S. degree in electrical engineering and computer science from the Massachusetts Institute of Technology (MIT), Cambridge, in 2001, and is currently working toward the Ph.D. degree in electrical engineering and computer science at MIT. Since 2000, she has been a Research Assistant with the Plasma Science and Fusion Center, MIT. She has designed, developed, tested, and analyzed a novel submillimeter-wave second harmonic gyrotron oscillator, as well as being involved in other projects and applications in the millimeter and submillimeter regimes. Her research interests include novel microwave sources and amplifiers in the millimeter and sub-millimeter regimes and their applications, such as enhanced nuclear magnetic resonance spectroscopy via DNP.

Richard J. Temkin (F’94) received the B.A. degree in physics from Harvard University, Cambridge, MA, in 1966, and the Ph.D. degree in physics from the Massachusetts Institute of Technology (MIT), Cambridge, in 1971. From 1971 to 1974, he was a Research Fellow with the Division of Engineering and Applied Physics, Harvard University. From 1974 to 1979, he was a Staff Member and an Assistant Group Leader with the National Magnet Laboratory, MIT. In 1980, he became Group Leader of the Gyrotron and Advanced Millimeter Sources Group, Plasma Fusion Center, MIT. Since 1985, he has been a Senior Research Scientist with the Physics Department, MIT. Since 1986, he has been Head of the Waves and Beams Division, Plasma Science and Fusion Center, MIT, where he currently serves as the Associate Director.

Robert G. Griffin received the B.S. degree in chemistry from the University of Arkansas, Fayetteville, in 1964, and the Ph.D. degree in physical chemistry from Washington University, St. Louis, MO, in 1969. He performed post-doctoral research in physical chemistry with the Massachusetts Institute of Technology (MIT). In 1972, upon completion of his postdoctoral training, he assumed a staff position with the Francis Bitter National Magnet Laboratory, MIT. In 1984 he became a Senior Research Scientist, and was appointed to the faculty of the Department of Chemistry, MIT, in 1989. In 1992, he became Director of the Francis Bitter Magnet Laboratory (FBML) and is concurrently Director of the MIT–Harvard Center for Magnetic Resonance, where he had been Associate Director since 1989. He has authored or coauthored over 300 papers concerned with magnetic resonance methodology and applications of magnetic resonance (NMR and EPR) to studies of the structure and function of a variety of chemical, physical, and biological systems. Over the last decade, his research has focused on the development of methods to perform structural studies of membrane and amyloid proteins and on the utilization of high frequency ( 100-GHz) microwaves in EPR experiments and in the development of DNP/NMR experiments at these frequencies. Prof. Griffin has served on numerous advisory and review panels for the National Science Foundation and the National Institutes of Health (NIH).

>

1870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Complex Permittivity Measurements Using TE11p Modes in Circular Cylindrical Cavities Sherko Zinal and Georg Boeck, Senior Member, IEEE

Abstract—Characterization of complex permittivity has been performed using circular cylindrical cavities. For this reason, perturbed TE11 odd modes have been analyzed. Closed-form and expressions are given for the relative dielectric constant the loss tangent tan for an inserted rectangular specimen. Experimental results are presented for the verification of the derived formulas. The use of circular cylindrical cavities instead of rectangular ones offers the advantage of higher factors and, therefore, higher accuracy. Index Terms—Cavity perturbation method, complex permittivity measurements, dielectric materials.

circular cylindrical cavities are easier fabrication and higher factors than in rectangular ones. The determination of the complex permittivity can, therefore, be performed with higher accuracy. II. PERTURBATION FORMULA The most general description of homogeneous dielectric materials, for electromagnetic purposes, is given by the complex permittivity tensor , which reduces to a scalar complex number for isotropic materials. For a region filled with homogeneous isotropic material, the first Maxwell equation can be written as

I. INTRODUCTION

T

HE PRECISE characterization of dielectric properties of substrate materials becomes very important in the microwave domain due to the high sensitivity of RF-circuit performance to small deviations of the substrate properties. A widely used technique to determine the complex permittivity, i.e., the dielectric constant and loss factor of materials, is the cavity perturbation method. By this method, a small specimen is inserted in a suitable way into a resonant cavity. By knowledge of the resonance frequency shift and the increase in bandwidth (both quantities can be measured), the complex permittivity can be calculated. The advantages of this technique are the high sensitivity and relatively easy preparation of the specimens. A disadvantage is the discrete frequency measurement determined by the resonance frequencies of the cavity. An extensive treatment of permittivity measurement methods including transmission line, cavity, and cavity perturbation methods is given in [1] and [2]. Definitions and fundamentals of the cavity perturbation method are summarized in Section II. In Section III, a formula for the calculation of the complex permittivity of rectangular specimens in circular cylindrical cavities is derived for the mode. This formula was presented before in [3], but without theoretical background. Section IV shows that the mode holds for higher order derived formula for the odd modes in the -direction with acceptable accuracy. In mode Section V, a similar approach is presented for the in circular cylindrical cavities. For comparison, the solution mode in rectangular cavities, which is known for the from the literature [4], is given. The advantages of employing

(1) where is the conductivity of the material. Assuming harmonic time dependence, and with the constitutive relation (2) where is the dielectric constant and is a factor describing the dielectric (polarization) losses, (1) becomes (3) Now the complex permittivity is defined as (4) where the real part is the dielectric constant, the first and second terms of the imaginary part represent the dielectric and ohmic losses, respectively. Other useful representations of the complex permittivity are and , where and the . dissipation factor (loss tangent) The general perturbation formula for dielectric materials, as given in [1], is

(5) Manuscript received June 25, 2004; revised October 17, 2004. This work was supported by the German Bundesanstalt fuer Materialforschung und-pruefung. The authors are with the Microwave Engineering Laboratory, Technical University of Berlin, 10587 Berlin, Germany. Digital Object Identifier 10.1109/TMTT.2005.848094

where indices 1 and 2 signify the quantities of the empty and perturbed cavity, respectively. and denote the volumes of the cavity and specimen, respectively. is the complex relative

0018-9480/$20.00 © 2005 IEEE

ZINAL AND BOECK: COMPLEX PERMITTIVITY MEASUREMENTS USING

MODES IN CIRCULAR CYLINDRICAL CAVITIES

1871

Fig. 2. Cross section of the circular cylindrical cavity, showing the mode. arrangement of the specimen in respect of the E -field lines of the TE

Fig. 1. Schematic of the cylindrical cavity with coaxial feed line and introduced rectangular specimen.

permittivity of the specimen material. For high- factors, the . factor We note that the factor in (5), which considers the change in bandwidth of the cavity itself due to frequency shift, is often neglected in the literature. While the resonance frequencies and factors can be measured, the integrals on the right-hand side (RHS) of (5) must be evaluated for a certain mode and arrangement of specimen to get . By suitable arrangement of the specimen, assuming that the field outside the specimen remains unchanged due to its presence, the electric field in the perturbed cavity can be easily derived from the field of the empty cavity by fulfilling the boundary conditions. For example, if the electric field is tan, or if the field gential to the specimen surface, then is perpendicular to the specimen surface, then inside the specimen. III. FORMULA FOR THE

Fig. 3.

E -field amplitude along the AB line denoted in Fig. 2.

-MODE

Here, the perturbed mode of a circular cylindrical cavity is analyzed. As a result, we obtain by some first-order approximations a closed-form expression for the RHS of (5). The simplicity of the formula makes it attractive for practical applications. A schematic of the arrangement is shown in Fig. 1. The dimensions can be taken from Figs. 2–4, where and denote the radius and height of the cavity, respectively. and denote the width and thickness of the specimen, respectively. The specimen length is twice the cavity radius ensuring that the specimen penetrates the cavity. The electric field of the mode is given by (6) where (7)

Fig. 4. Vertical cut through the cavity showing the field distribution of the first three odd modes.

and

(8) For narrow specimens, the electric field is approximately tangential to the specimen surface (see Fig. 2) and, therefore, can be assumed.

1872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

The second fraction of the RHS of (5) can now be written as

Substituting (16) into (5) gives the expression for the complex relative permittivity

(17) (9)

The integration along the -direction can be evaluated separately and yields for the numerator for the denominator. The -integration in the denomiand nator yields . A second approximation that can be done for narrow specimens is to neglect the -dependence of the electric field in the specimen region. The integral in the numerator of (9) over the specimen cross section can be reduced to a linear integral along the specimen length

Finally, the expressions for relative permittivity and loss tangent are given by (18)

(19)

(10) multiplied with the specimen width . Fig. 3 shows the normalized field amplitude along the integration line. With these considerations, (9) becomes

(11) Evaluating the individual integrals in the expression above, (12) (13) (14)

IV. HIGHER ORDER MODES IN

-DIRECTION

Since the cavity volume is inversely proportional to the square mode at of resonance frequency, the utilization of the high frequencies leads to small cavities, which, in turn, require very small specimens (a precondition of perturbation theory), which cannot be accurately cut and polished any longer. Utilizing higher order modes permits measurement of complex permittivity with specimens of manageable size at high frequencies. The second advantage of higher order modes is the possibility of measuring complex permittivity at several frequencies with the same cavity. Furthermore, higher order modes have higher factors, which enable the measurement of lowloss tangents more accurately than the fundamental mode at the same frequency. mode The expressions evaluated in Section III for the hold for higher odd modes in the -direction as the following equation shows:

and applying the following approximation for thin specimens (relative to the cavity height): for

(15)

yields

(16)

for for (20) Since the specimen is placed in the middle of the cavity height, it will always be in the maximum field region for odd modes, as shown in Fig. 4. The null result for the even modes is plausible due to the field nodes in the specimen region. The accuracy of (20) depends on the ratio of specimen thickness to cavity height and on the order of the mode. If the argument of the sine function is no longer a good approximation (e.g., for a thick specimen and high orders), it is recommended to use the exact solution of the integral in (20) instead of the approximation done there.

ZINAL AND BOECK: COMPLEX PERMITTIVITY MEASUREMENTS USING

MODES IN CIRCULAR CYLINDRICAL CAVITIES

TABLE I MEASURED RESONANCE PARAMETERS OF DIVERSE MODES IN DIFFERENT CAVITIES AND CALCULATED " AND tan  VALUES FOR RT/DUROID 5870 MICROWAVE SUBSTRATE MATERIAL WITH THE MANUFACTURER SPECIFICATIONS " = 2:33 0:02 AND tan  = 0:0012 GIVEN AT A FREQUENCY OF 10 GHz

6

1873

TABLE II MEASURED RESONANCE PARAMETERS OF DIVERSE MODES IN DIFFERENT CAVITIES AND CALCULATED " AND tan  VALUES FOR RO3006 MICROWAVE SUBSTRATE MATERIAL WITH THE MANUFACTURER SPECIFICATIONS " = 6:15 0:15 AND tan  = 0:0025 GIVEN AT A FREQUENCY OF 10 GHz

6

TABLE III MEASURED RESONANCE PARAMETERS OF DIVERSE MODES IN DIFFERENT CAVITIES AND CALCULATED " AND tan  VALUES FOR RT/DUROID 5880 MICROWAVE SUBSTRATE MATERIAL WITH THE MANUFACTURER SPECIFICATIONS " = 2:2 0:02 AND tan  = 0:0009 GIVEN AT A FREQUENCY OF 10 GHz

6

V. COMPARISON WITH OTHER MODES •

-mode in a cylindrical cavity: Evaluating (9) for a cylindrical dielectric rod along the cavity axis gives (21)

By substituting (21) instead of (16) into (5), we get expressions similar to (18) and (19) for and . This mode due to the constant field provides good accuracy for strength along the -direction and the flat field distribution in the specimen region. The disadvantage of this mode is factor, compared to other modes, which reits small stricts accurate loss factor measurements. -mode in a rectangular cavity: • For a rectangular specimen along the -direction, we get (22) This result is also given in [4]. From (16), (21), and (22), it is apparent that the perturbation formulas depend merely on the specimen to cavity volume ratio. This implies that the specimen cross section can be chosen arbitrarily, whereas the volume has to be determined very accurately. VI. MEASUREMENTS Few cavities have been fabricated to cover the frequency range from 2 to 20 GHz. By electro-silvering, factors up to mode at 7.4 GHz have been achieved. 32 000 for the Tables I–III shows the dimensions of the cavities, the measured modes in these resonance frequencies, and factors of and for cavities, and the corresponding values of specimens of several materials. The specimens were inserted into the cavity through two obverse holes, as shown in Fig. 1. It has been found that holes of moderate size, with appropriate cavity wall thickness, do not affect the factor or the shape of the modes. The inaccuracies caused by the specimen insertion

Fig. 5.

Feed positioning fixture.

holes are discussed in [5]. The modes were excited via coaxial line feed aligned with the specimen direction, to assure a field configuration, as given in Fig. 2. The coupling factor was continuously adjustable by a special screw positioning fixture, as shown in Fig. 5. This is necessary since the diverse modes have different coupling factors. Additionally, when dealing with materials of a high dissipation factor, it is helpful to be able to adjust the coupling factor so that the measurement of the bandwidth of the perturbed cavity remains feasible. Due to the field distribution, as shown in Fig. 3, a strong coupling of the cavity requires long feed probes, which may cause spurious resonances, disturbing the cavity resonance. To overcome this

1874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

cation shows a high degree of reproducibility and reliability. modes in circular cylindrical cavities for Utilizing odd complex permittivity measurements gives many advantages like higher achievable factors, relatively easy preparation of specimens, and the reusability of cavities for several frequencies. The difficulty will be the fabrication of cavities, in which higher modes hold on due to their sensitivity to fabrication inaccuracies. ACKNOWLEDGMENT The authors would like to thank Dr. T. Huebert and Dr. U. Banach, both of the Bundesanstalt fuer Materialforschung und-pruefung, Berlin, Germany, for valuable discussions and advice. REFERENCES Fig. 6. Coaxial feed line with positioning screw.

[1] H. M. Altschuler, “Dielectric constant,” in Handbook of Microwave Measurements, 3rd ed, M. Sucher and J. Fox, Eds. Brooklyn, NY: Polytech. Inst. Brooklyn, 1963, vol. II, ch. IX, pp. 495–548. [2] “Standard test methods for complex permittivity of solid electrical insulating materials at microwave frequencies and temperatures to 1650 C,” Amer. Soc. Testing Mater., Designation: D 2520-95, 1995. [3] T. Huebert, A. Lorek, U. Banach, S. Zinal, and G. Boeck, “Precision measurement of complex permittivity of solid dielectric materials,” in Proc. Int. Materials Testing Conf., Nuremberg, Germany, May 13–15, 2003, pp. 91–96. [4] D. C. Dube, M. T. Lanagan, J. H. Kim, and S. J. Jang, “Dielectric measurements on substrate materials at microwave frequencies using a cavity perturbation technique,” J. Appl. Phys., vol. 63, no. 7, Apr. 1988. [5] H. E. Bussey and A. J. Estin, “Errors in dielectric measurements due to a sample insertion hole in a cavity,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 6, pp. 650–653, Nov. 1960.

Sherko Zinal was born in Leipzig, Germany, in 1977. He received the Dipl.Ing. in electrical engineering from the Technical University of Berlin, Berlin, Germany, in 2004. He is currently a Research Assistant with the Department of High Frequency Techniques, Technical University of Berlin. Fig. 7.

Inside of the cavity with introduced feed line.

problem, the feeding coaxial line, with a short bared inner conductor, as shown in Fig. 6, is introduced into the cavity (Fig. 7), making sure to establish good contact between the outer conductor of the feeding line and cavity wall. Utilizing higher order modes demands very precise fabrication of the cavities because the higher the mode, the higher the sensitivity to fabrication tolerances. This will cause -factor breakdown and shape deformation of the mode, which makes it unusable for permittivity measurements. VII. CONCLUSIONS A perturbation formula for odd modes in circular cylindrical cavities has been derived. The experimental verifi-

Georg Boeck (M’93–SM’00) was born in Wertingen, Germany, in 1951. He received the Dipl.-Ing. degree in electrical engineering and Doctoral degree from the Technical University of Berlin, Berlin, Germany, in 1977 and 1984, respectively. In 1984, he joined Siemens Research Laboratories, Munich, Germany, where his research concerned fiber optics and GaAs electronics. From 1988 to 1991, he was a Full Professor of electronic devices and circuits with the Fachhochschule Regensburg, Regensburg, Germany. Since 1991, he has been the Chair of the Microwave Engineering Laboratory, Technical University of Berlin. His main areas of research are characterization, modeling and design of microwave semiconductor devices, microwave integrated circuits (MICs), and monolithic microwave integrated circuits (MMICs) up to the millimeter-wave range.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1875

Coupled-Slotline-Hybrid Sampling Mixer Integrated With Step-Recovery-Diode Pulse Generator for UWB Applications Jeongwoo Han and Cam Nguyen, Fellow, IEEE

Abstract—A new compact low-cost sampling mixer with internal local strobe-pulse generator for (carrierless) ultra-wideband (UWB) applications, especially for sub-sampling of UWB video pulse signals, is presented along with detailed design information. The sampler employs a double-sided planar structure to facilitate interface with the strobe-pulse generator and the baseband circuit without using wire connections or air bridges, enabling hybrid microwave integrated-circuit integration with significant reduction in assembly effort. A novel coupling structure for both coupling and termination of the RF and local-oscillator signals to the Schottky-diode sampling bridge was designed to suppress ringing in the strobe pulse signal, resulting in harmonic-distortion reduction in the baseband output signal. Development of strobe-pulse generators using step recovery diodes for good output power efficiency is also presented along with design information for relatively low clock frequencies. The developed sampler achieves an unprecedented conversion loss of 4.5–7.5 dB (without a baseband amplifier) and conversion gain from 6.5 to 9.5 dB (with an amplifier) over a 5.5-GHz RF bandwidth, a dynamic range of over 50 dB, and low harmonic distortion in the baseband output. A new intrinsic conversion-loss equation that allows the sampler’s conversion loss and operating RF bandwidth to be predicted accurately was also derived. Index Terms—Pulse generator, sampling mixer, ultra-wideband (UWB) radar and communication.

I. INTRODUCTION

T

HE SAMPLING mixer has been widely used for wide-band frequency down-conversion in many applications. One application is for microwave instrumentation such as network analyzers, frequency counters, and digitizing oscilloscopes [1]–[7]. In this application, the sampling mixer is used for sub-sampling of a fast transient signal to recover it on a large time scale and for down-conversion of a continuous-wave signal. Solid-state millimeter-wave and electrooptic samplers, which are able to sub-sample picosecond transient signals, have been developed for instruments operating in the millimeter-wave band [8]–[12]. Another important application of the sampling mixer is for (carrierless) ultra-wideband (UWB) impulse radar and communication systems, which employ video (baseband) pulse as the transmitting signal [13]–[21].

Manuscript received May 27, 2004; revised December 31, 2004. This work was supported in part by the National Science Foundation, by the Texas Transportation Institute, by the Southwest Region University Transportation Center, by the Texas Advanced Research Program, and by Dell. The authors are with the Electrical Engineering Department, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: jwhan@ ee.tamu.edu; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848091

For instance, in UWB impulse radars, such as subsurface penetrating radar, sub-sampling of the received signal by a sampling mixer is needed to extract detected information [13]. The sampling mixer for UWB applications particularly requires low conversion loss and high dynamic range because of its direct-conversion operation in the receiver. Some analyses were also reported for the sampler [22], [23]. In this paper, we report on the development of a new UWB sampling mixer with integrated local strobe-pulse generator. A simple equation allowing accurate prediction of the sampler’s intrinsic conversion loss and the RF operating bandwidth is derived. Development of strobe-pulse generators at 10 MHz is also presented along with the design information for clock-signal sources having relatively low pulse repetition frequency (PRF). The developed sampling mixer is called a coupled-slotline-hybrid (CSH) sampler stemming from its use of a CSH doublesided planar structure for low-cost fabrication and ease in integration with the strobe-pulse generator and the baseband circuit using a hybrid microwave integrated-circuit (MIC) technique. The CSH sampler employs a modified version of the coupled-slotline magic-T [24] for coupling and termination of the RF and local oscillator (LO) signals to the sampling-bridge circuit. The modified CSH allows the sampling bridge to be included and suppression of the ringing of the strobe pulse signal to be achieved. The developed CSH sampler achieves a conversion loss of 4.5–7.5 dB (without amplifier) and a conversion gain from 6.5 to 9.5 dB (with amplifier) over a 3-dB bandwidth of 5.5 GHz, which is, to our best knowledge, the best-reported conversion efficiency for a hybrid sampling mixer at this frequency range. The sampler has a dynamic range of greater than 50 dB and a sensitivity of 47 dBm. II. DESIGN OF THE STROBE PULSE GENERATOR Step recovery diode (SRD) impulse generators have been used for generation of subnanosecond strobe pulses for samplers operating to over 10 GHz [5]–[7]. Design methods for SRD impulse generators are well developed and used for various multiplier and sampler designs [25]–[29]. These design methods, however, are applicable for high (input) driving-source or clock frequencies. They do not provide optimized and good performance when the driving-source frequency is low (e.g., 10 MHz). Low driving frequency is needed for various applications. In UWB radar requiring sub-sampling in the receiver, the transmitted pulse’s PRF is determined by the strobe pulse’s PRF in the receiver, which may be as low

0018-9480/$20.00 © 2005 IEEE

1876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

TABLE I SPECIFICATION SUMMARY OF THE CLOCK OSCILLATOR AND SRD USED IN THE PULSE GENERATOR DESIGN

Fig. 1. Circuit diagrams for: (a) the delay-line and (b) shunt-mode pulse generators.

as 10 MHz in order to have sufficient observation time and detection range. In this paper, modified SRD impulse generators have been developed for good performance at low driving frequencies. Two different types of SRD impulse generators were designed based on well-known circuit configurations [26], [29]. One is the delay-line type and the other is the shunt-mode type. These two types were considered because the former can generate short pulse duration and the latter can produce high pulse power. Designed circuit diagrams, including clock driving circuits, for these two different types are shown in Fig. 1. These pulse circuits were optimized to obtain high pulse power for low PRF with no external dc bias required for normal operation. Since the shunt-mode pulse generator has better performance than its delay-line counterpart for sampler application and part of their designs is common, only the design of the shunt-mode pulse generator is described here. The measured performance of both types, however, is presented. In the shunt-mode pulse generator, as shown in Fig. 1(b), a clock oscillator is used as the driving source for the pulseshaping circuit. To obtain good output power efficiency and high pulse amplitude, the frequency of the driving source should be less than the minority carrier lifetime of the SRD. In the case of a 10-MHz driving source, an SRD having at least 100-ns lifetime must, therefore, be used. However, in reality, this kind of long-lifetime SRD cannot have fast transition time. To alleviate this problem and satisfy both the conditions of lifetime and transition time, a fast clock signal source, whose rise time is comparable to the SRD’s lifetime, is used. Summarized specifications of the selected clock oscillator and the SRD for our design are shown in Table I. and are required for ac coupling of In Fig. 1(b), the source to the buffer. The buffer is used to provide a good impedance matching between the oscillator and pulse-shaping circuit and to supply a sufficient current to the load. The buffer used is an opamp, which has a wide bandwidth larger than 150 MHz to maintain the fast rise time of the input clock signal. is It has a maximum output current of 100 mA. The resistor required to stabilize the circuit operation when the load of the

Fig. 2. Measured output pulse waveforms of the delay-line and shunt-mode pulse generators.

buffer is in a low-impedance state. The pulse-shaping circuit is basically a shunt-mode SRD impulse generator [26]. , SRD, make up the pulse clamping circuit, which functions as and a self-biasing network to provide proper bias required for the was shunt-mode pulse generator. The driving inductance determined approximately for initial design value using the equations in [26], and 1 nH was chosen to include some design is needed to form a RF short circuit margin. The capacitor (depletion capacat the time of the diode turn off so that , itance of SRD), and form a parallel-resonant ringing circuit should required for generation of the impulse. Therefore, to produce a low-impedance be large enough compared to value over the pulse frequency band. However, the combination and the equivalent resistance of and results in a of may not low-pass filter for the input driving signal, thus, exceed some certain value to prevent the input clock signal , where is the from slewing. Therefore, rise time of the clock signal and is a parallel combination of and . In the case of ns, is found to be less than 113 pF. Experiment with the fabricated circuit shows that from 50 to 100 pF can be used. Fig. 2 shows the measured output impulse waveforms from the fabricated circuits for the delay-line and shunt-mode types. These waveforms were measured by a digitizing oscilloscope, whose bandwidth is 12 GHz. The full widths at half maximum (FWHMs) of the generated pulses are approximately 150 ps. The pulse waveform of the delay-line pulse generator has large ringing even though the pulse duration is shorter than that of the shunt-mode pulse generator. Large ringing can cause some distortion on the down-converted output of the sampler. Its amplitude is also smaller than that of the shunt-mode type, while the slew rates of both pulses are the same. For pulses of the same slew rate, actual sampling aperture times, which

HAN AND NGUYEN: CSH SAMPLING MIXER INTEGRATED WITH SRD PULSE GENERATOR FOR UWB APPLICATIONS

Fig. 3.

1877

Equivalent-circuit diagram of the CSH sampler.

determine the bandwidth of the sampler, are almost the same because of the clamping effect of the sampling-bridge circuit. The clamping circuit structure of the sampling bridge and the relationship between the sampling aperture time and bandwidth of the sampler will be shown in Section III. Furthermore, for the same slew-rate pulses, a higher amplitude pulse improves the conversion loss and increases the 1-dB compression point of the sampler. Therefore, the shunt-mode type pulse generator is a better choice for the sampler in all aspects. III. DESIGN OF THE CSH SAMPLER The developed CSH sampling circuit is a two-diode-bridge configuration. Its equivalent-circuit diagram, including the baseband buffer and amplifier circuit, is shown in Fig. 3. The equivalent circuit follows the split-ground configuration proposed by Grove [1] for reducing the parasitic inductance of the signal transmission line. Each part of the sampling bridge, consisting of a Schottky or ), holding capacitor , and sampling diode ( forms a pulse-clamping circuit. The holding resistor clamping circuit acts as a self-biasing network so that no exalso ternal bias network is required. In the sampling circuit, works as a coupling capacitor for the LO pulse. Its capacitance should thus be sufficiently large as compared to the junction capacitance of the sampling diode in order to increase the forward bias current and decrease the diode’s junction resistance, resulting in a decrease of the charging time constant of the RF signal and eventually improving the conversion loss of the sampling mixer. In another point-of-view, when the sampling diode is turned off, a large holding capacitance would reduce the loss of the sampled RF voltage, effectively improving the conversion loss. On the contrary, a small capacitance value is desirable to facilitate good RF input matching over for a wide frequency range. With the junction capacitance of the beam-lead Schottky diode used in our design of approximately was estimated from 0.2 to 0.5 pF. 0.1 pF, a proper value for Through circuit simulations of the designed sampler using ADS to accomplish [30], a value of 0.2 pF was finally chosen for a wide bandwidth for the sampler. The two-diode-bridge sampling circuit is analyzed quantitatively using an equivalent-circuit model, shown in Fig. 4, to obtain analytical result for the intrinsic conversion loss and, hence,

Fig. 4. Equivalent-circuit model of the two-diode-bridge sampler, including the baseband filter, with respect to the RF signal sampling (i.e., during the time the RF signal is sampled).

the frequency response of the sampler. As indicated in Fig. 4, the dashed line connecting nodes A and B represents an open circuit considered in the analysis. Assuming identical sampling and diodes and holding capacitors, the sampled voltages are equal. The resultant sampled voltage through the baseand because of the resistive divider band filter is equal to form of the voltage-combining structure. Therefore, only a half of the overall equivalent-circuit model (without the baseband filter structure) may be considered for the analysis. The Schottky sampling diode is represented in Fig. 4 as a combination of a and switch and the diode’s turn-on equivalent-circuit model. represent the diode’s package parasitics. The switch can be modeled as an RC low-pass filter. The cutoff frequency of the switch is determined by the effective sampling time represented as (1) where denotes the rise time of the RF signal charging through the diode and represents the sampling aperture time [8], [11]. In good sampling diodes, the junction capacitance is normally so small that can be ignored. Using this model of the switch and a half of the equivalent circuit model in Fig. 4 without the baseband filter, the following equation can be derived, neglecting and :1

(2) 1Typical

good beam-lead Schottky diodes have small L and C .

1878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

This equation calculates the intrinsic (sampling) conversion loss of the sampler due to two sampling diodes and holding capacitors. It also represents a relative or normalized conversion loss with respect to the minimum conversion loss that occurred at the lowest RF frequency. Here, the minimum conversion is defined as due mainly to the charged RF signal leakage during signal charging and discharging phases through a low parasitic impedance formed by the inductive and capacitive parasitic of and is a critical performance parameter the holding resistor of the sampler. Its measured value for the designed sampler is given in Section IV. The usefulness of (2) lies in the fact that it can accurately predict the conversion-loss behavior and the RF operating bandwidth of the sampler, as will be seen in Section IV. The sampling aperture time is dependent on the strobe pulsewidth and the reverse bias applied to the sampling diodes. In the designed sampling-bridge circuit, a self-bias occurs due to the clamping effect, effectively producing reverse bias to the sampling diodes. From the simulation of the circuit shown in Fig. 4 with an actual diode model, the sampling aperture time was estimated as 60–70 ps. Using the diode parameter values , pF, provided by the manufacturer ( ) and the estimated aperture time of 60 ps, the pF was intrinsic conversion loss of the sampler for calculated using (2). From this analysis, the estimated RF bandwidth can be found approximately as 5.5 GHz, which is well coincided with the measurement result. , and comIn Fig. 3, when the diode is turned off, , pose a discharging path for the charged RF voltage in , where and work as a low-pass filter for the baseband signal. This baseband filter is necessary to combine sampled voltages through sampling diodes and to reduce the output noise power. was initially calThe required value for the holding resistor culated as 80-k by considering the strobe pulse PRF and the was serequired time constant. However, the final value of lected as 30 k to reduce the effect of its parasitic impedance on the conversion loss and to avoid an increase of the noise in the baseband signal, which is likely to occur with a large resistance. was chosen as 7 pF in order to have the cutoff frequency of is the terminating resistance 1.5 MHz for the low-pass filter. for both the RF and strobe-pulse signal. An opamp is used as the baseband amplifier. Fig. 5 shows a layout of the CSH sampler, including only such major components as the CSH, sampling-bridge circuit, and connection to the baseband circuit. The basic configuration and design of the CSH follows the well-known coupled-slotline magic-T [24]. The LO pulse coupling is achieved through an underside microstrip line (rather than an air bridge) because it facilitates resistive termination required for the strobe pulse generation, is easily fabricated by a printed circuit board (PCB) manufacturing process, and provides a more rigid reproducible structure for the hybrid MIC. The extended quarter-wavelength coupled slotlines between the sampling bridge and terminations and the open slot at the terminations help reduce the ringing in the strobe pulse. Two underside microstrip lines connecting to the sampling bridge facilitate integration with the baseband circuitry. and odd-mode characteristic The even-mode impedance of the coupled slotlines used in the CSH can be ob-

Fig. 5. Layout of the designed sampling circuit with main RF components. The strobe pulse generator and baseband circuits are not shown. The indicated port numbers are used for simulation purposes, as shown in Fig. 6.

tained, assuming no termination at the open end of the bottom microstrip stub, as

(3) where is the characteristic impedance of the feeding coplanar waveguide (CPW) and microstrip line at the RF and LO ports, is a half of the terminating resistor shown in respectively. is the even-mode wavelength at the center Fig. 3. In Fig. 5, frequency of the monocycle pulse appeared at ports 2 or 3. This center frequency is 3.3 GHz corresponding to the monocycle pulse duration of 300 ps. It should be noted here that the monocycle pulse is formed by combining half of the LO impulse signal reflected from the 0- chip resistor and that propagating toward ports 2 or 3. , The CSH was implemented using and . 70 was used for , instead of 50 according to (3), in order to have reasonable transmission-line dimensions and to accommodate the sampling bridge, using RT/duroid 6010 substrate having a relative dielectric constant of 10.2 and a thickness of 0.127 cm. The characteristic of the bottom microstrip stub was determined impedance as 25 in order to attain wide-band coupling of the LO pulse signal, as indicated in the design of the coupled-slotline magic-T circuit [24]. The microstrip stub is terminated with a resistor, which is needed for proper biasing of the pulse-shaping circuit of the strobe pulse generator, as well as for constructing a parallel tank circuit for the impulse generation. Fig. 6 shows calculated results for the designed CSH with a 50- termination at the open end of the microstrip stub. Although the CSH was not measured, its operation is validated through good performance obtained for the sampler. The insertion-loss result for the LO pulse signal in Fig. 6(a) shows a passband from 1 to 5 GHz, which covers a significant portion of the frequency band of the pulse having 150 ps of FWHM. The return loss of the RF port and the isolation between the RF and LO ports displayed in Fig. 6(b) show good results up to 10 GHz. The transient simulation results in Fig. 6(c) show that the two split LO pulse signals (to two sampling diodes) are well matched and 180 out-of-phase without significant ringing. It should be noted that the first large sidelobe signal with opposite polarity to the main-lobe pulse,

HAN AND NGUYEN: CSH SAMPLING MIXER INTEGRATED WITH SRD PULSE GENERATOR FOR UWB APPLICATIONS

1879

RF transmission. Two radial slots are used at the sampling bridge to reduce the parasitic capacitances. Connection to the baseband circuit is implemented using via-holes and two underside microstrip lines. Close contact of the holding resistor to the sampling diode bridge provides good isolation between the RF signal line and baseband connection. An additional quarter-wavelength coupled slotline is used between the sampling bridge and termination resistors to reduce possible ringing of the LO pulse signal at the sampling bridge. Without this transmission line, a positive reflected pulse signal occurred at the termination would broaden the sampling aperture time, leading to degradation of the bandwidth of the sampler. The quarter-wavelength line causes the reflected pulse signal to be aligned 180 out-of-phase with respect to the sidelobe (ringing) of the incident pulse signal at the sampling bridge, resulting in removal of the sidelobe of the pulse waveform. Effectively, the additional quarter-wavelength line provides a better input matching at the sampling bridge for the LO pulse signal. The use of CSH provides several advantages for the sampler. Firstly, by arranging the RF and LO ports on each side of the circuit, it is possible to make a good signal line termination taking advantage of the wide open slot. Secondly, the baseband connection can be implemented by the bottom microstrip lines, making easy integration with the baseband circuit. The designed sampling circuit does not require any wire connections or air bridges, hence, reducing fabrication efforts and enabling low-cost mass production of the circuit, especially for hybrid MICs. A 0- chip resistor is used in the CSHs coupled slotlines at the RF port to simulate an air bridge needed for reflecting the LO pulse propagating toward that direction. IV. FABRICATION AND PERFORMANCE OF THE CSH SAMPLER

Fig. 6. Simulation results for the designed CSH using ADS. (a) S 11: return loss at the LO port. S 31: insertion loss for the coupled LO pulse. (b) S 44: return loss at the RF port. S 14: isolation between the RF and LO ports. (c) Pulse waveforms at the coupled slotlines output ports 2 and 3. Reference port numbers are shown in Fig. 5.

seen in Fig. 6(c), does not cause any adverse effect to the sampling because it provides more reverse bias to the diode and effectively turns the diode off. The layout configuration for the sampling-bridge circuit shown in Fig. 5 was also optimized through simulations to minimize the effect of the layout on the performance of the

The CSH sampling mixer was fabricated on an RT/duroid 6010 substrate with a relative dielectric constant of 10.2, a thickness of 0.127 cm, and a loss tangent of 0.0023. The clock driving circuit of Fig. 1(b) was fabricated on a separate substrate for the convenience of measurement. Fig. 7 shows the fabricated CSH sampling mixer assembled on a metal fixture without the clock driving circuit. The beam-lead Schottky-diode bridge used in the sampler is MSS-50244-B20, manufactured by the Metelics Company, Sunnyvale, CA. It has two diodes of high barrier needed for the sampler’s high dynamic range. Each diode has a junction capacitance of 0.1 pF, a junction resistance of 16 , and a series resistance of 11 . The holding capacitors, shown in Fig. 5, are implemented by chip capacitors having low loss and a high self-resonant frequency. Fig. 8 shows the measured strobe pulse waveforms at both the sampling bridge and coupling area between the underside microstrip line and coupled slotlines. As indicated in Fig. 8, the negative sidelobe ringing, which can cause distortion on the sampled signal, is suppressed at the sampling bridge through the use of an additional quarter-wavelength coupled slotline mentioned in Section III. The measured strobe pulse applied to the sampling bridge has a relatively small sidelobe ringing and similar waveform to the calculated pulse shown in Fig. 6(c). The sidelobe ringing with opposite polarity to the main-lobe pulse

1880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 9. Return loss of the RF port.

Fig. 7. Top and bottom views of the CSH sampler assembly. The sampling bridge and baseband circuit are shown in the top view and the SRD pulse sharpening circuit and baseband connections are shown in the bottom view of the assembly. The overall dimension of the assembly is 3.3 in 2.0 in 0.6 in.

2

2

Fig. 10. Measured and calculated normalized conversion losses of the CSH sampler.

Fig. 8. Measured strobe pulse waveforms for the designed sampler.

does not cause any problem for sampling, as mentioned earlier. To find an optimum termination to the microstrip stub on the back side, the strobe pulse waveforms were measured for different values of the termination. The waveform shown in Fig. 8 is the best result obtained when 25 of termination was used. Fig. 9 shows the measured and simulated return losses at the RF port for the designed sampler. The measurement results show a minimum return loss of 15 dB over the entire estimated 5.5-GHz bandwidth and a minimum return loss of 9 dB for a bandwidth up to 10 GHz. These results were obtained without the LO pulse signal. It is expected that the return loss without the LO pulse signal should resemble that with the LO signal because it is averaged out for a large time interval between the applied pulses. The measured return loss, therefore, corresponds to the diode turn-off condition. Accurate measurement for the return loss under the diode turn-on condition is not really necessary, whereas it is very cumbersome because it requires additional biasing networks to be attached. The measured return loss

implies that the matching of the passive circuitry including the coaxial connection and CSH structure is well achieved. The simulation, on the other hand, was done assuming the diodes were turned on, resulting in some difference with the measured data, as noticed in Fig. 9. Nevertheless, the trend of the return-loss curves matches each other reasonably well. The equivalent-circuit model shown in Fig. 4 was used for the sampling diodes in the simulation. It is deemed that the simulation result should provide a reasonably good estimate for the actual return loss when the sampling diodes are on. Fig. 10 shows the measured and calculated relative (or normalized) conversion loss of the CSH sampling mixer (without the baseband amplifier). The measured conversion loss is normalized to the minimum conversion loss of the sampler, which was measured as 4.5 dB. The calculated conversion loss was obtained using (2) and represents the intrinsic conversion loss (relative or normalized conversion loss) of the sampler, as described in Section III. It is noted that the calculated intrinsic conversion loss matches very well with the actual measured normalized conversion loss, signifying the accuracy of (2) in predicting the normalized conversion loss and the operating bandwidth of the sampler. As can be seen in Fig. 10, the measured 3-dB bandwidth of the sampler is 5.5 GHz, which is in good agreement with the calculated one. With the measured 4.5-dB minimum conversion loss, the sampler exhibits a conversion loss from 4.5

HAN AND NGUYEN: CSH SAMPLING MIXER INTEGRATED WITH SRD PULSE GENERATOR FOR UWB APPLICATIONS

Fig. 11.

Measured baseband output power of the CSH sampler.

TABLE II SUMMARY FOR THE PERFORMANCE OF THE DESIGNED CSH SAMPLER

1881

oped for sub-sampling of UWB video pulse signals. The sampler achieves the unprecedented conversion loss of 4.5–7.5 dB (without an amplifier) and conversion gain of 6.5–9.5 dB (with an amplifier) across a 5.5-GHz RF bandwidth. Its dynamic range is more than 50 dB. The integration of the sampler with the strobe pulse generator and baseband circuit is made without any wires or air bridges, enabling the circuit to be fabricated using a standard low-cost PCB fabrication process with significant reduction in the assembly effort. The design of strobe pulse generators for sampling applications requiring low PRF was also presented. The measured normalized conversion loss agrees well with that calculated using a simple equation. The presented detailed design of the sampler for achieving low conversion loss, suppression of the pulse ringing for low harmonic distortion, and good matching along with the newly derived equation for accurate prediction of the conversion loss should be useful for sampler design for UWB applications. REFERENCES [1] W. M. Grove, “Sampling for oscilloscopes and other RF systems: DC through -band,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 12, pp. 629–635, Dec. 1966. [2] J. Merkelo and R. D. Hall, “Broad-band thin-film signal sampler,” IEEE J. Solid-State Circuits, vol. SC-7, no. 2, pp. 50–54, Feb. 1972. [3] A. Bologlu, “A 26.5-GHz automatic frequency counter with enhanced dynamic range,” Hewlett-Packard J., pp. 20–22, Apr. 1980. [4] B. E. Gilchrist, R. D. Fildes, and J. G. Galli, “The use of sampling techniques for miniaturized microwave synthesis applications,” in IEEE MTT-S Int. Microwave Symp. Dig., 1982, pp. 431–433. [5] S. E. Moore, B. E. Gilchrist, and J. G. Galli, “Microwave sampling effective for ultrabroadband frequency conversion,” Microwave Syst. News and Commun. Technol., pp. 113–126, Feb. 1986. [6] S. R. Gibson, “Gallium arsenide lowers cost and improves performance of microwave counters,” Hewlett-Packard J., pp. 4–10, Feb. 1986. [7] K. Madani and C. S. Aitchison, “A 20 GHz microwave sampler,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 10, pp. 1960–1963, Oct. 1992. [8] R. A. Marsland, V. Valdivia, C. J. Madden, M. J. W. Rodwell, and D. M. Bloom, “130 GHz GaAs monolithic integrated circuit sampling head,” Appl. Phys. Lett., vol. 55, no. 6, pp. 592–594, Aug. 1989. [9] M. S. Shakouri, A. Black, B. A. Auld, and D. M. Bloom, “500 GHz GaAs MMIC sampling wafer probe,” Electron. Lett., vol. 29, no. 6, pp. 557–558, Mar. 1993. [10] K. J. Weingarten, M. J. W. Rodwell, and D. M. Bloom, “Picosecond optical sampling of GaAs integrated circuits,” IEEE J. Quantum Electron., vol. 24, no. 2, pp. 198–220, Feb. 1988. [11] M. J. W. Rodwell, M. Kamegawa, R. Yu, M. Case, E. Carman, and K. S. Giboney, “GaAs nonlinear transmission lines for picosecond pulse generation and millimeter-wave sampling,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1194–1204, Jul. 1991. [12] Y. Konishi, M. Kamegawa, M. Case, R. Yu, S. T. Allen, and M. J. W. Rodwell, “A broad-band free-space millimeter-wave vector transmission measurement system,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1131–1139, Jul. 1994. [13] J. S. Lee, C. Nguyen, and T. Scullion, “Impulse ground penetrating radar for nondestructive evaluation of pavements,” in IEEE MTT-S Int. Microwave Symp. Dig., 2002, pp. 1361–1363. [14] J. S. Lee and C. Nguyen, “A low-cost uniplanar sampling down-converter with internal local oscillator, pulse generator, and IF amplifier,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 390–392, Feb. 2001. [15] S. Abuasaker and G. Kompa, “A high sensitive receiver for baseband pulse microwave radar sensor using hybrid technology,” in Proc. IEEE Radar Conf., 2002, pp. 121–124. [16] J. D. Taylor and E. C. Kisenwether, “Ultra-wideband radar receivers,” in Introduction to Ultra-Wideband Radar Systems, J. D. Taylor, Ed. Boca Raton, FL: CRC, 1995, ch. 10, pp. 491–577. [17] D. J. Daniels, Surface-Penetrating Radar. London, U.K.: IEE Press, 1996, ch. 5, pp. 104–119. [18] S. Azevedo and T. E. McEwan, “Micropower impulse radar,” Sci. Tech. Rev., pp. 17–29, Jan./Feb. 1996.

X

to 7.5 dB for the RF signal from dc to 5.5 GHz and the baseband signal of 20 kHz, representing, to the best of our knowledge, the best conversion efficiency reported to date. The sampler with the 14-dB-gain (opamp) baseband amplifier shows a measured conversion gain from 6.5 to 9.5 dB over the 5.5-GHz RF bandwidth. Fig. 11 shows the measured baseband output power as a function of the RF input power at the RF frequency of 3 GHz, which is near the center frequency of the designed sampler. The measured 1-dB compression point is 2.5 dBm. To determine the harmonic distortion in the baseband signal, the spurious signal, which is the second harmonic of the down-converted signal, was measured using a spectrum analyzer. For the RF signal of 3 GHz and 0 dBm, the spurious signal level is as low as 20 dBc. The sensitivity of the sampler was obtained by measuring the noise output power of the baseband signal using a spectrum analyzer. The measured output noise power is 33 dBm for a 3-GHz 9.9-dBm RF input signal and 2 dBm of the baseband signal output. Using the sensitivity of the spectrum analyzer and the baseband filter bandwidth (1.5 MHz) of the sampler, the sampler’s sensitivity, defined as 8-dB tangential sensitivity, was determined as 47 dBm. The measured dynamic range of the sampler is more than 50 dB. A summary of the sampler’s performance is shown in Table II. V. CONCLUSION A new planar sampling mixer, realized using a combination of coupled slotlines, CPW, and microstrip line has been devel-

1882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[19] D. M. Akos and J. B. Y. Tsui, “Design and implementation of a direct digitization GPS receiver front end,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2334–2339, Dec. 1996. [20] A. Pärssinen, R. Magoon, S. I. Long, and V. Porra, “A 2-GHz subharmonic sampler for signal downconversion,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2344–2351, Dec. 1997. [21] J. Han and C. Nguyen, “Integrated balanced sampling circuit for ultrawideband communications and radar systems,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 460–462, Oct. 2004. [22] D. F. Williams and K. A. Remley, “Analytic sampling-circuit model,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1013–1019, Jun. 2001. [23] K. A. Remley, D. F. Williams, and D. C. DeGroot, “Realistic samplingcircuit model for a nose-to-nose simulation,” in IEEE MTT-S Int. Microwave Symp. Dig., 2000, pp. 1473–1476. [24] M. Aikawa and H. Ogawa, “A new MIC magic-T using coupled slot lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 6, pp. 523–528, Jun. 1980. [25] “Pulse and waveform generation with step recovery diodes,” Agilent Technol. Inc., Palo Alto, CA, Applicat. Note 918, 1968. [26] S. Hamilton and R. Hall, “Shunt-mode harmonic generation using step recovery diodes,” Microwave J., pp. 69–78, Apr. 1967. [27] S. Goldman, “Computer aids design of impulse multipliers,” Microwaves RF, pp. 101–128, Oct. 1983. [28] J. Zhang and A. Räisänen, “Computer-aided design of step recovery diode frequency multipliers,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2612–2616, Dec. 1996. [29] J. Han and C. Nguyen, “A new ultra-wideband, ultra-short monocycle pulse generator with reduced ringing,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 206–208, Jun. 2002. [30] “Advanced Design System 2003A,” Agilent EEsof EDA, Westlake Village, CA, Release Notes, 2003.

Jeongwoo Han was born in Pohang, Korea, in May 1966. He received the B.S. and M.S. degrees in electronic engineering from Kyungpook National University, Taegu, Korea, in 1988 and 1990, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University. From 1990 to 1998, he was a Research Engineer with the Agency for Defense Development, where he conducted research on the development of digital signal-processing algorithms for underwater surveillance system and towed array sonar system. Since 2000, he has been a Graduate Research Assistant with the Sensing, Imaging, and Communication Systems Laboratory, Texas A&M University. His current research interests are in microwave and millimeter-wave integrated circuits, antennas, subsurface radar sensors, and CMOS RF circuits.

Cam Nguyen (F’05) joined the Department of Electrical Engineering, Texas A&M University in December 1990, where he currently holds the Texas Instruments Endowed Professor. From 2003 to 2004, he was Program Director with the National Science Foundation (NSF), where he was responsible for research programs in RF electronics and wireless technologies. From 1979 to 1990, he had held various engineering positions in industry. He was a Microwave Engineer with the ITT Gilfillan Corporation, Member of Technical Staff with Hughes Aircraft Corporation (now Raytheon), Technical Specialist with Aeroject ElectroSystems Corporation, Member of Professional Staff with the Martin Marietta Corporation (now Lockheed-Martin), and Senior Staff Engineer and Program Manager with TRW (now Northrop Grumman). While in industry, he led numerous microwave and millimeter-wave activities and developed many microwave and millimeter-wave integrated circuits and systems up to 220 GHz for communications, radar, and remote sensing. His research group with Texas A&M University focuses on CMOS RF ICs and systems, microwave and millimeter-wave ICs and systems, and UWB devices and systems for various engineering applications. His research group has particularly been at the forefront of developing UWB ICs and systems for radar and wireless communications and has pioneered the development of microwave IC systems for sensing applications. He has authored or coauthored over 140 papers, one book, and several book chapters. He is the Founding Editor-in-Chief of Subsurface Sensing Technologies and Applications: An International Journal.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1883

An On-Chip Vertical Solenoid Inductor Design for Multigigahertz CMOS RFIC Hau-Yiu Tsui, Member, IEEE, and Jack Lau, Member, IEEE

Abstract—This paper presents a compact and high-quality on-chip vertical solenoid inductor design. By taking advantage of an ever increasing number of metal layers in the modern CMOS process, the proposed structure can continuously reduce the inductor area and increase the quality factor (QF) and self-resonant frequency (SRF). Experimental results show that, compared to the 4.1-nH planar spiral inductor using a six-metal layer process, the 4.8-nH vertical solenoid inductor approximately gives a 20% increase in the maximum QF and 50% increase in the SRF, but only occupies 20% of the area. A detailed design methodology for the optimal inductor geometry will be explained. Using a standard six-metal layer CMOS process, simulation results indicate that an inductor with a 40- m radius and 10- m metal width should be used for an amplifier load, which requires 30% frequency tuning range at 5.2 GHz. An additional 7.8-dB signal gain can be obtained if the frequency tuning range requirement is reduced by one-half. Furthermore, to help the designers’ decision, contour plots will be presented as a guideline. Lastly, several design guidelines will be presented. Index Terms—CMOS analog integrated circuits, inductors, quality factor (QF).

I. INTRODUCTION

T

ECHNOLOGICAL advances in CMOS process offer some interesting possibilities for RF circuit designers [1]–[3]. Some circuits that would have to be done with GaAs monolithic microwave integrated circuits (MMICs), for instance, are now possible in CMOS. Yet, while the transistor speed has been improving significantly, fuller integration of RF integrated circuits (RFICs) is often retarded by the absence of high-quality and area-efficient on-chip passive components. While the gate length of the transistors shrinks with increasingly advance process, the size of the on-chip inductors do not. Planar inductors that are commonly used occupy a significant portion of the precious dies. At the same time, the quality factor (QF) of on-chip inductors is greatly limited by the conductive silicon substrate—a problem that the low-loss substrate in GaAs technology does not have to deal with as much. While solutions such as that of the patterned ground shield (PGS) [4] and careful layout [5] have been reported, implementations using them are still challenging. The lack of efficient simulation tools and design rules for these solutions would

Manuscript received June 2, 2004; revised January 15, 2005. This work was supported by the Research Grant Council of the Hong Kong Government. H.-Y. Tsui was with the Department of Electrical and Electronic Engineering, The Hong Kong University of Science and Technology, Kowloon, Hong Kong. He is now with Supertex Ltd., Kowloon, Hong Kong. J. Lau is with the Department of Electrical and Electronic Engineering, The Hong Kong University of Science and Technology, Kowloon, Hong Kong (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848090

make them difficult to use. Other approaches such as reducing parasitics by micromachine have also been demonstrated by researchers [6]–[8]. However, those approaches require extra processing steps, which may increase the cost and reduce the yield. However, accompanying the advanced CMOS lithography process is the increased number of metal layers. As the number of metal layers increases, the height of the top metal layer also increases. An on-chip transmission line using a top metal layer was proposed to replace the on-chip inductor for future tens of gigahertz applications [9], [10]. However, even at that high of a frequency, the size of the on-chip transmission line is still extreme large and is not attractive to low-cost applications. The performance of the conventional on-chip planar spiral inductor using a top metal layer only will also be improved as it moves away from the lossy substrate. The inductor series resistance can be reduced by connecting several metal layers in parallel [11]. The improvement in these two cases is roughly proportional to the where is the number of metal layer. On the other hand, if we connect all the metal layers in series to construct the inductor [12]–[14], the inductance will be for a fixed inductor size. As the inductance is increased as roughly proportional to the radius of the inductor coil [15], the for a fixed inductance. The inductor size can be reduced as remaining work is to show how to construct the inductor in a way such that the QF and self-resonant frequency (SRF) will not be degraded. In this paper, we will discuss the design and performance of a simple vertical solenoid structure [16]. Unlike the horizontal solenoid inductors [17], [18], which require special process and low-resistance vertical connection, this vertical structure using standard CMOS process offers a compact design without compromising the QF and SRF. In addition, this structure takes full advantage of the increased number of metal layer and scales well with the CMOS process in terms of the QF, structure area, and operating frequency. Interestingly, the built-in self-shielding property of the structure eliminates the need of a PGS and, thus, further increases the SRF. The miniature three-dimensional (3-D) inductor structure reported in [14] lacks this important self-shielding property and still suffers from both low QF and low SRF. In this paper, we will also show that the structure allows a larger inductor turns compared to the conventional planar spiral inductor and, thus, takes better advantage of the mutual inductance. The net effect is a larger inductance-to-area ratio. The vertical structure also calls for a shorter metal distance and, thus, reduces the series resistance as well. Lastly, this inductor structure is very simple to design and has only two design parameters, which are coil radius and metal width .

0018-9480/$20.00 © 2005 IEEE

1884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 1. Equivalent parallel RLC circuit for an inductor. External tuning capacitor C can be added in parallel to change the resonant frequency of the LC tank. Fig. 2.

II. QF DEFINITIONS The most important figure-of-merit of the inductor is the QF. The fundamental definition of the inductor QF is given as quality factor

Cross-sectional view of the conventional planar spiral inductor.

Using the admittance of the inductor and adding an ideal capacitor in parallel to the inductor, it can be shown that

energy stored energy loss in one oscillation cycle

(6)

(1) Depending on how we define the energy stored, two different definitions are commonly used for the inductor QF. We will discuss the figure-of-merit of the inductor structure using both definitions. For the inductor alone, the energy stored in the magnetic field is more important than the energy stored in the electric field of parasitic capacitances. This QF or can be shown [4] as

(3)

In (3), the imaginary part of the admittance is the difference of the amount due to the inductance and capacitance, which is analogous to that the energy stored is the difference of the peak magnetic energy and peak electric energy. However, for the QF defined in (5), only the peak magnetic energy is counted. It is expected that this QF will be equal to the ratio of the imaginary part of the admittance due to the inductance only to the real part of the admittance. Since the capacitance can be separated from the inductance after taking differentiation because they have opposite sign, the derived result in (6) actually agrees with the observation above. Although the QF defined in (5) will not be degraded by the presence of parasitic capacitances, in practice, some frequency tuning is required through varying the value of . A high QF inductor with large parasitic capacitance leaves no room for exand will not be useful in most situation. The ternal capacitor choice of which representation of QF to adopt is application dependent. In this paper, both equations will be used for comparison between conventional planar spiral inductors and our proposed vertical solenoid inductors.

(4)

III. VERTICAL SOLENOID INDUCTOR

peak magnetic energy peak electric energy energy loss in one oscillation cycle

(2) where and are the impedance and admittance of the inductor, respectively, when one terminal is connected to the ground. The subscripts and represent the real and imaginary part of the impedance or admittance. Assume the inductor is modeled by a parallel RLC tank, as shown in Fig. 1, then

The QF at high frequency can be increased if the parasitic capacitance is reduced alone. At frequency where peak mag, the QF falls netic energy equals peak electric energy, or to zero. This frequency is defined as the SRF of the inductor. Beyond this frequency, the inductor no longer behaves as an inductor and becomes useless. When the inductor is used in an LC resonant tank with an external resonant capacitor, as shown in Fig. 1, the parasitic capacitance of the inductor can be treated as part of the tank capacitance. To differentiate the QF in this case from (2), we denote , which can be derived from the rate of change of this QF by phase at resonance [19] peak magnetic energy energy loss in one oscillation cycle (5)

A conventional planar spiral inductor occupies a significant portion of the precious RF chip area. As the inductance is proportional to the area enclosed by the coil, increasing the inductance without increasing the area requires special technique. One way to increase the inductance-to-area ratio is by using the mutual inductance of a multiturn coil. For the planar-type inductor, as shown in Fig. 2, the area enclosed by the internal coils gets smaller, as well as their contribution to the total inductance. On the other hand, the magnetic field of the inductor induces eddy current in the metal of internal coils [5], [20], [21]. The formation of this eddy current effectively increases the resistance of the metal wire. Thus, for an on-chip planar-type inductor, it is recommended [5] that only a few turns should be used in order to have a high QF, but limited inductance-to-area ratio can be achieved. Our proposed vertical solenoid inductor structure, as shown in Fig. 3, does not have to face this dilemma. On each metal

TSUI AND LAU: ON-CHIP VERTICAL SOLENOID INDUCTOR DESIGN FOR MULTIGIGAHERTZ CMOS RFIC

1885

Fig. 3. Cross-sectional view of the vertical solenoid inductor. Fig. 5. Single-turn stacked inductor can be represented by the distributed model. It has a smaller effective resonant capacitance, as well as higher SRF, as shown by the simplified first-order model. For a fixed inductance, the greater the number of metal layers n, the smaller the metal–metal overlapping capacitance C due to reduced coil radius. As a result, the total capacitance C=n will be reduced even more.

Fig. 4. Simulated QF of 4.8-nH solenoid inductors with a different number of metal layers using EM simulation. The performance of the inductor in terms of QF and SRF, as well as the area occupied improves with the number of metal layers available from the process.

layer, there is only a one-turn inductor coil. All coils are overlapped to each other and are connected in series. Each inductor coil encloses the same amount of area and there is no internal coil. To maximize the utilization of the mutual inductance, all metal layers are used by the inductor. The number of inductor turn is only limited by the number of metal layers available. The current commercially available deep sub-micrometer CMOS process routinely provides nine metal layers. A nine-turn vertical solenoid inductor can be built using this process, which is much more than the number of turns of a common planar spiral inductor. Apart from saving the cost per chip, inductor performance will also be improved along with size reduction. The inductor energy loss from the magnetic coupling to the silicon substrate will be reduced as the area of the inductor is reduced [5]. If the area of the inductor is increased, the magnetic field of the inductor will penetrate deeper into the conductive substrate. Consequently, additional eddy current will be generated in the substrate with more energy loss. Since the more the inductor turns, the smaller the total inductor coil length required for a given inductance, the total dc resistance of the inductor will also be reduced. Fig. 4 shows the QF of some 4.8-nH solenoid inductors by a two-and-one-half-dimensional (2.5-D) electromagnetic (EM) simulation1 with a different number of metal layers. The thickness and relative dielectric constant of the oxide between two metal layers is fixed at 0.8 m and 3.9, respectively, after matching the simulation result of the six-turn inductor to the measurement result. The metal width is 14 m for all inductors. The number of inductor turns is equal to the 1HP

ADS Momentum, Agilent Technol., Palo Alto, CA, 2003.

number of metal layers used. The more inductor turns, the higher the inductance-to-area ratio. Simulation results show that the maximum QF is increased, as well as the SRF. From another point-of-view, by using a newer generation of a CMOS process with additional metal layers provided, the inductor performance will be improved and the inductor size will also be downscaled together with the active devices. For example, if one changes the process from a six- to ten-metal layer, the maximum QF of the 4.8-nH solenoid inductor will be increased by 20%, the SRF will be increased by 56%, while the inductor area will be reduced by 63% as the external radius is decreased from 54 to 33 m. The key point for the vertical solenoid inductor to maintain high SRF is to use only one turn on each metal layer. By doing so, the parasitic capacitors between other than the adjacent coils are minimized. Those capacitors will dominate the SRF of the inductor and complicate the inductor modeling. Additional inner coils on the same metal layer are of lower quality and are less efficient anyway, as discussed above. Nonetheless, one may think that the single-turn stacked inductor still suffers from low SRF due to the large inter-metal overlapping capacitance between inductor coils on adjacent metal layers. In fact, this capacitor only resonates with a small inductance of the single coil, as shown by the distributed inductor model in Fig. 5. If we assume that all the RLC tanks, which represent each single coil, are identical, then the equivalent first-order model shows that the effective parallel capacitance of the whole inductor is reduced by times for the -turn inductor. For the planar spiral inductor, without requiring an extra processing step, putting a ground shield underneath the inductor is a simple and effective way to improve the inductor QF. The ground shield minimizes the inductor energy loss due to the electrical coupling to the lossy substrate. Extensive studies have been done by researchers to investigate the impact of different ground shield structures on inductor performance [4], [22], [23]. The basic idea is to build a better ground plane above the lossy substrate ground at the expense of increased parasitic capacitance of the inductor and layout complexity. However, if the inductor has a built-in shielding property, putting a ground shield will no longer be required. As seen in Fig. 3, the inductor coil on each metal layer electrically shields the coil above it from

1886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the coil below it. The bottom inductor coil shields the whole inductor from the substrate and effectively function as a ground shield. Notice that the output node of the bottom coil will be connected to the ac ground or virtual ground of the differential circuit. Due to this self-shielding property of the solenoid inductor structure, poly PGS is not required in the vertical solenoid inductor design. It will be shown by the measurement results in Section V that, without using the poly PGS, the degradation in terms of the QF is insignificant. The SRF, however, will be increased, which will help to improve the tuning range of the LC tank formed. Since the dc resistance of each metal coil is in the order of a single ohm, the contact resistance between two coils should be kept below one-tenth of an ohm in order to minimize its contribution to the total inductor resistance. Assume each metal contact via has a resistance of approximately 10 , then at least 100 contact vias are required for each coil-to-coil connection. IV. DESIGN EXAMPLE If all metal layers are used for the inductor connecting in series, then only the metal width and coil radius of the inductor are the design parameters available to the circuit designers. The optimal inductor geometry depends on various factors such as the operating frequency and the tuning range of the resonant frequency. Different design goals will also affect the result. For example, if the inductor is used as a tuned amplifier load, the design goal will be to maximize the tank impedance at resonance in order to increase the amplifier gain and reduce the power consumption. If the inductor is used as a resonator of an oscillator, in order to then the goal will be to maximize the tank QF suppress the phase noise. In a practical circuit, frequency tuning is required in order to cover the operating frequency range and counter the process variation. Referring to the inductor equivalent circuit with a varcan be actor , shown in Fig. 1, the resonant frequency shown to be (7) where

is the total tank capacitance (8)

From (7), the frequency tuning range can be found as (9) From (8), the relationship between the tuning range of and can be found as (10) (11) where represents the percentage of the total tank capacitance available to the external tuning capacitor. The larger the parasitic capacitance of the inductor, the smaller the . Combining (9) and (10), we get (12)

Fig. 6. Contour plot of: (a) the impedance and (b) the QF of the LC tank using solenoid inductors resonating at 5.2 GHz with different metal width and coil radius. The two dashed lines indicate the percentages of total tank capacitance available to the external tuning capacitor. Different design goal leads to different optimal design parameters.

From (12), we can see that, for a given frequency tuning range requirement and a varactor with fixed tuning range available, will be constrained. Consider that we are going to design an on-chip vertical solenoid inductor using a six-metal layer CMOS process. The inductor will be used for an amplifier or oscillator operating at 5.2 GHz. What will be the geometry of the inductor if the frequency tuning range is no less than 30%? What happens if only 15% of the frequency needs to be tuned? Assume that the CMOS on-chip varactor has 70% tuning range and its QF is much higher than that of the on-chip inductor. Using the contour plots of the simulated LC-tank impedance and LC-tank QF for different coil radius and metal width , as shown in Fig. 6, we can summarize the optimal inductor geometry in Table I. For simplicity, other parasitic capacitance of connecting wires and transistors are ignored. For the case of maximizing the tank impedance, the optimal metal width is approximately 10 m for both frequency tuningrange requirements. Larger inductance can be used for the reduced frequency tuning range and 7.8-dB gain increase is expected for the amplifier with the same power consumption. On the other hand, when the tank QF is more critical for the circuit

TSUI AND LAU: ON-CHIP VERTICAL SOLENOID INDUCTOR DESIGN FOR MULTIGIGAHERTZ CMOS RFIC

1887

TABLE I OPTIMAL INDUCTOR GEOMETRY FOR DIFFERENT DESIGN SPECIFICATIONS, f = 5:2 GHz

Fig. 8. Die photographs of: (top) vertical solenoid inductors and (bottom) planar spiral inductors. Poly PGS is used underneath the inductor.

Fig. 7. Optimal inductor geometries for the maximum LC-tank impedance and maximum LC-tank QF at 5.2 GHz under the constrain of a fixed percentage of total tank capacitance available to the external tuning capacitor.

performance, such as the phase noise of an oscillator, the resulting inductors will have smaller inductances (smaller radius) and lower wire resistances (larger metal width). Similarly, with the relaxed frequency tuning range requirement, a 5-dB phase noise reduction is expected for the oscillator when it is operating in a current-limited regime. Optimal combinations of the inductor coil radius and metal width for the two different goals are plotted in Fig. 7.

V. EXPERIMENTAL RESULTS To demonstrate that our proposed vertical solenoid inductor structure has improved performance compared to the conventional planar spiral inductor, both vertical solenoid inductors and planar spiral inductors have been fabricated on the same chip using a standard 0.18- m six-metal-layer bulk CMOS process. A simple poly PGS structure similar to [4] was also included for some inductors to investigate the effect of PGS on the inductor performance. Fig. 8 shows the die photographs of both vertical solenoid inductors and planar spiral inductors with poly PGS. The size of the vertical solenoid inductors are significantly smaller than the planar spiral inductors with similar inductance. Fig. 9(a) shows the QF using (2) for 4.8-nH vertical solenoid inductors and 4.1-nH planar spiral inductors. Both inductors have either poly PGS or nwell underneath. The poly PGS improves the maximum QF of the spiral inductor significantly, as expected. For the case of the solenoid inductor, it turns out that

Fig. 9. Measured QFs of solenoid inductors and spiral inductors. The poly PGS improves the maximum QF for the spiral inductors, but not for the solenoid inductors due to their self-shielding property. Poly PGS reduces the SRF for both inductors.

the improvement at frequency before the maximum QF is insignificant. This shows that the self-shielding property of the solenoid inductor is good enough to fulfill the function of the poly PGS. The poly PGS reduces the SRF of both inductors as indicated by the frequencies where the QF falls to zero. Thus, for the solenoid inductor, it is no longer worthwhile to include the poly PGS. Comparing the solenoid inductor without the poly PGS to the spiral inductor with poly PGS, the maximum QF is increased

1888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 11. Simple lumped-circuit model for an on-chip inductor. In this model, only the series resistor R is frequency dependent.

Fig. 12. Extracted inductance L when C is constant. The inductance of vertical solenoid inductors remain roughly flat over a wide frequency range. Fig. 10. QF of the inductor extracted from the measurement results using (6). Solenoid inductors have higher QF and poly PGS provides only little improvement.

by 20% and the SRF is increased by 50%. A similar conclusion can also be drawn from the measured QF of 2.8-nH solenoid inductors and 2.4-nH spiral inductors showed in Fig. 9(b). of inductors extracted from the measurement The QFs results using (6) are also plotted in Fig. 10. The QF for solenoid inductors with and without the poly PGS are almost the same. This again shows that the self-shielding property of the solenoid structure is functioning as good as the poly PGS. In this case, the solenoid inductor performs better than the spiral inductor at the high-frequency region. The vertical solenoid inductor has much smaller parasitics compared with the planar spiral inductor due to its self-shielding property and compact structure. As a result, fewer lumped-circuit components are needed to represent the electrical property of the inductor with wider frequency range. Fig. 11 shows the lumped-circuit model for the inductor in which both series inand shunt capacitor are frequency independent, ductor is a function of frequency. Shunt cawhile the series resistor pacitor can be calculated from the SRF and low-frequency and resistance can be inductance. Effective inductance directly extracted from the measured inductor impedance after deducting the admittance of the shunt capacitance . The extracted inductance for both solenoid inductors and spiral inductors are plotted in Fig. 12. The inductance of solenoid inductors remain flat beyond the SRF, while the inductance of spiral inductors roll off greatly after approximate SRF.

Fig. 13. Magnitude of S without pad deembedding for different inductor pairs and floating probes. The solenoid inductors have much better isolation due to the size reduction. The nwell with substrate contacts is more effective for substrate noise absorption. At 11 GHz, the signal coupling between solenoid inductors with nwell is dominated by that of probes and is still approximately 10 dB lower than that of spiral inductors with nwell.

To investigate the inductor induced substrate noise issue, the of both vertical solenoid inductransmission coefficient tors and planar spiral inductors were measured and plotted in Fig. 13. The coil edge separation of the inductor pairs are both 60 m. Our vertical solenoid inductor shows significantly lower substrate noise coupling due to the substantially reduced inductor size. The coupling between the floating probes depends on their separation and sets the lower bound for the measurement setup and testing devices. At high frequency, the noise coupling is dominated by the magnetic field induced substrate

TSUI AND LAU: ON-CHIP VERTICAL SOLENOID INDUCTOR DESIGN FOR MULTIGIGAHERTZ CMOS RFIC

1889

REFERENCES

Fig. 14. Measured inductance and SRF against the inductor coil radius of vertical solenoid inductors. The metal width is fixed at 14 m. The inductance is roughly proportional to the inductor coil radius, while the SRF is inversely proportional to the inductance.

current, which cannot be shielded by the poly ground alone. The nwell with substrate contacts is more effective for substrate noise absorption. Fig. 14 shows the vertical solenoid inductor coil internal radius against the inductance. The inductance is roughly proportional to the coil radius and can be estimated easily for other dimensions. The SRF of the inductors are also shown in Fig. 14. The largest inductor of 7.86 nH is still usable for the 5-GHz application. VI. CONCLUSION A simple and compact on-chip vertical solenoid inductor design for multigigahertz CMOS RFIC has been presented. Its advantages over the conventional planar spiral inductor have also been discussed. This structure already shows superior performance when a six-metal layer CMOS process is used. The performance improvement scales with CMOS technology as additional metal layers will be provided. Its reduced parasitics also facilitates the inductor modeling over a wide frequency range. The inductor geometry optimization should also consider the amount of parasitic capacitance that can be tolerated in addition to maximizing the QF or resonant impedance. Base on the measurement and simulation results above, we can summarize the following design rules for a compact and high-performance on-chip inductor design used in multigigahertz applications. • All available metal layers should be used for the inductor coil and connected in series. • Only a single turn should be used on each metal layer and inductor coils on all the layers should overlap to each other; • A ground shield is not required and a circular coil should be used instead of a square coil if it is supported by the layout tools and process foundry. • An optimal inductor metal width for a maximum LC-tank impedance and a frequency tuning range at 5.2 GHz is approximately 10 m. • An optimal inductor metal width for a maximum LC-tank QF and a frequency tuning range at 5.2 GHz is approxim, and increases linearly to mately 16 m for m, further increasing the inductor 22 m for size results degraded QF.

[1] T. H. Lee and S. S. Wong, “CMOS RF integrated circuits at 5 GHz and beyond,” Proc. IEEE, vol. 88, no. 10, pp. 1560–1571, Oct. 2000. [2] J. N. Burghartz, M. Hargrove, C. S. Webster, R. A. Groves, M. Keene, K. A. Jenkins, R. Logan, and E. Nowak, “RF potential of a 0.18-m CMOS logic device technology,” IEEE Trans. Electron Devices, vol. 47, no. 4, pp. 864–870, Apr. 2000. [3] L. F. Tiemeijer, H. M. J. Boots, R. J. Havens, A. J. Scholten, P. H. W. de Vreede, P. H. Woerlee, A. Heringa, and D. B. M. Klaassen, “A record realized at 0.18 m gate length in an industrial high 150 GHz f RF-CMOS technology,” in IEEE Int. Electron Devices Meeting, Dec. 2001, pp. 10.4.1–10.4.4. [4] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [5] J. Craninckx and M. Steyaert, “A 1.8-GHz low-phase-noise CMOS VCO using optimized hollow spiral inductors,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 736–744, May 1997. [6] J. Y.-C. Chang, A. A. Abidi, and M. Gaitan, “Large suspended inductors on silicon and their use in a 2-m CMOS RF amplifier,” IEEE Electron Device Lett., vol. 14, no. 5, pp. 246–248, May 1993. [7] H. Lakdawala, X. Zhu, H. Luo, S. Santhanam, L. R. Carley, and G. K. Fedder, “Micromachined high-Q inductors in a 0.18-m copper interconnect low-k dielectric CMOS process,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 394–403, Mar. 2002. [8] N. P. Pham, P. M. Sarro, K. T. Ng, and J. N. Burghartz, “IC-compatible two-level bulk micromachining process module for RF silicon technology,” IEEE Trans. Electron Devices, vol. 48, no. 8, pp. 1756–1764, Aug. 2001. [9] B. Kleveland, T. H. Lee, and S. S. Wong, “50-GHz interconnect design in standard silicon technology,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1998, pp. 1913–1916. [10] B. Kleveland, C. H. Diaz, D. Vock, L. Madden, T. H. Lee, and S. S. Wong, “Monolithic CMOS distributed amplifier and oscillator,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 1999, pp. 70–71. [11] J. N. Burghartz, M. Soyuer, K. A. Jenkins, and M. D. Hulvey, “High-Q inductors in standard silicon interconnect technology and its application to an integrated RF power amplifier,” in IEEE Int. Electron Devices Meeting, Dec. 1995, pp. 1015–1018. [12] J. Burghartz, K. Jenkins, and M. Soyuer, “Multilevel-spiral inductors using VLSI interconnect technology,” IEEE Electron Device Lett., vol. 17, no. 9, pp. 428–430, Sep. 1996. [13] A. Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620–628, Apr. 2001. [14] C.-C. Tang, C.-H. Wu, and S.-I. Liu, “Miniature 3-D inductors in standard CMOS process,” IEEE J. Solid-State Circuits, vol. 37, no. 4, pp. 471–480, Apr. 2002. [15] S. Ramo, J. R. Whinnery, and T. V. Duzer, Fields and Waves in Communication Electronics. New York: Wiley, 1984, pp. 191–191. [16] H. Y. Tsui and J. Lau, “Experimental results and die area efficient self-shielded on-chip vertical solenoid inductors for multiGHz CMOS RFIC,” in IEEE Radio Frequency Integrated Circuits Symp., Jun. 2003, pp. 243–246. [17] D. C. Edelstein and J. N. Burghartz, “Spiral and solenoidal inductor structures on silicon using Cu–damascene interconnects,” in Proc. IEEE Int. Interconnect Technology Conf., Jun. 1998, pp. 18–20. [18] J.-B. Yoon, B.-K. Kim, C.-H. Han, E. Yoon, and C.-K. Kim, “Surface micromachined solenoid on-Si and on-glass inductors for RF applications,” IEEE Electron Device Lett., vol. 20, no. 9, pp. 487–489, Sep. 1999. [19] A. M. Niknejad and R. G. Meyer, “Analysis, design, and optimization of spiral inductors and transformers for Si RF ICs,” IEEE J. Solid-State Circuits, vol. 33, no. 10, pp. 1470–1481, Oct. 1998. [20] H.-S. Tsai, J. Lin, R. C. Frye, K. L. Tai, M. Y. Lau, D. Kossives, F. Hrycenko, and Y.-K. Chen, “Investigation of current crowding effect on spiral inductors,” in IEEE MTT-S Wireless Applications Technologies Symp. Dig., Feb. 1997, pp. 139–142. [21] W. B. Kuhn and N. M. Ibrahim, “Analysis of current crowding effects in multiturn spiral inductors,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 31–38, Jan. 2001. [22] J. N. Burghartz, “Progress in RF inductors on silicon—Understanding substrate losses,” in IEEE Int. Electron Devices Meeting, Dec. 1998, pp. 523–526. [23] S.-M. Yim, T. Chen, and K. O, “The effects of a ground shield on the characteristics and performance of spiral inductors,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 237–244, Feb. 2002.

1890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Hau-Yiu Tsui (S’97–M’05) received the B.Eng., M.Phil., and Ph.D. degrees in electrical and electronic engineering from The Hong Kong University of Science and Technology (HKUST), Kowloon, Hong Kong, in 1996, 1998, and 2004, respectively. In 2004, he joined Supertex Ltd., Kowloon, Hong Kong. His interests are low-power high-frequency analog integrated-circuit (IC) designs.

Jack Lau (S’89–M’90) received the B.S. and M.S. degrees in electrical engineering [under the Honors Degree Program (Option H.)] from the University of California at Berkeley, in 1989 and 1990, respectively, and the Ph.D. degree from The Hong Kong University of Science and Technology, Kowloon, Hong Kong, in 1994. His M.S. thesis concerned the design and analysis of integrated pin drivers for in-circuit testing. His Ph.D. dissertation concerned integrated magnetic sensors in both bulk silicon and silicon-on-insulator (SOI) technologies. He was with Hewlett-Packard as a Technical Support Intern and with Schlumberger as an Automatic Test Equipment Engineer. He was a CPU Design Engineer with Integrated Information Technology, Santa Clara, CA. From 1995 to 1996, he was a Visiting Scholar with the Center for Integrated Systems, Stanford University, Stanford, CA, where he researched RF CMOS circuits and substrate noise coupling issues. He is currently an Associate Professor with the Department of Electrical and Electronic Engineering, The Hong Kong University of Science and Technology, and a Director of the Consumer Media Laboratory, The Hong Kong University of Science and Technology. Dr. Lau is a member of Eta Kappa Nu and Tau Beta Pi. He was the recipient of the University of California at Berkeley Alumni Scholarship, the Cray Research Scholarship, the Unisys Scholarship, as well as other awards. He was also the recipient of the 1995 School of Engineering Faculty Teaching Appreciation Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1891

V -Band High-Order Harmonic Injection-Locked Frequency-Divider MMICs With Wide Bandwidth and Low-Power Dissipation Jinho Jeong, Member, IEEE, and Youngwoo Kwon, Senior Member, IEEE

Abstract—In this paper, -band high-order frequency divider monolithic microwave integrated circuits (MMICs) showing wide bandwidth and low-power dissipation are presented. For high-order (divide-by-four) frequency division, a super-harmonic signal is injected into a self-oscillating subharmonic mixer loop consisting of cascode field-effect transistors (FETs). Cascode FET-based harmonic injection locking allows high-frequency operation, simple circuit configuration, reduced FET count, and thus, low dc power consumption. Bias circuits and quarter-wavelength stubs are used to effectively suppress unwanted harmonic and spurious signals in the oscillation loop. A simple analysis method employing two-tone harmonic-balance simulation and an ideal directional coupler is developed to optimize the performance of the high-order divider. The designed -band frequency dividers are fabricated with a commercial 0.15- m GaAs pseudomorphic high electron-mobility transistor foundry. The measurement of a divide-by-four MMIC shows a bandwidth of 2.81 GHz around 64.0 GHz under very small dc power consumption of 7.5 mW. The circuit concept has been extended to a divide-by-five MMIC by adding a frequency doubler in the feedback loop, which shows the bandwidth of 1.02 GHz at -band. To the best of our knowledge, the frequency dividers of this study show the best performance in terms of division order and dc power consumption among the reported millimeter-wave analog frequency dividers at -band and above. Index Terms—Cascode field-effect transistor (FET), frequency divider, injection-locking oscillator, millimeter wave, monolithic microwave integrated circuit (MMIC).

I. INTRODUCTION

A

MICROWAVE and millimeter-wave frequency divider is a key component to implement phase-locked loops (PLLs) at high frequencies [1]. For low-cost and high-performance millimeter-wave applications, high division order and wide bandwidth are required together with low dc power consumption. Digital frequency divider is capable of providing high division orders across a wide bandwidth [2]–[4], but it requires high-speed devices for millimeter-wave operation since the maximum operating frequency is determined by of the active devices. the current gain cutoff frequency Manuscript received May 28, 2004; revised December 21, 2004. This work was supported by the Korean Ministry of Science and Technology under the Creative Research Initiative Program. J. Jeong was with the School of Electrical Engineering and Computer Sciences, Seoul National University, Seoul 151-744, Korea. He is now with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). Y. Kwon is with the School of Electrical Engineering and Computer Sciences, Seoul National University, Seoul 151-744, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848089

Moreover, it suffers from large dc power consumption due to the excessive complexity of the digital circuits. On the other hand, the analog frequency divider, which can be realized devices, can be a with simpler circuits and relatively lower promising candidate for millimeter-wave frequency dividers. Regenerative frequency dividers (RFDs), the most widely used analog frequency dividers at millimeter waves, have been developed up to -band [5]–[7]. However, they exhibit limited bandwidth and do not easily offer division orders greater than two [8]. This problem can be overcome by another type of analog frequency divider called harmonic injection-locked frequency dividers (HILFDs), which offers the division orders more than two with the comparable bandwidth, low-power consumption, and high input sensitivity [9]–[14]. With no input signals applied, it is basically a free-running oscillator whose oscillation frequency lies in the vicinity of the divided output signal. The super-harmonic input signal synchronizes the oscillator through the harmonic injection locking, where the appropriate harmonic signals are generated by the subharmonic mixer embedded in the oscillator loop. The theoretical operating range can be calculated based on the injection-locking theory of the oscillator [13]. More realistic nonlinear analysis tools have also been presented for optimum design of HILFDs [14]. In this study, we have developed millimeter-wave HILFDs using cascode field-effect transistors (FETs), which double in function as an oscillating element, as well as a subharmonic mixer. The inherent isolation property, highly nonlinear characteristics, and high-gain capability of the cascode FETs allow and ) HILFDs to be realized at the high division-order ( -band with small transistor count and low dc power consumption. Simple design and analysis methodology is presented using two-tone harmonic-balance (HB) simulation involving an ideal directional coupler, where the matching circuits and bias conditions are optimized to maximize the intermodulation terms at the free-running frequency. The -band HILFDs with division orders of four and five have been fabricated with a commercial 0.15- m GaAs pseudomorphic high electron-mobility transistor (pHEMT) foundry. The fabricated divide-by-four monolithicmicrowave integrated-circuit (MMIC) divider showed a bandwidth of 2.81 GHz (4.4%) around 64.0 GHz under very lowpower dissipation of 7.5 mW. The power dissipation was further decreased to 3 mW by lowering the drain bias at the cost of the reduced bandwidth (0.44 GHz). The minimum input power where the divider started to operate was less than 18 dBm, demonstrating high input sensitivity. The cascode FET-based

0018-9480/$20.00 © 2005 IEEE

1892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Schematic of the proposed using a cascode FET.

Fig. 1. (a) Schematic of an HILFD with a division order of for large-signal analysis of an oscillator and divider.

N . (b) Circuit setup

HLFD concept has been extended to a divide-by-five circuit by adding a frequency doubler in the feedback loop, which shows the bandwidth of 1.02 GHz around 62.3 GHz. To the best of our knowledge, these results are among the best-performance analog frequency dividers reported to date at this frequency range in terms of division orders and power dissipation. II. DESIGN OF HIGH-ORDER HILFDs The circuit schematic of an HILFD with a division order of is shown in Fig. 1(a). It is basically a self-oscillating mixer subharmonic mixer and bandpass consisting of an filter (BPF). The mixer must be an active mixer that provides gain to induce free-running oscillation at the output frequency in addition to the appropriate nonlinearity to generate intermodulation terms that operates as a synchronization signal. BPF filters out the unwanted spurious signals. In the absence of the input signal, the closed loop should be designed to satisfy the oscillation condition at . When the input signal is applied at , the subharmonic mixer generates various intermodulation terms including an component, which injection locks the free-running oscillator. All the harmonic frequency components higher than are assumed to be filtered out by the BPF. In this way, the frequency divider operates as super-harmonic injection-locked oscillator. The circuit setup used in this study for the large-signal analysis of an oscillator and divider is demonstrated in Fig. 1(b). is injected through the Initially, the excitation signal ideal directional coupler. Its power level is increased from the small-signal level until the oscillation condition, namely, , is reached. The free-running loop gain oscillation frequency and voltage waveforms at each node are determined under this steady-state oscillation condition. In this way, the instantaneous local oscillator (LO) pumping signal is

V -band divide-by-four frequency divider

determined for the subharmonic mixer. The two-tone HB simor RF signal ulation is then followed with the input signal applied. Through the multiplications between the input signal and free-running oscillation signal, various intermodulation terms are generated including the component, which synchronizes the free-running oscillator. Since the locking range is proportional to the voltage ratio of the locking signal to output signal [13], [15], the intermodulation term at should be maximized to increase the locking range. Using the two-tone HB simulation, the circuit parameters such as bias conditions and matching elements are optimized to maximize the intermodulation term. During this intermodulation term process, one needs to distinguish the from the free-running signal at . This can be accomplished by observingthepowerlevelofthe signalwhilesweepingtheinput signal power since the former varies according to the input power level unlike the latter, which is independent of the input signal. It is clear from Fig. 1 that the core part of this circuit is the active subharmonic mixer that also generates a free-running oscillation signal at . In this study, we have employed simple cascode FETs for this dual purpose. Fig. 2 shows the circuit schematic of the proposed -band divide-by-four frequency divider. The cascode FET doubles in function as an oscillator by and also as a subharproviding an appropriate loop gain at by mixing monic mixer that generates the IF component at the RF input at with the third harmonic of the free-running . In order to achieve low-power operation oscillation signal for frequency mixing and division, the mixers should present sufficient nonlinearity. The cascode FETs of this study can provide highly nonlinear characteristics by tuning the two gate biases to the appropriate bias region, as demonstrated in [16]. For the accurate prediction of the FETs nonlinearity around the pinchoff and knee regions, the improved fitting equations of [16] with the extended polynomials for the gate and drain voltages were used, which showed the numerically stable and accurate results for a cascode FET mixer [16]. The cascode configuration also provides an ideal input port to the injection signal, the gate terminal of a common-gate FET (CG FET), which is electrically isolated from the oscillation loop. Therefore, the need for an external coupler is eliminated, which simplifies the circuit design and saves the chip area [17]. The injection-locked output signal is coupled out of the circuit at a low-impedance point in the feedback path in order for the output circuit not to influence the oscillator loop. The frequency-pulling effect, which is an important concern for system

JEONG AND KWON:

-BAND HIGH-ORDER HARMONIC INJECTION-LOCKED FREQUENCY-DIVIDER MMICs

1893

Fig. 4. Fabricated V -band divide-by-four MMIC. The chip size is 1.45 1.1 mm . The cascode FET consists of 80-m-wide transistors.

2

stays almost constant with respect to the power sweep is from . On the the free-running oscillation signal, generated from other hand, the component whose power increases with the input power has been generated by intermodulation between the injection-locking signal and third harmonic of oscillation signal. For an enhanced locking range, the bias conditions and matching circuits are optimized to maximize the ratio of the intermodulation term to the free-running component at . III. MEASUREMENT RESULTS OF DIVIDE-BY-FOUR MMIC A divide-by-four frequency divider MMIC was designed -band following the procedure given in Section II with GHz. The designed frequency divider was fabricated using a 0.15- m commercial GaAs pHEMT process GHz and GHz). The photograph of ( the fabricated MMIC is presented in Fig. 4. The chip size is 1.1 mm. A cascode FET consists of as small as 1.45 mm 80- m-wide transistors. The biases were set to V, V, and V for the measurements. This bias point corresponds to the best compromise between the loop gain for oscillation and nonlinearity generation for mixing. Under free-running condiGHz, which tions, it oscillated at the frequency was shifted from the design value of 15.0 GHz by 1.279 GHz. This is due to an inaccurate large-signal device model near the pinchoff region and device variations. Fig. 5 shows the output spectrum as a function of the input GHz, power when the input signal was applied at which was 44 MHz higher than four times the free-running oscillation frequency. At a very low level input power of 20 dBm, the output spectrum was not affected at all when compared with the free-running case, as shown in Fig. 5(a). As the input power was increased to 18 dBm, spurious signals were observed in the opposite sides of the main output to the right-hand side, and spectrum at , to the left-hand side, respectively, as shown in Fig. 5(b). The spacing between adjacent spectrum lines, calculated from , corresponds to the beat frequency of the unlocked oscillators [15]. However, as the input power increased further, the divider was pulled from the free-running (16.290 GHz), resulting in the reduced beat frequency to , as shown in Fig. 5(c). The spurious signals at frequency the sidebands also increased in power and number. Finally, reduced to zero, at the input power level of 13 dBm, at

Fig. 3. Simulation results of a divide-by-four circuit of Fig. 2. (a) Voltage spectrum in dBm at the drain of the CS FET from the single-tone HB simulation with the oscillation condition satisfied at f = 15:0 GHz. (b) The f voltage components at the drain of the CG FET from the two-tone HB simulation.

applications, can be minimized in this way. The quarter-waveare used for bias lines, which effeclength-long stubs at tively suppress the even harmonic components. The quarteris placed at the drain of the CG wavelength open stub at FET to provide a short circuit to the input injection signal. These stubs act as band-reject filters, which reduce the harmonics and spurious signal, and increase the conversion gain of the mixer. The closed-loop consisting of a cascode FET and phase-delay in the lines is designed to induce free-running oscillation at absence of the input signal. Single-tone HB simulation is performed for the large-signal analysis of oscillator using an ideal directional coupler, as shown in Fig. 1(b). The pumping signal is determined to satisfy the oscillation conditions at . Fig. 3(a) shows the simulated voltage spectrum at the drain of a common-source FET (CS FET) when the oscillation condiGHz. It was tried to increase the tion is satisfied at third harmonic component, or 45.0 GHz, in order for high third harmonic mixing with the input of 60.0 GHz by optimizing the bias conditions and matching circuits under the oscillation condition. After the basic oscillator design, the injection locking is applied to the gate of the CG FET. Two-tone signal at HB simulation is performed to investigate the mixing term at while sweeping the power of the injection-locking signal. As a result of this simulation, the voltage components at the drain of the CG FET can be obtained as a function of input power GHz, as shown in Fig. 3(b). The signal at at can come from two different sources. The component that

1894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. Output spectrum as a function of input power. The input frequency was fixed to 65.160 GHz. Input power was: (a) The main output spectrum frequency f is: (a) 16.279, (b) 16.281, (c) 16.285, and (d) 16.290 GHz.

020, (b) 018, (c) 015, and (d) 013 dBm.

Fig. 6. Measured output spectrum when the divide-by-four frequency divider is injection locked by an input signal at f = 65:0 GHz.

and the divider was super-harmonic injection locked, showing only a single pure signal spectrum at , as shown in Fig. 5(d). This phenomenon has been commonly observed in the injection-locked oscillator [15], [18]. Fig. 6 illustrates the output spectrum across the 50-GHz band (dc to 50 GHz) when the divider is injection locked. It is clear from this figure that spurious signals and unwanted intermodulation terms were effectively eliminated. The second GHz was lower than that of harmonic power the fundamental component GHz by 25.1 dB and the third harmonic was too small to be found in this span. The output power at the input frequency was 34.5 dB lower than the input power, which means the divider has a high input

Fig. 7. Measured phase-noise performance of the input and output signals. (a) Input signal at 65.160 GHz. (b) Output signal at 16.290 GHz.

power rejection level. The phase-noise performance of the input signal and injection-locked output signal was measured using the phase-noise measurement option of an Agilent E4448A spectrum analyzer, and the result is shown in Fig. 7. The phase noise of the output signal was approximately 11.6 dB lower

JEONG AND KWON:

-BAND HIGH-ORDER HARMONIC INJECTION-LOCKED FREQUENCY-DIVIDER MMICs

1895

Fig. 10. Measured bandwidth and dc power dissipation versus drain bias. The input power was fixed at 3 dBm. Fig. 8. Measured bandwidth of a divide-by-four MMIC as a function of input power. The locking range is between upper and lower frequency boundaries.

output power was also not a strong function of the input power level. The locking bandwidth and dc power consumption are shown in Fig. 10 according to the drain bias. The other biases are set equal to the previous conditions. The input power is fixed at 3 dBm. The maximum locking bandwidth of 2.81 GHz was obtained at the drain bias of 1.5 V. The minimum drain bias for frequency division was as low as 0.75 V with the bandwidth of 0.44 GHz. The corresponding dc power dissipation was only 3 mW. It is also worthwhile to note that a relatively large locking bandwidth 2 GHz was maintained once the drain bias was higher than 1 V, which demonstrates the low-power capability of this divider. IV. EXTENSION TO DIVIDE-BY-FIVE MMIC

Fig. 9.

Measured output power according to the input frequency.

than that of the input signal at the offset frequency of 1 kHz–1 MHz. This number is very close to the theoretically predicted dB, which means no significant phase value noise was added during the frequency-division process. The measured locking range of the divider is presented in Fig. 8 as a function of input power. The divider starts to get injection locked to the fourth harmonic input from a very low input power level (below 18 dBm). However, at low input power levels, the locking frequency range is relatively small. The locking range, or bandwidth, increases as the input power increases and becomes saturated for the input power levels higher than 3 dBm, where the locking range decreases. This is due to the saturation of the conversion gain of the mixer, as explained in [13]. At the input power of 3 dBm, the maximum bandwidth of 2.81 GHz (4.4%), from 62.55 to 65.36 GHz, was obtained with very low dc power consumption of 7.5 mW V . Fig. 9 shows the measured output power versus the input frequency. The measured powers on a spectrum analyzer were corrected considering the cable losses. For this measurement, the input power was fixed at 3 dBm, and the frequency of the injection signals was varied within the locking range of the divider. The output power changes from 8.3 to 3 dBm for frequencies below 63.5 GHz. However, above 63.5 GHz, the output power stayed within 1 dB with frequency. At each frequency, the

The proposed divider topology can be extended to a divide-by-five MMIC by adding a frequency doubler in the feedback loop together with extra spurious rejection stubs, as shown in Fig. 11(a). The closed loop is designed for free-run. The oscillation signal is then ning oscillation at doubled in frequency through the frequency doubler, as shown in Fig. 11(b), which is realized with a CS FET biased at a class-B condition. The parallel feedback capacitor and resistor are added between the gate and drain of a CS FET for stable operation. The intermodulation terms at , which injection lock the free-running oscillator, are generated by the multiplication and the frequency-doubled signal of the input signal , which works as an LO signal for in the feedback loop . If the second-order subharmonic mixer it were not for the frequency doubler, the fourth-order subharmonic mixing would be needed for divide-by-five operation, resulting in a reduced locking range due to large mixer conversion loss and a consequential weak injection locking signal. At the output of the frequency doubler, the signal amplitude at should also be large enough to ensure sufficient loop gain and, thus, to satisfy the oscillation condition. For this purpose, is employed at the output of no fundamental frequency trap the frequency doubler. Instead, the quarter-wavelength short-cirisusedforthedrainbiasof thefrequencydoubler, cuitedlineat as shown in Fig. 11(b). This stub presents reactive impedance to the fundamental signal, whose effect can be designed with other circuit components to enhance the loop gain at . As shown in

1896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 13. Measured output spectrum when the divide-by-five frequency divider is injection locked by input signal at f = 62:60 GHz.

Fig. 11. (a) Circuit schematic of divide-by-five MMIC. (b) Details of the frequency doubler used in the divide-by-five MMIC.

Fig. 14. Measured bandwidth of the divide-by-five MMIC as a function of input power.

2

Fig. 12. Fabricated divide-by-five MMIC. The chip size is 1.95 1.8 mm . A 150-m-wide FET was used for the frequency doubler. A cascode FET was implemented with 80-m-wide FETs.

Fig. 11(a), the gate bias for the CS FET of the cascode FET is applied through the large resistor. All the other bias circuits are composed of quarter-wavelength short-circuited lines at , which effectively suppress the even harmonics of . For large injection-locking bandwidth, it is very important to enhance the conversion gain of the subharmonic mixer and, thus, to increase the injection signal. For this purpose, open-ended stubs at and are used at the drains of the CS FET and CG FET, respectively, to suppress the unwanted harmonics. The output signal is extracted from the output of the frequency doubler through a quarter-waveto length-long line terminated with an open-ended stub at component. In addition, this output circuit filter out the large to the oscillation loop and, thus, presents an open circuit at prevents the leakage of the component from the loop.

The photograph of the fabricated divide-by-five MMIC is presented in Fig. 12. The chip size is 1.95 mm 1.8 mm. The measured output spectrum is shown in Fig. 13 when the input signal was applied at 62.60 GHz. The input power was 7 dBm. As shown in this figure, the spurious signals were effectively rejected as in thecaseofthedivide-by-four circuit.Theoutputpowerattheinput frequency was 25.0 dB lower than the input power. Fig. 14 shows the measured locking bandwidth of the divide-by-five MMIC as a function of the input power. The biases for the cascode FET were set to the same conditions as the divide-by-four case. The frequency doubler was biased at the gate of 1.2 V and drain of 2 V (9 mA), which is slightly below the pinchoff voltage of the FET. The maximum locking range was 1.02 GHz (1.6%) from 62.06 to 63.08 GHz at the input power of 7 dBm. As expected from the higher order division, the maximum locking range was reduced to approximately half compared with the divide-by-four circuit, while the required input power was increased. The power dissipation was 27 mW including that of the frequency doubler. The output power was to 0.6 dBm across the locking bandmeasured to be from width at the input power of 7 dBm. The phase-noise performance of the input and output signals was also measured. The output phase noise was 13.8 dB lower than that of the input signal at the offset frequency of 1 kHz–1 MHz, which is very close to the theoretical limit of 14.0 dB, assuming no added phase noise.

JEONG AND KWON:

-BAND HIGH-ORDER HARMONIC INJECTION-LOCKED FREQUENCY-DIVIDER MMICs

1897

TABLE I SUMMARY OF THE REPORTED MILLIMETER-WAVE ANALOG FREQUENCY DIVIDERS

V. CONCLUSION -band multiple-order frequency divider MMICs showing wide bandwidth and low-power dissipation have been developed using a harmonic injection-locked oscillator. Harmonic injection locking using cascode FETs allowed a simple circuit configuration thanks to the inherent isolated ports and high division orders due to the highly nonlinear nature of the cascode FETs. The high gain of the cascode FETs in the oscillation loop also allowed high-frequency operation. The performance of the dividers was optimized using a simple analysis method employing two-tone HB simulation and an ideal directional coupler. The fabricated -band divide-by-four MMIC showed a wide locking range of 2.81 GHz under low dc power consumption of 7.5 mW. At the drain bias of 0.75 V, compromised bandwidth of 0.44 GHz was obtained with extremely low-power dissipation of only 3 mW. Moreover, the divider started to operate at very low input power levels less than 18 dBm, showing high-input sensitivity. The circuit concept has been extended to a divide-by-five MMIC by adding the frequency doubler in the feedback path, which showed a locking bandwidth of 1.02 GHz. Table I summarizes the reported millimeter-wave analog frequency dividers including this study. When compared with the HILFDs with compa, this study achieves similar rable division orders performance with far reduced dc power consumption. When compared with divide-by-two RFDs, the bandwidth and dc power consumption are comparable, but a higher order division ratio is possible with our circuit. The HILFDs of this study can be effectively employed in the millimeter-wave PLL circuit and can be a promising candidate for low-cost and low-power millimeter-wave communication systems.

ACKNOWLEDGMENT The authors would like to thank W. Choi, Seoul National University, Seoul, Korea, for the measurement assistance.

REFERENCES [1] S. Kudszus, M. Neumann, T. Berceli, and W. H. Haydl, “Fully integrated 94-GHz subharmonic injection-locked PLL circuit,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 2, pp. 70–72, Feb. 2000.

[2] M. Sokolich, C. H. Fields, S. Thomas III, B. Shi, Y. K. Boegeman, M. Montes, R. Martinez, A. R. Kramer, and M. Madhav, “A low-power 72.8-GHz static frequency divider in AlInAs/InGaAs HBT technology,” IEEE J. Solid-State Circuits, vol. 36, no. 9, pp. 1328–1334, Sep. 2001. [3] H. Knapp, M. Wurzer, T. F. Meister, K. Aufinger, J. Bock, S. Boguth, and H. Schafer, “86 GHz static and 110 GHz dynamic frequency dividers in SiGe bipolar technology,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 1067–1070. [4] Z. Lao, A. Thiede, J. Hornung, M. Schlechtweg, H. Lienhart, W. Bronner, A. Huelsmann, T. Jakobus, J. Seibel, M. Sedler, and G. Kaufel, “55-GHz dynamic frequency divider IC,” Electron. Lett., vol. 34, no. 20, pp. 1973–1974, Oct. 1998. [5] C. Rauscher, “Regenerative frequency division with a GaAs FET,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 11, pp. 1461–1468, Nov. 1984. [6] J. Sarkissian, M. Camiade, P. Savary, A. Suarez, R. Quere, and J. Obregon, “A 60-GHz HEMT–MMIC analog frequency divider by two,” IEEE J. Solid-State Circuits, vol. 30, no. 10, pp. 1062–1067, Oct. 1995. [7] S. Kudszus, W. H. Haydl, M. Neumann, and M. Schlechtweg, “94/47-GHz regenerative frequency divider MMIC with low conversion loss,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1312–1317, Sep. 2000. [8] K. Honjo and M. Madihian, “Novel design approach for X -band GaAs monolithic analog 1/4 frequency divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 4, pp. 436–441, Apr. 1986. [9] J. Jeong and Y. Kwon, “V -band harmonic injection-locked frequency divider using cross-coupled FETs,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 457–459, Oct. 2004. [10] C. J. Madden, D. R. Snook, R. L. Van Tuyl, M. V. Le, and L. D. Nguyen, “A novel 75 GHz InP HEMT dynamic divider,” in GaAs IC Symp. Dig., 1996, pp. 137–140. [11] X. Zhang and I. Gresham, “An analogue frequency-division approach for subharmonic generation in microwave VCOs,” in IEEE MTT-S Int. Microwave Symp. Dig., 1998, pp. 1581–1585. [12] T. Ohira and Y. Suzuki, “Novel frequency division technique for very low power GaAs monolithic microwave prescalers,” in IEEE MTT-S Int. Microwave Symp. Dig., 1999, pp. 193–196. [13] H. R. Rategh and T. H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 813–821, Jun. 1999. [14] F. Ramirez, M. Elena de Cos, and A. Suarez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003. [15] R. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1380–1385, Oct. 1973. [16] J. Kim and Y. Kwon, “Intermodulation analysis of dual-gate FET mixers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1544–1555, Jun. 2002. [17] K. Kanazawa, M. Hagio, M. Kazumura, and G. Kano, “A 15 GHz singlestage GaAs dual-gate FET monolithic analog frequency divider with reduced input threshold power,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1908–1912, Dec. 1988. [18] K. Kurokawa, “Injection locking of microwave solid-state oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1386–1410, Oct. 1973.

1898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Jinho Jeong (S’00–M’05) was born in Jinju, Korea, in 1973. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Seoul National University, Seoul, Korea, in 1997, 1999 and 2004, respectively. He is currently a Post-Graduate Researcher with the University of California at San Diego, La Jolla. His research interests include millimeter-wave power combining, large-signal modeling of microwave transistors, MMIC/opto-electronic integrated-circuit (OEIC) design, and high-efficiency/high-linearity power amplifiers for wireless communications.

Youngwoo Kwon (S’90–M’94–SM’04) was born in Seoul, Korea, in 1965. He received the B.S. degree in electronics engineering from the Seoul National University, Seoul, Korea, in 1988, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1990 and 1994, respectively. From 1994 to 1996, he was with the Rockwell Science Center, where he was involved in the development of various millimeter-wave monolithic integrated circuits based on high electron-mobility transistors (HEMTs) and HBTs. In 1996, he joined the faculty of the School of Electrical Engineering and Computer Science, Seoul National University. His current research activities include the design of MMICs for mobile communication and millimeter-wave systems, large-signal modeling of microwave transistors, application of micromachining techniques to millimeter-wave systems, nonlinear noise analysis of MMICs, and millimeter-wave power combining.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1899

Efficient Excitation of Microstrip Lines by a Virtual Transmission Line in FDTD Mikko K. Kärkkäinen

Abstract—A novel technique to excite microstrip lines with the finite-difference time-domain method (FDTD) is introduced. The model uses a one-dimensional virtual transmission line in the calculation of the incident and reflected voltages. The proposed model allows to extract the scattering parameters with a single three-dimensional FDTD run with a shorter simulation time than many of the well-known excitation models. Simulation results and comparisons with other models are provided to verify the proposed approach. Index Terms—Antennas, finite-difference time-domain (FDTD) methods, microstrip lines.

I. INTRODUCTION

T

HE finite-difference time-domain (FDTD) method has been widely used in modeling various microstrip circuits. The accurate and efficient excitation of microstrip circuits in numerical simulations is an important issue that has been addressed by several authors [1]–[7] over the years. Probably the first detailed consideration of planar microstrip circuits using the FDTD method was published by Sheen et al. [1]. The scattering parameters at different ports were extracted using an auxiliary FDTD run to find the incident electric field. The excitation scheme was a simple one: a constant electric-field profile was imposed at a chosen excitation plane under the microstrip at the boundary of the computational lattice, which, later in the simulation, was converted to an absorbing boundary condition (ABC). This approach has one major drawback: the microstrip line that is excited must be relatively long to avoid reflections back to the source plane prior to switching the source plane to an ABC. Furthermore, an abrupt switching to a different boundary condition during the simulation causes spurious reflections that degrade the accuracy. These problems were overcome by Zhao and Räisänen [2] using a simple variant of the model proposed by Sheen et al., namely, the soft-source excitation scheme at an arbitrary location in the feeding line. Obviously, an auxiliary FDTD run is also necessary in this case to -paobtain the incident field at a desired reference plane for rameter calculation, unless the feeding line is very long. A simple point source excitation scheme for antenna and microstrip calculations was introduced by Luebbers and Langdon in [3]. An internal resistance of the voltage source was shown

Manuscript received June 7, 2004; revised October 10, 2004 and December 29, 2004. This work was supported by the Smart Antennas and Novel Radios Center of Excellence. The author was with the Radio Laboratory, Helsinki University of Technology, Espoo FIN-02015 HUT, Finland. He is now with the Institute of Electromagnetic Fields, Technical University of Darmstadt, 64289 Darmstadt, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848088

to provide a dissipation mechanism that dramatically reduces the number of required time steps in FDTD simulations. This model has been shown to produce similar results as the model by Sheen et al. with a single FDTD run provided that the feeding line is tapered to allow the use of a simple point source. It was demonstrated in [3] that a nonresistive hard voltage source leads to extremely long simulation times. Another resistive voltage source model has been presented by Piket-May et al. [4] based on the two-dimensional (2-D) model of Sui et al. [5]. This model is based on a consistent modification of the usual update equations at the source plane. Other works on resistive voltage source have been performed by Durney et al. [6] and Xu et al. [7]. Both of these models involve multiple cells across the resistive voltage source. A major disadvantage of the model by Durney et al. has been pointed out by Xu et al.: instabilities occur unless the relative permittivity of the substrate of the microstrip line is quite large. The model by Xu et al. removes the instability, but it is more tedious to implement since the algorithm is implicit, requiring a matrix equation to be solved at every time step. The method of an auxiliary one-dimensional (1-D) transmission line exciting wire antennas has been dealt with in [8]. A differential method for -parameter extraction was introduced by Celuch-Marcysiak et al. in [9]. A detailed FDTD study of -parameter extraction for propagating and evanescent modes in waveguides has been carried out by Gwarek and Celuch-Marcysiak in [10]. Analytically known or numerically pre-calculated modal templates have also been applied to microstrip line excitation [11]–[14]. In this paper, a new scheme for FDTD excitation of microstrip lines is proposed, and a comparison between several other models is conducted. The suggested model can be applied to excite any microstrip line as soon as the characteristic impedance of the microstrip line can be estimated. The -parameters can be extracted with a single three-dimensional (3-D) FDTD run accompanied with a 1-D transmission-line model, and the convergence in a typical example problem is seen to be much faster than with many of the models discussed above. Apart from introducing a new excitation scheme, an important objective of this paper is to study and compare the various alternative excitation models in the literature. Strengths and weaknesses of the different methods are also revealed in this paper. II. FORMULATION OF THE MODEL Let the width of the microstrip line be , the thickness of the substrate be , and the relative permittivity of the substrate be . Given these parameters of a microstrip line, we calculate

0018-9480/$20.00 © 2005 IEEE

1900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the characteristic impedance according to the following formula ): [15] (applicable for (1)

where the effective relative permittivity

is given by (2)

Next, we construct a 1-D virtual transmission line (by virtual we mean that the transmission line does not exist in the 3-D lattice) that is connected to the input port of the microstrip line in the actual 3-D simulation. The characteristic impedance of the transmission line is chosen according to (1) to allow matching to the microstrip line. The voltages and currents in the 1-D transmission line are time stepped with the standard leapfrog scheme. The voltages at one end of the transmission line are used to determine the uniform vertical electric-field distribution at the reference plane of the microstrip line. The voltages and currents in the 1-D transmission line are time stepped according to

(3) where is the phase velocity within the 1-D transmission line. The 1-D transmission line is constructed so that the last term is a current term (the other end is terminated with an ABC of Mur I type). The last current term (it will subsequently be called ) in the 1-D transmission line is calculated as a loop integral of the magnetic fields around the vertical electric field at the reference plane under the microstrip. Another possibility is to calculate the current as a loop integral around the microstrip just in the vicinity of the feed. This latter possibility has a clear physical interpretation: the 1-D transmission line actually models a two-wire transmission line with one wire connected to the ground plane, and the other connected to the microstrip. A numerical experiment indicates that both locations of the integra, although the tion contours give quite similar results for physical interpretation of the first possibility is not so obvious as that of the latter. -parameter is calculated as The , where the incident voltage is obtained using another 1-D transmission line that is not connected to the microstrip line. The reflected voltage is obtained by subtracting the incident voltage from the impressed (total) voltage at the feeding location. It is pointed out that the other 1-D transmission line is not necessary if we use the so-called one-way injector [16] in the excitation of the 1-D transmission line. It is also worth noticing that, although the last current -parameter, this term is not explicitly used to calculate the in the term is necessary to update the voltage component 1-D transmission line. The voltage source in the 1-D transmission line is a point source with a Gaussian time signature (a hard source, i.e., a fixed

Fig. 1. (a) Microstrip patch antenna seen from above. The distance of the : mm. (b) The 1-D transmission line patch from the ground plane is h (top), the feeding port of the microstrip line and orientation of the electric-field components that are excited with the 1-D transmission line (middle), and the integration contour at the end of the microstrip line to compute the input current (bottom). N denotes the number of z -directed electric-field components between the ground plane and microstrip h N z . The voltage V from the 1-D transmission line is distributed to the feed location of the 3-D lattice. The current I at one end of the 1-D transmission line is computed from the 3-D lattice as a contour integral. Another possible integration contour to compute the input current is located around the microstrip.

= 0 794

( = 1)

voltage at one point). The last voltage component in one end of the transmission line is distributed over the vertical electric-field components in the feeding port according to Fig. 1(b). It is noticed that multiple cells between the ground plane and strip do not cause any problems, as in some other models. Hence, we have the freedom to discretize the space between the microstrip and ground plane in any reasonable way. The last current component in the transmission line is obtained as a line integral around the vertical ( -directed) electric fields according (see Fig. 1(b) for illustration). The exact verto tical (in the -direction) position of the integration contour has little effect on the results. Typically, the positions of the resois changed by, at most, 1 dB nances do not change and depending on the exact position of the integration contour, except at the resonant frequency, where the matching level (being very good at 30-dB level) may change several decibels. However, the magnetic-field components in the immediate proximity of the vertical electric-field components should be used. In Secfor a patch antenna (see Fig. 1) is tion III, the magnitude of computed with the proposed technique and compared with the results obtained using other models.

III. NUMERICAL RESULTS AND COMPARISONS Consider a patch antenna that is fed by a microstrip line. The geometry is the same as in some earlier papers [1]–[3], [17], and it is shown in Fig. 1(a). The results computed using models of other authors constitute a reliable reference for the simulation results to be presented here. The antenna structure is highly resonant. Thus, a simple feed model using a hard source excitation is not a good choice since the input current dissipates extremely slowly, as has been shown by Luebbers and Langdon in [3]. The shorter and longer sides of the patch are discretized with 32 and 40 cells, respectively. The width of the microstrip corresponds to six cells in FDTD. The substrate thickness is discretized with one or three cells depending on the excitation

KÄRKKÄINEN: EFFICIENT EXCITATION OF MICROSTRIP LINES BY VIRTUAL TRANSMISSION LINE IN FDTD

Fig. 2. Magnitude of the input return-loss parameter for the microstrip patch antenna. The substrate has the relative permittivity  = 1. The parameter N denotes the number of time steps taken in the simulation to obtain converged S curves.

model that is used in the simulation. The time step is the largest possible allowed by the Yee algorithm. It is shown in Fig. 2 that a straightforward generalization of the resistive voltage source model of [3] to realistic flat microstrip lines spanning several cells in FDTD (as is typically the results at lower frequencies. Based case) leads to distorted on numerical simulations shown here, it is observed that larger (but not too large) internal resistance of the voltage source facilitates convergence, but the accuracy is degraded at lower frequencies (see Fig. 2). Setting the internal resistance of the source leads to very long simulation times (hundreds of to thousands of time steps for this discretization), as was shown in [3]. In agreement with the observation in [3], it was noticed that too large resistances lead to an instability. Another well-known resistive voltage source model for FDTD excitation of microstrip circuits has been introduced by Piket-May et al. in [4]. That model is a natural extension of the model by Sui et al. [5] to the 3-D situation. This study reveals that the model by Piket-May et al. gives very similar results as the Luebbers and Langdon model. However, the model by Piket-May et al. requires significantly longer simulation times than all the other models considered herein. In Fig. 2, results of the present model and the model by Zhao and Räisänen [2] have been obtained with 4000 time steps. The proposed model is seen to yield very similar results as the Zhao and Räisänen model. However, the computation time with the current model is approximately one-half of that of the Zhao and Räisänen model since, unlike with the Zhao and Räisänen model, the incident fields at the reference plane need not be computed with an auxiliary 3-D simulation in the current model. Numerical experiments indicated that the proposed algorithm is not very sensitive to errors in , although a large error in estidegrades the accuracy. mated The resistive voltage source model of Piket-May et al. requires considerably longer simulation time for : 20 000 time steps are taken to guarantee convergence. For a higher re, 10 000 time steps are enough to obtain a sistance relatively smooth curve. The characteristic impedance of

1901

Fig. 3. Magnitude of the input return-loss parameter for the microstrip patch antenna. The dielectric substrate has the relative permittivity  = 2:2. Results denoted by circles have been obtained with a differently located integration contour to calculate the input current. It is seen that the results are not very sensitive to the position of the integration contour.

the microstrip line is approximately 71 . The width of the microstrip line being discretized with seven vertical electric-field components each of which is excited; we expect that the value 71 7 10 is appropriate for a single electric-field component to obtain a resistive voltage source model that is matched to the microstrip line. Notice that reasonable results are ob(corresponding to tained also with a higher resistance, 30 ), although errors increase at lower frequencies (see Fig. 2). To enable a direct comparison, the volume of the patch antenna is discretized similarly in all the cases that are compared here. It is, in principle, easy to extend the models of [3] and [4] to microstrip lines with the height discretized with more than one cell. However, numerical experiments indicate that the accuracy could be poor in that case for the Piket-May et al. method. Therefore, results in Fig. 2 have been computed using mm. In general, more accurate results are expected by dividing the height into several cells. However, depending on the excitation algorithm, this may not be true, as discussed above. The present model and the Zhao and Räisänen model have the advantage of being applicable to microstrip lines that may be discretized in any reasonable way. Results for the antenna with a dielectric substrate having the are shown in Fig. 3. The thickness relative permittivity of the substrate is discretized with three cells in all the methods, except for the method of Piket-May et al., where only one cell was used. Application of the Piket-May et al. model in the case of several cells was found to lead to significantly different results as compared to the other methods. The results of the proposed model agree well with the results of Zhao and Räisänen [2], Zhao et al. [17], Sheen et al. [1], and Gedney [18]. The small differences are due to the size of the computational space and due to different ABCs. In particular, it is noticed that the results of the current method are in a very close agreement with the Luebbers and Langdon method. It is also demonstrated in Fig. 3 that the two alternative positions of the integration contours to calculate the input current do not significantly affect the

1902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

models. Verifying numerical results have been presented and comparisons with other models have been provided. ACKNOWLEDGMENT The authors acknowledges with appreciation the suggestions of Prof. S. Tretyakov, Radio Laboratory, Helsinki University of Technology, Espoo, Finland, which helped to improve the first draft of this paper’s manuscript. REFERENCES

Fig. 4. Input current as a function of time calculated with the proposed method and with the Luebbers and Langdon method.

results. The difference in is at most 1 dB, except at the resonant frequency, where the matching level may change by several decibels. However, all of the resonances are similarly predicted independently of the location of the integration contour. , the In the presence of a dielectric substrate with characteristic impedance of the microstrip line is close to 50 . in the resistive voltage source Thus, a natural choice of models for a single component is . The natural extension of the Luebbers and Langdon model for microstrip lines with the height discretized with several cells has been used when simulating the results in Fig. 3. Good matching at 7.5 GHz is seen to require more than one cell between the ground plane and microstrip. Except for a small discrepancy at lower frequencies, the Luebbers and Langdon model yields results similar to the proposed model and the Zhao and Räisänen model. Fig. 4 shows how the input currents are dissipated as a function of time with the proposed method and with the Luebbers and Langdon method. The maxima are scaled to the same value to enable a direct comparison. Indeed, the input current dissipates much faster with the proposed excitation scheme. The resonant frequencies are predicted very similarly with all the models. The model by Sheen et al. was not implemented in this study. However, it is pointed out (cf. [2]) that the Zhao and Räisänen model yields almost indistinguishable results with the model used by Sheen et al. in [1]. This is not surprising, since both of the models impose an electric field distribution at certain location and use two FDTD runs to compute the incident and reflected voltages at another location. With the proposed model, we obtain essentially similar results as with the Zhao and Räisänen model and the model by Sheen et al., but we significantly cut down the required computer resources. IV. CONCLUSIONS A new model for excitation of microstrip lines with FDTD has been proposed. The model is based on connecting a virtual 1-D transmission line to the input port of the 3-D structure under investigation. The proposed model overcomes some difficulties associated with the known models, and it can significantly decrease the required simulation time as compared with the earlier

[1] D. M. Sheen, S. M. Ali, M. D. Abouzahra, and J. A. Kong, “Application of the three-dimensional finite-difference time-domain method to the analysis of planar microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 849–857, Jul. 1990. [2] A. P. Zhao and A. V. Räisänen, “Application of a simple and efficient source excitation technique to the FDTD analysis of waveguide and microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 9, pp. 1535–1539, Sep. 1996. [3] R. J. Luebbers and H. S. Langdon, “A simple model that reduces time steps needed for FDTD antenna and microstrip calculations,” IEEE Trans. Antennas Propag., vol. 44, no. 7, pp. 1000–1005, Jul. 1996. [4] M. J. Piket-May, A. Taflove, and J. Baron, “FD-TD modeling of digital signal propagation in 3-D circuits with passive and active loads,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1514–1523, Aug. 1994. [5] W. Sui, D. A. Christensen, and C. H. Durney, “Extending the two-dimensional FD-TD method to hybrid electromagnetic systems with active and passive lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 724–730, Apr. 1992. [6] C. H. Durney, W. Sui, D. A. Christensen, and J. Zhu, “A general formulation for connecting sources and passive lumped-circuit elements across multiple 3-D FDTD cells,” IEEE Microw. Guided Wave Lett., vol. 6, no. 2, pp. 85–87, Feb. 1996. [7] J. Xu, A. P. Zhao, and A. V. Räisänen, “A stable algorithm for modeling lumped circuit source across multiple FDTD cells,” IEEE Microw. Guided Wave Lett., vol. 7, no. 9, pp. 308–310, Sep. 1997. [8] M. A. Jensen and Y. Rahmat-Samii, “Performance analysis of antennas for hand-held transceivers using FDTD,” IEEE Trans. Antennas Propag., vol. 42, no. 8, pp. 1106–1113, Aug. 1994. [9] M. Celuch-Marcysiak, M. Sypniewski, and W. K. Gwarek, “Improvements to parameter extraction techniques for FDTD simulations of handset antennas,” in IEEE AP-S Symp. Dig., Atlanta, GA, Jun. 1998, pp. 550–553. [10] W. K. Gwarek and M. Celuch-Marcysiak, “Wide-band S -parameter extraction from FD-TD simulations for propagating and evanescent modes in inhomogeneous guides,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 8, pp. 920–1928, Aug. 2003. [11] M. Celuch-Marcysiak, A. Kozak, and W. K. Gwarek, “A new efficient excitation scheme for the FDTD method based on the field and impedance template,” in IEEE AP-S Int. Symp., Baltimore, MD, Jul. 1996, pp. 1296–1299. [12] G. Liang, Y. Liu, and K. K. Mei, “Full wave analysis of coplanar waveguide and slotline using the time-domain finite-difference method,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1949–1957, Dec. 1989. [13] C. J. Railton and J. P. McGeehan, “The use of mode templates to improve the accuracy of the finite-difference time-domain method,” in 21st Eur. Microwave Conf., Stuttgart, Germany, 1991, pp. 1278–1283. [14] J. Ritter and F. Arndt, “Efficient FDTD/matrix pencil method for fullwave scattering parameter analysis of waveguiding structures,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 2450–2456, Apr. 1996. [15] A. Räisänen and A. Lehto, Radiotekniikka. Helsinki, Finland: Otatieto, 1992, pp. 50–52. [16] J. G. Maloney, K. L. Shlager, and G. S. Smith, “A simple FDTD model for transient excitation of antennas by transmission lines,” IEEE Trans. Antennas Propag., vol. 42, no. 2, pp. 289–292, Feb. 1994. [17] A. P. Zhao, J. S. Juntunen, and A. V. Räisänen, “An efficient FDTD algorithm for the analysis of microstrip patch antennas printed on a general anisotropic dielectric substrate,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1142–1146, Jul. 1999. [18] S. D. Gedney, “An anisotropic perfectly matched layer layer-absorbing medium for the truncation of FDTD lattices,” IEEE Trans. Antennas Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996.

KÄRKKÄINEN: EFFICIENT EXCITATION OF MICROSTRIP LINES BY VIRTUAL TRANSMISSION LINE IN FDTD

Mikko K. Kärkkäinen was born in Iisalmi, Finland, on July 22, 1976. He received the M.S. degree in mathematics and Lic. Tech. and Dr. S. degrees in radio engineering from the Helsinki University of Technology, Espoo, Finland, in 2000, 2002 , and 2004, respectively. He was a Researcher with the Radio Laboratory, Helsinki University of Technology. He is currently a Researcher with the Institute of Electromagnetic Fields, Technical University of Darmstadt, Darmstadt, Germany. His scientific interests include the development of new numerical models for material interfaces, frequency-selective surfaces, and thin layers. His current interests include model development and validation for the time-domain calculation of wakefields of short bunches in particle accelerators. He is also interested in artificial electromagnetic materials and their applications in antennas.

1903

1904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Full-Wave Analysis of Coupled Strip-Slot Guiding Structures Anatoli N. Deleniv

Abstract—In this paper, the coupled strip–slot lines are modeled using hybrid mode analysis. Standard spectral-domain analysis is employed to solve the eigenvalue problem in multilayered media and a novel formulation is proposed to obtain strip and slot modal impedances. Comparisons with available published data are presented. The model is used to obtain scattering parameters of a bandpass filter with a novel topology. These scattering parameters are then compared with those obtained using rigorous Sonnet simulations, thereby confirming the reliability of the model. Index Terms—Bandpass filter, modal impedances, modeling, partial powers, spectral-domain analysis (SDA).

I. INTRODUCTION

C

OUPLED strips and slots in different combinations appear in a variety of components and package-related issues, where their close proximity leads to strong mutual coupling. Over the last three decades, this problem attracted considerable interest, especially with respect to structures involving multilayered substrates. While many useful devices based on sections of multicoupled strips or slots are reported in the literature [1]–[4], only a few devices based on coupled strip–slot sections [5], [6] are reported. This is partly explained by the fact that computer-aided design (CAD) models for multicoupled strips or slots are well established and documented. On the other hand, only a few of publications deal with coupled strip–slot lines [7], [8]. Most of these papers are limited by dispersion analysis only (propagation and attenuation constants of fundamental modes). However, for simulation of -parameters, the accurately defined modal impedances are also required. The analysis of a symmetrical strip–slot pair (the strip and slot are placed at different interfaces) is discussed in a few related papers [5], [6]. Both strip and slot lines have a common symmetry plane along the propagation axis. For such a case, the computation of modal impedances is extremely simple since the modal power is fully attributed either to the slot or strip line. The slot mode has an electric wall in the symmetry plane and, thus, there is no net current on the strip. The strip mode has a magnetic wall in the symmetry plane and, thus, zero voltage drop on the slot. General analysis of multiconductor multislot lines in multilayered media is presented in [7], but is limited by dispersion analysis. The impedance related issues are considered in [8], where a

general asymmetrical structure comprising of coupled strip and slot lines and another structure containing two strips and one slot are considered. As will be made clear, the formulation used by Schmidt cannot be used to define modal impedances in the general case of an arbitrary number of strips and slots, although reasonable results were obtained for studied geometries. To the best of the author’s knowledge, the model presented in this paper is the first attempt to provide a complete circuit description of a coupled strip–slot line section. Beside a few numerical comparisons with published data, a design of a bandpass filter is considered to verify the potential of the model. The results of simulation are then compared with a rigorous electromagnetic (EM) analysis using “Sonnet,” which confirms the validity of the proposed approach. The model assumes only one strip and one slot interface. This is intentionally made to keep this paper’s length shorter and should by no means be viewed as a limitation. II. STRUCTURE DESCRIPTION AND FORMULATION OF THE PROBLEM The cross section of the structure comprised of ideal lossless materials is shown in Fig. 1. It is enclosed in a box with the lateral dimension , and contains an arbitrary number of , strips (hereafter, lines layers ) placed at the th interface (strip interface) and arbitrary number of slots (hereafter, lines ) embedded at the th interface (slot interface). Each lossless layer is characterized by a dielectric and thickness . The field–current relations beconstant tween both interfaces are formulated using the following standard spectral-domain analysis (SDA) approach [9]:

(1) are the Fourier transforms of the unwhere , , , and known current and field at the strip and slot interfaces, respectively. All these components have the same mathematical behavior and, therefore, are expanded with a unique set of basis functions

Manuscript received June 10, 2004; revised December 29, 2004. This work was supported in part by the European Commission funding the project MELODY under Framework 5 and by the Swedish Science Foundation (VR). The author is with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Göteborg, Sweden (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.848087 0018-9480/$20.00 © 2005 IEEE

(2)

DELENIV: FULL-WAVE ANALYSIS OF COUPLED STRIP-SLOT GUIDING STRUCTURES

1905

III. MODAL IMPEDANCES A. Orthogonality The formulation used to define modal impedances for multistrip (multislot) lines was proposed by Wiemer and Jansen [10]. One utilizes the orthogonality of the voltage and current eigenvectors of coupled quasi-TEM transmission lines (5)

Fig. 1. Cross section of the coupled strip–slot lines.

with

being the order of Chebyshev‘s polynomial, and and being the th strip (slot) width and its center position, respectively (see Fig. 1). A short description of the dyads involved in (1) can be found in the Appendix. Galerkin‘s method is used to establish a homogeneous system in a way that vector conof linear equations tains stacked expansion coefficients of the unknown current and field at the strip and slot interfaces, respectively. The nontrivial solution requires that

where and are matrices composed from voltage and curis a diagonal marent eigenvectors, respectively, and trix composed from modal powers. Assuming that the matrix of multistrip ( for the case of multislot) lines is defined and power flux is computed for each propagating mode, there is ( for no degree of freedom left in defining its counterpart multislot lines) that consists of voltage (current) eigenvectors. Modal impedances are then taken as ratios of related elements and matrices for the th mode, and of th line. Using the information available from (4) (matrix contains parts of the current and voltage eigenvectors) in accordance to (5), the following equality holds:

(6)

(3) For each fixed frequency, there exists a set of values. Once the eigenvalue problem is solved, one can compute the current and voltage for each particular strip and slot and for each propagating mode, respectively. Computed values are stored in the , which is built from four submatrices, as it is given matrix by (4), shown at the bottom of this page. Each column of the contains information regarding each of strips matrix slots voltage of the particular th mode. current and each of With our choice of expansion set (2), all elements of this matrix are simply defined by the coefficients of zeroth-order basis function used to expand the current (field) on strip (slot) . Strip currents and slot voltages given in each particular column are parts of the th mode current and voltage eigenvectors with the rest to be found. In the next step, we have to define the modal impedances for each strip , slot , and for each mode .

.. .

.. . .. .

..

.. ..

.

. .

Here, we let the matrix be of rather general form with nonzero off-diagonal elements (the reason will be clarified and are not later). All the submatrices denoted as available and have to be defined. Expression (6) is advisable to rewrite in the form of the following four coupled linear equations:

(7a) (7b) (7c) (7d)

.. .

.. .

.. . .. .

.. . .. .

..

.. ..

.

..

.

..

.

.

..

.

.

.. .

.. . .. .

(4)

1906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Expelling from (7a) and (7b), from (7c) and (7d), two new equations, i.e., (8a) and (8b), are obtained as follows: (8a)

(8b) Replacing the expression in the brackets in the left-hand side of (8a) by the right-hand side of (8b), we arrive at the following identity of (9):

(9) When all modal powers are computed, the diagonal matrix can be composed and checked with data given in (4). It is easily verified that identity (9) does not hold true, indicating that currents and voltages given in (4) are parts of eigenvectors that are not orthogonal. Hence, the orthogonality-based formulation (5) cannot be utilized straightforwardly to obtain the rest of unknown currents and voltages. One may get confused expecting that the product of voltage and current eigenvectors should reflect the orthogonality of eigenmodes (10) The clarification to this confusion follows from Brews’ paper [11], where he discussed transmission-line models for dispersive lossy waveguides. Following [11], the “true” current and voltage are abstract entities, related to weighted averages of the transverse fields across the waveguide cross section and generally are not those given a circuit interpretation (an exception is made in the case of pure TEM structures). Furthermore, the voltage and current of the equivalent line can always be replaced by and ( is an arbitrary complex constant) to give one of them a usual circuit interpretation. Such scaling does not alter the power propagating in the modeled , but does in the characteristic impedance waveguide . In the case of multiconductor lines, the current as a net strip is assigned usual circuit meaning (we define is then uniquely defined current) and the equivalent voltage using (5) (vice versa for a multislot line). In our case, both the currents and voltages are given the meaning of those in strip and slot structures, respectively, violating as a result , the orthogonality between their eigenvectors. Hence, the mode- to-mode coupling is an artifact caused only by our customary interpretation of currents and voltages. To proceed with modeling, we need to define the unknown strip voltages and slot currents. The identity (9) indicates that (6) has an infinite number of solutions , , , and . Hence, asfor suming the matrix is available, one of these unknowns has to be predefined to obtain the rest uniquely. Hereafter, we consider , where only one of two submatrices a general case or ) may be utilized following (7a)–(7d). ( We first define the matrix as discussed in Section III-B.

B. Defining the Structure of the Matrix As follows from the discussions in Section III-A, the matrix , with the obtained set of strip currents and slot voltages (4), is not diagonal. Furthermore, as follows from (9), with , the matrix is not unique since, for data given by three arbitrary chosen submatrices (say, , , ), one can always find the fourth one and to preserve the identity of (9). On the other hand, there is less . All diagonal entries of ambiguity than it seems in defining are equal to modal powers since, by following (7a) and (7d) and [11], these are not altered due to our choice of voltage and current levels. Additionally, we know that in the low-frequency approaches . It is noticed that those limit, the matrix entries should formally be interpreted as the shared between two specific modes ( th and th) power flux, which is physically meaningless in the view of modes orthogonality. However, it appears that this shared power flux establishes a natural criterion to evaluate the quality of the as approach. Let us now define the error function (11) In the context of this approach, the nonzero error function is a price one has to pay for a mixed level of strip/slot currents and voltages. Hence, needs to be minimized. To achieve minimization, we first intentionally enforce as many as possible to be zeros. This is accomplished off-diagonal entries of . In with upper or lower triangular structures of the matrix the general case, and are diagonal submatrices and the only left degree of freedom is in setting or . As soon as this choice is made (the decision is made by comparing the error functions for two possible scenarios), the last submatrix is uniquely defined from (9). An extra possibility to minimize the error function arises (4) when considering the arrangement of the matrix columns, which fixes specific positions of modal currents , , , and voltages within submatrices found in (9). Since the error function (11) and obtained with the above developed procedure is different for columns, one has each specific arrangement of the matrix to check all independent combinations to obtain the minimized . This purely numerical approach of the problem may be avoided, as is discussed below. To proceed with the discussion, it is necessary to introduce strip- and slot-like modes. Strip/slot-like modes are those with the highest density of power flux bounded to the strip/slot interface. In terms of strip currents and slot voltages, the classification above leads to the claim that strip currents of strip-like modes are significantly higher than those of the slot modes. Slots voltages of slot-like modes are significantly higher than those of strip modes, respectively. The way how to quantify these definitions will be given later, although it is not required for the present purpose. Let us consider the coupled strip–slot lines formed by two groups, as is shown in Fig. 2. It is clear that, in the limiting case, when these two groups are uncoupled, the strip–slot array is represented by two isolated groups of multiconductor and multislot lines.

DELENIV: FULL-WAVE ANALYSIS OF COUPLED STRIP-SLOT GUIDING STRUCTURES

1907

Using partial magnetic and electric fields to define partial powers for strips and slots, respectively, (12), one obtains

Fig. 2. Particular case of coupled strip–slot lines as two isolated groups of strips and slots.

(14) Hence, the model should provide a description identical to the one available for separately taken multiconductor and multislot lines. The answer to the problem is straightforward: all data related to strip-like modes are placed to first occupy columns in (4), while those for slot-like modes of the matrix columns of the matrix . Considering occupy the last this procedure, there are a few things worth noting as follows. is a diagonal matrix, we enforce the orthog• Since onality between voltage and current eigenvectors among strip modes. The same applies to slot modes since is also diagonal. • In the limiting case of two isolated strip and slot groups , , ( ), (7a) and (7d) are reduced to (5), which is a commonly used formulation for both multiconductor and multislot . lines and is minimized since the entries of • The error function or submatrices are given by the sum of two products with one multiplicand being much smaller as compared to the other. Since the procedure to define the matrix we can proceed with finding unknown

is now defined, or .

C. Concept of Partial Powers

Surely one could use only one of the partial fields ( or ) which would enforce equality in (14), but two different results (and for defined voltages/currents as well) for partial powers or choice of the parwould be obtained as a result of the tial field. Here, we propose another way to define partial powers that does not suffer from this drawback. In the terms of current and voltage eigenvectors, the modal power can be defined as (15) Let us now consider partial derivatives of (15) (16a) (16b) Assuming that partial derivatives are known, the partial powers for the th mode and th line can be defined as follows: (17a) (17b)

Since both integral definitions of strip voltages and slot currents for hybrid modes are path dependent and cannot provide a unique value, one need to avoid their use. A possible way to define unknown voltages/currents could be the use of the partial power concept, originally introduced by Jansen [12]. Following (in terms of voltage and current that concept, a partial power ) can be assigned to all strips , slots , and for all modes

(12) Here, and denote the total fields of the mode , while and are used to denote partial magnetic and electric fields of mode produced by the current/field of the lines , respectively. In our case, however, this formulation immediately fails since (12) does not satisfy the necessary reciprocity relation (13)

It should be clear that with the definition of (17a) and (17b) the sum of all partial powers is equal to the modal one and there is no more ambiguity in the partial power definition. In order to simplify a numerical evaluation of partial derivatives (16a) and (16b), we use the perturbed solution for the line and mode . Here, by the solution , we understand all coefficients of the basis functions involved in the expansion of the current/field. Both electric and magnetic fields are perturbed, as a result, and are used to com. Partial derivatives are then simply taken as pute ratios (18a) (18b) Typically, it is quite enough to choose in order to obtain an accurate result, which can be controlled by comparing the sum of all partial powers to the modal one. Another question concerning strip- and slot-like mode classification has to be made clear.

1908

Since

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the

required

procedure to define the submatrix is now obtained, a general procedure to find unknown slots currents and strips voltages may be defined. The following proposed procedure requires the minimum computational efforts to complete and matrices. 1) Modal powers for all modes are computed first. slots 2) The partial powers for all strips are computed for all modes. columns of the 3) Modes are sorted in the way that first matrix are reserved for strip-like modes. are computed for 4) Two error functions and scenarios, respectively. The scenario with the smaller error function is chosen. 5) Using already defined partial powers, we define using (16a) and (17a) or using (16b) and (17b). 6) The rest of the unknown submatrices is uniquely defined from (7a)–(7d). As a result of the formulated above procedure, the two matrices and are completed. The reciprocity of the multiport admittance (impedance) matrix of the coupled strip–slot section with a necessity requires orthogonality of voltage and current eigenvectors. Hence, one or matrix as an independent variable has to choose the and define its counterpart using (5). This procedure can be interpreted as replacement of the obtained linearly independent – basis onto the “closest” orthogonal one. Hereafter, we shall use the following rules. is • If the structure contains more slots than strips, then chosen as the independent variable. is • If the structure contains more strips than slots, then chosen as the independent variable. Considering that this step is done, the modal impedances are , where and are elements defined as ratios and matrices. of the related

Cross-sectional view of the coupled strip–slot lines (str = 0:3 mm, slt = 0:2 mm, a = 4b = 7:112 mm, d = 0:254 mm, h = 2b, " = 2:2, f = 33 GHz). Fig. 3.

Fig. 4. Dispersion and characteristic impedance data of the structure in Fig. 3. The data after Schmidt [8] are given in markers.

IV. MODEL VERIFICATION Thus far, we have found only two strip–slot structures with reported impedances [8]—these are used as a reference for the results obtained with the model presented. The cross section of the coupled strip slot lines is given in Fig. 3. The data for the effective dielectric constant and characteristic impedances computed following this model are compared with those given by Schmidt [8] in Fig. 4. Perfect agreement is found for dispersion data for the whole range of parameter . For the characteristic impedances, a small deviation is found for the mm), while for higher closely placed strip and slot (for values of , the agreement is very good. The data presented by Schmidt do not provide a complete description of the structure and should be complemented by strip impedance of the slot mode and slot impedance for the strip mode. These impedances generated using this model are presented in Fig. 4 as well. The cross-sectional view of two strips and one slot is given in Fig. 5 (the structure is symmetrical). Three different modes are possible in such a structure, i.e., even and odd strip modes and the slot mode. The data obtained with this method are compared

Fig. 5. Cross-sectional view of the coupled strip–slot lines (str = 0:2 mm, s = 0:4 mm, a = 2b = 7:112 mm, d = 0:254 mm, h = 2b, " = 2:22, f = 33 GHz).

in Fig. 6 with those given by Schmidt [8]. As in the previous case, a perfect agreement is found for effective dielectric constants and is worse for the characteristic impedances. Three different impedances have to be added to give a complete description for the discussed structure—these are presented in Fig. 7. Generally, a reasonably good agreement between the compared data is indicated. The small discrepancy in impedances for both of the above comparisons (Figs. 4 and 6) stems from the way they are defined in [8]. In the case of strip mode, the modal power is completely assigned (for the structure in Fig. 5, half . It is clear that of it) to the strip and used with one can expect higher impedance since the power assigned to

DELENIV: FULL-WAVE ANALYSIS OF COUPLED STRIP-SLOT GUIDING STRUCTURES

Fig. 8.

1909

Layout of the filter. Strip interface is denoted by dashed lines.

Fig. 6. Dispersion and characteristic impedance data of the structure in Fig. 5. The data after Schmidt [8] are given in markers. Fig. 9. (a) Slot-line hairpin resonator (s = w = 200 m, l = 12 mm). (b) Its equivalent circuit.

Fig. 7. Characteristic impedance of the slot for the odd strip and slot modes, respectively, and strip impedance of the slot mode (see Fig. 6).

the strip is overestimated. The situation is reversed for slot mode since an overestimated power appears in the denominator of the and, thus, one can expect lower used formula impedance. V. FILTER DESIGN The usefulness of the developed model can be well proven simulating -parameters of any narrow-band device, which would indeed detect any inconsistency (if there is such) in the approach. The purpose here is to provide the confirmation of the model by a comparison with a rigorous EM simulation. A novel topology of the bandpass filter is proposed here and will be analyzed using both the model presented and Sonnet software. The layout of the filter is shown in Fig. 8. One side of the substrate contains five identical hairpin slot-line resonators such as the one shown in Fig. 9(a). Two strip lines on the other side of the substrate are used for feeding—these are denoted by the dashed line in Fig. 8. This filter layout is generated from the one reported in [1] and combines the advantages of the microstrip and coplanar technologies. The latter is known to be beneficial

providing better out-of-band rejection, while microstrip feeding helps to avoid the use of air bridges. The air bridges are used to prevent the propagation of slot-line modes outward from the filter and, unless the symmetry of the device coincides with one of the feeding coplanar line, have to be used with necessity. The proposed filter topology is beneficial in mixed strip–slot circuits, where the microstrip feeding is natural and is not considered as a price one pays to get read of air bridges. One may note that, in the present design, in contrast to [1], all resonators are oriented similarly. This helps to reduce the coupling between two separately taken resonators as compared with interdigital structure assuming the distance between them in both cases is preserved. This fact automatically leads to significant miniaturization of the size (see Fig. 8) considering the filter with the same bandwidth is to be realized using the interdigital structure. The equivalent circuit of the filter is based on the lumped-element representation of the resonator [see Fig. 8(b)]. Subscript denotes that these are elements of the equivalent circuit with magnetic current [1], [2]. Since detailed discussion on the filter synthesis is beyond the scope of this paper, the interested reader is referred to [2], where this procedure is presented in good details. , which The substrate is 0.5-mm thick LaAlO is embedded inside a box with 10-mm distance to the upper and lower lids (the lateral dimension of the box is 18 mm). One may note that the corners of all resonators are metered. Such a shape was utilized only in Sonnet simulations, helping to minimize the discontinuity effect of the corners. This is of importance for the current task since all the connections incorporated in model-based analysis are assumed to be ideal. The from the first to second resonator is 1580 m and distance between the second and third is 2120 m correspondingly. Since the structure is symmetrical, these sizes provide a complete description for the slot interface. Both feed lines (these are given by dashed lines) are 200- m wide and are shifted 30 m inside the circuit counting from the edge of the first slot above (see is 10.4 mm. the insert). The overall dimension of the filter

1910

Fig. 10.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Network representation of coupled strip–slot section.

Two 180- m-wide microstrip lines (50 ) are connected to feed lines. The section of the coupled strip–slot lines is represented as a 24-port network (see Fig. 10) characterized by an admittance , which is defined as follows: matrix (19) Fig. 11. Comparison of the filter performance obtained using the model and Sonnet EM simulation.

with (20) (21) Having the admittance matrix of the coupled strip–slot section computed in this way, we then modify it for the presence of interconnecting slot-line stubs (as was already mentioned above, no corner discontinuities are considered) and proceed with -parameter simulation. The same structure was simulated in Sonnet software, and both results are compared in Fig. 11. One notes a similar passband of the filter in both simulations (0.75%) and correct location and depth of the transmission pole. In addition to this, a correct level of matching 11 dB is observed, providing strong argument that the model operates well. One may get a better impression of the sensitivity of the -parameters with respect to the accuracy of computed impedances considering small changes in the geometry. Let us now analyze a modified layout where 20- m narrower slots are utilized to establish the hairpin resonator in the middle of the filter (spacing between the slots remains the same), while the rest of the structure is kept without changes. Considering two coupled slots of the modified resonator, such a change in their size leads to 3% change in the impedances of the even and odd modes, respectively. Since the middle resonator is 2120 m apart from its closest neighbors, these are affected much less. Two simulations using the model and Sonnet are compared in Fig. 12. As in the previous case, we note rather good agreement between model-based data and Sonnet simulation, although the shape of the reflection coefficient differs appreciably in comparison with the one in Fig. 11. Finally, the frequency dependence of the error function (11) for the array comprised of ten slots and two strips utilized in the modified filter structure is given in Table I. As follows from Table I, the error function approaches zero for lower frequencies. This fact indicates that the strip currents

Fig. 12. Comparison of the filter performance obtained using the model and Sonnet EM simulation. TABLE I FREQUENCY DEPENDENCE OF THE Err FOR THE COUPLED STRIP–SLOT ARRAY UTILIZED IN THE MODIFIED FILTER

and slot voltages approach those of quasi-TEM modes since the longitudinal field components decreases at lower frequencies. One should remember that there exists one quasi-TE mode,

DELENIV: FULL-WAVE ANALYSIS OF COUPLED STRIP-SLOT GUIDING STRUCTURES

1911

(26)

which, in the case of the boxed structure, has a cutoff frequency. . Hence, even at very low frequency,

is the transformation matrix from , to the , where coordinate system [9, eq. 10], and is the TE (TM) field transfer function for the th dielectric layer [13, Eq. 28]

VI. CONCLUSION A model for coupled strip–slot lines based on hybrid mode analysis has been presented in this paper. A novel formulation to define partial powers has been proposed, which has then been applied to obtain modal impedances for coupled strips and slots. A few comparisons with available published data have been presented. To demonstrate the model’s utility, a design of a bandpass filter with a novel topology has been presented. The filter performance obtained using the developed model has been compared with rigorous EM simulations using Sonnet. The good agreement indicates the validity of the proposed in this work model.

(25)

with (26), shown at the top of this page, with being the TE (TM) wave admittance of the transverse wavenumber, respectively.

and th layer and

ACKNOWLEDGMENT The author is grateful to Dr. M. Gashinova, St.-Petersburg Electrotechnical University, St.-Petersburg, Russia, and Prof. S. Gevorgian, Chalmers University of Technology, Göteborg, Sweden, for useful discussions and to the anonymous reviewers for suggesting several improvements in this paper.

APPENDIX dyad is defined as follows:

(22) REFERENCES with being a spectral variable. , , and are derived assuming The elements zero field at the slot interface, which is identical to closing all slots by a perfect electric conductor. Thus, it is easy to see that layers are involved and the structure only actually defines the case of boxed multiple coupled strips with the bottom of the box placed at the slot interface. Assuming zero current at the strip interface, the structure defines a case , , and of multiple coupled slots with all elements of the dyad defined using all layers of the structure

(23)

and dyads represent the coupling Two other between the strip and slot interfaces and are defined as follows:

(24)

[1] A. Deleniv, M. Gashinova, I. Vendik, and A. Eriksson, “Design of an interdigital hairpin bandpass filter utilizing a model of coupled slots,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2153–2158, Sep. 2002. [2] A. Deleniv, M. Gashinova, A. Eriksson, and A. Khalabuhov, “Novel bandpass filter utilizing S-shaped slot-line resonator,” in IEEE MTT-S Int. Microwave Symp. Dig., Seattle, WA, Jun. 2003, pp. 1081–1084. [3] S. Luo, A. Biswas, and V. K. Tripathi, “Finline multiport couplers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2208–2215, Dec. 1994. [4] A. Biswas and V. K. Tripathi, “Analysis and design of asymmetric and multiple coupled finline couplers and filters,” in IEEE MTT-S Int. Microwave Symp. Dig., Dallas, TX, May 1990, pp. 403–406. [5] R. Hoffman and J. Siegl, “Microstrip-slot coupler design—Part 1: S -parameters of uncompensated and compensated couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 8, pp. 1205–1210, Aug. 1982. [6] M. Schoenberger, A. Biswas, A. Mortazawi, and V. K. Tripathi, “Coupled slot-strip coupler in finline,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 1991, pp. 751–753. [7] E. A. Soliman, G. A. E. Vandenbosh, E. Beyne, and R. Mertens, “Fullwave analysis of multiconductor multislot planar guiding structures in layered media,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 874–886, Mar. 2003. [8] L. P. Schmidt, “A comprehensive analysis of quasi-planar waveguides for millimeter-wave application,” in Proc. 11th Eur. Microwave Conf., Amsterdam, The Netherlands, Sep. 1981, pp. 315–320. [9] T. Itoh, “Spectral domain immitance approach for dispersion characteristics of generalized printed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 7, pp. 733–736, Jul. 1980.

1912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[10] L. Wiemer and R. H. Jansen, “Reciprocity related definition of strip characteristic impedance for multiconductor hybrid-mode transmission lines,” Microwave Opt. Technol. Lett., vol. 1, pp. 22–25, Mar. 1988. [11] J. R. Brews, “Characteristic impedance of microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 1, pp. 30–34, Jan. 1987. [12] R. H. Jansen, “Unified user-oriented computation of shielded covered and open planar microwave and millimeter-wave transmission line characteristics,” Proc. Inst. Elect. Eng., vol. MOA-3, pp. 14–22, 1979. [13] A. Deleniv, M. S. Gashinova, and I. Vendik, “SDA full-wave analysis of boxed multistrip superconducting lines of finite thickness embedded in a layered lossy medium,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 74–82, Jan. 2003.

Anatoli N. Deleniv was born in the Ukraine, in 1969. He received the Radio Engineering Diploma (with honors) and Ph.D. degrees from St. Petersburg Electrotechnical University, St. Petersburg, Russia, in 1996 and 1999 respectively. Since 2000, he has been with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg, Sweden, where he is currently a Project Leader. His research interest is mainly the development of models for planar microwave structures suitable for computer-aided design (CAD) of microwave integrated circuits and design of passive microwave components based on high-temperature superconductors and ferroelectric materials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1913

Pre-Iterative ADI–FDTD Method for Conductive Medium Shumin Wang and Ji Chen

Abstract—An efficient accuracy-improvement scheme is proposed to analyze electromagnetic problems with conductive medium. This scheme is based on interpreting the alternating-direction implicit finite-difference time-domain (ADI–FDTD) method as a special iterative solver for the Crank–Nicholson scheme. By applying an additional number of iterations to locations with relatively large field variation, the overall accuracy can be improved with little computational overhead. Special treatment of lossy medium in the ADI–FDTD method is also addressed. Finally, numerical examples demonstrate the effectiveness of the proposed method. Index Terms—Alternating-direction implicit finite-difference time-domain (ADI–FDTD) method, conductive medium, iterative method, splitting error.

I. INTRODUCTION

T

HE alternating-direction implicit finite-difference time-domain (ADI–FDTD) method is a promising unconditionally stable scheme that may improve the computational efficiency for spatially oversampled problems [1]–[11]. In this method, simulation time-step size is no longer bounded by the Courant–Friedrichs–Lewy (CFL) constraint as that in Yee’s finite-difference time-domain (FDTD) method [12]. Furthermore, the ADI–FDTD method only involves tri-diagonal matrices [13], [14], while other implicit schemes typically require solving general sparse matrices. However, the increasing numerical dispersion error and the splitting error term present major difficulties in applying the ADI–FDTD method. It has been reported that the numerical dispersion error of the ADI–FDTD method is larger than that of Yee’s FDTD method [3], [4]. The reduction of the dispersion error has been investigated by different researchers, and some effective techniques can be found in [5] and [6]. The unique error associated with the ADI–FDTD method is the splitting error [9]–[11]. This error is proportional to the square of the time-step size and the spatial variation rate of field. Therefore, it has a detrimental effect on the ADI–FDTD solution because the advantage of this method is to use large time-step sizes and, often times, field variation is also of interest. Recent research has shown that the

Manuscript received June 24, 2004; revised January 20, 2005. This work was supported in part by the National Science Foundation under Grant BES-0332957 and by the Texas Higher Education Coordinating Board under Grant 0036520195-2001. S. Wang is with Kelly Scientific Inc., Bethesda, MD 20892 USA (e-mail: [email protected]). J. Chen is with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX 77204 USA. Digital Object Identifier 10.1109/TMTT.2005.848086

ADI–FDTD method can be recognized as the first iterative solution of a relaxation method with a special initial guess, where the relaxation method is aimed at solving the matrices generated by the CN scheme [11], [15], [16]. Thus, the splitting error of the ADI–FDTD method is directly related to the difference between the exact solution of the CN scheme and its first iterative solution. Based on this, more accurate results can be systematically obtained by iteratively applying the method proposed in [11]. In practice, large field variation is primarily associated with certain structures such as metallic tips, edges, corners, and nearfield sources. In this paper, we shall propose a scheme with special treatment to these structures. Instead of applying the iterative ADI–FDTD method uniformly to the entire computational domain, this scheme applies an additional number of iterations to regions where relatively large field variation is expected. Using this approach, the overall accuracy can be improved with little computational overhead. This paper is organized as follows. We first present the theory in Section II. Treatments of lossy medium are derived in Section III. In Section IV, we use numerical examples to demonstrate the effectiveness of the proposed method. Finally, concluding remarks are drawn in Section V. Without losing generwave is studied here. ality, the two-dimensional (2-D) II. PRE-ITERATIVE ADI–FDTD METHOD case, Maxwell’s equations can be expressed In the 2-D in the following matrix form: (1) where . Matrices and are associated with the curl operators and conductive terms, and they may have different forms if different discretization methods are used. By applying the Crank–Nicholson (CN) scheme at time step [15], the time-domain update is given by

(2) It has been shown in [11] that the CN scheme can be solved by the following relaxation method [16]:

0018-9480/$20.00 © 2005 IEEE

(3)

1914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

where (4) (5) (6) Fig. 1. Illustration of the proposed method.

and the subscripts denote the th iterative solution. This relaxation method can be implemented in two steps using the ADI approach, i.e.,

(7) in the first step and

(8) in the second step, where denotes an intermediate solution. In each step, a tri-diagonal matrix is generated in the same way as that in the traditional ADI–FDTD method [11]. In addition, it has been shown that the solution of the traditional ADI–FDTD method is the first iterative solution of (3) by using values from . By the previous time step as an initial guess, i.e., doing so, the last terms in (7) and (8) vanish and the resultant equations are (9) and (10) which recover the traditional ADI–FDTD method. In each iteration, the iterative method reduces the initial error by the spectrum radius of ma, i.e., [16]. Therefore, we may protrix vide a better initial guess to reduce the initial error and, consequently, obtain a better solution without necessarily increasing the number of iterations. One way to improve the initial guess is to use prediction techniques such as the linear prediction approach described in [11]. Moreover, the fact that the ADI–FDTD method produces a large splitting error in regions with large field variation implies that those regions contribute more to the initial error [11]. Thus, one may increase the number of iterations specifically in those regions to reduce their contributions to the initial error. Using this approach, better solutions can be obtained without necessarily increasing the number of iterations applied to the entire computational domain.

To apply a different number of iterations in different regions, we first extract regions where relatively large field variation is expected. The iterative ADI–FDTD method is applied in these regions with the Dirichlet boundary condition provided by an initial guess on these regions’ boundaries. The result is then used as an initial guess for those selected regions when the iterative ADI–FDTD method is applied globally. In other words, the proposed method applies an additional number of iterations to selected regions before applying the iterative ADI–FDTD method to the entire computational domain. This is further illustrated in denotes a region with large field variation and Fig. 1, where denotes the entire computational domain. We shall stress that, in the proposed method, the additional number of iterations are applied beforehand to provide a better initial guess in selected regions. We do not apply them afterward as a compensation procedure to simply provide more iteration in selected regions. Such a scheme would use intermediate solutions as the Dirichlet boundary condition and may result in inconsistency. Since the additional number of iterations are applied beforehand, we call the proposed method the pre-iterative ADI–FDTD method. In fact, the proposed method can be categorized as a local refinement approach in general [15], where special regions are extracted and modeled with fine meshes or higher order basis functions, etc. The way to apply the proposed method is also similar to that of local refinement approaches. Based on our experience, regions associated with field singularities, such as near-field sources and metallic discontinuities (tips, edges, and corners) should be considered first for the additional number of iterations. Dielectric discontinuity has not been a concern, at least in the numerical examples provided in Section IV. The size of the selected region is determined as such that the distance between field singularities and the boundary of the selected region . is approximately

III. LOSSY MEDIUM FORMULATIONS When lossy medium is presented, the traditional ADI–FDTD method can be written in general as

(11) (12) (13)

WANG AND CHEN: PRE-ITERATIVE ADI–FDTD METHOD FOR CONDUCTIVE MEDIUM

1915

and (14) (15) (16) , , , , , , , and are coefwhere ficients that depend on the actual treatment of lossy medium. Fig. 2.

Geometry of the three-conductor example.

A. Conventional Treatment The conventional treatment of lossy medium in the ADI–FDTD method is similar to that of the FDTD method, where a central differencing scheme is applied [12]. In this and in (7) and treatment, the corresponding matrices (8) are given by

(23)

and the additional term introduced by the iterative method becomes

(17)

(24)

(18)

Compared to (19), only needs additional treatment and the computational cost is reduced. The coefficients in (11)–(16) now become (25) (26)

where has been normalized by , and the splitting error term [the last terms in (7) and (8)] becomes

(27) (28)

(19) Using this approach, the coefficients in (11)–(16) are (20) (21) Equation (19) can be used in the iterative method. However, a treatment with much less computational cost can be obtained and in a different manner. if one rewrites matrices B. Proposed Treatment We can instead write

(22)

It should be mentioned that, while the conventional treatment corresponds to the central differencing approximation of the conductivity terms, the proposed treatment corresponds to either a forward or backward differencing approximation. In addition to reduced computational cost, such treatment has also shown better accuracy [8]. IV. NUMERICAL EXAMPLES A rather complete analysis of the iterative ADI–FDTD method and the comparison of its accuracy to available analytical results can be found in [11]. In this paper, we shall concentrate on the proposed pre-iterative ADI–FDTD method. To demonstrate the effectiveness of the proposed method, two numerical examples are given here. We use , defined by (where is the time-step size and is the cell size), to denote the CFL number. In the first example, we simulated the field distribution of three conductors residing on a semiconductor substrate, as shown in Fig. 2. The conductors are copper, which is modeled by material conductivity of S/m, and the substrate has relative dielectric and conductivity of S/m. The permittivity of

1916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 3. E -field distribution calculated by the traditional ADI–FDTD method with  = 1.

Fig. 5. E -field distribution calculated by the iterative ADI–FDTD method with  = 80 and three iterations applied globally.

Fig. 4. E -field distribution calculated by the traditional ADI–FDTD method with  = 80.

Fig. 6. E -field distribution calculated by the proposed method with  = 80. In addition to three iterations applied globally, five more iterations are applied near the source.

computational domain was discretized using a uniform grid. The first-order Mur’s boundary condition was used to terminate all boundaries. The frequency of the excitation is 1 GHz and a spatial discretization size of 0.1 mm was applied in order to capture the lossy effect. The excitation source is between the central and left conductors. -field distribution calculated by difThe contour plots of ferent methods are shown in Figs. 3–6. These frequency-domain results were obtained by time-domain results via the discrete Fourier transformation (DFT). Fig. 3 shows the reference solution calculated by the traditional ADI–FDTD method with . Fig. 4 shows the result calculated by the traditional . As shown in this figure, the ADI–FDTD method with leads to a large traditional ADI–FDTD method with error primarily associated with the source. To reduce this error, and three iterathe iterative ADI–FDTD method with tions were applied to the entire computational domain. The result is shown Fig. 5 and, clearly, the iterative method can effectively improve the solution with only a few iterations. If an

additional number of iterations were applied, the error could be further reduced, but at the cost of additional CPU time. On the other hand, one can apply the pre-iterative ADI–FDTD method to improve accuracy with little computational overhead. Fig. 6 shows the result calculated by the , where five additional iterations proposed method with were applied to a small region, as marked in Fig. 2 by a dashed frame. A linearly predicted initial guess and the Seidel method were also applied in these additional iterations [11]. The result was then used as an initial guess (in the marked region) for three subsequent iterations applied to the entire computational domain. As clearly shown in Fig. 6, the accuracy of the proposed method is significantly improved compared to Fig. 5, and the result agrees very well with the reference solution shown in Fig. 3. On a Pentium IV machine, the CPU time was 111 s for the iterative ADI–FDTD method with three iterations and 118 s for the pre-iterative ADI–FDTD method. If the same problem was solved by Yee’s FDTD method, 597 s would be required

WANG AND CHEN: PRE-ITERATIVE ADI–FDTD METHOD FOR CONDUCTIVE MEDIUM

1917

TABLE I CPU TIME REQUIRED BY DIFFERENT METHODS

Fig. 7. Geometry of the EBG structure.

Fig. 9. S calculated by the proposed method with dispersion error reduction methods. Fig. 8.

S

calculated by different methods.

on the same computer. The pre-iterative ADI–FDTD method is accurate and only introduces little computational overhead to the iterative ADI–FDTD method. The second example is an electromagnetic-bandgap (EBG) structure illustrated in Fig. 7, where the width of each pin is 2 m. In the simulations, the computational domain was discretized using a uniform grid with a cell size of 0.1 m. The firstorder Mur’s boundary condition was used to terminate the leftand right-hand-side boundaries. The upper and lower boundaries are perfect electric conductors (PECs). A differentiated Gaussian pulse was used to provide a voltage across the upper and lower PEC walls. The frequency range of interest is from 5 to 10 THz. Except for the reference solution, all simulations . were performed with calculated by different methods. The refFig. 8 shows the erence solution was also obtained by the traditional ADI–FDTD . The iterative ADI–FDTD result was obmethod with tained after ten iterations (an additional number of iterations does not noticeably improve the result). This result is provided to show the best solution that can be obtained by simply applying the iterative ADI–FDTD method. Since relatively large field variation is usually associated with pin structures, and they are in the passway of wave propagation, we have applied an additional number of iterations to them besides the source. Specifically, three iterations were first applied to these regions. The results were then used as an initial guess (in those regions) for one subsequent iteration applied to the entire computational domain. As shown in Fig. 8, the result of the proposed method agrees well with the best iterative ADI–FDTD solution. On a Pentium

 = 30 and different

IV machine, it took the traditional ADI–FDTD method (or the iterative ADI–FDTD method with one iteration) 469 s and the proposed method 478 s, respectively. If the same problem was solved by Yee’s FDTD, 2116 s would be required. The computational costs of this and the previous examples are summarized in Table I. The efficiency of the pre-iterative ADI–FDTD method is clearly indicated from this table. It is interesting to notice the discrepancy between the pro) and the reference solution (with posed method (with ). This discrepancy is larger at high frequencies than at low frequencies. Bearing in mind that the total longitudinal diat 10 THz mension of the EBG structure is approximately and at 5 THz, the effects of numerical dispersion error cannot be ignored for this electrically large structure. In the following, we shall demonstrate that the large discrepancy is indeed caused by the numerical dispersion error. To suppress the numerical dispersion error, two methods can be applied without introducing any additional computational cost, i.e., the specific-angle optimization and the all-angle optimization methods [6], [17], [18]. These two methods equivalently change the material properties in the simulation to compensate for numerical dispersion error. The specific-angle optimization approach optimizes the numerical phase velocity at a specific propagation angle, while the all-angle optimization approach minimizes the maximum numerical phase velocity error at all propagation angles. Both approaches were tested with the proposed method and the results are shown in Fig. 9. In the specific-angle optimization approach, we optimized the numerical phase velocity in the longitudinal direction at 10 THz. This effectively changes the free space to an artificial medium . In the all-angle with a relative permittivity of

1918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 10. Comparison of the results of the traditional ADI–FDTD method and the proposed method with all angle optimization procedure.

optimization approach, we optimized the numerical phase velocity in all directions at 10 THz. This effectively changes the free space to an artificial medium with a relative permittivity . From Fig. 9, both approaches significantly of improve the accuracy. The specific-angle optimization result is almost identical to the reference solution near 10 THz, while the all-angle optimization result matches the reference solution better in the overall frequency range. Further comparison between the proposed approach with all-angle optimization and the traditional ADI–FDTD results are shown in Fig. 10.

[5] H. Rao, R. R. Scarmozzino, and R. M. Osgood, “An improved ADI–FDTD method and its application to photonic simulations,” IEEE Photon. Technol. Lett., vol. 14, no. 4, pp. 477–479, Apr. 2002. [6] M. Wang, Z. Wang, and J. Chen, “A parameter optimized ADI–FDTD method,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 118–121, 2003. [7] M. Darms, R. Schuhmann, H. Spachmann, and T. Weiland, “Dispersion and asymmetry effects of ADI–FDTD,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 12, pp. 491–493, Dec. 2002. [8] S. Wang and F. L. Teixeira, “An efficient PML implementation for the ADI–FDTD method,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 72–74, Feb. 2003. [9] S. G. García, T.-W. Lee, and S. C. Hagness, “On the accuracy of the ADI–FDTD method,” IEEE Antennas Wireless Propag. Lett., vol. 1, no. 1, pp. 31–34, 2002. [10] S. Wang, “On the current source implementation for the ADI–FDTD method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 11, pp. 513–515, Nov. 2004. [11] S. Wang, F. L. Teixeira, and J. Chen, “An iterative ADI–FDTD with reduced splitting error,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 92–94, Feb. 2005. [12] A. Taflove, Ed., Advances in Computational Electrodynamics: The Finite-Difference Time-Domain Method. Boston, MA: Artech House, 1998. [13] E. Isaacson and H. B. Keller, Analysis of Numerical Methods. New York: Dover, 1994. [14] Y. Saad, Iterative Methods for Sparse Linear Systems. New York: PWS, 1996. [15] J. W. Thomas, Numerical Partial Differential Equations: Finite Difference Methods. Berlin, Germany: Springer-Verlag, 1995. [16] G. H. Golub and C. F. Van Loan, Matrix Computations, 3rd ed. Baltimore, MD: The John Hopkins Univ. Press, 1996. [17] S. Wang and F. L. Teixeira, “A three-dimensional angle-optimized FDTD algorithm,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 811–817, Mar. 2003. , “Dispersion-relation-preserving FDTD algorithms for large scale [18] three-dimensional problems,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1818–1828, Aug. 2003.

V. CONCLUSIONS In this paper, we have demonstrated an effective method to improve the accuracy of the ADI–FDTD method with special treatments on lossy medium. This method is based on interpreting the ADI–FDTD method as a special iterative solver of the CN method. It first applies an additional number of iterations to regions with large field variation and then uses the result to provide a better initial guess for applying the iterative ADI–FDTD method globally. Since the major contribution to the initial error of the iterative ADI–FDTD method comes from some small regions with large field variation, the overall accuracy can be improved significantly with little computational overhead. Numerical examples have further demonstrated the effectiveness of the proposed method. Future work involves generalizing this scheme to three-dimensional applications.

Shumin Wang received the B.S. degree in physics from Qingdao University, Qingdao, China, in 1995, the M.S. degree in electronics from Peking University, Beijing, China, in 1998, and the Ph.D. degree in electrical engineering from The Ohio State University, Columbus, in 2003. He is currently a Visiting Fellow with Kelly Scientific Inc., Bethesda, MD. He is also affiliated with the Laboratory of Functional and Molecular Imaging, National Institute of Neurological Disorders and Stroke, National Institutes of Health (NIH), Bethesda, MD. His research interests include time-domain differential-equation-based methods, integral-equation methods, high-frequency asymptotic methods and their applications to biomedical applications, very large scale integration (VLSI) packaging, geo-electromagnetics, and electromagnetic scattering problems.

REFERENCES

Ji Chen received the Bachelor’s degree from Huazhong University of Science and Technology, Wuhan, Hubei, China, in 1989, the Master’s degree from McMaster University, Hamilton, ON, Canada, in 1994, and the Ph.D. degree from the University of Illinois at Urbana-Champaign in 1998, all in electrical engineering. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX. Prior to joining the University of Houston, from 1998 to 2001, he was a Staff Engineer with Motorola Personal Communication Research Laboratories, Chicago, IL. Dr. Chen was the recipient of the 2000 Motorola Engineering Award.

[1] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2003–2007, Oct. 1999. [2] F. Zheng, Z. Chen, and J. Zhang, “A finite-difference time-domain method without the courant stability conditions,” IEEE Microw. Guided Wave Lett., vol. 9, no. 11, pp. 441–443, Nov. 1999. [3] T. Namiki and K. Ito, “Investigation of numerical errors of the two-dimensional ADI–FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1950–1956, Nov. 2000. [4] F. Zheng and Z. Chen, “Numerical dispersion analysis of the unconditionally stable 3-D ADI–FDTD method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1006–1009, May 2001.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

1919

Transmission-Line Modeling (TLM) Based Upon Unstructured Tetrahedral Meshes Phillip Sewell, Senior Member, IEEE, Trevor M. Benson, Senior Member, IEEE, Christos Christopoulos, Senior Member, IEEE, David W. P. Thomas, Member, IEEE, Ana Vukovic, Member, IEEE, and James G. Wykes, Student Member, IEEE

Abstract—This paper presents a transmission-line modeling (TLM) algorithm, which is based upon unstructured tetrahedral meshes. In comparison with the conventional, usually Cartesian, scheme, the use of such meshes provides a significant enhancement in the flexibility and accuracy of the TLM simulations, permitting both smooth boundary approximations and the use of diversely sized elements in multiscale problems. A full theoretical development of the unstructured algorithm is presented for the first time and canonical problems are used to demonstrate the computational advantages available. Particular consideration is given to practical meshing constraints and the merging of adjacent tetrahedra into larger cells used to avoid the use of undesirably small time steps. Index Terms—Simulation, transmission-line modeling (TLM), unstructured meshes.

I. INTRODUCTION

T

HE USE of time-domain (TD) numerical techniques for simulating electromagnetic-field behavior in a variety of application areas is widespread due to their flexibility and ease of use, [1]–[3]. Transmission-line modeling (TLM) is an established TD simulation algorithm of this class, which is being continually developed, both to improve its numerical efficiency and flexibility [2]–[10]. To date, TLM has been applied on structured, predominantly Cartesian, meshes and this gives rise to a number of problems in practice. Staircased descriptions of smoothly curved boundaries are inevitable with Cartesian meshes and usually require that very dense meshes be used to reduce the nonphysical numerical noise that these can generate. Consequently, the computational efficiency, the major limit on the use of all numerical techniques, is compromised, both in terms of memory consumption and run times. Graded anisotropic meshes can alleviate this to some extent, but are of limited flexibility. The use of nonorthogonal curvilinear TLM meshes and locally conformal meshes [11]–[13] offer valuable improvements, but not the flexibility of a completely unstructured mesh. Additionally, local boundary corrections can be used in the TLM algorithm to obtain more refined piecewise constant, although not truly piecewise linear, approximations to boundaries [14]. TLM

Manuscript received July 12, 2004; revised January 10, 2005. This work was supported in part by the U.K. Engineering and Physical Sciences Research Council under Grant GR/R91984/01. The authors are with the George Green Institute for Electromagnetics Research, University of Nottingham, Nottingham NG7 2RD, U.K. (e-mail: [email protected]) Digital Object Identifier 10.1109/TMTT.2005.848078

Fig. 1.

Annotation of an arbitrary four-port 3-D TLM node.

multigridding approaches [15]–[18] embedding fine meshes within coarser ones are an alternative, but currently there are questions regarding their power conservation and stability that are yet to be fully resolved. Finally, nonorthogonal structured meshes have been used with the finite-difference TD method [19]–[22] and, again, offer improved boundary descriptions and more flexible mesh grading. Unstructured meshes have been widely used by the finite-element and finite-volume and related approaches for many years. The use of triangular meshes in two-dimensional (2-D) and tetrahedral meshes in three-dimensional (3-D) meshes provides piecewise linear boundary descriptions, as well as the ability to significantly grade the mesh. A further impetus for the use of unstructured meshes in computational electromagnetics is compatibility with the many computer-aided engineering (CAE) packages, which provide geometrical descriptions in terms of unstructured surface meshes. Previously, a 2-D TLM algorithm for unstructured triangular meshes has been developed and successfully demonstrated, both as a general tool [23] and as a method of linking multigridded regions [18]. The possibility of a 3-D unstructured scheme was briefly discussed in [24] and now this paper presents for the first time a complete derivation of the new 3-D TLM algorithm and then illustrates its advantages. II. THEORY A TLM algorithm shall now be derived for a general tetrahedral node, the restrictions on the tetrahedron being consistent with the use of grids satisfying the widely used Delaunay criteria [25]. The basic structure of a TLM mesh is a set of interconnected scattering centers. Fig. 1 introduces the notation that shall be used to describe a typical scattering center, referred to as a node. It is noted that this arrangement of the nodes is very general and does not have to correspond with a spatial tetrahedralization of

0018-9480/$20.00 © 2005 IEEE

1920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the problem space. Although such a correspondence will shortly be made in this paper, there exists a barycentric formulation for the unstructured TLM node, which does not require this, and for this reason, the tetrahedra are not shown in Fig. 1. Each node is connected to its four immediate neighbors, through ports, which are defined as planes perpendicular to the connecting lines and upon which the tangential fields will be sampled. The distance and direction from a node center to its th port are denoted by and the unit vector , respectively. Here and throughout this paper, bold, underlined, and double underlined characters indicate vector quantities, columns, and matrices, respectively. A circumflex denotes a unit vector. A. Overview Before presenting the detailed analysis, the overall approach shall first be summarized. Let and represent the sampled tangential electric and magnetic fields at each port, i.e., the fields perpendicular to and at the point with respect to the node center. The vectors and are already defined to lie in the plane of the th port and, therefore, each is completely specified by two scalar degrees of freedom. Therefore, for future reference, specification of the tangential electric fields at all four ports involves eight scalar degrees of freedom. It shall be shown below that under certain acceptable assumptions, it is possible to determine a discrete impedance (or simirelating larly an admittance) relationship of the form the sampled electric and magnetic port fields of the node. If the discrete dyadic operator is reciprocal, then this impedance relationship is analogous to that relating the voltages and currents in a particular passive electrical circuit. Ensuring pointwise continuity of the electric and magnetic fields at the ports between nodes is equivalent to enforcing voltage and current continuity at the junctions between the circuit models of adjacent nodes, which overall, yields one large equivalent circuit for the whole problem. This process, therefore, reduces the solution of Maxwell’s equations to the solution of a passive electrical network problem. Implementing this electrical network in terms of short electrical lengths of transmission line permits the circuit problem to be solved in the TD in an explicit and, thus, computationally efficient manner. Clearly, stability of the solution algorithm is guaranteed as long as the electrical circuit contains only passive components. The principal difficulty that is encountered with this approach is that, in general, the discrete dyadic operator is not reciprocal as required. However, as yet, no restrictions have been placed upon the geometrical layout of the nodes and the introduction of certain constraints partially alleviates this problem. Furthermore, the construction of necessitates discretely sampling continuous local solutions to Maxwell’s equations and is, therefore, not unique. Hence, there exists sufficient flexibility in this process to obtain reciprocity. B. Detailed Development The fields in the vicinity of each node can be represented as a local superposition of vector spherical harmonics centered upon the node [26], [27]. For long wavelengths with respect to the node dimensions, this series is rapidly convergent and can

be truncated after just a few terms without compromising the accuracy. The details of the vector spherical harmonic expansion are given in the Appendix. Let the electric and magnetic fields due to the th term in the and so that, in general, the spherical mode expansion be total tangential fields at the th port can be expressed as

(1) identifies the tangential field at noting that the dyadic the th port, i.e., the field lying in the plane normal to , being the identity dyadic. If the local spherical harmonic series (1) can be truncated to just eight independent terms with sufficient accuracy then, in principle by matrix inversion, the expansion coefficients are uniquely determined by the set , which is characterized by eight scalar degrees of freedom. In practice, explicit matrix inversion does not provide any helpful insight and, thus, it is and more productive to consider requiring that the set of is orthogonal with respect to the sampled tangential field values, i.e., as (2) where is a scalar weight associated with the th port so that, from (1) and (2),

(3) Equation (3) provides the reciprocal dyadic impedance operator being sought. Unfortunately, the orthogonality required to arrive at (3) does not generally hold between all the terms in the spherical harmonic expansion. However, sufficient orthogonality can be engineered for this process to be pursued and this will now be discussed in conjunction with a justification of the truncation of the series to just eight terms. From the Appendix, it is noted that retaining the six first-order terms in (1) ensures that the field expansions have a truncation where and are, respectively, the distance error of order from the origin and wavelength. Only the second-order terms to the field expansion contribute further terms of the order and, thus, it is second-order accurate to terminate the expansion at this point. Accurate to second order, the set of first-order TM fields, de, at the point are given by noted by the superscript (4) for any three linearly independent vectors with and where , being the angular frequency and and being the impedance and speed of light in free space, respectively. Similarly, the first-order TE fields, denoted by the , are given by superscript (5)

SEWELL et al.: TLM BASED UPON UNSTRUCTURED TETRAHEDRAL MESHES

The first-order TM electric fields are orthogonal to the firstorder TE magnetic fields in the sense of (2) if

1921

Consider the set of second-order two first-order TE terms orientated as tally displaced from each other in the gives to second order in

terms that comprise and , incremendirection and which

(9) (6) . Requiring which holds true for all and if this to be the case now places a constraint upon the geometry of the mesh. Defining the nodes to be the circumcenters of a tetrahedral mesh provides a specific example of how this conis the outward straint can, in practice, be met. In this case, normal to the th face of the tetrahedron, which passes through the circumcenter, and it is well known that for a tetrahedron , if is the area of the th triangular face, which now defines the th port of the node. Within the set of first-order TM terms, three specific choices can now be made, which ensures independence and mufor tual orthogonality. Explicitly from (2) and (4), this requires that

(7) For example, this is the case if the set of vectors are defined to be the eigensolutions of

is the same as in (4) and (5). It is noted that only where the set if the 3 appearing in (9) is replaced by a 2 can completeness and a reciprocal be recovered. Denoting the higher order fields by , this modification gives a superscript

(10) The physical interpretation of this approximation is that the term, fields in (10) are not the sampled fields of a pure rather those of a superposition of higher order terms. As already stated, the field expansion can never be made fully second-order accurate and, thus, it is no more accurate to insist on the use of (9) rather than (10). It is interesting to comment that an analogous derivation of the scattering of a conventional square symmetrical condensed node (SCN) TLM (unpublished) requires exactly the same approximation to be made that supports this claim. Having accepted the use of (10), the orthogonality (2) between the fields of this higher order term and those of the TM first-order terms will hold if

(8) where, for the moment, is an arbitrary dyadic operator, which will be specified below. Having ensured that and , it is noted that this does not imply that , a fact which can be circumvented below. Having considered the six first-order terms, attention is now focused upon which two higher order terms to retain in the spherical harmonic expansion. As there are ten independent second-order terms, it is clearly not possible to keep them all to ensure complete second-order accuracy in the field expansions. Accepting this, it is observed that, for current purposes, it is simply necessary to select two terms whose sampled fields at the ports are independent of those of the first-order terms and which can, therefore, be used to ensure that the truncated expansions of (1) are complete with respect to representing the port fields. Besides completeness of (1), the higher order terms chosen must also subsequently lead to a reciprocal . It is important to observe that the particular choice of higher order terms does not affect the order of the accuracy of the approach, rather each choice will lead to a TLM scheme with different numerical dispersion characteristics. (Detailed characterization of these dispersion characteristics is beyond the scope of this paper and is the subject of further investigations).

(11) is defined by (8), will be the case. which, as Overall, the following approximate expansion of the sampled nodal fields is now proposed:

(12) Recovery of a reciprocal dyadic operator of the form can proceed by observing that

(13)

1922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 2. Equivalent circuit for the tetrahedral node.

Fig. 3. TLM model for the tetrahedral node.

Exploiting the orthogonality, (6), (7), and (11) gives (14) and, hence, (13) becomes

(15) and . where If the nodal spacing is significantly smaller than the wave, which is conventionally the lengths of interest, i.e., case, then (15) is well approximated by

(16) where the electric and magnetic fields at the ports have been and . Clearly, the demapped to the voltages and currents sired reciprocal impedance dyadic relating the port electric and magnetic fields has been recovered and is embodied in (16), noting that the current is related to the magnetic field through the scaling factors . C. Circuit Implementation We will now focus upon a circuit implementation of (16). There are many possibilities that the algorithmic designer can consider, and here we present just one possibility. An equivalent circuit that provides the correct behavior is shown in Fig. 2. The transformers shown in Fig. 2 are defined so that

(17)

On each port, the tangential electric and magnetic fields are vectors and, for this reason, the voltages and currents that represent them are also vector quantities. It is only the use of this notation that permits a circuit diagram to be drawn. The circuits for adjacent nodes are now joined to provide one large electrical network that mimics the behavior of Maxwell’s equations. It is observed that enforcing continuity of the port voltages and currents is equivalent to requiring continuity of the used for electric and magnetic fields as long as the weight each port is the same for both nodes meeting at the th port. With the previous choice of this weight as the area of the common triangular face between the tetrahedral nodes, this is the case. This is not the only possibility. For example, there exists a formulation based upon use of the centroids of the tetrahedra, which was described in the 2-D case in [23]. The circumcenter formulation proves to be the most flexible when material boundary conditions need to be taken into account and shall be pursued here. Solution of the overall equivalent-circuit model can proceed by many means. In the TLM approach, the inductors and capacitors are modeled by short lengths of commensurate transmission line, as shown in Fig. 3, which provides a simple explicit solution scheme in the TD. “Link line” transmission lines of charcan be used to model acteristic impedance is the time step of the TLM the inductors in Fig. 2, where algorithm defined as the roundtrip transit time along each transmission line. However, these link lines also contribute shunt capacitance, which may be compensated for when choosing the impedances of the open-circuit stubs used to model the capacitances of Fig. 2. Before these stub parameters are identified, it is recognized that the circuit of Fig. 3 is not yet ideal for the final TLM algorithm. Currently, the presence of the transformers in the TLM circuit shown in Fig. 3 necessitates solving for the stub voltages in response to incident voltages on the link lines, together as a set of coupled equations, which is not computationally efficient. , which determine the However, it is recalled that the vectors transformer behavior (17), and also via , the capacitor values, are still not uniquely specified. Equation (8) contained the as yet undefined dyadic and by making a suitable particular choice, the scattering from the node can be substantially simplified.

SEWELL et al.: TLM BASED UPON UNSTRUCTURED TETRAHEDRAL MESHES

1923

The voltages and currents appearing in (16) can be expressed in terms of the incident and reflected voltages on the link lines that were just introduced to model the inductors

Fig. 4.

(18) where

and

Definition of the stub reflection coefficients.

then

. Upon rearranging

(24) so that . This decouples the scattering for each capacitative stub so that, in the TD, (22) becomes

(25)

(19) First, it must be noted that the operator (20) possesses a null space. Therefore, if the incident voltages completely lie in this null space, we recover

As the incident and reflected voltages are referenced to the start of the link lines shown in Fig. 3, there is already a time due to traversing the link lines so that the excess delay of time delay required of the capacitative stubs is and, therefore, there is a restriction on the maximum time step allowed, i.e., , for all values of present in the TLM simulation. The capacitative stub parameters are now most easily identiobserved at the fied in terms of the reflection coefficients start of the open-circuited stubs, as shown in Fig. 4. To second-order accuracy, the net excess delay introduced by from which the correct the th stub is is identifiable from value for

(21) . Conversely, if the so that, in the TD, incident voltage lies completely in the range of the operator (20), then for low frequencies, the capacitative terms dominate and (19) is approximated well by

(26) Overall the scattering operation may now be summarized as follows. The incident and reflected voltages on the th link line, and , and the incident and reflected voltages on the th and , are related as stub, (27) (28) where the intermediate quantities

(22)

and

are given by (29) (30)

The incident voltages can be projected onto the set as they span the range of (20), i.e., and similarly for the reflected voltages. Examination of (22) shows that if

Returning to the requirement introduced in (23), this will be the case if the dyadic in (8) is chosen so that

(23) (31)

1924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

Fig. 5. Circumcenter lies outside its tetrahedron.

It is clear that the eigenvalues of (31) and, hence, the values of , will be positive and real as the operators on both sides are symmetric and positive definite.

Fig. 6.

To obtain a simple scattering algorithm, the procedure starting at (19) and leading to (31) is generalized. From (16), for each node and the loop equation for the common port,

D. Meshing Constraints Although the algorithm developed above is valid for a wide variety of tetrahedral meshes, here we will establish the practical constraints and, in particular, show how special cases are treated. In the preceding formulation, the node center is taken as the circumcenter of the tetrahedron. However, the circumcenter may not actually be contained within the tetrahedron and Fig. 5 in Figs. 1 shows an example of this. The link line directions and 5 are defined from node center to center and the relationship , with the weights , being relating these, the area of the th face was exploited above to derive the algorithm. This continues to hold for both tetrahedra in Fig. 5 even though field continuity is now not necessarily applied on the common face, rather at some point, usually midway, between the node centers. The two consequences of this are first that the circumcenter of the upper tetrahedron must remain above that of the lower one. This is not particularly problematic as it is a statement that the mesh must be Delaunay [25] and is, therefore, consistent with the meshes produced by widely available software for finite elements, etc. [28], [29]. The second consequence is that the common face cannot be allowed to define a material boundary, as the local spherical field expansion (1) is no longer valid, as the field sample points of the upper node do not all lie in a homogeneous region. However, this is a feature common to some other schemes based upon tetrahedral meshes such as the control volume technique, and as such, has been addressed by the mesh generation community and is, therefore, not regarded as a severe problem [30], [31].

Merging two equivalent circuits.

(32) where and are columns of the voltages on the link lines of the two nodes, except those on the common line, which, for both nodes, is denoted as port . The dyadic matrices are defined as

(33)

(34) In a similar manner to the derivation of (18), the voltages and currents can be split into incident and reflected quantities on the link lines (35) where

(36)

E. Merging Tetrahedra In practical Delaunay meshes, the distance between adjacent node centers can approach and, in fact, become zero. This is awkward as some of the eigenvalues of (31), which define the , also approach maximum permissible time step zero in this case. The solution to this problem is to explicitly merge the equivalent circuits for two or more tetrahedra. For example, Fig. 6 shows the merging of two nodal circuits.

and and are diagonal matrices whose elements are the link-line admittances of the nodes, not including that of port . The solutions to the eigenproblem

SEWELL et al.: TLM BASED UPON UNSTRUCTURED TETRAHEDRAL MESHES

1925

Fig. 7. TLM model for a general number of merged tetrahedral nodes.

where (37) are now used in the same manner as those of (31) for the scattering from a single tetrahedron (22)–(25), i.e., for the part of the incident voltages in the range of

(38) The eigenvalues exhibit either an inverse or a direct dependence upon for low frequencies, respectively corresponding to capacitative and inductive behavior. Consequently, (38) can be approximated as

(39) which is a generalization of (25). In deriving the scattering algorithm for the merged nodes, the set of eigenvectors of (37) now in (27)–(30). For the capacitative take the place of the set and inductive eigenvalues, the required open- and short-circuit stub parameters are derived in a similar manner to (26). This merging process generalizes, allowing more than two tetrahedra to be merged, and can be implemented by the circuit of Fig. 7. F. Boundaries As the link lines all pass normally through the triangular faces, there is no need to explicitly consider material interfaces if they coincide with the faces of the tetrahedra. Similarly, perfect electric and magnetic boundaries are trivially enforced on these faces using short- and open-circuited link-line terminations. The question of absorbing boundaries is an important one for a numerical scheme, which will be addressed in a future paper.

Fig. 8. Error in the fundamental resonant frequency of an air-filled perfectly conducting sphere as a function of: (a) the number of variables and (b) the f )=f . computational time. The error is defined as (f

j

0

j

III. COMPUTATIONAL CONSIDERATIONS As with any unstructured algorithm, the memory required per basic element is larger than for structured meshes, although this is more than compensated for by the requirement for fewer elements overall. Each tetrahedral element requires storage for the eight scalar voltages on the link lines and three scalar stub voltages. Furthermore, each node must store the 12 scalar quantities and of (31) and used in (27). Fifor the eigensolutions nally, the four scalar link-line impedances must be stored. The geometrical data describing the mesh does not need to be stored in memory while the simulation is running and, therefore, is not considered here. It is mentioned that there is always a tradeoff between memory and run time and introducing more storage can be used to improve the run times. In our implementation, the face normals are also stored for this reason. This memory requirement of at least 27 floating point quantities compares favorably to the variable mesh SCN TLM, which requires storage of 15 or 18 scalar voltages (the seven scalar link line and stub impedances of the SCN scheme are common to many cells and, hence, a minor overhead per cell). IV. RESULTS To demonstrate the accuracy and utility of the new tetrahedral TLM scheme, a number of simple canonical problems with known analytical solutions have been considered. Fig. 8 shows

1926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

the error in the fundamental resonant frequency of an air-filled perfectly conducting sphere simulated using both tetrahedral and Cartesian meshed TLM. In the latter, the conventional SCN node has been used with a regular mesh. To provide a consistent comparison, the mesh density has been quantified in terms of: 1) the number of problem unknowns, i.e., the number of link line and stub voltages, that must be stored and updated at each time step and 2) the total computational run time per second of simulated time. It is clear that the tetrahedral scheme produces consistently more accurate results for both the same number of variables and the same run time. The scatter observed in the results from the tetrahedral TLM is indicative of the variety of tetrahedral meshes that are possible. When generating the mesh, requirements can be placed upon the quality of the elements, as well as the maximum element volume [29] and, here, a typical selection is presented. It is noted that, for each tetrahedral mesh configuration, the overall accuracy is determined by a complex interplay between the error attributable to the approximation of the boundaries, albeit piecewise linear with tetrahedra, and the dispersion errors due to the finite size of the elements. In a particular graded tetrahedral mesh, either one of these effects may dominate, which explains why significant differences in accuracy are seen for different meshes using the same number of variables. For both this and the next example, adjacent tetrahedra are merged if the connecting link line is less than 0.1% of the outer sphere radius, which results in up to 10% of the tetrahedra becoming merged together and yet still allows the use of a practical value for the time step. A thorough investigation of how this and more generally, the mesh characteristics, influence the overall accuracy is presently being performed and shall be reported in a further publication. However, it is interesting to note that it already appears that the process of merging of tetrahedra described above, provides a good deal of robustness against the presence of sliver elements, [25], which are so problematic for other methods and which are hard to completely eradicate from the meshes. The staircasing errors caused by a simple curved conducting boundary can conceivably be reduced by adjusting the lengths of the link lines of the adjacent SCN nodes. However, in the case of material boundaries this is no longer the case. Fig. 9 illustrates this by showing the error in the fundamental resonant frequency of an air-filled perfectly conducting sphere concentrically loaded by a dielectric sphere of relative permittivity and of half its radius. Again a consistent improvement is clearly observed in the results produced using the tetrahedral TLM for the same number of variables, although in this case a small run time penalty is sometimes incurred with the tetrahedral scheme. For both examples of Figs. 8 and 9, the pre-processing time required for the tetrahedral mesh is insignificant compared to that of the rest of the simulation (This is included in the run-time measurements in Figs. 8 and 9). Although the scattering operation of each tetrahedral node is more complex than that of each SCN node and each has different parameters, which must be retrieved from memory, this is balanced by the higher accuracy obtained. In the examples presented here, the time steps for the tetrahedral scheme are 5–50 smaller than those of the SCN. Therefore, it can be concluded that, for the same accu-

Fig. 9. Error in the fundamental resonant frequency of an air-filled, perfectly conducting sphere, concentrically loaded by a dielectric sphere, " = 2 of half its radius. The error, as a function of (a) the number of variables and (b) the computational time, is defined as (f f )=f .

j

0

j

racy, the use of tetrahedral meshing will significantly save on memory, but can incur an overall run-time penalty. It is commented that it is expected that this comparison will become more favorable for the tetrahedral scheme as our initial investigations have shown that maximizing the shortest link line in the meshes that determines the time step has a substantial impact on run time. In order to achieve this in an optimum way, modifications to Delaunay mesh generators are required, and this is the object of ongoing study. Finally, the authors believe that optimum meshing in the future will be based on both the structured SCN and tetrahedral scheme. Both meshes will be combined in large-scale simulations, the unstructured mesh not only providing the smooth boundary descriptions, but also the interfaces between structured regions of different scales; these hybrid configurations providing both memory and run-time gains. V. DISCUSSION OF FUTURE WORK This paper has presented a tetrahedral mesh TLM for the first time and demonstrated its use. However, although the desirability and possibility of such a scheme has been established, there remain many interesting and practically important questions to be addressed. First, as discussed in Section II-B, the choice of which higher order spherical harmonic terms to include in (12) is not arbitrary, yet there still appears to be significant flexibility. Secondly, the mapping onto an equivalent circuit

SEWELL et al.: TLM BASED UPON UNSTRUCTURED TETRAHEDRAL MESHES

is not unique. The particular choices made for both these issues will affect the dispersion characteristics of the scheme and this needs to be thoroughly explored. The computational efficiency of the implementation of the scheme provides scope for either minimizing memory or run time and, in conjunction with parallelization, requires further work. Finally, the combination of this scheme with other advances in the TLM method for modeling anisotropic, nonlinear, and frequency-dependent materials promises to result in a powerful modeling capability. VI. CONCLUSIONS A full theoretical derivation of a 3-D TLM algorithm based upon tetrahedral meshes has been presented. Validation of the approach has been achieved by comparison of the results with those from a conventional SCN TLM algorithm and known analytical values. The tetrahedral TLM provides substantial improvements in accuracy, primarily by allowing smooth geometries to be correctly modeled, thus eliminating the staircasing inherent in Cartesian meshing. Moreover, the ability to truly grade the mesh, while maintaining power conservation and stability, will have significant impact for problems that are characterized by a wide range of electrical scales such as those typical of electromagnetic compatibility (EMC). APPENDIX The terms of the spherical mode expansion are given by [26], [27]

(40) where , , and defines the spherical coordinate system, and being the angular frequency. and are the admittance and speed of light in free space, respectively, and (41) are the associated Legendre polynomials are the spherical Bessel functions of the and first kind, which, for small argument, are of the form . and determine the amplitudes of The coefficients the TE and TM spherical mode terms of order for both the ( )dd and ( )ven cases. For small , the TE terms give rise to fields of the order (42) and the TM terms (43)

1927

REFERENCES [1] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Norwell, MA: Artech House, 2000. [2] C. Christopoulos, The Transmission-Line Modeling Method: TLM. Piscataway, NJ: IEEE Press, 1995. [3] P. Russer, The Transmission Line Matrix Method, in Applied Computational Electromagnetics, ser. NATO ASI. London, U.K.: SpringerVerlag, 2000, pp. 243–269. [4] J. Paul, C. Christopoulos, and D. W. P. Thomas, “Generalized material models in TLM—Part 1: Materials with frequency-dependent properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1528–1534, Oct. 1999. , “Generalized material models in TLM—Part 2: Materials with [5] anisotropic properties,” IEEE Trans. Antennas Propag., vol. 47, no. 10, pp. 1535–1542, Oct. 1999. [6] , “Generalized material models in TLM—Part 3: Materials with nonlinear properties,” IEEE Trans. Antennas Propag., vol. 50, no. 7, pp. 997–1004, Jul. 2002. [7] V. Trenkic, C. Christopoulos, and T. M. Benson, “Optimization of TLM schemes based on the general symmetrical condensed node,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 457–465, Mar. 1997. [8] L. de Menezes and W. J. R. Hoefer, “Modeling nonlinear dispersive media in 2-D TLM,” in Proc. 24th Eur. Microwave Conf., Cannes, France, Sep. 1994, pp. 1739–1744. [9] P. Sewell, Y. K. Choong, and C. Christopoulos, “An accurate thin-wire model for 3-D TLM simulations,” IEEE Trans. Electromagn. Compat., vol. 45, no. 5, pp. 207–217, May 2003. [10] N. R. S. Simons, A. A. Sebak, and Y. M. M. Antar, “Two dimensional hexagonal TLM node and velocity error correction,” in IEEE AP-S Int. Symp., London, ON, Canada, Jun. 1991, pp. 902–905. [11] H. Meliani, D. de Cogan, and P. B. Johns, “Use of orthogonal curvilinear meshes in TLM models,” Int. J. Numer. Modeling, vol. 1, pp. 221–238, 1988. [12] S. Hein, “Finite difference time-domain approximation of Maxwell’s equations with nonorthogonal condensed TLM mesh,” Int. J. Numer. Modeling, vol. 7, pp. 179–188, 1994. [13] P. P. M. So, “Locally conformal cell for two-dimensional TLM,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Philadelphia, PA, Jun. 2003, pp. 977–980. [14] U. Mueller, A. Beyer, and W. J. R. Hoefer, “Moving boundaries in 2-D and 3-D TLM simulations realized by recursive formulas,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2267–2271, Dec. 1992. [15] J. Wlodarczyk, “New multigrid interface for the TLM method,” Electron. Lett., vol. 32, no. 12, pp. 1111–1112, 1996. [16] J. L. Herring and C. Christopoulos, “Multigrid transmission-line modeling method for solving electromagnetic field problems,” Electron. Lett., vol. 27, no. 20, pp. 1794–1795, 1991. [17] M. I. Sobhy, M. H. Abd El-Azeem, and K. W. Royer, “A new multigrid 3-D TLM algorithm for simulation of microwave FSS,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, San Francisco, CA, Jun. 1996, pp. 439–442. [18] P. Sewell, J. G. Wykes, T. M. Benson, C. Christopoulos, D. W. P. Thomas, and A. Vukovic, “Multi-grid interface in computational electromagnetics,” Electron. Lett., vol. 40, no. 3, pp. 162–163, 2004. [19] S. Gedney, F. Lansing, and D. Rascoe, “A full-wave analysis of passive monolithic integrated circuit devices using a generalized Yee-algorithm,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 8, pp. 1393–1400, Aug. 1996. [20] J. F. Lee, R. Palendech, and R. Mittra, “Modeling three-dimensional discontinuities in waveguides using nonorthogonal FDTD algorithm,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 346–352, Feb. 1992. [21] T. G. Jurgens, A. Taflove, K. R. Umashankar, and T. G. Moore, “Finite-difference time-domain modeling of curved surfaces,” IEEE Trans. Antennas Propag., vol. 40, no. 4, pp. 357–366, Apr. 1992. [22] S. D. Gedney and J. A. Roden, “Numerical stability of nonorthogonal FDTD methods,” IEEE Trans. Antennas Propag., vol. 48, no. 2, pp. 231–239, Feb. 2000. [23] P. Sewell, J. G. Wykes, T. M. Benson, C. Christopoulos, D. W. P. Thomas, and A. Vukovic, “Transmission line modeling using unstructured triangular meshes,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1490–1497, May 2004. [24] P. Sewell, J. G. Wykes, T. M. Benson, D. W. P. Thomas, A. Vukovic, and C. Christopoulos, “TLM using unstructured meshes,” Proc. Inst. Elect. Eng., Sci., Meas., Technol., vol. 151, no. 6, pp. 445–448, Sep. 2004.

1928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 6, JUNE 2005

[25] J. R. Shewchuk, “Lecture notes on Delaunay mesh generation,” Dept. Elect. Eng. Comput. Sci., Univ. California at Berkeley, Berkeley, CA, 1999. [Online]. Available: http://citeseer.nj.nec.com/shewchuk99lecture.html. [26] P. M. Morse and H. Feshbach, Methods of Theoretical Physics. New York: McGraw-Hill, 1953, vol. 2. [27] A. W. Rudge, K. Milne, A. D. Olver, and P. Knight, The Handbook of Antenna Design, 2nd ed, ser. IEE EM. London, U.K.: Peregrinus, 1986. [28] J. R. Shewchuk, “Triangle: A two-dimensional quality mesh generator and Delaunay triangulator,” Comput. Sci. Div., Univ. California at Berkeley, Berkeley, CA, 2005. [Online]. Available: http://www-2.cs.cmu.edu/~quake/triangle.html. [29] H. Si and TetGen, “A quality tetrahedral mesh generator and three-dimensional Delaunay triangulator,” Res. Group Numer. Math. Sci. Comput., Weierstrass Inst. Appl. Anal. Stochast., Berlin, Germany, 2005. [Online]. Available: http://tetgen.berlios.de. [30] S.-H. Teng and C. W. Wong, “Unstructured mesh generation: Theory, practice, and perspectives,” Int. J. Comput. Geometry Applicat., vol. 10, no. 3, pp. 227–266, Jun. 2000. [31] M. Bern and D. Eppstein, “Mesh generation and optimal triangulation,” in Computing in Euclidean Geometry, D. Du and F. Hwang, Eds, Singapore: World Sci., 1992, pp. 23–90.

Phillip Sewell (M’89–SM’04) was born in London, U.K., in 1965. He received the B.Sc. degree in electrical and electronic engineering (with first-class honors) and Ph.D. degree from the University of Bath, Bath, U.K., in 1988 and 1991, respectively. From 1991 to 1993, he was a Post-Doctoral Fellow with the University of Ancona, Ancona, Italy. In 1993, he became a Lecturer with the School of Electrical and Electronic Engineering, University of Nottingham, Nottingham, U.K. In 2001 and 2005, he became a Reader and Professor of electromagnetics at the University of Nottingham. His research interests involve analytical and numerical modeling of electromagnetic problems with application to opto-electronics, microwaves, and electrical machines.

Trevor M. Benson (M’95–SM’01) was born in Sheffield, U.K., in 1958. He received the Physics degree (with first-class honors) and Ph.D. degree in electronic and electrical engineering from the University of Sheffield, Sheffield, U.K., in 1979 and 1982, respectively. After spending over six years as a Lecturer with University College Cardiff, he joined the University of Nottingham, Nottingham, U.K., as a Senior Lecturer in electrical and electronic engineering in 1989. In 1994, he became a Reader in photonics, and in 1996, a Professor of optoelectronics. His current research interests include experimental and numerical studies of electromagnetic fields and waves with a particular emphasis on propagation in optical waveguides, photonic circuits, and EMC. Prof. Benson is a Fellow of the Institute of Electrical Engineers (IEE), U.K., and the Institute of Physics. He was the recipient of the Electronics Letters and J. J. Thomson Premiums presented by the IEE.

Christos Christopoulos (M’92–SM’04) was born in Patras, Greece, on September 17, 1946. He received the Diploma degree in electrical and mechanical engineering from the National Technical University of Athens, Athens, Greece, in 1969, and the M.Sc. and D.Phil. degrees from the University of Sussex, Sussex, U.K., in 1979 and 1974, respectively. In 1974, he joined the Arc Research Project, University of Liverpool, Liverpool, U.K., during which time he spent two years involved with vacuum arcs and breakdown while on attachments with the UKAEA Culham Laboratory. In 1976, he joined the University of Durham, Durham, U.K., as a Senior Demonstrator of electrical engineering science. In October 1978, he joined the Department of Electrical and Electronic Engineering, University of Nottingham, Nottingham, U.K., where he is currently a Professor of electrical engineering. His research interests are computational electromagnetics, EMC, signal integrity, protection and simulation of power networks, and electrical discharges and plasmas. He has authored over 300 research publications and five books. Prof. Christopoulos is a member of the Institute of Electrical Engineers (IEE), U.K., and the Institute of Physics (IoP). He is a member of the Executive Team of the IEE Professional Network in EMC and a member of the CIGRE Working Group 36.04 on EMC. He is an associate editor of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY. He was the recipient of the Electronics Letters and Snell Premiums presented by the IEE and several conference Best Paper Awards.

David W. P. Thomas (M’95) was born in Padstow, U.K., in 1959. He received the B.Sc. degree in physics from the Imperial College of Science and Technology, London, U.K., in 1981, the M.Phil. degree in space physics from Sheffield University, Sheffield, U.K., in 1987, and the Ph.D. degree in electrical engineering from the Nottingham University, Nottingham, U.K., in 1990. In 1990, he joined the Department of Electrical and Electronic Engineering, University of Nottingham, as a Lecturer and is currently a Senior Lecturer. His research interests are EMC, electrostatic precipitation, and the protection and simulation of power networks.

Ana Vukovic (M’97) was born in Nis, Yugoslavia, in 1968. She received the Diploma of Engineering degree in electronics and telecommunications from the University of Nis, Nis, Yugoslavia, in 1992, and the Ph.D. degree from the University of Nottingham, Nottingham, U.K., in 2000. From 1999 to 2001, she was a Research Associate with the University of Nottingham. In 2001, she joined the School of Electrical and Electronic Engineering, University of Nottingham, as a Lecturer. Her research interests is electromagnetics with a particular emphasis on applications in optoelectronics, microwaves, and EMC.

James G. Wykes (S’00) was born in Crewe, U.K., in 1978. He received the M.Eng. degree in electronic engineering (with first-class honors) from the University of Nottingham, Nottingham, U.K., in 2000, and is currently working toward the Ph.D. degree in electronic engineering at the University of Nottingham. Since 2000 he has been a Research Associate with the University of Nottingham. His research interests involve numerical techniques for electromagnetic problems including high-power semiconductor lasers and EMC.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.847080

Digital Object Identifier 10.1109/TMTT.2005.851611

Digital Object Identifier 10.1109/TMTT.2005.851612

Digital Object Identifier 10.1109/TMTT.2005.851613

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, K. ITOH, B. KIM, S. MARSH, W. MENZEL, A. MORTAZAWI, Y. NIKAWA, Z. POPOVIC, A. RÄISÄNEN, V. RIZZOLI, P. RUSSER, D. WILLIAMS REVIEWERS M. Abdul-Gaffoor M. Abe R. Abou-Jaoude M. Abouzahra A. Abramowicz L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agarwal D. Ahn H.-R Ahn M. Aikawa C. Aitchison M. Akaike C. Akyel A. Akyurtlu B. Albinsson F. Alessandri A. Alexanian C. Algani W. Ali-Ahmad F. Alimenti B. Allen D. Allsopp D. Allstot R. Alm B. Alpert A. Alphones A. Altintas A. Alvarez-Melcom M. Alzona S. Amari L. Andersen B. Anderson Y. Ando O. Anegawa K.-S. Ang I. Angelov R. Anholt Y. Antar G. Antonini D. Antsos K. Anwar I. Aoki R. Aparicio K. Araki J. Archer P. Arcioni F. Arndt R. Arora U. Arz M. Asai P. Asbeck K. Ashby H. Ashok J. Atherton A. Atia I. Awai K. Aygun S. Ayuz Y. Baeyens T. Bagwell Z. Baharav I. Bahl D. Baillargeat S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Bandurkin R. Bansal D. Barataud I. Barba F. Bardati I. Bardi S. Barker D. Barlage J. Barr D. Batchelor B. Bates H. Baudrand S. Beaussart R. Beck D. Becker K. Beilenhoff B. Beker V. Belitsky D. Belot H. Bell T. Benson M. Berroth G. Bertin S. Best W. Beyenne A. Beyer S. Bharj K. Bhasin P. Bhattacharya Q. Bi M. Bialkowski E. Biebl P. Bienstman R. Bierig R. Biernacki S. Bila L. Billonnet T. Bird B. Bishop G. Bit-Babik D. Blackham B. Blalock M. Blank P. Blondy P. Blount D. Boccoli B. Boeck F. Bögelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria V. Borich O. Boric-Lubecke E. Borie J. Bornemann R. Bosisio H. Boss S. Bousnina P. Bouysse M. Bozzi E. Bracken P. Bradley R. Bradley T. Brazil G. Brehm K. Breuer B. Bridges L. Briones T. Brookes S. Broschat E. Brown G. Brown R. Brown S. Brozovich S. Bruce

S. Bryan H. Bu D. Budimir T. Budka M. Bujatti C. Buntschuh J. Burghartz P. Burghignoli O. Buric-Lubecke D. Butler Q. Cai M. Calcatera C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa S. Cammer C. Campbell R. Campbell M. Campovecchio F. Canavero A. Cangellaris F. Capolino A. Cappy J.-L. Carbonero G. Carchon J. Carlin G. Carrer R. Carter F. Casas A. Cassinese J. Catala R. Caverly M. Celik M. Celuch-Marcysiak Z. Cendes B. Cetiner J. Cha N. Chaing H. Chaloupka M. Chamberlain C.-H. Chan C.-Y. Chang C. Chang F. Chang H.-C. Chang K. Chang H. Chapell W. Chappell W. Charczenko K. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen D. Chen H.-S. Chen J. Chen J.-I. Chen J. Chen K. Chen S. Chen W.-K. Chen Y.-J. Chen Y.-K. Chen Z. Chen K.-K. Cheng S. Cherepko W. Chew W.-C. Chew C.-Y. Chi Y.-C. Chiang T. Cho D. Choi J. Choi C.-K. Chou D. Choudhury Y. Chow C. Christopoulos S. Chung R. Cicchetti A. Cidronali T. Cisco J. Citerne D. Citrin R. Clarke J. Cloete E. Cohen L. Cohen A. Coleman R. Collin F. Colomb B. Colpitts G. Conciauro A. Connelly D. Consonni H. Contopanagos F. Cooray I. Corbella J. Costa E. Costamagna A. Costanzo C. Courtney J. Cowles I. Craddock G. Creech J. Crescenzi S. Cripps D. Cros T. Crowe M. Crya R. Culbertson C. Curry W. Curtice Z. Czyz S. D’Agostino C. Dalle G. Dambrine K. Dandekar A. Daryoush B. Das N. Das M. Davidovich M. Davidovitz B. Davis I. Davis L. Davis G. Dawe H. Dayal F. De Flaviis H. De Los Santos P. De Maagt D. De Zutter B. Deal A. Dec J. Deen J. Dees J. DeFalco D. Degroot C. Deibele J. Del Alamo A. Deleniv M. DeLisio S. Demir J. DeNatale E. Denlinger N. Deo

A. Deutsch Y. Deval T. Dhaene A. Diaz-Morcillo G. D’Inzeo C. Diskus B. Dixon T. Djordjevic M. A. Do J. Doane J. Dobrowolski W. Domino S. Dow C. Dozier P. Draxler R. Drayton A. Dreher F. Drewniak S. Dudorov S. Duffy L. Dunleavy V. Dunn J. Dunsmore A. Dutta D. Duvanaud A. Duzdar S. Dvorak L. Dworsky M. Dydyk L. Eastman J. Ebel R. Egri R. Ehlers T. Eibert H. Eisele B. Eisenstadt G. Eisenstein G. Eleftheriades I. Elfadel S. El-Ghazaly F. Ellinger T. Ellis B. Elsharawy R. Emrick N. Engheta B. Engst Y. Eo H. Eom N. Erickson J. Eriksson C. Ernst M. Eron L. Escotte M. Essaaidi J. Everard G. Ewell A. Ezzeddine M. Faber C. Fager D.-G. Fang N. Farhat M. Farina W. Fathelbab A. Fathy A. Fazal E. Fear R. Feinaugle M. Feldman P. Feldman A. Ferendeci C. Fernandes A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko I. Filanovsky P. Filicori D. Filipovic A. Fliflet P. Focardi B. Fornberg K. Foster P. Foster G. Franceschetti A. Franchois M. Freire R. Freund A. Freundorfer F. Frezza R. Fujimoto V. Fusco G. Gabriel T. Gaier Z. Galani I. Galin D. Gamble B.-Q. Gao M. Garcia K. Gard R. Garver G. Gauthier B. Geller V. Gelnovatch P. Genderen G. Gentili N. Georgieva W. Geppert J. Gerber F. Gerecht F. German S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini A. Gibson S. Gierkink J. Gilb B. Gilbert B.Gimeno E.Glass A. Glisson M. Goano E. Godshalk J. Goel M. Goldfarb C. Goldsmith P. Goldsmith M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon P. Gould K. Goverdhanam J. Graffeuil L. Gragnani B. Grant G. Grau A. Grebennikov B. Green T. Gregorzyk I. Gresham E. Griffin

J. Griffith A. Griol G. Groskopf C. Grossman T. Grzegorczyk M. Guglielmi P. Guillon K.-H. Gundlach A. Gupta K. Gupta R. Gupta F. Gustrau R. Gutmann W. Gwarek R. Haas J. Hacker G. Haddad S. Hadjiloucas C. Hafner M. Hagmann S. Hagness H.-K. Hahn A. Hajimiri D. Halchin A. Hallac B. Hallford K. Halonen R. Ham K. Hamaguchi M. Hamid J.-H. Han A. Hanke V. Hanna V. Hansen G. Hanson Y. Hao L. Harle M. Harris L. Hartin H. Hartnagel J. Harvey H. Hasegawa K.-Y. Hashimoto K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi J. Hayashi L. Hayden B. Haydl S. He T. Heath J. Heaton I. Hecht G. Hegazi P. Heide E. Heilweil W. Heinrich G. Heiter M. Helier R. Henderson R. Henning D. Heo J. Herren K. Herrick N. Herscovici J. Hesler J. Heston M. Heutmaker C. Hicks R. Hicks A. Higgins M. Hikita D. Hill G. Hiller W. Hioe J. Hirokawa T. Hirvonen V. Ho W. Hoefer R. Hoffmann M. Hoft J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii D. Hornbuckle J. Horng J. Horton K. Hosoya R. Howald H. Howe J.-P. Hsu Q. Hu C.-C. Huang C. Huang F. Huang H.-C. Huang J. Huang P. Huang T.-W. Huang A. Huber D. Huebner H.-T. Hui A. Hung C. Hung H. Hung I. Hunter J. Hurrell M. Hussein B. Huyart I. Huynen H.-Y. Hwang J. Hwang K.-P. Hwang J. Hwu C. Icheln T. Idehara S. Iezekiel P. Ikonen K. Ikossi K. Inagaki A. Ishimaru T. Ishizaki Y. Ismail K. Itoh T. Itoh F. Ivanek A. Ivanov T. Ivanov C. Iversen D. Iverson D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob M. Jacob H. Jacobsson D. Jaeger N. Jaeger N. Jain R. Jakoby G. James R. Janaswamy

Digital Object Identifier 10.1109/TMTT.2005.851609

V. Jandhyala W. Jang R. Jansen J. Jargon B. Jarry P. Jarry A. Jelenski W. Jemison S.-K. Jeng M. Jensen E. Jerby G. Jerinic T. Jerse P. Jia D. Jiao J.-M. Jin J. Johansson R. Johnk W. Joines K. Jokela S. Jones U. Jordan L. Josefsson K. Joshin J. Joubert R. Kagiwada T. Kaho M. Kahrs D. Kajfez S. Kalenitchenko B. Kalinikos H. Kamitsuna R. Kamuoa M. Kanda S.-H. Kang P. Kangaslahtii B. Kapilevich K. Karkkainen M. Kärkkäinen A. Karpov R. Karumudi A. Kashif T. Kashiwa L. Katehi A. Katz R. Kaul S. Kawakami S. Kawasaki M. Kazimierczuk R. Keam S. Kee S. Kenney A. Kerr O. Kesler L. Kettunen M.-A. Khan J. Kiang O. Kilic H. Kim I. Kim J.-P. Kim W. Kim C. King R. King A. Kirilenko V. Kisel A. Kishk T. Kitamura T. Kitazawa M.-J. Kitlinski K. Kiziloglu R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kobayashi G. Kobidze P. Koert T. Kolding N. Kolias B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa B. Kopp B. Kormanyos K. Kornegay M. Koshiba T. Kosmanis J. Kot A. Kraszewski T. Krems J. Kretzschmar K. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa H. Kubo C. Kudsia S. Kudszus E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar J. Kuno J.-T. Kuo P.-W. Kuo H. Kurebayashi T. Kuri F. KurokI L. Kushner N. Kuster M. Kuzuhara Y.-W. Kwon I. Lager R. Lai J. Lamb P. Lampariello M. Lanagan M. Lancaster U. Langmann G. Lapin T. Larsen J. Larson L. Larson J. Laskar M. Laso A. Lauer J.-J. Laurin G. Lazzi F. Le Pennec J.-F. Lee J.-J. Lee J.-S. Lee K. Lee S.-G. Lee T. Lee K. Leong T.-E. Leong Y.-C. Leong R. Leoni M. Lerouge K.-W. Leung Y. Leviatan R. Levy L.-W. Li

Y.-M. Li L. Ligthart C.-L. Lin J. Lin G. Linde S. Lindenmeier A. Lindner C. Ling H. Ling D. Linkhart P. Linnér D. Lippens F. Little A. Litwin L. Liu Q.-H. Liu S.-I. Liu Y.-W. Liu O. Llopis S. Lloyd C. Lohmann J. Long U. Lott D. Lovelace K. Lu L.-H. Lu S. Lu W.-T. Lu V. Lubecke S. Lucyszyn R. Luebbers L. Lunardi S. Luo J. Luy C. Lyons G. Lyons Z. Ma S. Maas G. Macchiarella S. Maci T. Mader M. Madihian A. Madjar M. Magana T. Magath C. Mahle S. Mahmoud I. Maio M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu D. Malocha L. Maloratsky V. Manasson C. Mann H. Manohara R. Mansour S. March V. Mark F. Marliani R. Marques G. Marrocco S. Marsh J. Martens L. Martens J. Marti A. Martin E. Martinez A. Massa D. Masse K. Masterson A. Materka K. Matsunaga A. Matsushima R. Mattauch M. Mattes G. Matthaei P. Mayer W. Mayer J. Mazierska J. Mazur G. Mazzarella K. McCarthy P. McClay T. McKay J. McKinney R. McMillan R. McMorrow D. McPherson D. McQuiddy E. McShane F. Medina D. Meharry C. Meng H.-K. Meng W. Menzel F. Mesa R. Metaxas P. Mezzanotte K. Michalski E. Michielssen A. Mickelson V. Mikhnev R. Miles E. Miller M. Miller P. Miller R. Minasian J. Mink S. Mirabbasi J. Miranda D. Mirshekar T. Miura S. Miyahara H. Miyashita M. Miyazaki K. Mizuno S. Mizushina C. Mobbs M. Mohamed A. Mohammadian A. Mohan A. Mondal T. Monediere R. Mongia M. Mongiardo C. Monzon C. Moore J. Morente M. Morgan A. Morini J. Morsey A. Mortazawi H. Mosallaei J. Mosig A. Moulthrop G. Mourou A. Moussessian M. Mrozowski J.-E. Mueller T. Mueller J. Muldavin M. Muraguchi V. Nair K. Naishadham T. Nakagawa M. Nakatsugawa

M. Nakhla C. Naldi J. Nallatamby S. Nam T. Namiki G. Narayanan T. Narhi M. Nasir A. Natarajan J. Nath B. Nauwelaers J. Navarro J. Nebus D. Neikirk B. Nelson A. Neto E. Newman H. Newman M. Ney E. Ngoya C. Nguyen T. Nichols K. Niclas E. Niehenke S. Nightingale Y. Nikawa P. Nikitin A. Niknejad N. Nikolova K. Nikoskinen M. Nisenoff T. Nishikawa G. Niu S. Nogi T. Nojima T. Nomoto A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon J. O’Callahan M. Odyneic H. Ogawa K.-I. Ohata T. Ohira H. Okazaki V. Okhmatovski A. Oki M. Okoniewski G. Olbrich A. Oliner S. Oliver J. Olsson F. Olyslager A. Omar B.-L. Ooi A. Orlandi R. Orta S. Ortiz J. Osepchuk J. Ou W. Ou T. Oxley R. Paglione T. Palenius W. Palmer D.-S. Pan S.-K. Pan C. Panasik R. Panock C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park H. Park D. Parker T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino S. Patel P. Pathak A. Pavio J. Pavio T. Pavio J. Pearce W. Pearson J. Pedro B. Pejcinovic S.-T. Peng R. Pengelly J. Pereda L. Perregrini M. Petelin A. Peterson D. Peterson O. Peverini U. Pfeiffer A.-V. Pham J. Phillips L. Pierantoni B. Piernas J. Pierro P. Pieters B. Pillans M. Pirola W. Platte A. Platzker C. Pobanz A. Podell R. Pogorzelski P. Poire R. Pollard G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prather R. Pregla D. Prescott M. Prigent S. Pritchett Y. Prokopenko S. Prosvirnin J. Pulliainen L. Puranen D. Purdy J. Putz Y. Qian T. Quach D. Quak P. Queffelec R. Quere F. Raab V. Radisic L. Raffaelli M. Raffetto C. Railton O. Ramahi S. Raman

J. Randa R. Ranson T. Rappaport J.-P. Raskin P. Ratanadecho J. Rathmell C. Rauscher J. Rautio J. Rayas-Sánchez H. Reader G. Rebeiz B. Redman-White E. Reese R. Reid H.-M. Rein J. Reinert I. Rekanos R. Remis K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle E. Rius J. Rius B. Rizzi V. Rizzoli I. Robertson P. Roblin S. Rockwell A. Roden A. Rodriguez M. Rodwell H. Rogier A. Rong Y. Rong J. Roos D. Root N. Rorsman L. Roselli A. Rosen J. Rosenberg U. Rosenberg F. Rotella E. Rothwell L. Roy J. Roychowdury T. Rozzi J. Rubio R. Ruby A. Rudiakova M. Rudolph A. Ruehli P. Russer D. Rutledge A. Rydberg D. Rytting T. Saad C. Saavedra K. Sabet M. Sachidananda G. Sadowniczak A. Safavi-Naeini A. Safwat M. Sagawa M. Salazar M. Salazar-Palma A. Sanada M. Sanagi A. Sangster W. Sansen K. Sarabandi T. Sarkar C. Sarris P. Saunier S. Savov D. Schaubert I. Scherbatko G. Schettini F. Schettino M. Schetzen B. Schiek M. Schindler M. Schlechtweg E. Schmidhammer L. Schmidt D. Schmitt F. Schmückle F. Schnieder J. Schoukens D. Schreurs G. Schreyer W. Schroeder H. Schumacher J. Schutt-Aine F. Schwering W. Scott F. Sechi A. Seeds J. Sercu R. Settaluri J. Sevic O. Sevimli D. Shaeffer L. Shafai O. Shanaa Z. Shao M. Shapiro A. Sharma V. Shastin P. Shastry R. Shavit T. Shen T. Shibata A. Shibib H. Shigesawa Y.-C. Shih T. Shiozawa M. Shirokov W. Shiroma Y. Shoji N. Shuley M. Shur P. Siegel D. Sievenpiper B. Sigmon A. Sihvola C. Silva M. Silveira M. Silveirinha K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler J. Sitch N. Skou Z. Skvor R. Sloan D. Smith G. Smith P. Smith C. Snowden R. Snyder R. So H. Sobol E. Sobolewski A. Sochava N. Sokal V. Sokolov

M. Solano K. Solbach M. Solomon B.-S. Song M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers T. Sowlati R. Sparks S. Spiegel P. Staecker D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson A. Stelzer J. Stenarson K. Stephan M. Stern C. Stevens S. Stitzer M. Stone B. Strassner P. Stuart M. Stubbs M. Stuchly R. Sturdivant A. Suarez N. Suematsu T. Suetsugu Y. Suh F. Sullivan C. Sun L. Sundstrom S. Sussman-Fort K. Suyama J. Svacina D. Swanson B. Szendrenyi A. Szu W. Tabbara A. Taflove G. Tait Y. Tajima Y. Takayama M. Taki Y. Takimoto S. Talisa K. Tan W.-C. Tang E. Taniguchi R. Tascone J. Taub J. Tauritz D. Teeter F. Teixeira M. Tentzeris S.-A. Teo K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson G. Thoren M. Thumm N. Tilston W. Tinga I. Tittonen G. Tkachenko M.-R. Tofighi T. Tokumitsu K. Tomiyasu P. Tommasino A. Toropainen M. Toupikov I. Toyoda C. Trask S. Tretyakov R. Trew A. Trifiletti C. Trueman P. Truffer A. Truitt C.-M. Tsai R. Tsai J. Tsalamengas L. Tsang H.-Q. Tserng J. Tsui M. Tsuji T. Tsujiguchi R. Tucker J. Tuovinen C.-K. Tzuang T. Ueda K. Uehara S. Ueno J. Uher A. Uhlir T. Ulrich Y. Umeda T. Uwano N. Uzunoglu R. Vahldieck M. Vaidyanathan P. Vainikainen M. Valtonen N. Van der Meijs D. Van der Weide P. Van Genderen E. Van Lil C. Van Niekerk M. Vanden Bossche G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie K. Varian L. Vegni G. Venanzoni I. Vendik S. Verdeyme V. Veremey R. Vernon J. Verspecht L. Verweyen H. Vickes A. Victor L. Vietzorreck A. Viitanen F. Villegas D. Vinayak C. Vittoria S. Vitusevich D. Viveiros E. Viveiros J. Volakis V. Volman J. Vuolevi K. Wagner K. Wakino P. Waldow A.-A. Walid T. Walid

D. Walker V. Walker P. Wallace J. Walsh C. Wan A. Wang B.-Z. Wang C. Wang E. Wang H. Wang J. Wang K.-C. Wang L. Wang T.-H. Wang W. Wang Y. Wang Z. Wang K. Warnick K. Washio T. Watanabe R. Waterhouse R. Waugh D. Webb J. Webb K. Webb R. Webster S. Wedge C.-J. Wei R. Weigel T. Weiland A. Weily S. Weinreb J. Weiss S. Weiss A. Weisshaar C. Weitzel K. Weller T. Weller C.-P. Wen W. Weng M. Wengler S. Wentworth C. Westgate C. Whelan J. Whelehan L. Whicker J. Whitaker P. White S. Whiteley K. Whites W. Wiesbeck G. Wilkins A. Wilkinson D. Williams B. Wilson J. Wiltse P. Winson K. Wong K.-L. Wong T. Wong J. Woo J. Wood G. Woods G. Wrixon B.-L. Wu H. Wu K.-L. Wu R.-B. Wu T. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin H.-Z. Xu S.-J. Xu Y. Xu Q. Xue A. Yakovlev S. Yamamoto C.-H. Yang F. Yang H.-Y. Yang Y. Yang H. Yano H. Yao K. Yashiro S. Ye J. Yeo K. Yeo S.-P. Yeo S.-J. Yi W.-Y. Yin H. Ymeri S. Yngvesson T. Yoneyama C.-K. Yong H.-J. Yoo J.-G. Yook R. York N. Yoshida S. Yoshikado A. Young L. Young G. Yu M. Yu A. Zaghoul K. Zaki J. Zamanillo P. Zampardi J. Zapata J. Zehentner Q.-J. Zhang R. Zhang A. Zhao L. Zhao L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin R. Ziolkowski H. Zirath A. Zolfaghari T. Zwick